repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/twgen_distmem_so.vhd
2
26,859
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MllovSwWkHO40TK/ORWhg/APrnWSNvM08tsXrpl9hYLVfc7rjTKPDYtaNOAkgYU2uHIqxFslzyVk G/mdpgZyvA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KHiGsT57JaLSjaqcu1/YpDgghiVC2MXJvP/BdgqjopuP0Q8D3fs/75YY1+2szQpg8cF5gsyRK13J QUV8XpCUNqHznJd1PPnMRUYsBp7uooAQ35egeA6Wcc1B/x3dtSWLvRNGGrQiUyiAYsd7Aic2veSW t8uOn4XCXsCxF5V2oGA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qfp9h+FFX+74v6jNshhCBSz9K2cqb1HFD3QCJBzJ2+gQpmbmQ6Kf9FsfNylcp/meXHypSXBCTN5m YCut0LkYrtvv42IgHXFMFvzr9J2y4MQYPFAGx7McEJekjS1XGEOS2zc7Q53sqoTGqOh/Ei9fYrNf fZWLnDQpQgYDLaRbo2HXEjjPzBRvVXJy44UFEBqcg6iAV3IaeDo6+wDzVt3TXh4fWFyP6xgz9qHo 1Bo3e7wEoSAWQGLItyq/Br5KdrfrgulNZBiOu6DfFfVXgSjskkGLj8uoF7Xpzy9jQvYh1AGYyZE4 3g7LkwEkY6c6s0iXQiBcpOgG9KOlmfHpzMVT0Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xadMm3B4npIBQpyZHVHIYPaT8EhTBWm2Dx8xZaJsk8YEzNMJzSANthJwjZBmI5NXGEl1OZ6DB17s xLD6pFHokJum4Wm6eTgjLO1ykh34ScdTrWcHdz9072ytIN4h7lU9ety8gOiQydoi0+FaLWtXmXd2 j8O/tXll4HbPxfusGqU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nA6GLi/l/Dx4Vc30gZ31Kgw0FvvYDeNLj4t0GAdKcODGFzdifPyMXD2VHUlQySlDwd703B5S8MlA H6/wVtAoXiPDNSYpk9YKcoFUzK25p6iwTdmYxBN/beN74u42rDJiPL/0ehpVuxOx0OrSpWH1kwcF Aydp4RddOtxKwtFZ25tVGVGPl9M1jV4XzOeWoOMDm4BVIm24oP9XFKoyV2XWnGifT3wtJBsyKYGe 5zAWvVcW44qrjaoHW4whksciB4GGQamD7xn2U8MKLVNrxpzpWls2a4nh0JgnMUT/3TKDawdHMKMJ gPIDfQpQ1uuRskYScm6L+2TOgOBfvp9zyCl+mg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18144) `protect data_block Ofh8HgmJNn9ImByzWi5/cb5BOvCa9Dl8IG0lbRufqXGetX9lSIqHDffVt6v9u0Qwb5rAJCwgvg6Z ClXUPUuhFq0hOcI3Hs/XAL5PYTqysyguo3AFrNb7q8/hhKw5kw7zJ4ip3/rBpodPGolUiacG0FEC dU0ebDnWNrZM5qqRK0WTmkpc3TNgLoH1IGGT566kO1M/nmacc0T8JI7RecMa4nAPGvjmQSfRM56R eWbvd7U3Uy0dk6XxXtleLULJJ4Ws8Jawvol++dMM0ZvsWOo3YLj6g6pNwcRt3WG3CQJJR+vbiMxH SQbc6/VIupeTnrZa3LhFLTX5io5G1D0LqphwPSSLm8knt78mJ11PWvgLzKv3Hqz47JHBArbi5fAk sYEhNPg6gwbTtVRAzeilX/kqu4aKXhVIj5Y99j4LB5+fou5y+WhsEVUFKgb+7JDY96NvMquu/GEj Kf1ninwG0UPmpBs6GjZMfD0TV/4/0B95R3xoVddE/18cuF3FUgz8pVqu3iPeg7/yg4bE92rcjQk5 cn6WL5bovqIHSi1Up2tG8z5eKJpPiIrcBWzYNk7Yu4KB56chuJR8QLCECVvSkqnE5VQs9gXPpXgd uUY+6L62KuQpZqN2nag1lNklyGEeqmi2v025yuNybxduWY2MMYgrEbtLsVMaX0CiCtD/Y7CWF0UR +n9GzzXVk0nLLp3p6J27zZJzMreSdsvUnTy8dtPgg19sNLA7amQR1V4aT5lJBpCELvUpOwx940AF yfv5+Rqp4QOwcdSrNCvNdwj+d96vw2SF0aWPW6L7yUCFq4Aiv0qsvRzRUyw4DsyO+QtUN/by+Okf 5SeB6GSHbEgvjovEJqryCcQJfjJ54/x3DDJMwEkP7yK/HVU/J7FUlbrWraRuOWUxqFpRRtxPOZ76 NYDo1NZvXpUAY1Muc3/uOt1CrLmjNAmpX5/n/huHIymTsadYjMjsJ31Wb/Huk07WaLVkSjGcaRYD e8vKNc7INCDNSMwrnILfxRR9yaWTsJHebo/Nbk5914jsFyiWsiuLQnk3glnWRzIsg8Zmt05+tXBp O+x6kcFhkxetkzDjxErluoVNOFqGvGQOhQjX+q+rD+Y497M2UT6vFBjllm3UttCIuTyeNcwjv6zT q5uamEU2DE++H7cakh8IpCjoB7Fpb6eVWdINRdTpHudqCWrrdnG/FT1iFooxFxtgWCl/B2gD4zzn rSoUyZekdBWiIFo3YVn2UK3nv91Rt+7mSZakyguBX0U89a+QEyL3iwRj9FU9GB/f4rscaAYs5Rb7 l36KGMO1+mrI1CDIbEwfab1rGZLte5NasTRKZKbA8QUTGceWJmT3W5erCXun1cbsd1FgtcaNrNnv 3KMAHPUVF9UcchWKAHDh2mnJuidwqix+Cl8j8vgzE2GCmmNalr0BzvZ5f5KyCGVtH5lK4QCrYplq HFzVvk4fcJflNHF9UULzdnGKTrcKQsoaimfcYks2KZiyVO/BVm1W9OcVc6dMQOUEPkCroKYv1JkO GdvoQ92JaaneE1Zn66C+43IpXD1xug1og3qJmPtxvp8wHCJuOxyESxeU+OMUcifYIeoPMMgOVLTM 1ueKrBqfcd8bnI01JuIfu/A5/Jv7K8mxCqm22W2jXRn7TCFKBCnl+4r501Uw6S0nQY7Z8m7cpD2x QBl9kl/Vmsa/SUrcbB4tNEwQ0I4W+KMrI/uQINEqLGE0JDqi0woPLRoFASaXvfRnQ0TJczRAOEFC v1MHVLKuDpAc+7GUTf2HRiSGNwOsgCw9tcy9p97E4JiNOz1YTuvIAU6/qjPHMf/8LrLYTUDGDDrD f5yI7MlAzGGmpF5cYEeE8XWQuyetB1l4h+hliBkcqTVmqux1eOM7FUzhRXoQH0vKfKpoW22Zk1BE di42FhMF6giUswAJA8bbxeP6kVGhk1qYyZShyJJXiybnWZCW8BZiQGLZGo4hHk8GkpGnPKglGY80 mmWaPqMhbNGayztMCdgvdegIyVkCK5pyBxNE8q36ugL/9L9TfrnXDR9NXTmxDeX4L8AtUjYXhDHu OeU/Z9XRB2y+urw99lHKT8shyMKaOvnTjRJeMS6dvcw5BJba1D32RPf9kv5DMubPq1HeR1JEWbDK GLWrdO46F3SgozkDmGt3ko4NZYpMGSKVhg5wlLMognO/M8uIU119itlBk2a4TbGp++2cBolP/26W 0WsX/8Wy2BweYrSuHI1eNE+YPFZoEdwj6F9+CVShnpXMRBA200WjpBVqCyUK1Iodwmcwbi2/YwSt r9KEZwR3wsiQBpsSENU5oBQhKSeDuIxvdcaS3HN+NneMteWpSoc/kogn36c+pz3EJf7/XgCCNnQ+ pwrpudZQi05VgPKhdwEOsjC/imj0IgB42zn8kgZOvHpcmyhJ9LdZl/EFAHGsbG0dd9l2cP6gsvnf 5yhBeMmSK7tFiB+oCVThanq0VKBX202joox2pyfDMPkgpjR0PYiFpt+dzAoLaUfuKKLrTSy0Zxup emo55l/urT3XRXCq/8d4UMnhCR5YM7txuznFbHwLtoTix43ekfb7CgXjRCin3SBCMQ0WiFXoC3j1 SyF63QZ5NomwYaHVm2G2y0Adxw30tv2ogZe+NK+S+2zbSkqKgJMEMOnjmXYuYSdkG0Xj0rfSqEuY OyafD8hsGWfznS7Zue6x8T4NVrmIQS6d3wM/CFjbdzJHMCKalveu3CIpAPFE/kh0aLvl9fgSyiHe m6DzdeOr0CC+9lCi9unLp2nNRh064Fe1Dx28gz4QnXZHpq12KFZO3wjUfH7nKgnA1D7zjg/RlMvF Q5FDAL45UFUSHcb1nhf0SXO/9N3Tf04D1dxONa0/i0YUqA1TucKr//5NOnU7jRT1R7tXVoOrJcKN lutHR3vCOQ+ZUbmlyH1fcWPuqD7KGGUeHKJa8IApV4U+6CPQQ/FjVABEoVOOhI529FGlxgD/xDim ePqGwMuPdtokq3l8AJgFEGJh9rxeCm6AjdUy+m/WIm1SKPmMKTd1DjGlxWyCpxhjE+O++h8slaI3 ZaIpOrNMzVpD13au5dgBOEK0chwsOHvUDhrZNaFUTZlswgLgDiyAkJBiATOhJ1erplSb1uudFjXa NEOaczbEXARnMZ08RVXWzemLxzKXQRjwXfsYbL/CWpQU3Qq5yDoSZv7GcFCx1/r2DFHPI32GNEvY IxKZ7mLJl0+KA4eyAS72XduwUoM/5lLgsd56MAuyx0eTljg1gHT6ZTTlSlbPImb6YpWk2tCTNj7X Y9wq74jDFBbaL/iYhib9VFjxHdLZbombQbDhfhH1wfMH5IpBg8MofQJL+mnNOz2Pc2AG0lk7zylw W/5G6+BXPdAZCTA0RojNgR5jM+7rGZ9f5QztNfDjrESRLLIgBu4jD/LelRKnI/FAdH9oZdvUY0/h ziSkcBNZkjYwE4LrjA2KdIouUgycozWMaG9K244vcty8JAaLv6Tj17bpQTaS1CobPMCPobavuapD QbxXnykB70dq79vf9lu0cPvEwf5MSTGqbC8O4u55gHrgf1TfIZcggnXlk9JPdM6UNaX8ZQjIqU3v h+VgzKrwE8wHggU0eRsH4T204qi4QFbv/qwdSpelBOnlkVANdKBY1AaQFXukcCiuX2P3e6X/+aQ8 mFNVDvGDI/MFg9GM5BjR0REx+CI/NoiAor1XARJLWaBdGZC0ki71MbrVvUVK2+t83uOvQoI8qPTo 861Wd/hVzfs4Uq+jEp02SA+ShoCTxAFLHoRzMOm1PU8igDt2+/N/T9Nzi9FMQxcRJaN6GNjHfGMr sb7o3oCyllz8VO+1gsY6yP6WQevewvv2TBulU1Xi6sV4tFOCgshks2mlmPtpZEJdAwnM6pTOiifr lp7DKbnVuBKMPQxPkApcYBxj0neYcZHfqDqAyUfZBcsT7kfeLtAqNVhHfXHngu4ZkcKB3quIPgEp D1npkVFc8n4wuakfYoMpEeUX3KULKQmbeqkf1QXi7T6uysYQF2DPSQPhdVmf828H2GoUvKivteeq Wi8dbnx0RKxSX7WP1PdrFlNugaVJrvLUc6fVR1gs6AJttIe717VEORNtMAj0TwvVlgvGL8hVssn5 8IqYk5ka5wMownZZZlbnAo4o6duXaZi8XRuyx68H7xWkBG38+MKIoElVoOfHhRDDVe0V/xE5Xmyr la3CtjfgR23187WFdf9jubn2Zgn1xW3z/qudsyHVpceWLDzKwCrbXxqITIDndLwCbNxrLAotctfK 3rVRLcgPRrULGos7YVdNTPQ7DoomrQOwd6ykzM0WKBiL+3GXJbUWxEysuTsJhHsejsZhV0LLAu5k dB7r6FPeB2PAp4y3EW9LlraPqOzRVDl286iawcM4J7Pm0Gd19bt1xq5+TXkW6sA0VO0b+GEkrumD Jpblo1Pv4Kqw1fXdkpR2bAheg8jAOGgqmPuDi7+DFB7B5bVbsZ9Y+rmmpPuJgdH5Smksp5dz99wu T6z0F+9C9tx+xmd9/7zNJeLZ4RGIQEkAtSzuDSNgSD/GTXTUWzL5EHms5XpRpf0Kx0EwwsT8zgak U/BM5xDJ0i97kpRHolrHfKcyfj2lPg5i9vEPsw5rcCMK9jit4vSj3DWiwlXN+HaLdxE+c1hSlP65 FJnSLtGZqGFFTvAZ8loAbVYWK5wZKcnlDU6l8EGtG+h+nZ0QaiYm51d/18u+Q5IaoZwUhqs5b7mU nxk8UdbIHqJcYL/z3VuXCm/zBrj+bjNI/A7L2S2WE9MO2eFOJdGYLAk+jweXEXw0qOP6FqQO+ZLX sEMaKiAxOe9ClDFqz8qX+Ju8/NtLw8Q6JOO31fRZT2ppsbqT0TY6qzl9zYEkIRVug9L+tg+/mMZ0 Mx3lZ81J9ASjANRwIXRZWq3LC2sf8cCV7S/HuWCGopH1JTFlwDONIR9QsAkTsCRD6UEQugqplBnG iVoEak3mDSRXAupBsFFvOQ5BMS585i80yAk3f1QuDAOZhTY+fKts/NIrHdqmxG3pbHQe2rvFnQYn KzEaXqCNkddFhqXQXaDoUK7KBzciHYdIgePfMf8JRg5ynxPxIGRe6uX9zjKe1lvmXYaihZqpcNHt DCjFG79n3Yq7Of6fLAqlc+nN1fZSb8qF5a9ZBmNVVNW7cbNUA3kPrdyZRHwkGdbLlvwJi+6SOORs 9Az2w+bThM36ClAhNrHpPqP54GHNfLJhULPNOo4pos++yKaBgPCEtuGVRRMi4+LWkVc4kyU/RxHC WfelBDK6JgxsOaqvr5wNSrtUTufdZ8uqtyUJYa2iLgCnB3VgSf7RdqXF7K9AC3Nnvx56BoyK7cH+ LSd24OmoRTNNHZNYpiLDt6oN+M/c3MzAno1egs3bcPKGcRc7yBx+l0eZHSvtMbxZtk7PKlNP4vRI I/KGAecM5tLlW5g+jhVvwpe0VRfGwli9rjUc6fXSvj+H2r/1mFOVgn/P5+r7jvgOrUUBd899R6kG Q7biWHbpzb23hPyq6bBgkEiC/WGBEihmzj76jGpPL5Z0cZmnSSvX2kQxUGTPe8bBJmm/0MF8y9zl L/ZCSvnK9bdDFSVau4sfeQkHF4KYxJHHPTh76BdzLWCZCdwf3TZHGhzTYfZrKESblfo06jxTSTFb qr1qhZpkxxMt6dH4YbjK7z//HbC2CeeEvRdki3ygA2zN9NlU7UN3IjD/3ZLBwNj6iA+b38EnffzN XsEF68ioZfPRXteuxEtexI5gJpLia3S0EurLNEaRDFB7q0qVHHaTXRZg9YPB1frJ8c4KrdctccXw HlW+RHr+7xz0SxwxR06E3jHTquoXdqkXh9ejVsvnUC4NnGAAKb6kcT9RdsumZmfiGf9owXg8Z2Dt Q8G7wQIk7fHdAJaukX/aq5Jc6+MxWYF5QNg0NXTTtWSD3yS2tvcmoQRIjMvRGcs/qQNdfHUb/aai NfWB2k1DihJqLzSLGxZAZUfVsaZZcYJwdWbXNFEu+IeYAOZvoo9QjXkEdEHW6Xt+FkLVRXF3o01X O6alh/Nbz/XTZAxJtb3/Ow2dXSC83yxCcY59D+EScHCQac55A+8mQKpyTzq0v/+S3vFa4T4ejcJj UvuXWd7dCnnGbxJXxtJc1HtnoWNjwMpfhuXM01OFbCNbJI1PD7A6LT1FkqIaRnOygnvC270KEc1p 1SYQvDW/n/ZYG8zgOy0ZU1rEIyYYYY7roc/ZLwS52xbkG5qGerGwW80didYxdT1YM9y5oyJD7kuK Wy5At1jGFMq5e1ckoolB0hPBAKOYwuMkxE1vLO9BxfG1ilSe2tLIofFw01rrdPtsob4FIdPi/QyZ QyTbK1d1l2qzNcyQX+ikwqxjD5ojwLACxBjt5X0CSF/+nIYpvUDW2gPa70qgJaX7FuN8sHRNtEwW dpPpeW+ELFzfiHmHq6/W8LoKQbE3MLbMPYVYZnbViSNC4pETBvFSyYsJLi7LvCF2miLliiDYDRay S4Xm6QzwvOUTKzudO51xVWZlOn+koEhz1VGXpNtOqoJcQCRCHnQ2td8QX6KP0tPLHQ8GDwXohDLU ZOGE5t+XnVWbTfHD5+7j/cw+f3TY7/9a8QOa9WxLqxDXQ7VGQNUnTyBOzPFWxJvz5cyN3q3NJbEc wOtz/lsXDL8H12Z4+rpE8MOhzKAs22oajgxRhh34eItscUVl6k6ZgaP0UOFJ+Q3og53rzypzGVCu cvPTLmxN9C1ixB4nmeEJVcD1L5JQenpxPA8IDZo4aOECAg29ZLuM1aEykDtv49vMxLvhHFInsIkT m24ft5iZEGuUsJLeyzcW8k8MYhkpJQQl/W8hdM9g8d0WLxFv/tzkTSs3HNdaNM2joY9IOfo8lX8s vGCM1qPaqRwkLueidppY3Iw5KV+7j6UvUMWgAO/EYUhev/FM+wQN6A0leuwd/QCHVJ03E4DmoTlX ZoX0Zts/oHGfe2135/PZToP6EGf96uDvtn6DDgwVgVFFEI4lFP9MwPYUf9n8INhnhWs9xgbcIJcB O3rNMRe4T7IszEgwqUZTMo1WE0jFtcT9S9Ud0ExcB/Tv9/pUEBXijq3m/R8dZ7m02NH3jnSBmNAP AmCigBdQpG54zgaA7eAxcGy9kSrYGOKPbwz9yMwXKuMoh/pfdxez8V4ies6FrRwUTwmhO5a4BLbm VzyK8Boo4JkuELf3fWtqYVC6EvLcX0IqdzCOjFFqhdVZHSmshsSONqN8eKtgqha39NzW+8Y26vPX FHzfLC7UrF5XOplfWYZct9GaVGyeaa4VE3E/tUgxJ2+5oKZcqpxT/X7LADvfUF3y6WeiHfQWTv+s enBoZpUkPIUZUq5Trf0LPIokEdISt2YtKAvRJwcvnsRpWa2ICr9IfdPW7+nt3twS1c1F3APk42Vf JkUGndqP7HZ2aagRjXHCipKXAOpEvb8ZRPD8ZEFmBeK4jIv5Fy0jo9iGicdKbpPjzTV01JfEfDhb BaAuXVV1q5KrvG9e51K8L00ehq6+w7mAeMsTwdQ7bTEM7kbMAzxQtmUX6xbOVOLUDBD8zDJiWu5X 8ETg4y9xGOaYUb6iOtvx5bgyH3ROf6SpzWXlLiWLEsO858Sj5+ec89binHDqk6jYjQKVPLvhBZ2h 5/lNZurY2MUXTpRbyBZl6Mt8Rl0t/YOt6ebpQKji/SNLPY/JgUoc5Qa1Gxq6kxBRfNw6MLURmpm/ 8ze4ehNIb4uP+JiwI0cPtQW9b/wok00wS/2ePaKDd0wPaHgviYHDrRysIaAh8q6VdtOFGw3x6txn 6DsbUDyZucn1ekQ6E9RmjHRmV+MI+pw5Wqjtnbv25j21UNJb8RlhLxM03lhOZ5pIYX+6xVFfxt1C R+D1sinh63JcMOQV8+wGcLnBwJRDNW/ewIXaLrigY4NGYDKauJ5E044S/4u4cCPKia/ZvogQ3yl8 M4jViKuqTdgx/ruf5UgQUKF7sYZ/VjvXEWoye4x/RlqRS+d4BLoXX/Z9pCDeLQSeoYIbAHcUjEKq FE+0xpHNWV1s9L4a1HEi5Ku3dERla9eS6vEGQyaeVs3eccHURooKiLWwXDUzcTnkn+WNbdGk+ZnV qvsp0uK9lVraIZPn+/A7drScR0SVqU8tKrfNQ9x/Y0KAlHqJfFp/NacV7ImIXmaooKYz80hie/e1 srxvxv+1PDY2xU9ruSI75aNfbBdFhnaiVfcGArQ7nDGIkp5BHILbDR+eta/j1zcijbOHyo7522F5 SxJ2XFj4h7OlFYOJGyFbCOgEuUamuNx7MAKL4BhocV5utNH7xo6dYWbf0PkmhHKgrLMHJXhBIrFI UwqL3f5Ls9c6nC7REe4Ppz1HfU6i5b9nFPnsLq3RV/zfUU50qZp9kjh8MRU41P5nfBAqF5evKx58 ByjOdVsCZEIuX9oUVCwJq7IU1g2JAn3aDTeyQMOcBPN/e7dhCyGavQwGd5OQ64bzwWihRT9bolQt LJMDlx4CBdfG4ECiNURQc6VWLmtn//akplr0k6ZMu5e9NPgei8YjT5Y8USa3aFezfI3vMrq+lRqx XmPJuaz8ZFFPTPtkpIJldpyouEswhMNs3CwRXJFzSVLv260M1v/R/UQ62wyF/jMOv4F3Vd/iqMks jgGMt2E2qNMmYjlIQdqq9FS8jzVmq4j+shXf46FXNW3t8WOahoOflDHNI7HZrlfGTlHke4ezp5IN rUczO/5Bbyjvl78OKeOcjFbpkO2wcfO1AIsHq/p3RHix+z5IYEFAdLx0jDdPLn84ebysUyBD5Zf3 Vh5z/qop0y5YUkZeQ1I5Bi6IZaxsis03y54Cmn0ZFhl/lNeblz7LR2eMCHaL2EPG2RNYQae7RkvW f/Hl5QapwyIEvQ2r4bwTthP8FqHwyKVSw0DRHWCxouoWc4/8/Xk8JCg3qYfW3ZFnXdS7lqd12DiD oXq9wgVO+3tVaPnykbY5veNp3v+Dj95psOnr0pAdPirJbjeYnskx6joJLo2ccYogfXYz/AV86+O2 QAe4io7dQy3WWyfRz63x1pMEH4KkedEjXUbBP97ghU3acfHAxh1bgfI5EMmVdBT7Cd2M2dw8NlnH TKIDp0R0frfVw1JbV7gHLqP96HLZYcqbgIqVoRUgZHTOdpabGWDoSYCks/J3o4m/kakC3f5JjHnX U+EcriTSG+XDNgGQ/vxGByjvKcmZpoMX/HF7O2ahjwF7zce4e2dJLLE87P74SAoxwBhV9cMEn+23 jYPjhKq2uYrp5py4OoBgnmp7H+32I2/cPe61ddyMuFkvlx7MIZF1AFaNa3XeBWcUWlY+YI/xr03m s/JuaY2krjOhf6UxQqCyjHMuKyaZ+0a4OL1CR7LGESoCXdqj681MGPSkS1sIIp7VCiy+h5I/DlM1 VTqm8+CezudhNWH6MuUAI6pgL4bFYeDHVlJsB9qY72vMmjiva1zSXX2OCbSX0iX34YKTzfZGQUmW NF1kX4GIGFCJXWG2M8YsFud4fF8T3UC1yUdjoRJmVwpLFJ0SWWYrmmUnTZJTiED1xJMTQ2xBWpyf exLH8uKis2sG9zHeq/WC1kR1DpAw7X6z2qG0PJRjKz08fMqzmw9wS8jioc4lEc7LAqFsLKDUVH0J 8G4MisWl4q0JoyR1x1BdACdtLsbSKVo5qOdOYfhRT8+jzaTCNZTqNVbLUOVJ8RNy3Q2J/VvVUlcG tUoIrc7u7bB+jsjBbBvU8eznNC6D8euBSG/9XFG3crUxXBud1wYK6NAQ/NTg+bLWZUCqT7IpJPjL SP8hIdCaPqQ/W7maaa6N2Ht62cTcw6BuodLioSehvGsRtsUQO3iBSzhAvADNcSIvRxXOQ59gOoQG 1ad4dWZEzGl3lXZ9vIfaQ4fTWiYkqNJld2cGYh6FylHgWib0vY7tpQothRwAaG9gNS6vmGiSgPAg VlsAYjedUE7o+CZq0fZzrndfE5h9aWd+synX0cn2WyVDjS4Z0mwPnV5p/idpDf3wDBaTCJYrIYT5 RGRzwtGk98SjZQVyw32pxkb/zItKGMDDojaFHHEcbVN2seoKjLkEDxyUCBCFrVq/UUXedwnpwqDk Nt815YJMPqai3UcBvWWlBmRW1q6QgMJyZQQqRB+tCiEXBO0bJLpvIlLFU3JpMvbH6fTjOWLPalUk SwJOSSY0v8aIJq68wifOPOz8a9/YmLA0vKpu6K4k5BzxJnWLXRUlI+EaCnkNdh55RnfZ/NBTDDjO J+ufs9DCy4Jps+qLF//m+yRJ81mwAhRbdnzijbXVpvz6dDweSzWmtdxtCfJDTleYVB2XD/mHw0U5 fg60vTp9HFkSMO+AZRgNJoBoIX2Uz2NT19oyIdh0r0TSzCu6EhJ7tPYIloQ14AkBR6qFIaLo81lm g/k8YdXrblafnakbN9TVwQD9OxQdR/bs8yafNKmBGfXDAzDhy2YWK6ZDIvXCY79ONma9pIAHqcvx cf8yHnNB/NXJ2HTnEs6Tkxu4W3yPvjaMjkDK3qxLXjbn00YYe3Nzxipqo1kDOLJTf9oS4CCgfmki hDoA7vqPzwI2Amy0dSK4mGBvNHS98wdverzq+jSQdhBduGuKhn0XN+bMoRHUCDCdujl/EeQPrlwP ZhBq/Z1EnxA1nhl0CaexFC7P72nyWWt8pKT5GoU4K24yJygP69mP2Dfv85PehQguGiOM4bpixytp MlK+YAuGvL7xh6E/+w5uqgvKwhDhRJHqaliQatKq9eGh0m/6uLScCHEpf2y3RoJMOnUP4WwXsCZc MwDXl171m2kY0Z+PWZvJs2fPT5gnIxHbGt0Ps2pVo6S4oHZPGZyXXZpqkRxgqN6PyEp/8qPP0sHu faZ4nkvi/ysZLffVIQqVYHI+UIFG0xe4ldEo7OKQmGvtF6xxKu/2qqs//n7wnXf8dFJbmO6qwnGC 9Wtc3SRm0hRieglSKGWgY6i2J/fWZBVsi4PUezEyplEMWKYho8t6LVJIxa8QkbN/zUhF01NCfsDx f4JnohD6bvtYAEDL9q4d+qPBNVS3xvBePm9XBTuAV18YrCQeBbj+0wpuDOZEqlkCtt4RPp7E5x+E Wvpt/cqtGcLKtJzpY8ghEB+4a+pNFPcpGBcRWcbtx1Zx9xW1+VMRk0SsYUynJmdT1Svu5fRFp0W9 qVqGGKUpb5IsLm30wkIGZ44zgp80LMjZ/x9ocnPJugzRoBQN5sEuonEu614bGQc19NIdDfRd0hdx ESapRCUTXoTpnttrWd7970yL72gob62TluTcg5bA7W+aPnnHiQZhCGtBd1+yJNahnCEHgaO2wyJ2 IDcxW8wFWzSOPaaYMUYzo6pfa4vYBvknaoknj0vOimwexqdd3bNpL9W2rYxpIt8dR8sSCBzrrOyz PQe3C59/iW4T0NdmeaaW5Oo9Qad3MIVR3+W16u+tyyOjVwiHhuG/+IGfy1YP9rMRYlqLz3xf804p J7YonJZ2E1aYrYyS7kREQ/vKJ+83wd/Wm6Awzf9KsEsjZYHoXLHc9uAf0COzIKbaCFkKsxfrWBNy DZ2zBW4+FxfEdW7g3Vd8FjPgOyWTi6vFNzDBO9lXGt9+eAfCXixKR8wjll8jSJlVhBu5XSr9N6yw OYOfNTr4h2q7lOP3Pj1MXje7GIuP2K2pORQo2En6+hMMbsXd0HuVMteWEKtXaQIWfp0tqkHNfat9 eNAXqkb+G6cm8Qmn1B7ClEgcZqEQk1tEcI5xNt25b1uTAYE0vOQXt308h2Lj4zedUwn+pEWFyG0N Zf6ubcqTZQj7gh2trdgcVkQI2KCR5JgB2VG6zanGPTdjNDQ07noXIJ8w1Dazh8yx4zFKO/4S8wgW /hjQEH49YOeYxbznWciUnqcCPtSFXrEmmRBMZaiX0UCFTJQUeRH7gfgTdDR4E9+lwvhpId3uO33F pg8UtapUC8jgXauK1YyA5jonFbJ2guXIlTOcUJ0InPy9KugxMEKTu6/aBp3JUASeoWQLKqE+O0Cq HJSLiqY2ZpHIZW2ygqci1jvA9njDWrQd1cMd1EqcCEEwBHLBHbg1awWOZBU5pefQcgFTzDeDy93j MW/l5VySYP6bZk0PUUFzkj2E+r8ijspqq/AFQyTiwUTXdF4/ipdijWCAnUom/nvRijJ3nyXzqg0T eNOfF81EgkMqF8rMXEj+WQ1pzxu80XLYna7iPp8/yanehTbc87w1P9XbaH9S17psym5TnFATzACL vEDDW0dcuboW+xpqySiXrjUi/YUWcgnwA28nJJkS1GVoxfwsBDp/UN3ZX92yJ2s6IChUmVC8LfGs KBnnf0rPDkrHcptWfv8yzI3t76ck67Bl6V/EYkYa3LSVpbMctZU34qj902O5DSbTvpul2K2SJEWv 0teayPi0dQEcu3KXtZ4ZyQ2scSkXnlEjCCozgau2b/pvOL6EqsdBVEX+JolauzzefTfZVh4Hy8Yh vvtm3GWpdm57BG8jCAb1VH5PNv2oOdwTXYWQXH4RCuT96RfudnX+CdV8/Ct0uvVspoyb77Qg0kyA AyK3/UwL3jukt2rpemfDoH26XZ+G2VSZ7Ht5+xuqUDt8cZpAeuxI8eVSzJqlw+yYPKzB1R1OtfwQ XyraAt+UjTi0oy63T6WY3w5EQBel0yqIAPyotvamhyIHByYh8U3CzYrE5FRgwSU/3Kbopx1+mWUz 7WdTy2s1PlZ7gsPtJXz9Mys5fsfcuLgnEhJr8gm3pINeLewN9p+5TI9EAHdL19j8nw/uOhyOS68y H+zBItwz9rWzzv/Vhal4qjh6vA95yMreMcj+Dbd8jS0cbHIqxUxvlM1ig2KuaV5I+4y/FtlMGK1y W6rtrh8mOIAYyU2GTHR9lUwbhXClp805zBDRZI57SoPSW5vm2yd1fG1goRV3sPgydfKK1pyhSI6n McIy2D7Qgkp4meDxb15kzyYeuaoH9yfuxGqdageJQeaw5nFlIJOqwInDyg03RWsqTNpPlYjAQYPv p4xPgijqDUL2xahDTuQ1GRUQBNq7yavCHuroleiK5DM9vR3Bn/8oY3acgYFUtwwEHGaLOZDdBYYL PM9hzWrzNFrbFl3Py0ssce3WpPZMgvR/64XaI0RqpO8/jk7SG4j3uhCtCtoUBd9oWnbYR21Ibi0A IFkEvBqslu6BUHKSrgvPE6IJmFV7Su4ja2AfOkxsuI06pwAgHtsk5Z3VhTCHnMYmlaLK6tga2ByQ oyl77Y9/AA/aRMidIwQuK9TPdR7ZsUuAFjNP60jX56aM7+YIq5iZPbNZqO4EyBlHTNqT7cXMECqC 87oCPMB7UkIS4CU1QrMuxpissDqceaYwAdzIXsPcwT2ILvNB0R/h2a69HhEjLR7opmqvSM2bNNMP FX4kEFVjBgc9j92aX3thfHJRfKcDr1cW4Aygnhayv/LhwAzvn9kPpa6UHwA4yBum6SaJ1KP5uGJT za5HCXBP2J5lNbWIdNKVpPBBECMTzf5UwdptJUT3FTCxAmyDC9FRsZI15dcCFnQa2/HGmenVpkwA 1iU2Id3GVe++PUX188eYnqz/3BtXQf/nOh/ygmaMDlwSnzY5dK1nMCeYIK2z1ZoggdiuNyWeEf1Q khSKv1/dWgSpMTLFL1e66/jmCdWQOcQb5PZlxP+Nwo+MgW7ZIrzJhWBTUlMY9aliGGsnvdO3AYeh 0obSK2YiDxFTSu1KhQGEI/oMhdT5gmUyuPRadc5nl8c7BqxWqJ+rTlPKzb+lA10PjU9o4IPwDMY0 31T7/Z0bTRU0uyfLC1lgpbaDa91+jjiemgw0sg2CbcqaSTu/ndLjYhvhcw1elbNQlRb+j7IaR2fy NONhl8rxXAaVCouw39rQiuQQhYL1HQZ2URIr9yLl1TCRqcUYywuhNK+S7RtzvezVsOUnF7CGJgNC bQ2ew4cpHyicTfVwV+tpja+7pLVjDYGEvKOpE4G012VyCqSDt7JbTZMA8wXxQ4NQetzEPrlRUtK+ knnp7MaCU3csoXgNALCI+SPmeotPL3lqRJ2QdUs16AbxiWNt+CIx1v1Z3stOumugm2vUt58iGwH6 GC/CgACfm9l18fDbedbS5COBGx7RVj3ej7j6qfd91Z//JG4DyjswkiKkvRUd9N6GjlB+TzX0037P sXCix1tPk3d9NvB9A4BQ/5RubepzU4H1EwUlEPwuBZ//3+RD/nbnOf1uOlFafuwfRAISWQRZUN+y I0ayPVlYo4b4J+abghI6vdJDTGG9H4yu7/DX1RYNtt7Sd44xdDYDj7i7Nk8Y6oDe6q9QcIO8LWS5 faVUtoAd5sQ6RmFP56BxLTyXuFzUfV2zmyxT598FAXxhQJYzWYsiv0iejKgUdFyopb3CGIzWPW9s T/lrPJB/u0AF+oH1iwZO3jEaewvED53j8NXt+KDodc1chx504y5/hbBNo2wCZXwKLpJKoLHDhtSb kOARU2xS46G2UtMvANcVX07ajMYlwKqyHniPFjx56VzZWlFEOUbOfXCR7LSkjyi5Dj/xF3+HWhOn nCkmF+DubQP/7qWGZRlHTCXhsl/iTejWLnLaaV79i615Pl2KUPZSnrHVHTlmZQuk+l5cNOd4gHeH haaPlzEZVExNt7dK1gV4oro4iVvQujLbkMVo7+NV/7e5urHuHTlev1Wl+CVD9pYGxF4Up3U+Gkiq KhdHrvX58HehGtdkmPlPMCGRZ2kRM8apGUadq+TjpAxzSVQ6UtPDSB9AzUidc86VNAtyr5vUYn4n bGHCVuTVanWZ6rQWhrpElmFYUpdSkaBhfCeL8vYfwVMiN/mB4UnDW+IMP/Gzqjy9Om8OoeSx1ySv gJegNXLxXRMuQQrNOFWLD7tfyuq1vM0vHvpcUOaMNwyasxJ1CYhH9X87YRfuUO7oVzGxVBCyycU7 glc81sW05J1SfW+onf75k7J+n53HuHs5hPFMKZZ33MpicHC8ynku42PH25UOWXjk5AQm6I+DTCzc l++8YtDtGqPMyebOdRxiN86KZDM2GAVxacl7IWAZ+9U0vh+ZqpXCDXWUZ3P36q7LD8eArO79VdtT KrWnGpgzWpW1xm6fuh3hAhviOXOJfm9o78JXTPeopjdMbmK/pjHCgObxNY/myuGyKGJMm4gCvwvq aZ0cIqem4wGTIM9iNy7OmNWFdXG253et2c87/Zua55ab0cZ5ms3iiRSHQiLm3QQf60iLJxlX/CiW h93HZvXmz4FyBUNz1/howbMRyo2DjC3vs2XpOspCxxMMwWqvVTDbICgf7BqWHwRWaNG+PyjSCUgB A1tUxwNhYtRHnDg4p83wukLpcTam44lMrR960+cwZLu2/x4FotlcF1EiFsZ31oFq/JGYj8bM+dFI ihUMIL6ziuKRIjSwDANBPurRn3j4b/RNURUTK092dmhaeAI4drYxdo3O0IQl7AHQSFNEGRNrloXe IEzo8Q4equRqsIwvc0+Z9jBqGhcaeirjqkvSQv8COW3JtW7Q6DiFZ9bOmyNvsldnI5CuVapzVJyC a0J+n/FH+doHpv1DLB+MriMOLB1WRu/WEIcB8YfWAW8LnO9Ouo7hRtNGqhgYUMBdc80vRgUVOBqB mZkpXP150Qq7Q76gF/caAvo2HOrqHM46I5m97LOeFpKrmLEvxuv/w17J8WHO4FSL9kBl0n+sREe0 7E/JJtjPR7jTZ5pxc1UP2xwoM7ZqO5wHjCqmp6X8Ka0/DvCIGQXN0j3VGsLUH47PUS5BSeJqQmLP +F3qZmspyNm3ciRV4W5kQjrk1amkYxpX6CBsBhEW6f66IAAfFWitAQCgUZLzOUNDrlJSwr+9sM6K aa7RFi9803jaPUX8hCqaiFpaVZXwNKAp2Drfrbyx/8JcqvyHbYaZID5UeEKHeZVCaxdLr3LY0E+b oDIdCkwqkE4RuHyPSKs9O9OJcE9uYTVse4o9/d8Qp7gPViHiEyaCx87cRRD6mOVIKIWnH4AwqIat ja/8v7lcVnCcDJdhqVcQbUvzGOoiyRoKmJAGg6NCrHhpOAKm7uYOSyZm8LOGEMtY1kz5+tG5/8y1 n8//MIpZZosBSd3cmgf2mr6/uc7PZSm/3ldFGeLPpjnO5H9bD7SMTcnfhVpBsvhSFCup/La5HGI/ orvHZFaRP5uHcMKAvlgovavzKtTW+XeTYlG5d2sewb5N1sy/UT6OyQwvt33Uie5Nx+5mCnuYRo79 coF4JeU0dpmpK4f9yrnkKv+tsyHfNWY9xWSkli3+gmmZLQ9DWAGtr8QQwczmzTqzELCtubS4Da55 DQfwhmKBB0ixX3BeFis9b82Act8fgfuptzVfLJqVmAU2gGadeS7eaEuf4QpdNEfGzcxH5NQYsEQ3 /+Yem2oPPxriKw0nWDJRA+I62Q5J/JNBEhq7zX5J3n+jVJdhrjBOY/TBe85n5yTWhpltZPOoOA15 2MovkAmfu18Qv9zO8kIten3kNScMjUPdMqtJnDY4iQVX9sZ3zJgbmgqzkOzYSCZwkID4gGRkHBdI ZBA8NRhRwWJ9N7pokzSxMmLpeDzs7Ysjre1f5T1+vrentvEYdcxgcick559W3M3uhMeUhe76NefX zHYt+PO85ICYj4CUarfVVf/5wf5P89xNibiw6JoyDAuz/39cuXzrfV9anR6AgUQxG7alH1u7vdFR c+b+x4V70IuePukcT8flapvcHLxaMLtVWVEpt7mq/YNZc+1+YkqUkpXxITW/mfxi2A/cWxKJa21E +jUnlisypf7AuPtVb0YGOiXRbZEhuhLNqu63FWf0hqQE1G54LUWescrSqCzistNzp2H5f2ERUd7c tfQXmWI6WxifSw+cpbWEQ2B0UQMRQOSGV4mnBlrkZryLwsgA8cRKRuTV2ZwJX0t6jTIj2GJQBysg 6i4DWxPi0oLczvCdheJOc7NSOM/jk+TQvwRIHM4/W2VWEbEBnqj6Gxha9PBCtnbs2Y53yu5TPrmg NkCxjCg4s5lVR5mzuIAa5RHbPV6jQZoi97ch6QpL/26tpZGXW9TPCNZav0MTwvO3TRcdgwSeGpDI YdRbDbgwzTdetQd5oCYiaDgHd964p69JbyAPIZVF4AQADsV28zA9qEoXIpXM5yDt1NLynhZDlApY c3XjZBOc5aTlU+9nvxN0zvmUhDA4CBDVqFKpAJgu6Ip6b1GCtZkUoqq9o0g+XcnB9MPMkxUf+yI6 R/vnC1AcRd4u9hpfa0Bb2XaUMGcXqgC6Mk1ztHzSD46KVqgCvhqDsLomjZ5HNMFxt5qlNRpvxy2I 19njkayHTi0jC73rijO+az2IzrznKoQLJtDnhZDQXpjcV1/lHT0HH9G9fHnoiydPYX+U4N6tpLfo EIy89d43xlzHpy/GLX2ra0HPq04YHbkQTXFxGYpz329QAV5YyALbx1mAOiiSIHZEpz5BycWAPhvV RZsxUnPhVbayAClDl+GThhaii74DK5MhmsmoE+o1kdW4irrThXCSkGjVlKSi1w7W7Pg1Kne/29Rj KfOSfwq+R2bmwZwvWBypvznLy5XBaMdZ7JUo/syR8qDdG96j4HNTTydmauAWJcpkYUV2zexFLaty rKHL6DNV/QepkBvwoDtnb6CxSWfYzyJ3ZD8x6YS88zRXB/uZDR3luAblAVyMZI4El2+3avpTw7rn 1Aouhbq08pO42a9AYkojzHK2qj36R1oXAtBBjsgv7W7G7Z+o3eUVVAE5Rn42eE0ckHZuARMAmmY2 zDcXarlwGnc3GCugjaRzT9/TN11IHP6apkDERnW7YvUbkb4grwQ0u+CPJFJerkfYPysM4kIPU50q pwlzKpVKRFuH5QnuzSGhyoKLcc7tGsKOpcIQDIcm1IoGPJZFhL8cNGrpuk7/m3WR7MaO4lacSlvR vv0/eCUoXLFuGNK9OgjCm09k2k6ZyV5pvgF6f00J/l/HzpHZ8cT+m0siCyZi7yCW8PWHbsujlV/5 /EdrP6rffW8lhYGhHSFqg8AdgbMGmnhFt48Utz5iLX+FKw0wYwzvoMpJwaCiJ4Ni17ztOhDbOk72 hiNlaRDcjhE78rZZd1seOOsJ4TZedZSiKU2pXvH9KXbW8/7nPyYLnnjerj7O2cOBoAPZ/B6wU953 HufDfrLh6mnXM6rtwjdir+79xrutQQjaQIuVQhfEY/vLzUdc9dVDQyZcjjEQ66KtvQ/c8o7NkkV1 70u7zkujAbPTQhcbiC5Xnlw2JuSKYb7bbHyLwvbSVhD8ilAxqJeIpQRKGh4ANGNI1eFGUDiNkNtW Ez2jQHPeDAcBMDB7ukjCtz/kA4WvTRQyUDjZ3wwNa4P/KnT/wRBqlQl+GZ+BI8ZDk43szr/qIYad cLAXa0/1uhxkMUUH9F9J+J2DDlBvgSLl2+Odgx0fWBPoYLUikfJoeiiXyjELe7CHV8F5ek7huk0M PD+kq14yQaWtPCvUFp9ugFRm6yC0SwM5Bn+4p2cRGkiOZAFs9rzlCkkEJ8I27mI4VRWFXC/O14BD UcIwVO8JXf/AtSsG5JvGy3euL73NA3MkDsCBPtQAh9Qtx7F+nNzpJENFGSBv21MkKaF3cPYjU19F 4wUfvHlt+gX7N8OgfFtqAC4ClQpZrVawirvLuy/HUaLVk9yRh3kRf3+WpFzHt+OZr/sOIdCAQNXY DSzhidti77taebkRvAFdznqwFoE2EMuq+kC928jH2gQSbvNAbu7luFzvq+m1o+AnDSxvuJmHVuWe I8dA22v3nus36zfMPQ4Km2rpCDUBLQg81YV0HdDtbAwkG5VmvN+AZUxxQNu3GLqz/pNAgkWEKH4y W1+Pm4gJVGd0aZekDuUNgAtdQlw/3V0lEl59xYNEZwi+spR1gFSWOqEeq4YpmsKw1R4qT7OzvwDv 5+IN07YmQkxxMKaezOsZAXO5aSEGMhRe4OQjin7pI9ZSwc8xn9qOsre9BjbUjzBo7enUZZvkEj4R NNzozQtlaw76aaLyiqxh66G0WWFjfyiFMriftu34SqjQ2WfTBUF6VzqgKB49vV43fwwCm3wOwda3 /lED5+gi72sTjozu2CE9Kmqwi3ZIrKIPhapaMR0+9JRFgLo8jM5BeHwOv/TZWwSKMod9srVvjLXm ii3VS9B8Wi8ExLhicFxlwcodJQ+fDv5LjUxFFmjwBphoaOpFPcPEl/gdQonZ1SURTj7qHIhidavK z87e3ki+UQk3Y0TdrjFpcdAYaUy74ySPo9c1KGM2g6JO8Ypqg25qrdESIsVpYtNGH8PK5plrqZu4 BEI+DxpG9bx24Z0itvTgD4HfrOKDQNS3R0JczOYiNie93yZViNy4+j88+Jr3jMj81dA+uF16RNRC EA5sEl4EVbvi3S1B/ja8MbrpL2wN/SCrndD8hsF1cPRxl0j1oueh71OwDIgz/ltu5EgjQGF1KqPK niQPBjXFU5SIl5VEJn9zOqzZmgj2nJ1hJyr3cjQylJgL+sLwBDDjVZO+BOzf33+GJmH7Qh1cs+g1 meC6utOf1/hiN1xt5r/RkxoodvJn6r6J/53aIJ14PfzsU+f0UED7jUqSQH2XxNDLi0qyGbTCeZwZ 6Vyk4aWQT1Qli7HRo0H3OR6w1jTi5pKQCst29zAcoX4bzOhNvEQLReshFYy8+9QPQ1L9RgDEuoEL o7pF+CMHgl2jbKSKJpXjG2ScWli/1fxl0NtFPs3w2uhBH1v9WccYD4cXb7i7XQqSIPLBaQCf6PXD znWFqihxlDNmBkTP1n3jeEeMKSUHaois4+bduxr9oRJXXgIZh38wv0BAny4IGnbTVj5eZ3BWOvsg WgeBRq4DRNbL7xon29CZMbP/D7xi22B3fhP+fVgNMnUutQbn7bmdj3f8d18fQ3Hz8ZFZ0f1IGf/D DXUXc/3e6k9C2b2Y2IZ7b32gN1IYIj5SX6cqH42rL30WuWeWXjjUd+9883Xh3xobbGSUSMfl4dP6 etNrn0DyCIyUphtTL5AFsbhyJTcyYI4+PJdyD5RK8CxkXwqqxuEiBfqEnX/5aUemm/VnNx55MOfM amuyAZeSKJi8dYiW529mkVHdLwPo6tq5c3UoqTkq+/8EhFoJl8JEPBSm0564UeksZ/wUSUTPK+nE XYhmDmxLHna307xmNYxBXXYo9/ilLa+XA+olEeNvaM7TtBo1R7JhjA+9jviQ80uLysrppb5N40no nhht4/pUFkZg9gzXHGTqGMRjXMhbgDykRpPAFCpjBmlCIF6xsPai+92JcTT22neXjHgoeDRJ3IpG TQ+5eRFPDiW6IVYN7YkBF25Zxzyk6Gjk6wcLc+dCQSWqksiP2t8aHYUM4pBLT/gr8bM9XP0nUVUT hCI4r/6m3tX7MxdVn9fvPFmjXBr8CcPDHa1qJ5d2aEI2GBtx+1GsmwhsOSrvwcIwnV74jjdwsVb8 sRJ5+O1Zr4fraX7MotGRRQbbc5jVQwxZ1yl2VsFjiAcGVtij5isT532tGlhzEFucli5kinjascnp yK0REICv1IDTLNzaHUkAkPoQk6NLarNFSuxOUzxeIPinM6pmTm5KnZZD0hcIIHjlsqx7jCdrcFBO /nzLZXn8ETLbB+vVZfaDlB+0VIWhjIefgrKdf9KewkLid5NGF4C1PeNueqpzQCXNG9X/a5lnozwY 5Xl3ouP5176YZpg/sau9Enc9jFkRBjXb+Ci3/bNYyd5ZloXENPRW8iJjaZabFNI42dkO8f5Q9SKe LQkdCZBflWOWf2Q94fn3eQiQPJmUbyeGyl+JIc1rbDvvlo05+ushKvL4m02Lk1PBjFqWWL+2+qqg O9ODiHfZKpQl2MnVtic0DBrfT83ZmzFF1MC7MtRx4HJIH8kCNQbnQHnEYHZ1y78NlJIRlaK4jnKy nPeLd5A8RsE1zEhCBcok5VzA6LB+h5LOeew4KKlTg/GAIpfS0jZtwkhsr4P9V91oXmbirf918DqE jeUML+Bye8SrkEvJlt9FVoRrsWtPcWtlF853/5QuUig0SA5YA7ilnaber9xN0q9jtQMTg/Hqc1V4 N9LBSbW3pKvk/H+BOl7oMB+Eh1mArTVZGeD/oq0fJAGHVVna86PJtIybyroRzwyc8JqF68MMpvba DBN6Of62VfconBfczq+U3s/WQZvg+Ac79dwuVCUJyiluXJwILAW4mQ3RJov2amRcVw0dahBYip0s M4sRgA3WTc0A/j98TF6AawGq+vamM8rA3w2NYFazT6HIJ0DHPFlRmItysocTFwaGhGpzM8H96b67 LxM2npqvx1izPJ4crObo6E36AuqbV3S1y/D4oTHAcChyWz7hdmHP+QoNyMWG0U4tbJy4QN431v51 ze8AZXyCuNMeZ/9NsyUdSJTBKOXZ4f9BVBi3Zfn+y0HhVEfdxca+e1hAN/A5teww8nDcR138wHq7 KYerqT5obKC7v0s39jRhvZRv7yPRgMFw7C+/lzc4DmCH7o8UYz13QpuxQoJKAh/vAruwnHr68anH lZaWN1snQEI0RMb7owhhGsGF/fke7Av0UzHqLUaJWmFfgodYsL9nt/odQ9JwIWWrT4NfMfs16I3W xR3SFv0h2GVRaAeCy0VmorA51NOW1UvD5AS3jOK94sUZQaztgTi2rg7jZEr2RJez48URuyACTNKe 6PRIAUhI+6OmiuXEDupb9Q6jAb5Ghg6ZXegM9QdGDSMaYO57sckNuCG5sfdSLIqU0rqhpPwPBGdT 4bNXXUT8QM+j11WEv1PpOUmGtp+OzAbdMEulUe4ehqqujc1OxsgNKlCDt4aT0sTj47alBnNLyMU/ 1QlQ7uMBufczzA00IrVStfK3/inR2/ok7E8q8L7RhBC7ymEkz9/tso7dM3B8jkYvnfM0MVqBK+mc 0T13gW5lDpDhDuhDRgJ7iVdD96shRVXO9ve9342AI8LparQhP4CIt3K6x9HxEu+PqJUXShC1Yj2+ 3z+sz5e1gLRbY09P6RGBce3cOkzvTUUECzVaNL5CVFJRb6Vj/XEZx8MTE1/pghDGMpwiL1BG5M3N R207WwzhsrJimICtCgj/XKpiR6RkIJhZA5DvK9PYNA7VxqJIMOUDv+XDZliLrDuNcyKwAzB9XjWC aYZOx5EWboCAG7KZKI6sXSuJLpzoQ45/lt5bgvZZDR3gK9r+kJspKxPfyvec8QnQb70NpxZv1KgA pMYIA7TAa8Da9Q55mNl7DcBhnmfzQ9ZkX5mtB2MBfDsd1KKyDChWD2963T+XIDMdXV9gFplNsu4q 4/VICPYPzGFCEcGKn+MhBJ0PRt+tSKFwHPrr6fJ2GUx/xvxqNZ6igwBPASYt7ZDljZ/kJMDa5jhp RTsLoMgW2SaZ41n1x8+/s2jQfZ/ENWvrRa9qCRpxd92nAjie/nQVyq+/4mBTz59oFZMPMRTrAf79 8FODtlhRtStvZ+VbLn/IdpL6vrjeJOE7lluZPt8WNidExPG+E4n8q6hVskg1UnnphyPunhJQgKYN M6rodRFVFGveR4W+Hidk0J7XyI8+8b4ffGCYQyt3JFortE5LD4gyWBtjb4gWladNUy8nedVFkQ0y XU/mzNdHn9Lgg8qQaYV3Rr49/o0UP94QDNaO9ubFcRR3BoiBoCGmjXi2G0oMiEODpLDc4xyHy1Om aGm05BgCd3LQpQDMTqrpoEF0onM56ZBOw5WZbAlZw/qlbvfjUYKiCyGRWzFd/9AGlXxwcJwaUz1p I3szFAdjehE4/Hs/3QHM5qw778WBTHdQjDCeeli7sJS4bU8uLhVEzebt+U9oE/aepI9/LzOFtDns JlKqAr7u70SP6i2twvG6fELk35aEgPSinxJGSB2mRXLXNN3CX7/L7ajkyochY7t04TsWic+kz/zg c7D3aYf+rmLB7gHleg/KcOlyWnPwi9hgk9Rk4r6PCU87z2P8iqcey846e76IZYM0wneZGNrr5yMe 1vfbHU2sjyCtMGRnKocN2PCWc64tyvYE4UbdscB97G0gwn5m/xFwKaXUD4cL8++rHV2z7waDYSg/ WMDONcjB6fFi/ODrRNwdKRZTwLIKCmRA45IKzNepU9xjOD+bR3+APhrkQQN75u4kXlSQt4F1ZW01 rhRXbp9aP+MGhh4cj1D4FoZLy/MCx7J7Sf5h1kLEL2R0u5lsPd87kwddRXRRz6/ylZ/+EF9WL4fF lKBod8iIyhOeLTSTucc7gzqMC4MAWFKI5WjFn6rwcbFdUUepDFjdgPwiNWwGNvvyjiCfTrYj0kYz E0+3Oyi6lEudCf6qsMMRQv/zbvhIVOgh+cB6P5M3j32/DDGdL6Lk//ah3ABkdPsvCaNjfrYdwJXY E6wRADDDvU0CxeON27+EQpfSga4qD2eYH+Ia0lXQyF5AuLTeymTFA18Pt0WndzwNFCXz1qAUYdcL e1AR55ZlzbMgOh19o6U0RB83LixNBy+n/i0cDVnPUK/COO+APIJFr9J4lgs/cSKTO4y7oY0KsDYL nXqAZM3DA2oE9cgA+2Tr5AVqH3o+rlEWbeFRBjZ2CrDTVdEDwfstXNx4NEdW9jHeSgxZGBt3DtVe Mtv1KNvL0YRNwcKx2yqSDvTRrEtyAeiqCm+54a7eoVvGk69dk8tvRObhDFAPs6Ca/1HfIg+rhtQY VMFnG/0HTZKniqRYGhvgK2YSooQR7p4SGJgIpwMAXfqdxuCIwj5LWNaX73o5ZfJkJc1/4lnXwxkh 9M8jhOsJbVFX0vHCV3Vh58JoAA73q4mOGmUfh73Do4hhldBJIJ61soy6zi86bA8p5MemRY0uFo/T WQ5LZ83XQa+CcH04A3ddB57VVZkIb5iiYN5BEXGEI2hG3k25svUVTBiMlsPu0Blf6YD5Y2aNlJ5I IiYVgD+J7QMps0L2t0rDNUg7eLVyZdfbrtzvOlHu7ei0OHXwYAXSBa/2N0ilfGJKU6z4nQPMlicC NhTA82NDgoRU/xKZrgDA2opZSTKn1t8HaChk5qNFsyeCME/Veqgi4X5I2D5c/8RUsseBwytEiROQ HCa0d7Lm294pKbZnhey0Dwdysf0XZSZBQFhQtkQxXfe5LuEMkBpHYMPMrYLWTtvist7CD6o0US1u 5X4Ull8dXrHqBiEvAMNoRYDNbLB5hC+2HNpmv3p6uo6yGF9IBbJ18pKrYNpotfpb0WgU2dA+6WAx WqH1kzQgh/BLdGTZff+SgRVdbBZaYjzHDl7i1hI2A+4OsMr1kAvI4t1TvoMPEBuIl+1vgFVSkEYk /37bxQ1ju85QNNtK32Yt+hOAEj16nxZYdzPL7tq//ZSTYLpQZPmhyi5Tg8Euyo8GQjcy2fDT0W/t dZfbW8UI2fmmpw9xcpLK+6WM `protect end_protected
gpl-2.0
729b5208652dadad89e904566b588ce4
0.94352
1.832253
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/vt2m/vt2mArch.vhd
3
137,090
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block gyG1M34Xag3W8eUF++tb4jYHB8c14DwJioBmf9kdJarijuuGX7n2UER5JEYnx+dGhrFYa7kuvjhM 5dVKjore6A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block c6N0nuGk/wBMBfe0yvqngvmjvcnw8omDpy1VgeJ82Cb7XzwCTjyZivDhNrxzhoVmvoNTSFZfeQ7O +PsxN911AXryrSSe2Ya7/XllKKTZqAi0ksWYCYqFYXcNQvPOMmu5y2jhiV/T1RLDlhUJaSmKvUrX mOB84HNCkieAQ1EYf1c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RWw9/orBvH18rmS9i406LfzjCw+PdZmSOWKCiF3C8GXUNokEdhA3H2kpwexdoMFQ4M//C2j+eRNG UGJadIaAyV906Rp6rddu7tOGd/ZdHsKTZ3hfqjT6wU5ua2FRl/bLGghoFE+tZz+1PhoEMFHCB2QF x3aWzlvUzkmWWkemwF9cI3c/pLYqWbOXVI83IqqV5tdl9q5/uFePJBQHKSfXqXyYjFqXuVRIfcDu jEzjIPatDYrC7u9WG3n/ceROMeviFb06y1xjGJ/8GvwBj1WmSH5jVMoTXXqpJ72MgDYygp7xj1oM 18npEFMpqjYveAwYyoIsZCcRTvaQNxFqVsCQsQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Q/tYCkODD1dSuHC+jVEmDX+L9sfCwZeIH/sjUEqSTiW6B/F96t2T8LOgEoUt1jkMzQBuyWIRI3hb PspfLHFTf0da5fhMMZJ1xll/V6CZ/0FZ1ABCdS+hQMjm+mhJ9IDajGhtPGPy3Albk44M9o/pAf6H aH4aMHb1TiglmPd3lwI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EtA5mHvEaKcYvCpDRxOhoKKhEYr2Q3J94h/H1igXRgtKd6mqRin+G+A8rwgRiFgDUaw1JAQvPgb3 3z/MT0UEY+ypWLy3BMlGYFe0/T2/PG4aZugGKiMB/P7/3gQBtfYSFj1Zqh291EEG06c9SCeIUHb8 Zxrzae504SrabSSAc+08qZb13FjBLPW6LLcoJFZcI9Hpz/Ta76CvtwgGw1+e60fWCyAH+BwRFAfC 166s4kDKBEaOQcKxuc8NTbMZiLMuaT0AzsJf1tBd1VVkwugd6mSsJ96WSYwsGvcxQtWvC4x3MKOZ zdOwyucnli5cRHb0hnfGT/7wheBt/NAQ5rcYnQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99744) `protect data_block iyxrliaitjzaRIgzDcz+JW8QBSE/17jSKuxmvQ/Po6irAcbTeAhp+EEgv3FI70v1xXry3+N57gNB EIBGYsFsAHsJRfqHMeEuxPGYV64HDOn9ka2DfxPtdGIUpMdiXrnTSkVAnlrN9fCOvjP0nhBYG9Cb t6MiS5I8LUxxAUNYsihlpkShfr+m+k7dubbDH1+dxGGL/s0Kjv/EnmXDM86ZyN7+GTr2pyUpDRKk 7E6koSswZdXjP2wC9yyBCjG8A6bHu4vdSs+fFbr7EdP6Zc/gavLvl53Z14TLNvxMODa+72+J2WBX FJ3P4XetyEeBy4bt5bmLZk7GDyuz57EL7h+W+xFCownTKe57n035b5szZdpkVQqtroJY+PlvI/JQ +PJ5dung4NkLENeQAB9IxqELxCJtufCZ5LzJf2VL2sgyn0YodrAHfBwfya18kp95Nhhb+EVf/Oyc Sgt3S3SQ3MECIS7pFHMIaJ9L38Z2nNGZRxpp6Ttf4oy6LZnTeUff36Ep3ZeizgjBDs8rbpap++qk wLGU1oI7izMyb5E90wuod9q4zJ9R3EvdSEO3hLUFeOydiIgQj5ryDZwzBbwaytbZAPnDVxx4xMzU N8Vq9Qqthw4+msQp1QyHKBE7tpZEn73HpOsDZG5QfD71hQCucPtU41p9fHqisBP5fqq4NsFAJJMy pS2QumRiKCTfcAVrflt8U4XJTcdKvUUxmplxu9zkch5ktx/RGLfGBu0mCcVgmQz+GPWxr1N81D7c 3fL8W4iVdEz0c3dehecDtipyZAACer3VK82TroX3o+xGef7SHwet8BzUR117Mm0h/pk8SJeRGETs NAA3pDUvxkivBomyUCW/27bWa4kqZaJgb0IitYZHjJbdpxaKD5v/oVnmyU6SlDrHd+rPWcq2y6rp p01mzVNuGBSO6UMLkSDbsyPZc39CRm4BfQbCYfRaVfFnw+7CmMgYFSkZjpwtQ764c5Va2gDZmSzJ nABN/nWDQ+E4/6H39YYFNywa4P7XZYDhxc2KK3ACiDP/NPLRJSw2sq6OH8c1ODQuBYzQ5F9h+5es VPAJekRv+ebskUkyZcwN12gtWDi4KKXncFJiXN6QincrX8AFhXsKma9Z/xlJQ225EW7egiPR1nQX HcVvGLCMz8k1Kb017iGTuGeesBUiU2jF4VXNKmMKqE+d6jjLeObPozngR7nyBNCYYdfIThuTNfsw 0+psj33c5JAEzMNWUGhDQwqM9vOymvQy37RwSy1cpQRYHRfF1tDEEnKTAYBV+Wh66GBa/1zLStAQ 1PZA4SeA1x5TS9jQSQfpJcO7J1N/nQj4rneITpOtdyoS12YrUTQS9AZhikprkvr+97AGH7Ge9haf irnm4y1Dm6uSyBJesNAtlBjHmMYu3WOvHVL428EUjNlcESKJto/j+KTRfC9rO5M25dArfuxF5Vh2 HHaQANnP+on8qH2VlcisijBqd/7Srl8brfAeKHhG9aMcesK1x7iVkUpw8xmNGgKvNQrN07W8Q88r T0NQpnL9TWskKGKnupakR8kgfc4h1LSndt1flq8Mv3YjBxT0ZWbsAEsJGkExCxPSqE9AwYTYhRSu rdwei5F9ziHvi10eZsYKQ2W4uH1t5uM480CPAyb69NMdG3+d0i4HoCzyJZdtSfcSz6zS3ty1b9qN jzC8XO8xnqnhWYVtixG6Au4HV5VyR8Mjpg59z7Fn3UOoINMawr+kHUlxlQ4m38RteEvofvIDfUca vrQEmlaT78mewOAj+dH+47lvjgrCxcJOf9pzd7Phx5UIJhuqWU5qGL5eL+EEmIEYBDQ5tITED25c AdIeaXWDoLhafRUPQ+/q2oZSP7Cc5dv4AH7er1t9xzQhn5g7R2k3QqY7MPq1/Q2bOQB1dwtrHkzu nSrgn37f+bXysvwZuVmPrXCVgvyRaQ30bJNWD5kkiGxTtXySkAdI2X5qCp7nabcFNVtwKtWLAA9E 9X4SfAM9i7XaVKn2hD1bDzCcOwzOy9olm8YTQ4Opb6yMlLgSJ5uZDOqmvNHD+8YSrsJxteXn375Q WXUv/zXMVe7A3ZsqoFzDzHBUI4yDfztmiGSbUTdNrhoia/3xtRgcvA5UvokK5ZFlkQ5lCHlSfEcR PzRJ+VtR9UJyL3Rj0K/P9Rf/2ejc430P/Qf1CdBAy5/VLqYh7J4BIoXRfxmR/wBX9VEGxTVyJAjP aqVf5X+6vU/FafYbSvKS2/7UTnIk0ygUMGpFS3508qvGRTaTLP5C96bYIXWV/RB0hlo4KxBQCuQH lyO9rTrAvgwviWV33GpuFb0rEf4oxD+WaWXHh1+47+QjVJcv6UTsxsfa7XgqK5YIdE8Nbz7JKkGk URrRsVfxnjU7wmWncRxwcSlbYvKSdgA9LxcDEgLoKtdN9GQECvgcgciqOA7WFp288sSp7fs2caBJ x2mWcmgBaDM4ZZ/lkugyCLTxqZGQ0hY8xZcC4KA+6pBKHV+xd+PW71VXX9AVFEpTUfiC9ywBlk3O Atf6xqPZy3Q1YPCzlxEDOY1EU96OAp/C+yhsUWxNcWnlnSeI5pTfdosfIqiuNrSB1TpwJF5eAapO hsRBmvYqF460B5hRzDYfT6ucoDjO1CzSaiMCUu2ipgTPeTJyrBOCZfP0J9SOVoqs9vO47qtMBP8O +bbdFfEfMeQOEuG3/0s2D1gFdbqZEFii2dCBKOOUtxkeevo/bsImqf3Bu9OHcNsI+29ok/LDDKNa olrUIxlpsa5G6B0TOOWZYZla17dtHPbQjganDBZ6hvA9bJbE7DhYmzEQiOHQkoC76YxcZIDeQhjD n/jcD7w/QDgz34fsHg4gFHEfkueeswqwdecDSXRfYfvhPc9KmCPFWtEkFyegS6Ali1LH8qbzl5xu 5okz/YWY8R+Pa4wljt7GtK1FtbTuh1XwHpAi8GeNspI2fV2WLxLmc36NznYMCeOXQHtClnKG30UQ kRgD6rJfb3WnazMjLKRs7J2/HxJ6ojR8VHv4W6ZnnHb4Umol9n3fFMC7Mkz2rdM0w7hnF6B7UanH j/fO2zphC8A+dU787bgPoMs7ejYQu4EfCQK/cWV8F4wAwP1s8Fg7m9jeJc/d8ODl1NNXGz7BNTIH 4Ph0q3notGx2bqRBhlUmizdLM6JSBIleDDCbcrI17g5h5bUcWoF8f5o5K8yn0oqXuEUQhyUeBV1K Zs6eh6DTHhSMp9fpqLP+dGp/cALoYxvC3pJVEJk9C6EeE8XD9awVRd6eZYBaRD1gabmpOXXTcG2z oa486NueKfCj9S1037pdu4ANf4IPTC0hkJ7Nla6Hvi7Ysk+qATgnyX/AM7vSOkiMs/839YFpLtiS 12DMod8ta4HLZDNpB92ISps18bNVA/c8i+L3iParnKTBd3xHZ4dAsB+SID2T6UWxDrlQMPFFW1A3 YqRb2N82xB0Xcbov/8clUFvo1TOc37DzY3FhZc0MkgvMaSgy/+SI+fbVudlk2FQzhXmJOqc1u8R6 69jUzvMFZdBHJj1LoaXBX9nq3R4u+SafuMZHW/E/7LtDEEeldmfYALNzV7h9MidrnzblNdWFkEz1 B077qKYqTMFVw1a2opXOz96xkiUo1Ju6/yRr0jpQlGOyadFVp+XByzG7pbEk+Lz55l9s1zW+EFWz vI0eeXVga6Ni4y5Tys8y5GFcEjsyj+26w5EnytHREweTz+m6LLimeta64Xg0NrJ5cW/wbyC5mNTM vNUDRh1l5W7UnAezAmv92oGgNDfaJJGch5kKqF8869EPoV4by+QyodKswLYySkBIPWUa4z0qEK5x CZn7smosQ36MG95qXga0/82BdDOsxgZiQnDloqEjeTMmTySWqbO4UAn2OASn+5qFlPnmSl9STNd5 jfSBZLZMKbXxDYf+ymMdLUhXdAeR59DgHosPNSnnn9nvHulfP+HS/lPUOtoqye9HKZAaG9Kpx0Dk n+gLH7kB2vW+T5FCFStM0WFbBDDiKcXBzrJzhGELLOyAesOvxrKYGPEfsMsV5KspXFVS16Uieyes k5tQfrss4JDIsLOiERBYizw9skpqbe7ZJdhPYNT3XUqQt9yfk3/09LaE8LlVb24JsaqkpRqlX2U/ z+shjFRcz+8cPzZlt4hPBCu4naX4uOXpwFHDn0G+WWc3K46eF/tpYCFU4RaZCYThebPamGEy2myk qkyZpLYJuw4817AMxjserssgVvQjQqKhvEXZ6R2M7NtQpCJwCtiBldz/sT4VlYa5/5vUGK9AtnnA cSB/kFiDl3qHvhxVtQqR1D5Y0sYR3bnzkVLRy165BeC82KbwjXpk5qwk/4XkJ4FVL1+fS5g+dzG6 FHX786ZlFvBre5MI/zpllAG7Qy5naJ1jipi34jwxjD9f4woy4TJfkMLH4CI4maJmPy84d+wi6hRZ 1owPvGYgItRMTQkqc4eVkfCaRl1VY/J3S4XLg/DU8d9AIle53ZKfW2orwtFaabo+J6uZmmGEJLv5 6168FaHIrQNGzZlijmUlv2MWmFxdzs9BR3UqtTUR0S8a54U4g/ls6cNnHzmSe3BvZjkNb/8TdiD7 nSzJeFBa4mA3UdzkCQJunsnnxq35J0xJs2fML0edHdNrElvPTwTLfpm0ROf+p1oxH0EL8+87IVAs b8YJGgINIfTulTPR//vsQN3sirDgIp8Es2x8Irw+96z8DdO53UQW5E86aJZc/jCW4Qxe9hyxpZa/ w0vLY1Zh8zaLMpYFjyvl+OtR+A5MtMvvY+IuiU9Js3hl8if8wMvuxVeCOWfLI3cW4H77Y4apSNLx CGhwkDZyBkNvh1QUAdVu1XkZE+bfc7SeVnNtL9XgjtoiTUHz/zTpas7ZptZuVLz1MOpA4c5puSZL OaZ6aY0HZjvvyFS37zLvGFrcMR977CuMzw+6RjAowe3J6ziaA0K8eYGs42t+hjyE2X4cQuHIk1Ub nNFHYxSJbcq/IOlxxc3MmlqXFHqTVj+64XOznlJhYz0JtBe6KiV2WLwlaIbmCJ5N90apUknUNlMk OeSAt8x4cfC7zXyjRYdWoNygA1UN2q9k4vY5O624/QNA6GZINyPwAssNu3fL42lna+F7x9gwfHrH WDfPUuTSRr0zOXsHWeFeH8qi1ZxxCzbKXEZdaDfjrVDDwIFhrpPIjRPJxUpbb5R+L1plQn4K3xV0 mCzGOm9lFiUT59yatXBvKfYUHeODEaYOaKM4rrHFxSVQO5qpBVj3CpbhVsHQVKqS05MQNcGhkeGB baK0eIMlmKBDUlFZXynAsLLqwWgSSoaE9ocsZelg/L6nUpDd1ufkyHCYrkxmRjEJ9MI87Ck9pZ4C vZfVXZpMem86UwrTIUQiD14LvZK30WFWNQGLIDDTIkTd8IEsZ8OYTAnj+tTBbLNn3bX4rGIw9Gc3 o/DabcGtYPsSPRwr7Wf/+ynt78tA47c41A2bhZWiinosnTDtfh+ABTgsc/KdpIY1WtWXNIY23PTI rvm9DkEnaZoEO1rpoGHHTBAIGT2aJk/IpFu6vB841apLh6UbDAEmgI2lwVsGmJg8EJTQLhRdkYfk 0q0Bu5ngrgGh/l109r96K6WGMOVnDQx+H32K4BK1+2riYe46TQAIRWTfgG6kFvlRiG+HlHS2TatB nZgXNHQqmzvZR3WHoDSNB0DveIzLog7Gt02fXvSTL/Xeul71laeQm9fogOiXG5eBTeoGI0CwgJ/A GHp8I75t1OrRpW02c6ZzjQb3DOPWiJr8yIuGFxvp7EH5fNkAgqkDf7ujr2K5TJXeuK3pcmYcG+ll Cj4dXkB0Sd2UMo09/KROYw8+r5o7deuzQ9Zb8Edo5ijCLu5wB5B/dqw3+y1lj8GFF3uXxS2N02Ij 0Pr3lmjk5lijTBIrOhGfS7z5o+JwFv8FQYhBVi9t0JpRO/QBB1aW4fDl/HtHB4SCJHvpOrSLD3Up Xu2LKoclVxRIYBjplI7MRWbMsIw6V8xdjpzZ6dnHXuv99o3NEw0yYHBxqTV1w4FU0qE2/Vd1+toO 9xXlv4ZYxrQAGvTLEVoQ8mP6w1ahjnrFMstARPCBHoq+RLH35Jz9QqT+T7Gfn7TA4S4NS6t3dG0D PHkGODdUezuFcT0uIWQlNdSbptvJ9GiF7zWwXVKe/Bnts3NudHut5NDYIOk9er9CAlFyhGqau5kV VJA7Mxhmf6aKQtkPygAlCvA/y9DwGIEwQKTI++JinVYSKogaA6xRl7hNC3qw80Eh0mP4r/2oRlvs q2uzq5eZDGay7301rMXffWoiHxNU0dm+lUJlU2AE4MNtUJTbD0EeyVvQUtdRTTrXvLWbCkm7tnPS EKlJq/YrxH1E6VbR4csJyUjB9GqfKLN4NoobKG63HHu90klHVCkSYlI4/aPJf25Z8xWqpzissXAA Z/SHfe/jwIVdt9q+imuVwkiuNjviuPsjDjoEV3lboEfAKqVSmR5EXYuksRw4BBHXyjSgHwcWka3J bJxi8798pRzDLWjf7MAhAbltHS/D08+BgLZEm4QRlgqCFjPAW4eE8fjs6yPQ3vG8lBV0QJSv8SEy 4Y5wTAIspLwz5XFl9cLqzKLxThQ2NCvs8KAvBdTQf3Z+28dZklSDHKnMiJ6w4u/9aNwe36KdVaPo E4VtStUfjehrBZdez/zTX7EMRS3oLOmW0iOoouw/l8UVtZp7UnDnNfjmUL/mhSQuFnqDfnfc10sZ Dq5GKQIi/llnwFoB1RsKSJiNFwptqT/U2+6wD/FPMhR1tPqKmZi162fdKd6kjzQdeLD1ObUPWo4z Z4rENh2vSxechvcVfsNFeoIlQtlWT4lIfWJEsMM3FwMZMC09VJCqg1wWzM1N3QG08CyCtwY1JeH9 FnrAQ/BCD+U9Ym4Flw15pUjcghFE6orEVP3/0MiuEHoSG+k0ilkXRxLygNS6YLQO6somcMkB6yuI gnSm69FFRhr4OsHU/B3y52XlS20/MjRL4KrOouDkjM8QyJuxUgPOUnyfxVXITDXw5nZDtYENE4je x2RKHl+wbKEW1EVd1kytywH2an+1yRPZgIufNhlgEhkbkM8wARb8DllbfC8JMuSYlICcFNosF+8D XrsChKA3BU+9GUnpyjQmQguhhLnnJBdX15IKKS1Mta/lGUn7lIXGIZ4GmUzMbHv8B2sIahjDaIf+ MuURwK7a+mXmjAT0VgxA9NsTIOSZWA4QOUeUT/7L1kNJH9p2m7jqZ3F4ENiyyMp3DQT0QElwZ2Wo 0UA0HU2rEQzWP/Rv2BywUDMipYjHxhQUP1R5elaQZhOfdjkZuJbZBNBZjmEN3Zjpt6zTI0Y2LngH f3Sl7V1XvcVD+vu2s8hz9dpt8uZnljgABfqK6xBesyjfXac6JyUE3nQKB6e0ORxYcQdx3oFum2lt Tdr28mZ/8d5gA7GGqz69HZNiJ/1RFRK464jJINdNw51q2Q5MdCtZBHC0K+LthUydL8h0r9wQDJGy lrBfY9m5q76xod8B1v9pBe3O/gTLb+TgE5H1n98y82p7FSdb9jIcBMDRrEIBgqMEG+HUXEyucU0P ElPxp2S9szan/ADNPdeQ9HAz3pCLPKPFcBCY+2cZkZPK8dXy3zhNpdXu2P0vh+Y7PrtNfIHPh6dG HHqmYQJUeCgq9R79HCNvfHyugjcjz8nzcHf+FsXljZk2sJga5DJPy4Oiw+u5Jw1PocSlcuSytViG ZoCRvFDaMMYnNUcCWHaXTMQI0FB1e+g+/bIklaqMast5MBok9zvc/TzVBOEehvIkgzl9JKzKOfnL UDUFj9IEkUn9H1wvtXLIMaArMuNj+fwfX1ELXBEQO15BitYGTzjyFA0NGEte0++KWjHk9wEBNUoL pCZ5dpog+htMMLGuvlm5rnNy6hP+tmrI20K1C3u5pbtploKLmtUvXgoTy3UWzQWKSKpzhzyYPRTN FwPnaXxRmzxDwJK5drCGgEYigaCAKvHM4XcpqJ7ip4598OcatrO5DE+0r+esxed/EIJ6o9aUFcsi 8VWJ5v2WTs1KVhi7Ge04AxrrV7rzao+vIuo/cjHUMRXpDCSeYdEiCYo878skyMHn10QmFJp1sdKA QLnAudJurgoKavtg/je1zcpX6THvpF3xyHS3FgAZc/uDb+v/T+ME5gDiSEOZ/m3d37Tm024zt3RT X1V92oNleb2b6dTsPlGbqf6xsRwHLTVr7eOYegl2zM+zp4AjlgS5NRBp/eckIZDyPDO8HqFk/yb2 SAPzqJuJgiAkRnxXj+XWRGGaHL0Xo3CN7gvwLF4wY0Eb0NtTSArJ6FRvE+/ETj7E0pS3AyR342DP xwdDLoL1n9IZSYaFCl4cMMPCXoLpp/N2T62b3zyQq7waoDAnPPGspgFHQiwGrWPmHNflp7hBEwNt m4RIVvzrgbnNM9q/LHcs14zdcWuPGCf9poVANGd3kH8xIns/flMRyjKLHP+IotYv5tjtECqGWrit 8+JRNgxcvnW/Eemcxv5rh9kPOLFURxdQsT9FiVwE4iWAdQNOSXV6rl1CCRfsmyOq0ZOeR3Rq63Op 50GuYAQPNnaJq319gynGP6LzoxIbDn3n/+gXZpMDvsgzQJcrYT7fCTT8B7/SwyK8pBf4hom4XtC+ fu+hPt3pvfeWys+kQASUy/WgzMqfk1hxm71nxNGN9h+aOrirX3Mn7ELwecO4O4vEALZ3qd/xyCLr 8UxgpvEL92Ot4tXwblfphtRMjzYteELF6mW1RxX1Ssy7bhphaJAMJ+QOHD4q0Ey57SAjrtvakfv8 jglh7Z8QBTNpN2BP5TFBtaF2DYSIsRd5g0hJFT0eYIzeBIWi37jB+AeuPGCPyyzxbDgEYColg8ya wf3TL62jWpXvTv8HoSzYvR0rVYLpbWTlp2rgxO1N6Jv0L821p7bmUqgRHPLi2SD8DSyMmxcjLxHa bOBf9LjpMYTb5Y1v8IyTck4GyB0i49scgSVWE4cuZ8Dw8DIs5zB0Hawtx+/cpqla+6HeEM0xnhWZ dkIWXwz1TZvxdvBN/oD9gyV/fchY+qdBavfAn8YEHYiO0rP2wrXH3hVy2R+ZSAikjJ9lJRLmInl3 az+gAi6elaCxjqKyKuVwxZpwliRyWmHJKG0POM2AU4XjFiPEHK4BB//ZALXxz7DeyIY7XiDKpEgT YkzD116IwIw+veoYhbaZ0+IhEWxqLYkcw9Kn1cWGIcLgacB08+uLJGSdbSd1dJ/+POqbQUtRFbU8 x22T37F+os7BPqtCt9t8qjCnWnDnwgxqwj7NBVdouKuqmSjIhCnBeVwXAMmbF4qrTgLqr1EVyYgd NAkuUDILGTLLU0hDfXdKra3eVZcC4JQ185DP8O87KiyNdDL4PHMeZwLBfQrRANiziRU9M5veSZAE Full34l2eoqKUXjOIHHev4SpXOeCSeoxDHeDY/Hd/8p4rvS6tWuQsJIz7daGlTSvLf+GiRJJPrUk 3ad9Bj7QorM/pqioi2zygpF2/z+JTmRsCMcSkP0rTDPjBcTVaOWyAdFaLplM7RHudEITnaCZtRYr BKDxp8QbF4/3vyrZ0DwJE6tqKGxKGMtBnD9hlIq9h97Xi8J63q2a20k2+cafpFpMKzkdYLJo1rwi w47HXTacYsCOjaX+Nhf8s7/yasLCfMvZWVZiGy6EnGwjCIIx6i87VnZnr/kecbDq/m9c33WVbLHA xXhmVdqC6JemcRCmD4ad5inGH7qzwIKEXS5wQhC8iOHq56Ut+ChWv3IIrK7KEogzFb4gSdhDMKU3 5n1xR+MYJoFVtJFFPxjeLsAgiz1AKC3kDACJMuouEPp6O2u/+TNdMrLfwfN6bNRGIeLSkWAb3ezn eub1mj+FAn9nH6bKImnlor3IAkVKJPt2Xkvmp/Tu28sin3Ep4ZHEMVXzBoElxCsMKgh+fhBfi9PW 37WbTbEZqigSsLJyXEoJQP13EmhpdPKEguow2FmcDdceMXlPVqlCgu19c8xtQjbNWOoNXb0XZ35x nM2dzRlNLYsE6N5AOy0nnLJuEl/AYDlsuZqqOw7WPdFsReTPM53XYE/CdD6ZE59/9kxBt2Ew8foq CsHwCjfP+G0Db3nQtzVusaaSQG/HArsvIQ71chDgWYozQ2cftHDLpEgRZtaX3jXGZFmV4399GIXj FcVRIV1Pt6CClyT/yQL8O2CI+dFzMJrQSnEd+fp7OtYfx4p6pxhJjxeX3qdQ/CKmjBGqcunb25gF KmBbu+l6rxu67wtdNbqUeXqnfx2kMSrJAEx9eAvtRNy83M2vVhVRH6ZzgklZBhNuQOesqcNn4EEM sxGD5hJ2oj/eqUuZZpyLddU7RTvLXTgKI10Hl5xjekTdC/EXH0f1BF7CJbXZqW7VxPAL4HNbbvUa XV4uUIKf3f2MQOk8rx3bX5kkwUFsTjVTC74kpLjKJ3IBUo7/52P2azaZFfBXYS7ecik9W1i/mBS1 TSgbhKT5Brat00jYpCnewc98KvbtsOPCwmJ4OzqfsiYH9fecBfsxQy9wuXSRDpzVPddrauxTN0Xb voG9mimoEapuOc+8GlvNxScF0Ckvl760NCh/nWHoxdV5rPNNL4++NpJPQXctqiKF/DhbWZH0hg5G ZXOyYNTXE22M4jUmpAUxzbSiK2P3hIEQgJS+9+Xbpzi56V/tBChdy4t4cwimzoFsb3pWy+eC38Ht bKizhDV1pJPD+wxWCgTDjtxRVRbHWmIPTWH/wxEvTfrPhZE1UY59YNy9yEpMQmH83/VP7NGgha2w kkMI9cKK/Q6R2gA8CbXs8PCZfbZd54fSY4tEpwYwuY+Yif/4X8HAGlSo8VGP8ebnUSDrHgOJTyC0 KJWPAxAr9C0RSZhexg5f3CmXsFMQiPUhQWCbA2CBMeHvX9Aqx+JvolWI0ic5DyNs/P8Y2/5WRVHi hsWAudZXfB+tshrByKzoM65GK7+B9KW8QHoBhj8GE6RLLibJ2K7ZrrFJS2n595E4XWS+Si029pqG bsyeMoA5LZrG+8NdvWqalFhjGYti2CLSBC74am86g8xivsW0m3lZL9G62La8BDqTL/94l3uXAZV6 TUJUQDuj0Go/1FfauERAqy/WLyn8EcZ7Q498gFChxZJl20sYkltksiq1/SS/TV4QZ/WoT/zcifkX H+E57KlYWOH8UPha79BFs/BsznbldZU9mV72hyB0XwMe2KxbVeQb2L8lC42/DlUzCeiXwT73G4HY jwtuDX0mHB97V5ZnbBIAMDJ/gjuZA8A214Osp1tv4ttWwrX1hgciJbu3BddldFUzUIRxv4gp3u5B n7FGZUerLNGCe8Rfh9AC3TAyvqxZZt0R9b69yh4m1FU2RhOW+lUYvRSQDrNaAIS0I2cwAIEYLaPJ iH5INCQU5T0r/z0XdTFWsfhRgmGRVpOFcVC55qg0CaRKXW6ZOrs5iDk/bry9QP/cKm+SofS8aZMH SnebTXDUGDM6fhaPCp8uo4HmbdZ+bH/QEe/3BtP5UmwsUXi6Cm4dpM8iXfddFkJUuavcebHOr/8g ohOwGa25HJSyXAnJBsVgs4H2o/ZyzaM4tKZ8T3P4Avz6VqGrPtlOoaiQDmx4EpY3gOhHBeHlFaPc YRrhd1X+yxlAUEayVU9EhdnUCPfBJrFkPFaDBmWClkgSWbWF4s566MP0gsPLH+vjOOFq5QU6YKwS VbJwXhEi+oK1ztHyP25/3v1/Su0I3XWrcpSwrH5wvyAvjEPAjbNqGgSbAsM9BO75NrLtsSdln+Sr fCrLItg0Mk1uPlJ1IyLgzamQFNlyaVKRSpqcphxyucZhfkJfqxrMWRDP3CKlBaEG0N8T0DNBO9/B RWN/qvg0lH7B+qJvC9bIhUD/kkZLiC9vdzJkQXntdpd9JQX7GCdr6RP1IhHzEaGIw8PyQ3Eb4SXv ZCmmspsQaBu21r3+ePLIUUm8akQiGOVQfw+kZ5ymDNFxcvy6p8GVheGeChH+4FIRu1QUJ8IWMU4J Nh0FtRgKt6sLOzKC/If77Brd2Jfd/k/omWbREWipU4KBUDz9M+NiTgWeg4lfFwt3JkgseE0cJcad +2WhM8OVfCMl/C0SvkiGVajfYZHD0SI9ye5+DNFe6fTjCQOnMxezCaArt+p2mBsPGAFvgVgDBKoj 4ug9qwOsVNTK/TjxE3WttdjR27CoOfQuaBumBlS7Xb7LYuiPHQP0GaLo+lT0aXXlFwxvZb+ZWFve ZW5FOx6WhcGkhYiZaYl5uH7sJzadLcmMpzzMWZS8pJ75qMr2/keCuZEamMzrc1YKSTDQqBYJlZYO 9SBczOm1ETuwJUYQBUcVRg2OpncSJIIUpngV4eDXXQjHuWKtoyWWYtdtotzlLGBeTZ/DuGZvByyw 00H3gCaum2HegD7nT8lVg/h35tO8fBr6pdbdieuU4Fp52rgX9PVq9Hovi8yx9PyVgyLGTBnRhp8c Oi8KDvZOnKfdN88dYO+2pn/cGbdpULI5RqPoQ8y8ovbTtrZ+oryASsIgpB+i/rY94tDObU4Yyev9 ZoF6STsh1I/z5ncPJtRs4Fm96//XsK+ItmGDmoQoyGVp7HRUSLW88f8TqDeGfJplYmRWBEfWyZ4g EiMxp8tb9ecYKH1biFHmETImHGTSs9KYPZk6ezgt39PvSnkXU2y2f2amt+dLKNTgG0hcRSAgcrcK JNURNBKByEZkUrpml9vDN1lA3u3RNR8OPWnzeOG5bBVB53XacTre3/TQ0iC/A9U4zJSGrep0v7EC 1f0Nn4YNdMGgBGU8g+CTsbl+X+SlP6FkC8pcDDxTddLCY9oGjnUyw6qigkvhTJcDxELFOTox9Xp5 fh0nSSs25bzghgNgdOtAHgyTvYBg2nXsg52GLH08SflloM+t6qO9TRuSzuUJyXvYjVWpyilE8cg6 AqKlynPW7e55EbxIqmYAaO607C77WQEf86TN01TcVO0KN1cJ0fJoECFgtlfGEtIs4DuvoneZgHNB 1VqV93E9vBV1aBONfwj1CDcdjV1SFYKRt7eExFcZnRM4AHKin9T9VKUQRUh2mq5pQdcjSD37ZA7q eRML5dI263LmkLgGkEpNpAcQUUbVpUTwRJUxWRkjNHLwSm6jeWOnNzz4/jD5BF/tHtwgYKmIgaAb PqVPjp3wydPDijgA7DsM9ltP6s4+Z8jUiwdIzLT0RkuGDfQP/NChP91V7CqLtDmvSQZywRW42400 nfnUqkOByVzWSf90NBVs/qHrQTt7tT0Pwu6Fmq1/a9FG+DaGU+Y63VIjWh2b4R9KRKycM7yU+OVZ QHe9Vcuehvj1iZiGlMuux1HjaMSTs+1GmUljIQmLZ2T3FDqJddH7RxMO+kyBghkd0Kdf99uiQv4g Z9fOUMSMRNeZUEPfskxx7eMd8GstzKP9VuHVjWt60l20hRtlMo0cK8jacp24x7Z+VsaRaDLAhDB2 SG0N3yNcD+13oTHjhsTtROfmgma7SWUb7JVcIT0smw+yEZepngl/QW+VnhdRuu+U/30Z0pkZOf4m p8t4R4eSR7TnIE0ct9HoYwMURTuxt522SQDgg1YgNobDxaC+IRy2R914mfrsHp38DqeAB9f3VHvm qelBxw/8Xkq+C66oFHGYcs0dZjM/T5UroakcfRNfu58dJzDFXKt+doKeG8jFDgrRuUmBDKq1+Klg gdFlsCT0nV1oqpUzun0aji/ftAYJwCfNTnUHzEXb86niIhMMb0Hm7sL51u+U1XrpqoE0tB+bFkEX WYG7rFrHeR6tMJpS93CQrtbnH0+0E06jDjwueaQHp4xS1hI191XGsaT6h0xRwLK0vYViDkPMiD+E vA5HB9/Pb2UNx0NFW/K3aP7Ykzn+nG54Hf04y81CXG4NxzI+ZWSWlFNSXXvBABnylucKfIpjENFr gL1shZ5xKri7M1QqIFprwOIh1zYF2JpDsph6ax+lLYf0C09+a2SeZZyEk20e9N2fsH7pupHjxAPZ CN/5eFIjaZlFhCTAYorMPexsBfCiHKU8owxXx1TxL5oFBdeDJGil3wbKOuV0G3Jsu1O8MjtV54oS zo7yW1ieJuvqKn5T58ojI2H03JfHekn+HgDuQBYujrHHC9RoY49Y0b8Uch1NpKzT9PH20Ic3OBau N4RSFXP1a49g3fP4LzitYYWIil+UTbc662VW579cWLsZUPNHFzC+zTetMEkktAPGJQ/ofLRe8V4p +CyRWTKACOd+OJ6X5ybARxsIuFTTker8CTwdOMFEvm4vTx9Q3wGNZA3jfTui9Ov1RVjRscg5X5aC r7OaLCQK+miKAhicjjr4J+h0fUxJfbzHXrwvDds7TPtFCN+g5OUQlskrzMSk6RcWdL7KojkuH11r XWeNl8Xd/cCF+1g03bnAnkzQdk2KbXCfZOThDdQKl4jlyyHiM4Kl9WptIzEhXdPFax6+0Ag0h4yC Cs7+oV237oIjW4aXd0wSKdqwXqx1KUfhMXuJySJhvOmaBB3Qy3xEskUzCSJvBra7czg9MBSGLFWb DPuGlLZs9IeegCrGliIvRrp1gr+2zsv+E0CgMpToUcjS1H9KoL31ZNjUyFbAyG0IbiVQ6WulKPdC xmDgo8brsDP1rd+gdYyj3/mW9v9qQ1qt/UvIP3BeStU8/fM5iSYj0/K+CS3jJTrXr8JKLHqq/I9F j+vvRaKM9JLwXp4OxTCb/ZmicvKClHPnzeZRLGRqYrb73xpKSz7afLGWpKjp0+WU8h3yfssLYNXI jS6gwhwDdJZefvzhKVuOlbTwwwC5fGMywuFdrtjExSzv01Q727vjjdtPp7U0O/r6KD6tcpSxv8I0 UXVQZLalZLZpT+lCaRmBbYUPBw0XG2Y1MIKjyUbaBqc/O4GcF1oNtDKfbRhDQeSJz6rkXYM6rgCW /ftCSmAA7L9vloPBPwTPpu9XAzURiQ4w1SDa/49rBH6W4n0Yz15qu/2p7KM+tZbEA86pvTUohEBk krXlF11cpAWm/0u52ZOgQXEgAP+BZdzm69sx58nnlmfcUkxjralGO3JgCF78SNN6uLMPfigpVwN1 df8Ir4DWRuhd2l8yqZ1XpJcyLg0UH32x0HvH1pVoI2Go3CJNBeeVu8yMJQUD5fCVczzqQllu6X6n vhsBGAMWz8wBQPtdzuXGBikCIm9JQlThxt54qBz3XSNM/x6F4uM+0AS//jZMx+MEzctNbIbYukUg LxqCwq99SAhZa/nGj/6Y81kXh4ywH26nKptNKc74g1c40hf0d6Dy2oP3aBp1QRk7aVGOgWqsaUkr /Cs2hmoAqm2EXn56wrXiHfNLNjbrs30wYiFnUd6avCaAu4Y0wGOmeJ/EUYm97FsFLI3hEc1YGRUy XYibDbgIonxfodU+DwsnXLZwC/7lJP5PtrMH+ZTUXX4vOSqCwvEXWLqX4zqEVYGzChhQB+PqbjJp Vq2FdNXCR1Q/IIakWaenrJFtJ8n7wBPAad8zXMvSpTJrABEk0eViVgP9ZGtaycsmCNNtBo8yJxK0 txmqLm4BvyQEE49OsuWdezMpFSSEkaAq1ea5nbbYySXbKfLqCuh/R30dX1jrwOwwdJRWtxclmq4C w5cX2Sz+pk6mX0tzpU0bNwkeY9REdoPYh/GvgJXxFjY5B2b6xPJ4RG2MQRLQEcG04DgDnx0V3Hrc CxI4N81sGZXhjWjmaYqmXV5q+Vvt1aNOIZVZSqnp9zDmNtpetO/AoR87pZgQOIAFuG53FDI8LPfc s89YkTX4tx03Rs7gBxWYUUoXjxiK46qc9cJR7m1PN5UlD+GQvQ20feeYwLPK3aHCbA46Vt6BhO9c Ie11uf5z37rD7q+PtG4cdiGZMIGETEpVjcLO022S09VYMoHP64bb59NdnYtb0sDwofOPstK2WC4b rD3RtJQjZZyS2kePCmmuqE++o+wNHWcTdWIcZbCpD1GEEOtVaUVkpcJnRt+BmHNhu7iY8b5f+CNR XxfnliYPDm7tO+qJ3kWH5nzb9lbu8FkXhtlbjh8elfqYbipHMwxe4W9sSYzh8m5Q7ckzcN7fGCn+ Vq8I3sOSo0iBgkd3gmKdExkNNY0VzefqOAzbEqIxWXO+TpVR1czWD+oaZd1sPolNPeMKZ1FKamv+ DXR/lZQByUn9QAP3czEQ405v/niiwXqsupnRYmTyGdxKysEfMN+1CuwjCDFBSyY/eR/pHhpIjAeu og2SU4lvcQL89vCppR6fEhG8liarOTHx6AtXz+u6RpVjL3JeV/+YRvNAKqLLIVaZs19lgpir5ccZ iU0r5dWHXDfQ8tZj2hfmqtrQTD5UQmglAzUZwEgoRbK3U5bU+1YlPjp/n4i8OwIaDZTBKAgiAa7t u2p8JWE0mb9CfuLOd6pu1KK4I4LQ3hMr4W1lolakpzX1oun//+KFrLDv695S4npBeFDqGlacEE4l W61CseyY19/3u5+H7jazWhMMlDo2xgqc/COHcLOZpnlzMvyK/kkeXPuKidpaPk7ClBeZdYM8BCnF 847mtS6FtajuC1ELPdnB4rnmgl8gSEwY43irCnDJyAkKIq48Kd/CEYqg0y7wwdxuHg7KHxBaDiHn 5WOG/LXy76QfOhrP7IFqChItTPLwGEnXZgKxUD3OWuAEYIE0A0GTPcoToL6BYwmPfcSx1Ba78QIR btvJlV915i79HDjvw+041KUCbdg5DvFgkLJVbsEVXhIIqOv+6nDTiROoKLG8hWNZ4UzB/M0a8v3+ qK+zLZn4RNbF3/6x8M9E3nV0zr5Iahi62SeIiKWw+kIJ1Q6PV8AqHajGZd/ZRo8YfCVpHxRw9nhY CZX767Zs3hcCY3tDXQO8EJJ4JA1WtSC1QDuFqddMz2ZsKQhzrILsY27eIDxKmUp6g0AJ6YOBesYp n+p2nQ+XekDCfiI3IqKX/z273ulEYAJhZ+aanu9La30ww5+UY55NWGgaaQ5ENdYvu1DmiFdxLfJj OUxvPDE4Dxvpus6wYUfqyyReggKcGpPdBghIAnaIr8EC+VSYxasM8f7madUNzTkjetP7RBbPcex7 zFHiOdizfdJ2Ttb6Cg+SzixVciXlfupVfBDQNAlEA7kgg9rkWcCsBdF7K4zl5n6CDDbFHTdGohNT tGbaOueWhywKWOmpOQVyUhag1otV/GBRO/kQ5w7RIg5YRGyLS0eOtLCfzLBEafSg2l1NncfzinKS oFk4IlvGw6RpuQ/vCzhDwuy9rrAutAfulpziEp4TTMbGbyw7pVuWEeAOwBlso4RLsQuunEB/LwM7 V5WGeoulUIOFFLHQb44o24mfRimnN7fgqfHrsesDlkK9UP73D8vcSlZPOc0NaX+wZZFhSDfSeC/d AW7bO/OTVA4DaVuhpkuahfoN1oe/8nbvFObgwT4U/iNsbfCdt3xdTxZ2bj/o2jhCqesSPhGsPe2v eNTaAPAgxoC2vCN8n8T56HMP7LiY3HDqTP2GGTOw3qIXbMcpCJfbGzu6i0J0Qb0IQszPA+QEWfdv olvdmePhdQq+pUtwWBqXYsKcefDnm6nW9ME8eeEjY1CZ3GFDG+J9AZ7oarjEx5XmwJcho9+c9ygq htiomUY5StbuCCsoJIzu6TgJsUgIcciwrAWbYjDixcgWjxIfBEP8ZSV9o2QO9pmkAW2AO4MHwDqw FVcVMOQpyHisD4OfkSACwO2ExupwuqPmVvgbKsubM5gXQeKIPb1jRgyGRiNTnl8rbEWZx60WXA8U AoUkyMW4twxdWsPirS+G75dXOTJLTPgbq2UNnf3xhCu4ReWSOFmlbZGk7Z9fNItleXoQlauQ3ioO frZPFxvveKIs5gVW+aKkQNHPhwttos+0/SLG0hLurRjuWd/SO9Z8vwO5QNrz+lDxPEvVLd+qrWvP 4D1wmSrXo0u0YCve3LE0qv26JA4PeNEh7M7XFofF694h7KAKBOEB+GAefG/efMrRfe3uipuyuq0p Typ19o5+AfWIMcocKM9sDCxwQk132NkXlGhV2dkcW3tSgDkPGZagNkamdi039JdcO4m6xnFrBA+m QdInVah+uIAtiIQwzvyznDLdV4mnI08yGyF8kPtiXAYpky2pS9ptm/fgTX5qX8Dgjfz8e+S0Z0VU 8tIOYq1Gk6BgKynp2+pjAk4kC7qBjPZiA4/EF5FRAStLAcKe9jxQ+UMRobHrR1MoT8R/YDQLK/Dg gOcvLddhmmjSU5Jzff+TbS4QdpLP3Ws3fmYqSm7on3GbUq71u5r7VNicW2AakOxR9PahkoJEomH6 9vYfCeVvPkVwM3prVEMyyb+o1E/HLSQWSFOeIvrfilTwMNODdveVo2h3Krb63wc+44q8rAWjyFgJ Hb1f4DOAcuhPUq6jb4lMDFw9OUigmNNTAtG9JiTfgE8jadtNdBnY7u57voxJMRkMTqRYRTQ7KXt/ eqsHrkDKOuqvejrheYFdAOsrLHTQ/JkKvj0wm/1ACqZrQTviwV+Kpt5py+kVLDt8/5Hy/nuIs2h5 /1wMMKd9BXwoXsB/hYnBDADQ/zTiTHok8T+0HACKkekJnBZx528ZjvLgUs7TGIBsle9JJJkeejdb bh5VnrkdR6WgjaCYBdB89qHMtgwqDnqFnC400t/NBb62AUqzxduYNzPbqL5CBXW92f3C5g0I8Rfd Dl5LdUgneTF+6+4TQ2T8Cah8J15iUQHX8he0BsqUhkpLfTz6OAxjl546C54vgvEleWLeJ57+bbp3 nUzfmEGQH6aiYkwDO9wUoJCDU/wfXNarArNAMsokKx8NtDr8cTM9P2crNmv+fatCOA2WnJV1DEgX uIUtXNPWj5F99GQAB4tFwG15odq9fbS202D/KNNG0HlccblKV8mcbXTV2WAgSXECAqSZFgePUdTi f2wFgfXI/H9gtoboSPP2drVEAgy58XHbt4gpmw4PlDMzlJ2hGpQPQ+OHV05nSA2/hV4I2DwwVqb+ qjC0xvudKU7qyH9+RkLyMszvy3Qzo6OrCClI8ANcYeVh6raI2zs3S/U4FqV1jx8oeIajWfwoX+U7 GlqV9rCD38pVXcIYzI1pr/eoSppfHtXMVHMrerrvBnrpm8pWD6flEWYioIhvRb9FoMKQ4gpKq4VT r9Bit9DsdWMyf+N8jhmvZHVPglPumuYWroLAQIri1DFXE5L7UJP3Jgxh2UBi+NJnT4EpVHXcpXNE JTNvZxsTe73gXDoBaRM1bD+IE5GM22WL2eOF2ZTosCCwzopqf3WBBMZAtp9HQfgXueDQ42IQZrLs r/JmvS4xA2HPTHQwtzodqXhI4B+YmsatWnZ7yt4xtplNNnJTPp1PdDE8hPFKOEYOyiYPekhnNUkB 0wZ83qQ69rBEgcqsxqChfTp55tIKk/Pp+u70bl+u1ZX/ETmDkaaJ81mwIkbMWby0CKTVGw5j1LLA PelinacnsbRkG9CoAlPwTf95PMV4DgHDipe/UDRT8gt1W8g/EpNiRqwGcZQ7dvi7y9RkqS8SS/33 xqiNBhOePZbWDnUToWAeuRuHMv66kHHJfwrTSLg3Fv4Ejg4jr7pyFfcDjh/R3CakPv1BUqZyMlz2 6s5P+jbJCMXU+bYVhVoUrdrSPY4EuHyzBTnPNxSMlPAkIEvXDTl2p0t+hHiISe1PaWTbgU84INB6 l2bvmN3DjwNYW6Gk6j/x1U/IkKv+ZmPv9mWD1BTxiYFutSUMt0ChVjfyX/LreIka9Coyg2S4W6B5 EBp5CLMfWcPHZIqsFjBEZgRO6wrbUCFP7s6GfEIfwRdsVcdm1YK6SxZrJLQzo92Wi1W2Cw3+3BgW TloT3WF8GAJ1Vq/qF107eLRjj2/Ht/HC/qjxCnx2dV/qT/ik25Kvu3uM3UVMWunr8iqiIXpa15ni q05+UsuAlaFc3NPN1+8unR8KOHXhhMVm4y3oUKXRb65gYT+nhya1vu1hQ1idMuFaeJTW6EL+2LHy OdgnBjoE/WHsNPr9sYCRJLMsilVJ8ATC4VxorpPtHte8hhMszgGzZMyV2wz8Jt5r1NAz+k1w7EKy 6dYzGu3NVj34T6MdSNYQZvn2AJh6tUBI0o2nzgGDzCf4ZCWC+extj5tcwqXUOFSL8z9rQfVuAeoP ZaV87xnZpYUHsU9vJQb3mkThIDHMy1rx7uazXKqOcycTakiKPHut0lClJv8tK0/o2LTrhk5+yLEn tpcoPx4uRQCmGAk67zhLWuX9Q66G2hK0Fa9Ooc+hqDn+1GBrZyLrhuX1Pm5xovFnhB3DwPnYt2oK +RXv/7YR4ziP3dxu91jKTsD9u7eGiQnaW34Q1uxyNgxIaG/l/t5kP1sekODQUcCKo8QX2GNxIz3U 1RzyoNizCV+Zvt6y5wlwXh0MpA6PMCZcWp5/pifHk5TU638Il3plfDzEUhun/SvoEZ5G/9pme7eZ RcWd4EMDHLmGCevXklIRVbpVI69zLlw+wllseCFUVqkg03BCm5cJ4LMTpC/XZzVlnSoQPDPXdVB5 /MCziGEY6egxPcMoKD3w4klcqoacMvHRuqPPh5k1cp18gih4JAlAjueIfxBHM0Q7sVVOjKJfPN+f f5e91zgy/8CQySTKWn8D+nTYQMHC0jGWBdD8xp4Bpe5dy/0zX9Az2jImzkditXuc6gf4JxLi9W+m uPQ8NjbqhxNzTsHF5oTX1oym4Mo1nMcH4eVHCHDv3unY/ZBXtWLBH8MpdNQhwswgHcEaSzgpFYKx Vh2TgAPJ03hbuGxoZa+dISrCIwvI79/vBgX54kS3SqtLwoEAyx0W4KVrPFkW7U6h1TFTrVdQTd+J Ls1OEtMQBY1YfVBkB4kj6R70isQxhko2tDr6fNeLtAVM6jd6xrzA6UXs1BgpCYmOBbuUSudJoIKU 48c87AtZQzK7fZr1aH5cprfHUkxfEzFEX1Vk2YcMQGEgE2BG0fhJAlaBTiLlby5gXH5+WBUHWFZU wdYfDI0aW6XKjvnd0F931G0cXwlMfmWNPj9Z+Qe17VX2PX7qNnaB762wXTWZhwArLEFzLbGmixbb 573eOqXJ+VTW0SOOQqGgshQVei6I2WHZQL4C1YIU/Q6/nvHNEhX4tep7svL42qe93Q8xDRFeArhb /L72cGfjxYNjOX85vCz+YkmM0UwEnJkwlCz6NGKSgLk2x0CecGjr4a58+R50sQhUbxbo5IzcC9k6 XY+xzqEasMrXwCn9kcT9Cz9iVmSwcv5gPWeCBcq/eVOmTNUzLVLGytQfGJV650Jr23vkap4eYmHL gcgPTN/HEhphyMT4qNx7EDiMU/9mFjx51K2QSfTlqk4L+eG35XroS1WpBsJJ+WNKh1xGIqgYZjD1 be8AQ/Xiev5IKUEykqgxAUxhSExS7q/2rSRRi/iphKH4mFX/zEzJTHxpZDkBYyd5xSAwt6n3srdt UOTbDD9jWf/cMGW4N/pg3o5u4Q+iERexfI8j9D3HfFHr/kDnIWlEHjjh6Ab+Gsqz1anNt2OJarJZ KY+vXd708Fj6+ky2Z5q9YJdamoqpjDjUfIzi/NuMmwyqcfHY3NuSst1Iw3ZdYpn8g6izeci53pOB TqIHolxyN73zZyQ3WQ33u+ThqkgGV2TpLmDQ2i28RfM8b3zE8h/q0ntxH2H2sBOpRX0uvFBAR+6R mP8UjFfSq9158ue+k3VNkDfyohZtE3S2U7/EduJiWyhuFM7rOEC/druGxxD8ow5uQK9ZDTssIVKu IHr5cw8OY7s+9IPhR4pvYzEqanuxDUPH1MjSnOkdjWu4A3QCfq9ZuKyEA2oqiQryoNuowEFPhsbn sLQFT4sBOKVGLth0rpfZ2CGKQ+JTcwsKbkJJshbUrJTOmx+1d328dSVSFJOoLWusE7cz0ceKodqq +iCDGGvoRuALwLjsJdRkLsdzgzZQymlMSbzKpxNwKlPWmLWUIWhdU2ek9QP5gSE0KlWPLGaPfiCN 4R4nF4r2MgNhxryI21tFA5A34CuxYbjNuFj6UMYHyuxXRUDmYls9omylD4Y2k/SOXnZJ5S98ZHUY O9o1CBw6xg73RSWR3CMltamZX0EF99WNtvF5qtJwtwEfMh277gHKjY3IZMG1BxG5lF8PgGCwIoTZ EURbiKrlIOM+R8ZTCnDp3DMO3tdM58XYi3QsJyNetoIFObiG6oWF78EKikVnxvrfjKTyQo9zjh7/ dmelqhUJwGVJK0fFO2x0z2f+4xvdes4Rl59t1y3LxRoF+5k3oz8XWjqR0PW/wBL9nfMXff9nYIhw tiJjtRVGVOki5tArIn+wfM3AjZFyrogwsE+UFIG8rw4BeIdoyXoIpUYJbJfKns1fXNOQdU2BpMM8 yIITtPeiAdp6gwLIFsO0nB9Rus9wAWQgwBNZWIrgJvv9wp+q8ESodKmwo9cZXGrAMXTCW4+NvGpG nw1hUmxNx2qnPURow7sjC8rEnPZizzykaUZKBk75AagAlUSgmiUMPgh8tjhMwlMYsNibQzStVrez VRCwfHAirR8OqCyRoSZFnzRi3tYlBtERHQBeimt/oULnDmeQpPGx6QuKBD1GWRUoCJAqAU/ij4Zk ecVOMzwHbe3xkBK7Zxy0WtwFADLdhSW6kmIFofzLiURRcwuqYk0VCoViAToyrzuRmBprYPfHMxrc 7T1sGdXKoag3jg/gocojJ3J8vjyL6zWB9nKhCLOoWe4COhQCZ9ZENO1Vh2xrOOJZUKvM98ibM/TD Lf73pyH0TlFEb26tlgYC1CurD1EQkZoI3fdrs4M8CIHkr5hb+mn4jHYE/ubreXfkpVyjGsu82ES/ nlJsSQGrsuMtJVniGGOJ62CfYtJPaR0BNKofNb8/Rletcm9ueBlNA4rTCMVxd5RtOi9PXc6nE0qU 3D0QPlnWQYKsZFSYXguxpGzNOAq+wCqgGjtCLhwQ7stIm7fIC3/m9PCWROkRmfZcEfoYIfwxAysJ W9E+5LRywkMnsklJ130kd35vD2Q7sVKQyd7cw7Dc38AYua5+C1EBkSKfs9pqnsRu+8zvr5Zr5JY1 i+aATM8TtveA2wIIeH7Z505bB0AU+BtbW/cNSAVTnqK+Gw7QoZRt66f4r3kpMg1ClRKOnq2ShDam T5+wPw7Nqnutuc83xZ4PRvrqt8oekggTABgx5z2lD8HFCHKpeDAQGnjZfJtnN1J1tqwk4fEY1w70 EyC3xNagZQ1ZvOYf6if/jWYL+Fuj27pyPt73DVMrxb1Bf4SuWMKz9oagNjdl+0Q9gKySORQF8PXq tBdIWMLACCZ12UhIX/whJjHZqvw6I3J6kfKKWpzMgpET0X5okOBSE7UCzM04xCS5yRVyhRSoJuIC UCscJ6rmcUQ/8/2f62wPU21MqUcBzKmwCp0X8cSFJX0ZIjAwwRPc5+3Hcug7R38bPXUG6rEc7x0U QZ291QrYfF/tCkDoeLlHNwa5ij/j/TTsL3QdCOmdUNL2GeU94l0DNgmB8kzrjH+gSpa4KLJxNgFQ KnAJviytXiw8iiiYTe/6xHG+C/AwFoyNEKd+TKaxsPiPTA0vNCVUoctrdJEBUIXQ0oSvlE5DBsLb MJWZKiFPqMN49a81DFLUUFRn6aHTRDjKRvxMTqXWRU/xzZoDg1lFk4N/eUuWdPU22nCfb2feGh7M waeERFkPcF+GW6xXi0lX7gRS6gyfTVT1hgDsxOUT7QpfC2y5ku1ZARZywsEgpvVXiW+MsMcPAPAz CIpMWlZCv8amQUfVsMRFCWr9Ry7pfCHEqygB1iH59d9MrIoLBP27XQwubtDEPacWZPLciuX7BGkB oCtOZfZMpZYVoQKV9yMQm+xtUS3v7bIQ4vED0/g0U5gyqEekY8x6cxfiMGQG1F2TPteATg4DCXsv ubuZ8uUkrpdWW5WHp0w2un78wmRtuzzIsA2rgBca2o7TZ8UjxnmwZCVcHryr6a+eTV+y5x8iRaUt LTiiv42VqmM/S2Cwavz5F097wrEkZgvYw6NGf3473WT/TdD/LXCJgULGGcHvZXufAbIkZedjpuhw 1dXb/GTJ1OiAzjb9XbBZrVM6098jHA8Mp4QA+8duqcCONDh7wq41oV+hloSKRHtq869ENi1T9+y6 x/LgKyPEAlsSN36tOI7hgFLBO3JJXP0TvRx7/HAS2w+s4GNu8/bNS6CR+Wse+TBXdYcqUFwYGIaX oFdu++1JaIPFLiqjE3EH2BVMy4xV3KTZUl7YAfeq1YKMkOvk6IbUK5eAu4WtDF8n0RyOsWqqQ+J9 I0bqV8YUTs93xVt9JyAsNtlMkl31zQWJ5266sNG+thMc0D+o9bCEKiVq6WUTj6mDB2f+/4TKO9rx OZzB3RNzx5NqMn10OBQyTv8qPatd3bGPKc8hlZMeUiKcqipxYDUJJR2bDuguoDf5ISRXrqGFbm+g vCmm3HNj1+4Si+f27qkaC2SHOjUcuu/zy3OrvSryyAIwYYWi9Ii6FXx3jncEMRDoKkX1VntbKUKH 8r2m4aRD8U4lYV47ZvSAhDzkTEHUInn+7pTmtHBj2JiMdWhhEINNT3dqNx6HE6A7y3Jf+Y/ZFIJD dHr6szfOdoOKPaafVkV8ciWHxR269aLBwdArWu7KyGxRi5IVE5bDM4ww4PkF54pVtxK+yOLe32Np kBo4wIxGpAIiuKtLRVYSuRALexp0CbfYCVa9h+20q/T/VfQaVRDLUF9vI+yhjFMJJNyp9e1EyLzs bbELIIlDk2eyi5x0bZ3Gbkr97YAweP8M7n9QsqW8XvvlvXCcDBwwO13pVTfo8nb4JSRhpvwKiFcE GtCKhfZDlU8KNJatzGV1Qk1LIXAXwwlg+cGubZ5WP8jeWRvk99FUIUBWc97CVEb1mO38ek2H2Yd+ 4n8zedDMrvsfhnQg2gW7SB85pzwVBB+6z/aexCOZ0Jo3XLxlHXn66wJAWTeV7inMDlovy9rg+zGd NwWYBLBosmMTzeEEaAvdjyKC00h18lAY4BGnTasUVegvBbzmIBaikSOeB65cZ8UKm5D04tSM8GFx BpJe8yANKgKwfi9HXSOigf/sIlMNVq4PGG+sFDuCe0hOXf371CNlQWbwHBOZQZ3ct+1QM6htYOyd F7YzbKXkVFXvrjEDvT6dGy41He5VSWmDoBAyJulcsi+JJQvKW9kuOVOmlOc35RcYGmJfUr3sPDtQ Mbv+C2TEBiKnB+chRuLVQEjjHiiXFHyMxM3f/nAqLqdFQNYoCXkv6RhdytA9xxnBq1TL7VT6Pva0 73suPSgh5d5RO7BDfEHUsyi9xkqMEGsrlM1NL9ikx/RkK1lsia6Hp15Mezaax6/cyOrxNiIV/E23 tbu/sP4nUAAqtNM8dXgQS5PCK6RrU9O3EtyWVoh/nZv2PT+/G5qY92DsUaOX7xPRG3k/vWwZRbPk wFF2PZOg1+7WU4xb3lf8qKw/78tFWc5hfx5KeEDUqDcTzhsy3U0YLsH9dugkIG53OBdUYYgReLFd +oT1HJ508ULamFQR+IXolE1GaO3ps54Pnn7fORA0RssA1S6c9XTO91EXNiIwdLmV4ciH8TSY9AKO 9FrzezwYexGc5JiBEavFwPXSqDvYzgqavmLECOb3Kk+93pJ4Hx7A1bvM9q9A3L47v95QfaVyNMQP nWxTeXnT9erIktDJeBLzZY7o2gdu84f44TgMrgrdgA4DPQr/tGjEJ3cH4Y7pH/m9HdIvtZMlNHra BBLlYUl4DiRBJTwFCoR3nps47kOK4tA1KHhy/uKK0jJbxmZAbT30AtGpYknMratr9tJ6n40S06P1 W+WqHGuEsLUusKGH7Nq4G9/g/jbVsf10WVApxSuUcizW/Rgef/VJzyH+A4KhW0zXMJPdt3UXSs+k FHxmlqso4LV+faj4fokhGfDiCkAoseqOhZX1rpIQL9P7IJv/a/wlfwtrSIpTSKxaHChU+xrrfnPA KEfWxuyT1pEo3NFVkr07lMKg3CKl2yMxMRtodyNuOzQfje/3qtIdDj47Y2PhiyjDLA7m9ievigrg wCGmn36q/aXyyUdMDvQbaZp6w7ROOvgIbkQjMwCnxEAb9Fwdgqs8gI/DQSmYQzF7ToJJGI3oxlDO MES7iVOGAYBRIsgwVLwB1iJTN3xUSecs29f4N3ZT/0Hd4UfROJHR9R8ayBAh/aOm0oANFJqdcsB7 m74GBpYWoHinxp4Fs6NjXix6oIkn91WvEsUslENAPPhRRIopg+k/gGbRUNtdQocHjiXVawBcI3pD XE0VLWW3s18d9QTy/k73b+u7AnYsYLAL04nBP8YcYsUCX/6hWAqecGVtsophCuMlqh2OWD0FSpEv DAUjjjH0u0nwEYoKSBG+0LMM2BqoUJ/k+BvWB7Yqe2zFj3EidgEqkile7kC8m6yzohMKDboKXi7j rs1X5oSmFKU6ZQLspbpBAWpW1N9ysEmu3nGJ0ScxNq9RrtWo1XWB4TYAdL4OMNJigkfJZU1uSBbS ecrrOfNfn7QsmUG+GLCLT709tmzxxuIgrTwvY4RcR+J+bOq5X2d62FbTyk5CXq1WPQqXnUC4Ayqt WWEioZzq2xrSPJmLqFPg2S6/TkK2WUy8k/Y33VcuBefMEmAE7gM1SLOIjVJpcfIUe/OohipqfSuH AS6ouNJUCKh7j6PsQbTUUyErOa9PrD20nDBvKiIU9B0VQM+dAfJNWRbmrWZhglKnQTmtyqJn0R2g Yf18a7mzGg56cDnIsfXAonLC+yG2cBoQYuiwxg/+haxVPkf/WWYhai61i8794FZsBo1ktYyGXy3c 6gtM2x7qVyPtFX7Pnho5qSYoeErpfsGBDpZx+N1W2NvgKFCMGld5E4UvXvNRqetDEKhK0kWyvbCc 9Ne7vi51EdzOlwBdHIDXrwbTan7oOAfyXKLqhlNi8bfvi0Nl0hA8eHy6Vf4MyTqFNNrwCXlqw7wd 0UIyvtpY/Dt/I2eDk4AMkS/x8mkvnl0H9mGdn7VPJzP8lP2m0U1oHrobu7uGrWy2wRF3P/WZjXCj bzzyjaQlLuq6K/tYhKlLrYaK2aIHe9vnn6NjiLOqzGTXfzB+kj1G79Eu2FZiKxbvfIoW2/Ui57Tt bOLvnewFflz3WNobDuiSKa831C+txu5bRXUInQpgxn9HnbPxAdH48H8KTeevu7thLpuyY8NFK3So /CL0g1pAp9E3QunNmgStqrM/0nw20eGeUTsPDLKpWYhCG6kuS3y74spA6j4zvAy3BiZnEw20Ob2o d8WwtoEh7Kjnor6vytwOXa5y8OSHv5YKE6rwhEoUVOGnokWd/59oyKxRmIxB0YVs+DXTb4n5piy9 KyC7QCC9HvK3PtHtU/gmDxKGXV/WVr66ZkO/aIg4oz/+sMNxsOtsT0MzTUx/nsqlR794WY3+DnDj Tp0vDlUmItJrD728TNYVUPFvdjPMj9p86jrFXHBT5Fnh08BzGkBwmViIf1DuwcVla7doCMvre/NC H5FBPDcQNmkoVivYEKcRYKbV9keOva09RR+6sgWpp7NkkBSIlF+rlY+NA8dgBO9NFBy0eViLIqUa FhSZVrYts/69AeDLm9FgOlh78BnrRiQ6hAQ9vWE85/I5l3MS28JjVdzK6FbUfggvdEJuBRi0iDk/ IDs400pJrgr0Egyxb5NssgkoSEh5cCI/JYGw1VJQfcIu2Sz7KK7yJzf012DAnqtqYcWR+2iChQX2 EcL/Do9y7eJfVTcPIhfNYtmJKqmdguuonOf/sX0sUluGxi7BW1cn8XdXLEHSblUc3x2wS/rirsOX Sw9bHfTGFFYQSXj/cjCL7tjwICwOo++SX4pfawpHNbRWTwjhcopIlCtrNYbbPXvcj8TRGXm4LCXm 84SR+CIzY8Z1HOG7Ffv5j2MueXrdPg0QNY8z37LQc4x0n1qV61TPPHz00wt7aFbJTIPZReNWeumF YKA1+HkHg0DVlGkU7WbLUzhXxMZyKvncBREjm53X4ryZNpglHGpMrdTyCVlDBN/AOwyVQySErb0s ra1m2aqjKt5PQla5h2GqczBxoSD0b3GeXLd1/0lDV/yB80j1SU65rMpQZr8H8SZyqZZ4oVHsSYrm eEbHD9y3w6Fv3qDw2lA88rQc+i53BJyOLz+I+LYHPwbbfDmrLiy6ReIxetYygJhuoFvISH4HcR5S jkiw9edh8nahHOMZJIX1KzemlQCFyF7QGOkjCEX5pA+JDVU9rzx8mlrhgbn3cWdD+8/2yQ9DymAw DCUFeJzHGwT15udEzsHYuvXuJsSye1CzcpvmrQBa9JvW2Nxn+CDLzq7Ldohm9dWaCuFJJKb/RiLd BrlGxacO12HItfSibK4KPNYHS6pchzQDff6JVc/GEfptC2+3CLWQZXgRSC+E0G8PIRfe3buqL7d4 3KX9cU3nIld3gLQ4cTJ18VvTlwiZ08xJE21cwmmmWPlghw56qhuZkhE/AyMJ4adW1Icj0oVEmZpj r6p2siJzC2UdnDRncF7RVWTn8nibHuSWEdGOphC+pKZtPYWdzGpqVJI0JYG2Lp+Fryp8VCIr+3GF D+Uy0Eq/DK8I/XCCqdxeQRUkL5GRdASDbl/faiJSOwZVBBPiSkTfly1HJR0QincPBS9RLBuY9JCJ ZFfXG0U8plD3bIf+4Yo+NhRx1QBilVosePSLqbpX+GRb4VziqxAEOm0cOaFhUvw6OmVok8LEOs+4 aAF90sn0bH8IJhIfFHRKIil60U/jlppTHUQ57SLSJpQTPEMlG2KhyK3Yvi9b0UNsDyWecF0WHYAG Y8Ft74/k9pc0m2eisrq64vYs45ZK9p7f1OO/ZfNNwWE/hzUZgeJY4EVBoR6p3p/348qA0I3GsjsJ o1mUgkKucKzKbCSfWfucL7Z78LtkEl/ur/AOYoCgAOgk6DI8D8qW1yAk8FkNFEgx6bsjdse2KKdy YYWcijOhZY36IpJ84qIB7BqF2Uj6JNV02YaVbIWmGuv7a7e82cPBPRTkp3ZlAoWPEmZbRXHjFiHG 0GPHruwIfrPpdBb6vY0rE19i7a9w8N+nRsGxpl+tZUHU5JY6eK/jFvqfkeelMKYv7p43C/K2Pu3I B/yiDF6LBcEVhmEb3UkP4YzH+khdUxTNBqqeFcqI3TDE7k7uFayPfu/UOT9q0XsqTYoA21UKeCH8 gApnSdrZd8ZO+NwTKcswmHbIzCXVWARTIFAPWMz4bRiPxI9lqAH070Ia2i6tmF9ZQnvKoUg+LJxw +A0H3gKjIMJxGJL5X4AZharoDaiGCU/o4CmhO1U8bQoWmmaOAOLD+a/0o+UT98Fhkv6Dkh1YroOT JNuUYkfDlDvXss19jCRHxaZnvtxLHruL6Bk4pJJcx3OSynWYVap7A4YZQmzO3CsIknl1qW0Z82d5 xe8+Af/vbx2O7/1hsg2deecd0nftafOx9BCNMLQ/memkw9ecQ3VM6fgFBOuq/p6zLE0Iqfjc5/8P x01OhUXPKqKus/d/LhRfBxeuEpEObOAWP5LKiWXAHBG46pfEN/Ot/GpZ7RZFdqiotc65FMnqjjRv k2j2zo5vjkPmO5hidoqUsbAyiTJw74txTfiZJ7P/10vVz5xwbiQIajBQXMvJB2lPBPZWsHglIphB 5kPWJymIaR9wMXEKDYHv9dIDH/fpLR73SM5GhWajJrUP0xDuSyGNRvMXI5DDHck8H9YBcS/y2V1r 4laIdMtED0DjlDVgRiNxMh0USvjY52UwXoRz0Y+5ANCqGFwv4p6Jd9JwfZGQr0Wi0bYVynt1ardY 1UZxX9MGVlH9ATT9c4DEkyN08lo9jWP9zCH/DeB3rq5lZGZIih0HaiGxXV9a0JpbMzbCm6Z0UYtl lsOsLPsph/ArVYW5VmN2pCMeLGjofdMaIUti32GGMMup36AS06SFosMpsKAIO1PhqekBmKZc/q6y eLrCKWA0u1yvVblzgnr3Nbw8bvu8yKoN2SYX3TiebiLPky25LdETQgOUteZtGgrtEDAsI6NYXGuj /dIdPx0SBiZoPAvhOfKYB7/z/499jf6bKSjG/q1BEkvicEdXm10WrY2IXRE/8jGIA7R+i54nmdyV 6g373sTM/m424SmJwmZhyILhA5wdss3ZeoOeAiwKHfWSUBJQ8UnIfVBrkP5gMmU9rq1RHA3z+ZFs uH6Awaa2Xn7SCPRjkp5F215I01pKyw2ebUaTXyv2TUpubjM2QFKbZI9m12dCxQtjhfxYm76/cqqd jbfEJcg+qSho5IbK/WWq0JOWX1ZpvKHVhX+XXmVZsYPr74JvIzMF0UDBrheitMzWcx2vYzpbeDtY +WU9jhySOY3+nSV5Mw6FNuPoN3p2xL/g+SMowdy2SGITKG/SwYb2K3eX2TK7njvGCNx7Np79A8eA u2jyRmRozwxm2If7/ZYaUrRTBIx6I9IG8BuOT5P5FmbOj9c91PGo3YdMdFfus0K8PQKxPESfY5kE 0YqRDQ3cH5niY9fHl419+xdgf2fDhh4jw/jgwxOH0dH7sEsfipazN2Y66UoKIvYMbS5Cr5vXUpsh qe2NwAgrh3T9xAWimjJrjwsrWTBsYx737vFfg1bt80NRrmPIQDFu77RCwW84bKq49ExfmlP2sCoA 3r2b4NKqw9LRcLru/O+55aErZcvaNtkFXzZfa4eOiMjBdxvm4F5oXeTi42geG9p/7722WlKOQstm SmEkzijJ5H1m1okXKc3F8mhkr72D7tVvb15yd0XfeNsqPbhrTZ8QpZLOv/baGOO4gF0NxGwW8mAx PuD/bssGvDo0CIhErxKkgpFlqIz7eBQWUSBkFv8tgyb1cVyuebgScxt6zjOOxDZzW/Su/e1NesSr 4cmRu9U9NCu+/zo/RBu84hBSdMKqDSuuhy9laCH69neTgTWC6OgQNBOXNUYnNK5ticuXp+Do9ppj 09bEpzRKgNAPm8/AkynDjnBW0kp90f+6z13rdYAut86ZBv6pMsjH9TFomwhgAYjvf08Puakgk1gn l6erwddc2hgNw8DwP9WDbXEtzU+Qj+GwGgVrv17CYyibv1AXVSbNlJfBVc5gpjyeknsCbPU9WmCj ldpViGNghDTQbH/2HN1GqVPJCn59Un+EqjHIs7Xe+mq6ZZFV4v9vn8zAELIQTpjI/Wo7nRmbpwcH 8abUrE0S09GGJL6k6Y/s7H+H/OkrBJgduYc9SSJ9QcAcRFWcJgKksGswGDHVu/z944/QrjpFD74w ubbxmczpwn+j/f6J3Urp4nwQh+vp1C1KL1RhpjbdVQHZY2xCbm9k87m5NXuU690zHI8iJdoAkc7A x+lA0sYMc0U2uYeog4vdjYAN1Yv/Weov7ZZ4W544fEMnrWz3H1aiUyZGd1q3j8NG7tKUtPJVljGi LRf5rncj8HDTv06MI/nigpb5fGbVr8KX+0kbjnzWcWzn74igPbVzSJSX6uUHVx/3EiXkhW/WN5Nm Py1T1SRl4o6QsntcfSc6G7mL6vSzJd0nFKvDk5EzXSixU4PkAnb7M7Nk7W0IPQmMVpZTqJoWcaMF 9bmu40vp4Jygs/wHGJVXpI9ghUvf2IJrMwqe8vtIZiCOqlaD9axwbPF40LXWuqggNfzbHuO0+CL0 Tz97XZm6WYaOiZ8zz7XcOW/jb3na8WYnuD0OKU6TOlzzGZ9OnJVhNF89g2ABJDptRnK44eHy6D9D s4oSDwAMW5a5DjZlc21w14i1Pr8TgwWvsFI6WRwvGc6TboOOV3VX0HqVw9q6UNrvttvaBcTc1E2X sL1gJ0Va93t1iSg9XRCg89o0oGwp8QTcqzRDGPYKNqlSpsekiEk7X9CslFaKzKx60ZnBd3gpFz1Y 3BS6lY1WJ89w+USJiwrveK9vhWcLtunLwu76cWAWgtIL9Xuq/t5p4Tza6V1arOEGlW/YEs7PTHJm L7cg+jvujLoFo0KV4OU8/e1Afy6VLH6ny4v5vRvtzMZzpI5gTmJiz8yTyHWjlhrCgYMWscVw2IPq 2JN+EdhceZiUHm28ZjKoX+2l05QBx+oWa1H4CVgXatmfd8oxygyTE5dvbQzyn6v6tzNXlVLGASDQ cWgyOnxRyUOl4QJiPcqoZzrFyty12M0Ch++lyPjrLSU/tA7Pyq1tmjPWEapoor91nS0S465XHV+n cmCLx4x1zyYkpju8GQGuYd/6QnSqMTZZKD+MHKuMmdM6Rew26SwbknhnBiYZ+6qZDTFnQF2JQdH/ DhNIcIhWzqe1HyHwC3DpqampaTqLihnGLZ1UCHRXPxGHtF+d/JD4qrbGBWvLlFk/JRmV2VjXlS/S NSpRrDWw6W+Lh6SgqAbtM0JlHUtNL/96UOYRh6Z6l7CzzUJwCZaIQIoZWZINfaXe6IY9UxLF3GYw XpGmtTNUbrN6stgTv0uomqtyYSrKWp+QMGzJ0UdKfnnf2QHpsxo03k3pccxdYxr/yo08MBD9OgYg 0k7aYhcDFlCKwH5qlg2UTZoIotETonOVUzeJa9eVXmpqPAbR98MRqUM+b1qQhqaOT1cX21fFe8Zj /khNpKIIpGTJsQK1A9tXJLSGfHBgBZnVhmuYSKsbxQOlCLZtoCN0lDMDq5tf49OJy7BwQFPbOLSe 4RKb5az7+iHErV8rt2rl0eDgTwS/2Gv1ityu9AwJ8S9FI9cQ/dXCjhOsN+KmCwZlLsgEsXCq/eut 7pmBS04EzOgcwudlLr47APIDkIPTKgPBZhODKcLLl/2drcwmdWoWtHUdwc22LkE1Hcr9a/sPhSpn 5QYPiLsucOzD6faEYY2jEsjPsldFIP3OjyQpuI0bS5hJPKy82n/10ajWu1ja5IK+OltnUU9K7Dka HUdJdbIYAPXvqWxpKJE4/LItdekjh5nKzR8CrX5sL2ZUnaJubmjKTBViwOPwbvn1ldfJm9IxMrzC zCwuv6CUF/yJ6gplEj4Mk01S6x0/pe87I07PKIXbUKEj1QIdUAg30BFgFpweBH2lBDCvkrTljVZN 6dB7owIxgm46oFHvcHrvXwELCO83FD2fADt5deD/GGs75lNhTIeRz/CQBdsnOl7DvVSf9oD+qLC3 34Xyz6OraIgVJ9/QkNCltWxDVkuRiOHW7mnhgcW28/6ZO021DD0LctJEfK9Tm8dvV3VraxBemW3n EvgqzPWfKmf/exv+MPu9QyFKCCOk8DH7IHwgHgbcdOxZIkMGk4EkBW/Fsju8V4wTWCPifOgPB17t ZQwSt8ZRjmwHOOw/Tyvy6cEpv1LP9rkXgAmFFM/heB/6yRSzJn3Jklr0OltoxGUJapeLYaLluXus ke8bQrPJnbBqkkZEboK3GlBU+6vBt3/eRG5MMAu/2bJ4rsQLah9MQV94+AsjIPdH2NiZ5y0m4JMg cSfKgII1HXySeBx8tsYl0fcYCjjwkxhX2Flj0WcroihRcvkyYxE0+wnRJOTqR/5IUTi5vligpVXj t2kQc0vzzu5+yfNkXxP7l2w0JrCsyuluNHL2jk4zcGhXFxiD0+kwNtQvbmFd/2elu4gYOW4XeMVH JzT37ZPV6+bM0fGZxb7sDHjOwBdztrcpL/ErBy9NkPO0YNuBdzlniSS8ayrPA3AwwgiVfZHqcyUK RzdHAkzTE6V0e/VH3ZPhMJR9by8lR8GlDZ0dtLorMdS1rN64vF7STtDSEyGiIUX7HnLjerNr25MZ SXL6jW82VtgDBZ9SdBgaDj87WBZfntxX/o+8WhqxIq8wORUG9GkVC3UM80qQ7s1bBSlMAsA2gbvB /mDEW2AGHr2v+XeuWX/5odWvLqZE/0jNnp077a5dnMb5eYoQI8WBUXxIC/ZsMOF4zzULHLNMKDeG syokH3QKiM89kGK6aHdRQArD25Xt36i/eDhFT7BlO0dM5o2jXfFmWEa5CpCcxKtqa1wTYCHLV7Tt nXoSZTNpZ8eY2R/hJIj3CvO39bhgk3vnPVfpsBHfei3qUVL/+w8jXrOAvVLwvWVy6plUc2jP2J+e 3k4THq/oN+DrFxizFxxt9Pz0XRWkeI2/zQvDqVj8J1SZwEOcZvRI0nsTbHkSf0U3GjKkEZ/8dNrU Da/OvhF9nXN9unASLpwG1lWyfFj04RxA6itAAMyKhRupHy5U5GMPt8xTVhqSk3adObHwTzPubybD 7TWUdT94IjR0EpWY8TMDYL6CYemxbI/CqoeEYwSG8zgMpu6es7ZPgAubNBiPZJ20fjXqq/78BX5/ J847UNaKxy/6mTiyDHqqeM8AL4MznG/ScNmh69fefl9cfK2dEP/ekHuDaRDnDCRKGwOiOiuBosP+ BwnyC2yjat1ySH/WwY0yu53L1hy4Y4KKuMpLoxH2k2ZaSMrYho+bci607hracErNSnWGXmNl+yhS /rAcUYchmELG73o7CaYJbiju0Md0FL21IHdTrg8nxG4ZY31K1iuR6cjH3Z5ge3QHcQ9IWKx7gjj3 +KvB04JauVaR5ImBySwvfQiaoBUj10apK6yrkWxIydZzVrMS8JHEVePXERDhjE9O9y20ywMMTFFO BmwnB6SRfW+Az+KKqXreybgdcq5bV7smRA1qT96scFIqAb42eox0c9qCTORXWZoZW2EePZ2Z3vj3 LCpsP765kHwG5/nDoKZRd1mO54on0IeWOF0dh5+hWkVXfF/ztE5qHP9UsxXVBrSl4uL6ufk6Q9UF drAzDUAzgkSJT2+JWOKHn93+6AgXJcjFTKJKVTT6YFIwT0kG+YeplW8acsMxhBvEhu9fQmBqRe8A QnqA3bPJhc2iTOY2o6e1xL0yJkPaBzaQ0Qx7+S2ozFOUaq/OvKPJ8yfpKrccF7ambeQQ11aiUMRX 0GhFGgJ70RLluAgJGCsQaVhhdK3Mo3foKS95lP48c2Qzwufb/tE8nNG3vovJedIqKl9UQqRzrGgy PQADBsaR7VUmTSgqG0QzXU0TPcP3MT7IN4dCAM2c94CKeM1EXf7zIgvm8+F3916q3zgVzxJKuagz 7KE5+Az8b4zI4KtN+05j6s2+CinQsvtF3PwAjYYUqBaL9LFzq8PhwfS/5GgfSEV0yFvMstxyp5Oq iA34nEEW7c5q5LIBxRmjAxQ4XdvUYtetOPQulloco74dKRIKuesLMtouAm0SK/UwKCaB8x12q7eF drrqazeWpQatlm1eH/B5N10V2Zzg81+ipefZuSwdSprAJBAnrN1eVmK4sCxI91Xpdmu1SEF4NDk0 b5B7ZCSzy6lZXy5ZaSBfRQuCH85FmIqGRMQEKcLidt4/bW59m/oEs/GacN3f0a6aGJVeTbwc5DQg r5NWmsqBdGNSKScOVekfhWOFr8233Wz/qCADhLLh85e2C4dVNdxH+PAU+9RYId7Ze1J0lFtLew3i 1CP8/b9nRs7GrEhCxpLeOviuW0UUgJOT4yRMblLymjoWtygAfsytX9lqMGZZFtmCEj+hagqtTWFM iEhl+0O2btxyRHa3ETYbsodLI6HbJwbFeggjPqiFyORYBtIq2GPCVSMWTXL2X0XXP7eTpWFhVkwH 8N8OaTSoxYV9g3bQ3eLw4ouFn/dyc18uGh1tdE713OY8Z8fZxX9A8H0dnrusbMdm9/0of1nPwoOE pdvPQ4gFQzZwTPxQGs95NplxZjjoOeQp+l85AG4gAymP+pbN3jOWKXOz4pRWwbx8Oxex3SUWgup9 QV/KbebJCdeXKZSp8dY0HMj8XmcwKMqEupqL8+vjwrqGpgOnGyUzlZ5+kse14bY5BUwp36MEMbku tcS4S8xNQAcg2AD2qAeiD2wwyPPBNWwGRO/sAoo8ejDam//qBEIFdJL0gXwiguPlCNVDzlytZXwV SmwdqCbn1uVK+r3t1J7bmrm/VKseIR3wVqBhytN+MxxsCGucUzCfO8ygfqhunRhKjjCRWelE3VZ+ Gt7ehxIbvYPDn82gEt6pEjOkNLIp0Xpzuql57z5ON36kKnEOAjcrZCL4OdEvJbqekRACviWFLjcs eEyz1Do3T/mM5DFJMQWsaT1mcOBxCQeFljK6ZlxeBdMrSCyELa3SkeBwhoQ3qu9wqDLgXzOdHrLl 6fgnf9PwZZAJ8FwA8OWfaw1HAUBQvI7qMjktytomIZt78mrWONses3KhRuSIaXjX/b14m8cRLho0 BDmMoiT9wS9ST3x0R1M8Uxlcy09sA/MLSlwx4QDAD2Ea3VfdXt7X4qAMQ1kl2IbvijNkkc50HtyQ aDojCGAzA2E2WDoLFjOgfq68AOiZE2BE9Cx4V7akmtpE+ZhxXqVZnJEQXfRc0Nge94I8c0I3GM2G krjBmSdEoSO81K3MMmrzaLp7HO4CzVR8uhQRkPWlgTB0cD3JdoAqEtmV2Au1OX+I8xGWWBbBYIqh vZs0KLHraOHCxcYbziZgHx9D6XwCsX6nw5tTGvX01BuwxFw0Ettq+vCorsQq3akSDYjcTuu18Url hPyCRE3qwRMI8EdU6RWERCtryds3NFWu3MqpNG6CIIqPL0dLjQ1qSAzvl02KO+OrSThSmY+0gjS2 DhX+hzKK9LqjK0w1Pu6m2uov3UPb1AFkNFZrva19mZYAsF1P2P1PA8munxvLknBa7LvHESDxEqw3 HTw0EV7rJpZETRKt6AON2FZZJI2iEgn5khAuqKAHka9b9nMDEHibyyPPTwxT0FTAQwuFmVLGsrhe d+51eFpO+ewJP6PYWEIuEut3PFU8LUoiIxpNORvVyMhAkl3w7L1h+Y6wMqzQueSyGxsaQtKwcDWP G5ODm6+lNXdeGmOPZ/MA8ieu6AcrETy7RleWkDPQDXucyYmHWnL44O+iNX+aS26rxxaUggg7BVzR hgJZ4STCC4sp05/vz1U9aJhnr4vn0pOGAU+APngTf0Fpv0Cd6Aqz45kFjpxH1F9TKw+VytV0Hb96 sRMTYMFG/gmrVoI7l8/c5zmnjh5k194MrZJZJ1GfIYSatXhwBvwVLPd6u/2rqAd6Gloz3JuOzbvb u1h+6ksPZav5u+BKB3FDnndZEGDjqOZGgI1tWbw2HQCZvkqU55wFw6Z0LdEaVEToy1U9dz80DY9/ VNMl/zEbofU5ASFc5rmcc45eXOs8OlNnJcByXSVOaejcWEV8pLmVvsxj8Gw1Igv8YqtnMT1RufUD IqHe6ZTSrLY51DA8iMTJpZtpfl/rWvAq+GLVTv9INqHn14sboV7uXCptwnt1s01IvFHMcIEEjhou yreUabTsZyxXWFY+/k9rW3xN5d6e7dOgTliesUTkowQ3z1hcLMmyafYsO+S5RXB/pUlQGFZHXMZl T/YtIf9haTcGU3PtX8gLW1J6ShnFmyits6S6w7eiapePjeOauvZ91BRc7WH0aKX37qvLAtHzpBpn fu8ncUqUPmJw9GJUZabNHQCJo1HJx5WfYOXyirHqY+6zYF3OKTajI/9fMidPoX9ZkVJD5pwwNse2 r+NiTWN0ISVcbWJuPUuY1lfCX5ASpIrw2lr8ptIBRNtg43bGCtoyajpojuJ4td+r2dfZ5avI0zue 2XNZ/XXWtLVmZtyjKQ4whRxcYs27UoS9rJN8YDdq0Pyba9W3wJ0ZZHocZc6SwG+6EDb31EJ4SyXG nHroiMf+5eacCBs3x0gQBjYdx8l2hxhRuGQhdK5KpSRmVQX3cd57nUscYZBIiL4NVjVYwKattfFq poWPwi89zGx2JK9cC99i+NJ0B8K15TK7v5Bx8bXK+crqnN52At4ZETDjnsl5YO/VLQwq3L9+H2Xl EQ5f07wiQfqE+UFxBcbtvH33zyGCHzT0ktNaRJByBqCnvHgk9hUPTGJt/cjWv/pcDsqEtZNktejt WKa0NZ/zNYIiwbei9z6/f3sTjdCpfnw0h+Wsrmya2UXdMwoT2eFkUsGc/ws2QtcYm0IbNgeBnr2h xx5gcIDymnhd5cVd+LiHTaURYCnHmSPmWWPjOAgJlsozg2hKXWrvPHG7ai3sMYz1WEW0FP3U8Ck7 8OFBVlkJpDgIfPmMaVK4c6kbwvxuiRPG0BJF+GUwVHccPFELBkEcdz1pyef8FCN3jTntqGoE5wtv QKV+H0tnquqNZynlbIG4TqOCLmtJLgTq76QYy5EaIJv4SqUbHKh3MmzZTB8oFpvw0czJJumhS9KN WdgtrzdWsnG/VgDocEOh3bhNsh3QxvWDSy65/j+HkN4C1E11ZQRpYm/ocNYBAd6/oMw3sNEVgPnz I++CygzZVPgXP7nXhUoIfJJsAYsdytEioDl5nTDSqCo3B90VVdNyWsQE7GTveBGfq1wji3HYvk3i 8estexBWBJ7AhyAW1PalhpZ7uQXkAaWRvM9e2cf2W+NZ174FNb0yNZyeV5x/8wFZFdZ3CNDaskMq QBIMJFAO4s4n2uKT9LJr1mpOZRH75qZy0tX7C3rzR1IPLcKtYu5xILgFhsIjvvY5mFTed7JNS+c4 Jvibkad4ODJTPMsKqfUqCO4yxw5K1ttQcRBm17Jyu1wyLgP+xpEDp0sxMes6JZsyrjOXYeWVbqBH xXtuy+xfQxmxz22DwEbaQt1EAZfey2GdaQ0ZVPYLdvXXSyidhB8dyxKKyWeD3VWQNiAaTJpbHruq qPU08QjOXXiPPKCEw4XEn2m02Z6N6UhrETSGfmbpyvCh+xDboJcEGT+dmBQFQbadUB6CuA7O6ufz ytaNxFDzGRAKnXC+uHh0qofNXkCdrSuacbvYoj9j5XVZQhhLmUhS6NyB0OUHTHEjT0eof8wnegaY L9wiz7v1gq6XzEn9PybC8PSbKjjAHOG6IB/CNqd0DzmzjGIbdzcN0uT4Kdfg3Z4of0iYD3WAn6zO 37erwtg6z9khUzrHsAf2g/mpAWOUC4UwqWZlviY4wHW+lH3OgLkpsgIPYhtKoPN4nwWkT0UAVNOq kTmh+H13G/Wz8KiVnNFhqEmuuLu2pg4feKt/8I3ikAAMt2kpBBVdBFVe+0FF/YddufmJAXLms+Rc PYdJckVf87FJq+qSZJzahFMZlwtsDeT3z70mwwrxpNtIjZXBTXqKSgjU/Y2F00OtHVJ66cI7+b1v GCDxF9Gb0tajyhn9rI9JNNBrvQgUaJqUoZNoaw6um0YIucuTQQD1+98eNY2dHOd6HnMlxmBZjUnj yNcDO2walqQ9yjeysQXBuPHYO5Jx789JubxLHrq83SFG1plf+WPqu8LxNXt7U9jPZDxES4ifosmT ioTlI5/DoKw0j+N/xLDyZyxtE2sAjGdMNld6e62R7PWNgneW47wzhnwY5OtQlLOoGpySVDezRNhU hEMnaXnolCxE6lzUI9NLJHUfwgI21RJVuuPKYhOU9dgeKWtMcwDRiEfH1gUA6zPMmWTRUSUUSJBl hOmO9peaAtGykHuP/KM5MAO44WAzCg43375Brvjtz6phA2AOQq30bAk6XoF1YgfXX3PsKlo+5XrS ZeCioCa1HJOca7q7svD/xmG6Ot1kgf5vwjIpGY15NqFKj2bfigIDzQHNRaY7EyXMZULon77lbhDo TsOZSpgjIm1tt3A5g+FeVFj/MKpVhBjb4YWX08sIi100IvjSKhz5+BAEDwMOVU1RpaSHjWM0GeJC qvJFnZRPe9Do0oIrTTsiEVyTWtGPKt14rmPkYn03zONxn+eCIK5nBkwUtQTDdCWTZ1YfsThMOXU1 DZDGUoDHlDrwS9PRW4foCH3BSiR0aE2XsjXQNLd3F6fMV49TPkJx6hnfEgPzIsdIRz000Afl3Oj7 laYHRF8IT/KIV/5gYgyKB5P9FUHEXB/j9zhOEG9DSuwLiIDkb22faLfs9Rmewqzj5HGHxX/QHp23 zRQf/wTDlZ5Vd2Hrhsc3g/Zfy/PCQQn3KWrIn6/FfraGzOTdz+CqSyzHqmS6iAI0Pd2E1WEzcSx/ +8Ps727k34XsePgDGS1z3sv8t7A7d9/Io9PEj/HvOS7gDec3xYfs3XQLNHSplstKUw6tJrDVuTTB E+DsZ7RbsnJpngnAt4nv1q2uGLTRQH5X9plBgQYmnqpP19yCaP29NnW1ejvv5uVgIao+MYJ7rJuk GBvS67Spv1j4DoLnFaXgCP56kWhvOJil7Z5l/jGewNzahwwRzWMsgy348XQxQEKyCxoI9lNdlYpb AyR/7okKKJgKUwnvYOMfinPnk5NRxViN/EcZFUqyEh9mC11nPkCJ9K+QOVHjRnXhTl4bnhrZjf4N zTcvw/OV5UGFg72KfrUZWpjgUKW3mUzzEyKNOXCxLswscUHM5cJLjnB4/ibsqCbaEGYPb5klKat0 taT9FstKeHxaFGraSdMIIiUJR0jY0zWUIZ1kQUwRhQJ9LbZBrAHWLS8xvPExhh7zDS+jps0Uvnv2 mHa2cONhoTOF03f/97Jg/rECwDhHAVKnSk2vZnjE5N0m5+u4D5TBfff04TE2yg1LGGY90MhYxBwF xJvDo0rIsEYc81FEgKnU/2Q+Jdd5nJb0tkl1CgCmOaxQluHLhWqEjepcO6XA3IhRV95ORWxbcZuj 9jdDiMT8uE1VDJe/8jV/hVEsjDz8bYpU6Qytb1W8gcNJAA1si50borsauUUT90FVFsqB6d468Uw0 +XEJSgkk22ZWJ62TMxGC8HAQryCYx3ztwfnJPo0CIS+JSTkpaj4SHhNNPiLIF2nQ0Fs/y9sp1ab4 fIsGNQUpJFEh8UYXvq/axxkjNHM8HQB5ufjDQmKgg/UREx2Xr6StN6M8SkUUYW11Gd9vF2RdHzJM 3EgJ/qKp/5ZK8qLQ1stq7iOZ8bo784aiESs7ydHpSHwzVUdMExOHgMysdCYbSUd4J5Unzs42AqBx qU/p7w1eZZv9tKkZI8Dp1hzkB0Pi4VetPSXTQaYsJ4GL6VGIsYZDTUnwlaRUsfDuqQjAzks28jql LGOuy+pDrdf6KcrwNaUBwuohnlM8ou8/kTowv4iRnzAMb0EHXF+X7rnNMn81IVV7oywDGQo6cr8k 2Y2eMLoXYo7WFUytwrdB8h3LxjtSyp4ncLU+TWcZjNdPhcDFRrKReZJdchcttwxcz5c+O/01RsA7 49KBXKcMF9woqWeTTxWAoTZH0GHJo58lZObom6ivD2roxZgeI5HQuVGA08wh2G9o6y/dbzxtfw/N jNjKM3hrVLawYM4jKJvdSw1wkr5cIYxbl9gyl/J2wfU17ViqE2SxfvugK82Ev4+5s7nfr5fsNJLZ vvGTSDYMX+JXtgSKSAh5SkCsd8IBGiB2UsoAVPPcp/PeZEp18mFNYr3h+JVOzhbroQRE8DmENgbL mZhPOBfLPXJgr2Bfgmc3Dt8TeAwdCyPsJHbt/+6AJRSOcPjuKk+kfSMETdHIi3gGQq8knYQZjREd VhMn5/r8XeLNuOc+ufWLS6ZTzstGiGVFWLT9GyiFTPo9aDXwAyD40D0Lc6WKiNtelm1BraLrHVfw +rYJXadkoAPt87NY2Mrux0fxUOLaZ6qC2WMCwQAeyYzbb2UkMRp4syaYGIQmY9+TZky1BSn313M3 mvKx1SvxtfNEn+wQTZj0s/3BQImUY5dJk1dqOon9jdVQ5JLr5VWWgVfqaVpRuOIItc6wKl6pXZV0 jvF7PMWNGm87HPzJCo3jcxoFWSQeS0vXMwcHDEyCM2hwpOXpPj0dp/6YEwUlDteu/RxbtBYTrKzp 8TY8QiekYrTpvEzx0Xk0U2E7leWuOCJqEtbbFYcHp55E/1Ou4Zyy7rNNub6utlje7x74Ef1/tbJR 2n0U3GjzKXjzDRCV1UMXUODKryqpG99XNXVVHbXGJpatMICX73bVy+sTlAORLZ8gkL93nVVBoNe0 wzxq7StFYmcShnvIOROMsA7xHCAoCCaHk5e0+ZiC6sYv0Od8yZBwIJ9LYctIgQ1Cpod8wovGmCNF N68EnP2YNCpjwHTKR/TJu/JkGFvNjG/4l1pj1V5uIeLx3ceb1tObPjR4RRNLoEcpVbzzLDjah91R M9UvfxQKL6uf9vVfhdejWij6UC+SgzqrvZe+L9+8KwfPIAYYDjw7yXAuQO1EhB83dCQxJc1t9YXN HVBzf1Z15MFwa32SsO4IB61H0mRqL7aDe4ynrdeTY/y+dEHNGzHuXzhKxNDl8oK7b0gN6O/IdYGa SS5LycVJzIblsSzrnigGRx1nvr6w6VlGH/KJbwQPTi0wvek8MDz2o1b9A6BLAZoeDPfqhT/0gQmT w+HwvT0fzX6BpbfO3Px7GSMdngDKU7S5QH0PYxU9clVlFXZVy1JNI1KP1qS92E6+q4BOf91XoMwp cXH+nvWuGEgtfAjfuHHx7zw5+CrBH7x7PHZODGn32RizUsZUDG/HTmfVZPGDevyuCNxSVeumHaWu httXb+Vr1OeMDpsY1+jPbArSYY3Q1IvQxN6N9A30vt4k/mgoPbtPeXhtQ6CtADCtEdzjqP6q1Hl+ se/7Qwts1jVAHT45bOQxX+tHyX0WmmFrvrOm0Dn/aXmZBXyHK5y+0hGSaLe385RblAJf5i4nqHfI zVPJiinwTUyJuT2m2NJjQPjvaxZ/cU40gwotdXn5KCEhiNBOo1g7c7un3lhfQPjZ3WwgRjrjtbFg WjtLHsbKJ35/ENPkprQd7VPgMDbW8J9FjO15e03qfHdPjHzo6XRtOQBy3sl7Je2Q2J6xM3QAxhfv GAy82Fd2YRfzw0y0pQlxT9h8Pxsboff8eTl60wrQKjJr7Gc5b49HMlDW0GRQ53Asf4GzRf9L9REl NL0IgZqTw4sUwURQR3ZA8tv6er0tJCnU6bz0vYOo+99kVUE5nMEbVCazfb6wBo9sIzmREvxg3IrK PuaGOkv/7c5WOrlGRvNjjU3Q1ne8psvk0HQe8GVEt1iI+FaaRxqX77siPfkHTfC+06c09VAq5k0M mhIm0Rrby3weMbjDo+3LccKGytwzi6t/cmWZhLQpAfiVeK73K+BntMJ7n8Gfl2ZzVE3nwwF2hBuU wyQRMeRHdc6uOF/dngRZKohQISNepyfJpQrnwAPHb2MulojRILK5sQX9R8CVNcd0veEIU0mDSUq7 pb3OChVNItBEuAKTnOdoe7nJGn8DhpWjx5x1OTI/UJp5nWaatUfpPW40Y8cgkimps347tinADoZ1 y21MDwBoe4gsV8KPsSqyb5Wwctr7GuzhO4zAl5cakmn9cG5VT9lWoFH7Qxn7EL1jUZ99nE76JSrT iutFpHMV5UYl7JBbM498XVZvFxV8HiqUG46JnM4PhV1KhLd/c2927q0IgN5LyT1hzz2JBRp4OOkJ Avne15GijxRto+FoUxsfHc9kEb84ri+62fCFZBMgfWsN7wzIA8y4v/YnrNSnfm5FRoAyaCHePdoA 9OapF8kebWR/DDyRwQSKPNn58URBp6MTHgto4yLjg3QO0/wcLpeXMHrRWiMH4UrrrQObioQEGccz zZyWh4p144TfAkbgYEHGOwy9uz1XHJyxQMUaLhLPOnBITX2DW1S9/DDk0DptTNNSUFi/E2HJPCrF Ae7AOIqRJXesCbes7uQ2V8E6qfuuPLCGbTRZjiYAqwfK8zWLNyuNBGPi1q2NFjX7s+KLfG/ljhVs GoV/jL9aFiIjFw4uwDzHyu2KB+oX3zO/jCmiMZGz4vMWsM8kFqzbt3q3N/EWFtvgcQMAzCEvOeWU 3yDW0ZWP61Dj1lg4pJymiFI0jRgXCkudztLqEvQI1hWxgeoHRejgxzEk2xdtguwYU429GYssv3Uy fk+sSDkG34SgzFi+rCFeF9ks8kIhGEzPtN09yD72qtF2tbyXDidsXvR1tzrtpmx3iBEY/AxWFaz5 sH8WTU13gbp8LmKnT9GoI3rShNgSbc4F7eHRQSdEvvtJu8pzdzD+jI0aePPTABKlb1fjWH2Q6kH9 FRblcU9x5KUMsi9umagjJSNDSRu+I6ZmEF8IXmipAZhzwI7ruSEI1KsHb+SJvAfQ9VLwVMnCWTam llvTxpuVMnCCmwy2iu1KltD1SNsGyCkh4obbyZo78vEIGnMUovWPTAPhVVWmn1BrhqjKcRkqR4pI SUSEWURoB+E1nFuTrN9r0kpPNjWMV/JoXp1CbJlC4S2iSfgE8nn0kSlIiUHE90+snQPn2Xt9AxVX gcqrheogZCUK7DyA9sWOc7DETHgVlYT0tteATTsHlSHfM31MowICljJmemYm9kQBafONP4XlMlCL WnyiUhkcQ5WgoOuspla7t7nhnp/b48KJ90mqsEoZyXBAUeigJVqH2qdF1npn+pXUQ2v1S48VD8KC l7vOV8ywTBKCJDOH6whJSHOiBiRrsxJSo/ikV8AdP1dINwW/X+F6eoSMLbE5eQgpBPvMoltlwzXY qrXpj/AnUR9cITwX/6UbWpfNOTnY13Dg8NHmGX19fDkhbnTYKFuHUKpx9uhSszUSmSkSTVbQI8k+ q0dGT2kL8dYsH59MSTBa+fRaJMX/BfdVKhhN0FJrWSSwYPj/sf81ep3UE9/ExppozkI1cYeaPZjv eDwk7MiZgVVgvpYqCakDf6E0q88a4UFcvtezL1bCESxChPJjW5KhnRiLo+yWKeruWfV/sG7Il6sK f3d3gSvhqbbeA5ugtTJp8Y4pxfQyfKqXpcE+4YqCCtR01Z5FpGh1V2cdUWF7NdRgD+82XELIPI/Y b7lqVmHP5ec4Yo5UdC1u8j7sKgM5FUAUTPz0BJ+4ybrppOs1J7cEY46ArnFmBYzvQSQO5m2WfQLV hJOQSFZDxmp3iDBA2rzHDuR1qRyUDfVvy3VoMBEcCeB7TDhx/HhRZhcnYP2kZm0Rt2eGp8Oe/K3z SBnIjAlS01GOljOZzwFSBxUliCZ2L2W8EEo6c790ynD1NF5S4DF5RrkQt3qOdVBshl3snBwZjG1p 9UfsOxF15xQ7byvTQ+7/dSxO1x4Vv1O0574yANZAEOGZZuiJ6m5YIscqFOIi0WCVgXj6T+y+qTuL jSJW2jVOjf19dcw/gzdXCs5UoWH993P/T3gwCofDWNWvQoCinYbY8vwWCm2iJMvzPEKfKY6MJtgt kQtOsJzRWyE7umf7qfLg6xoWJUfws2gdWXU03eRLMqv0Ap8bJyD3Ud51pQiZo3vQrDxsX9im/jf/ W/bFK2pwhO91N97tEi15Z8UTND4ZkDRZXynTkpQ33j27R6IbkHuxYSJaC8uLA1T6wSs2njVShhBs uk7PgQWYQvwU5FlHMxuvXC0Nyg/KMFmiwd1nrLEzEDATLLAi2v/IqcklwqXkA2zuFY3FLjm4g3hC 69B1+J7j7EwQ25vvZs3ThMsReB0bwq4M4DjoES0UipxF1Kc4fcjwP8817ZEuZxDJhyFhDREGqiU3 fD0YWOWed78D3kj6p2Xd9/4GR+s//O5RdXPaNcUagDaOK24krZ5sD2E92bPfEt/B8jTkKrGQKPTk mFonaiREuDFidnFcC1BOjmV48NLxRcKuqsKAzCPFIDUFGfApEvUjSmPfWGobTJpr3gkvQ9f/7UT2 m1GxrbB7HoeYfgojx7nZFF1X0qqqnQp6948PpN4v/YcZuNqBXkYvYPCtKsDSkelNjojGPSQUXoMk S8bKCo4d+CaoRS20g/73XNMBgg54jW34vDvOSg6PS8gjg9F2lAgy5ckdX0BuO/WT+YVsx5EzZVH3 TKg7krZfJTms1ZzbzCx39NJp2HhzoUTuE4OvcWdK1uP10p8akSM1F9sZy9RguPK2gI/SxnJ2sVP+ FyrvMgbv+ZbCm/25eFzMNrQEbcH39NrabNtkCatvJeuSPh0qYGZ3siW6/qYQAum+TyfCELLm92QY 0KfhPCQ/Y3Wmbw+NwJJlXju/6FUv3l0PjCARwmxygqQR9sRSbj4dkeCK71pjSbxwoVl1v/wzDt64 7RnhLud1v9NpUIpPwTWaGL4B10ahNq1KYhlqsuQTzT4j/VUtdc64tEO+xBTX/L/gsjmZkrtLuT6M BQoZstUhBuQl1vrBjfQTeJ7nijBVI7dCEv9j8tUIpxUWoW34Gn6MAYUXqnGiOrtr/kxbbczksGYM e41HX8y3JncRHRtlSWb/3KOqBefDNoR9hRFGqaSPNR7IWKKOd6MoEJRDD912sUBjy2JM6lgYvVBC 9g4nG+RMRitv1NK1OQO2KXUx4WXeaPFTplklDQA0/v/2tGnxdHl3IvsxMy3QGJWGglJzmZKy19Sa JQ8aNGyfi2YmulehXa4eLKTdX2mnn1XyaQv/xZQZq5fyNLQJm5MDiLopUelXyo+BQRSrV2fotDEe TJcmo6jHMCuWxj4yJfXaPSC5onJHURzrmqukSYOQmme3PkoWt5mnTIZwqptPEYjt0tXTLRhR6aPB IbsfGEoJ/dHlh520+gIs66VLjE8yvYXMK1eFOEc1X8MKkeP5iOxr0FPerLsZr09H0W1zmbcz/snU X+c4KbRApjxgXD4zTDNPWv7DKCzsBpQGGWF2LXdcAKwOpKlSbebfZL2Z8Xlvzj/735Zac3l9vL+H 2rWuUywdtEm5aFesFiw9+tPCstOR9ednkjg3iUT6ctcfmwRKLvcwYZJa7NXPyZ498tECWIQUSVD3 J0tr4JleEwBYS/nyvG4YKa+4Si8kA8Fq0NNUZel8Xr20il0K8qZxOvtSngkEAXPHCJVgpvRzv2tn 0UtvgCHIJzgQbObynN55ynN4+OXXC+fmR6VH3dd8qwxpytxiWG2qCWZq4xzTWq5PUQJ+6MEH+1H8 jrkKnrbqOYD/slO2o5P0zjbNK+lHM6IWPtFpPP7slaiEK3tmlFyhY+DRecjiT0LzofuqpO/VfoMq VXdY4sgVjnAYoHIAVIzZtJv4AlS941EJ5xGE1QRO0yIIfqiUi25Q4TPtyEv8MqLSkQHXtyPI3aJf 7FsyGGdcyXMFgoCYXdG/SIN7CPv7k+dI3UGVq2pF5AijQM9esz+e8rUdQgZH7M6AXrPbsL9MfDAN doIdKaDfDnQnS06BeF7BiuBEc0s+037Jb/rTl5RyisoVKx85p+nOiNmc14K++PIDuUw2mG4e38JM KQuZSYFtgkyWiQBbz1cHfaYV7Eu+/FW5Z5mQ6NoY+eD+mHEn/OBIuoekgVBh6LrwtQpnudTq7nPG 2TyrFIXJQTI6HHKH49oirgsPqXAZQHTCz/50xrJo94qcSXTlcq13TajIwvrMHFngkXi8c/rBqkZh +VjoUyv1bhMEuTjE4E4ftWbl9zTTANuWSIDalKQ/+Y0xvQaXOwocozNGEqK2M2XCUMZ5S7MtsK15 3qjMJVhNt3viE/Squtcrxg6ey5pJgJOw6CQv3gyVdvOwXiyG07zClKW9ED5kv53YOwM5TxWeH1Oy 19QGwgCnFj5mzEzKQZrsuK8QQJEkH4uiBrzYxps1uYBkgsH1dmYtntgABWhm/vP+5WidzML5F6Dz 6qesrt4TQGB39ghLDdFP5Z568L9JZvWkOCElUy3FKuFRiXvlZRBSR0ElHVrxgU32vWKbJNK9rs4u lDffXNSDKt54YXUwvqwf5rr2mAclywsmICi4GaFu2VEjfdpEwOJOH4bBcU97E9xIISmiHgjgCPQM dH/RYcMWPlRe2wUbDjQhIZMdWJl/tlYk29awEDZs0L/dJpR2Gz1IKwu0E7KiOtEB7wmmiSSRtL9y d3vcY5Yry+mud3dWvkXXIS8M/HaHDi7K7NNVoEJVh0oAHJoFlHzoDJGTnjcfXBQBBVGD6JwscJLz 2qyA6olSNBEPSNeMXDVaQUzlwCzAC3TVuoL6bZG8Q/Rd4WsDXYJ8LL6+mXKwKjLZbu78ECWBUOUY zrygNNA6mRX2UDCneA1dr6iS8UtHa71RWt6MrpE4S/oHwDmE2P9li9gpnYJEwjy49/wHYn1ZkImA OMufRVFYw4wvq2lWOtZljwL2TQyKnWCPni2S6LMrPqskEvmZY6vSoZwz0gxD4w0uab68NYBtbVFu HPOptkzpPW1Yu03JGircg9G2vA94nP+TUlPV6xj2o4KDACeO+4P++ZZOI4916VwedNSk4UFGliBL SIFiPg+sx6dgDrczNE+gtRnZXD/NPObzfKDjy7E8q3Ev66hE6Fsf/FI/PvZK9PYIaNvXx0oWBXj/ FmMJHoKv54CF+zYi7s6VVqRJ9qHPN4GOdThYtMrd7S5g3Xl1kGqQ6iD2D5QqOZOfI65Luu9qHwO8 3U3g+/8ID53Ru0VTQF9yg3VN6bKoWgg9G6ukTl3Um5hvWeQ9orWkg0nS0n/f+CPl0QgHziZVeO7m ggsEnj5CaWmEmkRSq9MxYT3KWd7lMM3SW3QliWipFxJNuA6PAM4u8BOffYWaN/QXoAEiOrN5gd2z LPW0Wl0HKveQUTktx5INGxbOQ94F1ysPNiq6Kjiscq6lpv+t34t6cDQ689H3GoxhrHKAQK3DwU6J gAvUoUJCOVgYRTSOyhZIm6FhrW2go6loX1mIu9fH8SPP9WSCzM9WSIWhK2y6CVoGJdy7725kvqjK 3cDuIlxuvdYAdO9G3AEvTQnbVs0Bi1slPe4Ro/8xj1KTHp5AwT4YSiIMD5Rro5fyaC/mIt8jtQNo DMRf+UBBxT9PD6FwaNZuaCv7U8VySKIDQ01em4moQ/0R3fB4WUDUiryYKKTgTGIMbF2hX1zy1ykb oUQCaeseQuTonA43f3tU5P7y/c1H/BHUv+NrZDP6wcnQE2+q+TDRVxD2ML9wsIgXNoQjM/tCU+Ao ugFxEafBSJyeLxqTu6il29jqojFOplhhqQ/iNvfGXXiyNIrWzhLAi3ft49oLrZniwor0rgE4BaLu JYlhYZPYicjjK4r9cYNeC9psT8Ho36Ik25obShumkoTU/MN6YF4FD6A+yK4HKrJg7CjBDrRM8qod vtyqFtirKOV0nCrumL3LbcQwH1jHK0HkfJ3Uttv62f/4/g5pQpGnI/AjxThfwpIXu0K22A8Wpi+h AtH2nP1PC8AMkz08VgAjypVeocTS7IBaAYrEDUAJihkwEDmJsPnnoq84ChxJhJjG1HEs+xTHKCw0 Xe73J5aqksd4XKVrTPd6bdsQOFB3dlgbnLCpY+j9QJTLIDElLGILAFh0LK+43CdAs9mPZXmO1SU4 mPCinX6c/A1pdZFaY8ANl6gI/MwIUbjwKFQDG/e5p+gG5AZVoDSeTEhHwTLaApodo/TjHPwSe+GU YCwPQTMg2lyaPBS9+4xWq8V7msLVdufd/elFAWwKmzfksRvpPs1b/u5elEkHSxpUrtOyIZY8huSY DGzJ09qerdegmHHU5WtUgoUApnenzDhuF67PBLKe+AKEft41UkK9UtPujIdk9RP+d45mthCIOmtk Tz/oeZzL3ZPlrsRTWDM1d6qaWUKMJzwh2ctyRBEZR4YFn3+T4fU2EYWSrmDpkT063MKRxLQIoPH+ PCcbDqN+ucOYnW0EMzLU3yI59EblrPNJ1IcFIPTgMw37QpLtu8N1i3tyyQEnCKo0LSlt8triAp6u nydSWRhnAruPdRodvJ3SGc1OaEyeiHAkcQyiZxiRc24Tiz4quqBncPAMouybdZhlzLdULMTmmxWC Y3CfDvV1R57cL/AkAIDE/CCyPs97JzYltLTBDusZ94XH/yXeWBzM4XWWxXKk4f72OpyUQYUzaUj/ p+jePv1Ikki2bALnJ5feHQaiBlp7ZR4y5w58OkWhbSBr6GvoVnER8m4/u9hUyFxoAhLIHvt4S12J 925O93lx00sEBYONExnMTkEjyKPM8ArXAqJ97epK2HFWAmhrasaLGCqi7qQEE5MwKHOhyd6ezznE UdhMlyvvB+2+4ieWIJeq5SpjlaxSZkcNhwUvRGiJZqXz3SeBlpthBu/j6HZyQSPhgvpNIdyWXhPH pu0us8i9cCw/9QQpzp+UOv/cf+uETdGR/0o9MsU4Ee5hzgvVNt0r6VUhaOam5mx4/tYwRsE5VxK6 HnWeHjIo4SUX53k05cM0gludTzxX4QRLIlq23IfzqA4AKtN0RpqDp9CtRXJju17kAKkBii6I2g7W BpDzeSl793dJ1JSUPda7TMSawPBT5cUmtAbhmK1VY/5Qp2W/Y/NlvYAlHh8XqqQbDI7LbToVQzZS Iw5Fo4O473HZtowGHSwUS0zkcsZsMLUc2HmQmzX3xD32g/KyN89D2AoxZDlC7Q4V/ur4FyUrvQVd cMfiSOfLiZNw4ClaTY+Sq51yaujsLpcg4tLLvc7l4AFRIYKMaTtpjLzppXm9wXHGrFT6dFRyxAr9 ESgdj66FEthnD8y7PQ3VRky0QMiGZbROfYRKK0jvmbtCe7Ggd08moAVuy5yuB3saTj/2ELO+eXwV Bi1KSnybI58lUHx/4S1JDU3tM9w0UwPgU3XHnVow3wn/FOuM+D/uKPxh5zaZXjTfnMZXELC31tr3 DS0JImCcGmojwtJlQiw0Dd5lE7NBRYNkFasla4N7IXN3yr4nbxl9oNcNzhDnVFTTQ/6dnCwVKCRv FkRR8wEvRqHw96O99jWt/KO2YJulOKWW2d5CfSe8lcl9Rko9jmONPR1L5BfY4kcTW2Xyjp+nkrIC fvUWx8o5swIKMjMoNEh5/BUjdohmX24SPoqyQLXKnkPeyd0FwcVefyc0b/gJQa/yjNeutSXENPKx ZGbEvheWNeWEWmINUyDtE9P8seI03F0OGqVvaI1KiWMhm8d2u7THuQLjgVIqMg1USirmvEiBcedO hJVRDlj/RfO2/sv9/99RABQJVkW5CpnOSr/FR8ONed1NnMI567UnaE1XFplFfj6VkxFpmbpEdpex 84PLJNU9cnXFiuNZlnbbJ/SVzcF4yFRk4hz/0BKahmVX+l8McD2Je0n35oKYMNCR8agJ7CmjShmw Rt2Bj57gcl6Vfoo75HbM6TnNSq831wS4hK1Jh0B0eUdlrW318StjjjSJELMzWTq2HkOXPxTxa1l3 kgp98dwVcXOg+WFTNHzoPAUDtwt7yCO2bMG5nu3ilmd/gzuv0LrjiLC/MlkTSXWNcWsrg/xtDEBG VQh8bCXqoCL8nvq69wH3cQ7mhfRHo5l2opiJlZ8ftX5RasdusgnlRN6rvX7OP4LFYK2iLByl5zKr XTtRJKerj6TrHltU1PtWnr2+Vs1PcVeRb1MWTByPL10KEEzPzJctz0pWjs2hXP4nb1K2nDvs0lJF FmM0zPHvUlKxiUiQxN1eJKsTSQpTQqXLwkAQIsSS2JIgnjgHDJB2T2W06FfCFqYUKcn3z8om4lGV MB8G8X6IwkPuzvT9S8xfpfqz0DkLWCFX6BgZcFm69DVt+iWExnrP8vJ9l8XxZk5Mmkz9dehp++t+ qXfPLvXlU3rH1sRK1tTyphR9S3DsCiS8AsZrziUJIQ4s4+Q4xDhfZc3xEmiW6pZNntJd/LUwvDWw OZKj8eRJuNVCsoq2qfpu/i6K9fOWLXyhtPdpwCEVFfE9mFUsOxPJV7pCAmiYzoWPq87qYIXhMqx9 HoZZl6gTsGiFtk18Nl8rbQTmlIRXMv/hfrElcYt4qGuWrjyRpbN5pwGjLn/mW0D8NazFRfcUZ7Gw Idmo7lnCf2SsYayJY1uguT25xmD0FJd8LCMLbNbLZmCE6niCMZ9I7P1qwBItjOUig0bmWAr32Qlj AzOmKhX4sGNIcyIhKNAfaY3D9joBcZ85LDcRk7sUh0DPtuu7dTo5NXVUwEyyt/pyiURkrkxiqWK7 T17zn/q2Y+QK7rmtNpS1mYvCHeSY5b07VAA0USt3FTo8r62N1FMhIF6TtspOizmOTvpXXFOYD/62 YCoUYuFrj/lkLQJKUyhFQn3XKGGX2F7Zww3dGyYcRIZL+jK5LccuvkHdhSwFiFAlriq+ev7CGkjo wTC6d4491Rp8CD3mIWOeW/Kp9A1c/V1CyUmRo8TKEbrzjZKReVV+n6ELnOKt2yoquxIs1mCtLDl4 PI+NEuMk0w38W8qHTzqCGscIxKBSGg1CbcWWOZuP6YK/J4fLPiS9aI5ILgTDiQQn+Zu2qhCp8Yxg 7DjBniDBqWgfFx7Pu4AtcTZd00qDvq/8cM0pwed+nJW6iVV9DazThBoXdIGxd2pCrEDZu+yULLOz xNbxukjSRNzENSrre6iji89dsTYQQhxR1pAkdA5n4Z8WNTQopj28JCyqY3sfEFfjzyxDL/oG5fzp BLLZ3zu2FejfExJhU1Wv2rJlpHFPRedcAAh8xSpleId3+6xHeqtmjfoJP+VF71uAXtrAfQxT++3x sH9NSwLgP+3Rs6ldvJjX2NUb2V5Bt4fM1daulu0MoGRcbnJZzy1RDSkvtB2H/QaQxP0A7M7orAsM 9v0tUf8pfXn458fVPORC1IPnIfiRM5UO/BpKkaufRogfPFj6l+Z52uzfMxlKUnK/Fych/H+OMs0F 1VHxrVmdxcFlgLYS8UrYgeLqXpVYWVJzZJMPZE0fyMyclXJCwsL4tTRee/tcY4Z+vf0ISaClrfvX xzRrs2mQD0AL4X29sKZoTlos+3sw/DKhh1Os9L79/0VE8Ap7FbHVSe35lLKjQ6HQBCozAZ51SVnl Zo9cDr12bvlii3b6L0Pl31f5t9qY4GZ9/5dMdra0mwcXuZwKcy//qEwrj0grg6v9R9uG5sOldK/g F7R5M6SS0nrqv+z5Nfe7qilDINEiErqDwj8b23t4VJfZobSRNLDvely4FUHPB7NdIrqiGmolzsG1 vis62HHBY5JofhNweLkn8S9SkGcsxaYDfKhINZAyWDwqCJCKRPYnvyu2y40Yo6uFf/LRJkPdLBpS rpsrG+wPnz340C7jq6CGRqtaSb3p/QyGx6pmqaXyvNQwnqdAyaWOSXHHUON7PgHEegdw509BWqNH MLtJMsoSGziYfIOFtgeEaQ4qRNIeU2/Ezw08AhgvP+By94ylHVomUHmm2cCKyihzb/QkUSF2oh/X t5EWHW3NwtbgpqtopgdZm4qrG94c3c6hLGDhIchSfiBTUv9EcaQAwMcBJXv/TvneIXO4rwZI7IMO bagpiU3hYY+uKZIdR7p8RAigY2pXSZA9P4TkS90FSTupmBGxuBbUPpbEOcWKrhnNhv4GkedzvEHp pfFTDQBNw1yugC2PhewKlUMMsB3nrPFeHK9DteGOayCC/VTkv8dZvccNNLkd7ZkcAz/YEUr0zTCY NjN+iauyDjO6aY8FRx/XNQcF8s6s22c4ZGeYyJL+jpBktzgP/reODn6UTGt/1QvHgkTIn+bUxk/a 8Cq8IR132hxJjPxW5IZWvnS+anjpVaKPdDhtK5slPRlTcV1uY/wMnI71RjJY/H3NK0SRPb/qkihq RcDHMMBTZNHn1uOHUH2LC9E5aL23H3UHAVBDcc3+vGPPehJ84ZcbZkKBvM65UkFZOnl5w3NLww4W MmjLPVhFviUBu/VQtmEkt9EKy8TeXGnIwLHxIPKi/yNnNrMCcz/3cmfoXyI9q33joRANR8pTv9Bi 44gX4SZkd/+19e7v3INind3qCjdra/bg4ky4oj5YRpaQFCXf7Eu8nnZZkjo3Bt8JqspfUqAfBpt6 wlGJHiKCPMeymwpgq05Mt7fuiPB3Fq8JyYrCi1JUVjsk8cXtRkLEx8sLZQvR/FVbJ6QE4g/8y1zn 7cMEsXPEB32vi0rnuyuO9hRBKy8TnoGYhv5SV+3+PEhEyaQzgF3b61k9XdpFkiV/u/0NH12gJ0d7 OnR/3JwmW5gmCLBS0k7R3ZfjN02wCxGuLmegtxnZxC1BERzzze0D6NRklYOtLLAXj4nzbGg78CI5 vDXd59fjCZ5b0VhLDvWbUAllTAuYNWVvqUtjkWAnODsB0yyL/1+/QE+ptwEpDIdBO2GMsrDn1JHv s7wnmgKy7YDFzfHPIVvkThJnNgEe0cyFgEiEvRtrrPubLnRnztypUGFiF6EZReSHzowJVGhn5V98 VgTwRTUgpwqelTA32mvH8FbHBGOAzin6Z7O34XtamnALA9yZazhxQjlndG7wUOj2y3ZJqVL3pX7S rVvQgPknhuPVoReeUz9x6KHgnfX00verxl1UhxDyZTM4kPN8Cz604h+M+Qo7Ry/hwliWGoPrPNKh EhXZusoDegNFdOcMxL3hTiS3ffWd1K9eo9hxpsFURVJtkymtmU/asXZaOLYNUvGLEdrfiZXmehkP +HWBu/Ka6eB2DBanipehVwSYi795K7GV+ATrzTHrQjl+veWoN2HPF+UNH4giWgcFy+ulOr1Vs3Hi KN/+TNxPL3R7W9mSmkupdM8nP7KnM7isvIv1Zqhaam6M0XZkM5tn3FpBAA5ASWY+WIpzP644O/nj c5C/UrumIVWbscIrKwkey3HJ1eHbPRi0nTPuiaihz2SHIojY4H/mU/ujc04c+RNVxl00gCUrrXMv ulUmufK97Br/+WR3Yrpwj6WgtletkIENi0pvXIiETcJRS4Z8a4uiyK55ga7OsayewGCUrf80PHHd ww9zNr5koSULYURhDzvyXJ/ZfUt7bFeSweZI2l/YJXw6AI5MR46Sx5Bbe/26W8aiom1fy/hy3ptp 95GExBAeVqnSp/yvnpXUY1KApwvUyEA1c8N3j6L86fML+4XSwkn2pEpaump+bQ16ShCQaxhIRdlK 2JmYyZBFrtQYutKSLiNpMo0/Pdr1Ja3zvI61xa3Ff3VAxzL20Ar/327/b1GlzhU5ueRCDC8zjjAy mh8mJpvVJilxhT1j6N9q0+mOLbK4ssZJJcog93WfP8N6LwmpCzw4Cemee8jKkdyxw7+4NSIgGMIK MrFQQT2vKC25JCEftVH8dZYZEfXHHLcH7jmisf2lx8a8XcN76nukOiVOFB6uQj1HfgrnST9rufkn AZfLJxhyQ2KXhYgLgjBKdJYf/xJOvUOzTKl3GW4W0Ug2dqg14/35K5dMr2XLhuTkXU17vSpYU/G1 Ms20aX63Gmj8koUQm6ZRNNFqXeB5KIHO1QcIWBuD/S701NmqYMiGdPb4bTJvZOQzTy2m1/rryabR +mt3f0SoRax4toy90URq+PD7Nbsdk7YRSbZiA+fqwCoDCXW3cLZ7H82vyurkYsoB3GvQLjKhFUh3 0E8ugkh3/cbP/L3u/nkzX7rlPUbs1q2hDSHyK9DhRPNcRNQZcgiiZo8sYnKs/jICz864+8B4OHuf i3nivZG1yGyQBH1V8Lb0F4J1fiyxHDrzfnTTKKX+rsV2SEXFZfwBUtUt+U3CpMkrFH9l7NsedC3W LJN5smT8BdfT8xjPm0W3hnfGEc4H53EINESEM1xVvW9Pxfi1R0UhoWXXE0vm69FYPS+QMQOGA3My hLHAPnOp42DklH6smio5awv9H+Tb7tdgatHSyiPZRQ4DpiT49bPPB3GlCTuMIAeSae7TX01Ii1pQ LqD63JyjFHkEApPuBagD9KCkni9hXcrpDlGBBonkYioxU73XdTFuKU4ROE5Uk4bceu+uLioJHS/L 4xxzmKRF4JtsJTArtqmVjSfdDtgmpOgTDlAD3O4UVzjsyInEcEIpBQH+NL0bortZ4WkvXG2SpCBL Y980L7aOMPdWkVs6BOfzAATPY16E4zaBBpWikZZtgierqsHXX4SkxDM+arvIaBbPT9TuXLh6kxZ8 RO1T5zJ6CJSHBTj1mzzG31cAkUXFC/aYfe4Ertb/jx75tYChA2iHyi/ObwoiSNr5HN9nzvNhT3QP vxCPunTbfUxhUxWkha4eaQPEfbixR93fbYr2Ca0FFPoh1uwbTKm2ZThxtZa2dYU1BK0bAfL+GIDV UC4ki2B2ufZyOtfLobhLDRjDbMBHzdQVgHmkZWL9WHL/2UYgTo6eosp/YHG/yI+BcUDO+DVJWv40 td+Y59UIff70oF7gkbZ1A/73NdW1VBqqpJCeQasxvEdl7Y0XzEazJpmlhVy/roK8J9ayV/BBhUfY IVu2WYmKwS1LV+UpX+y0p0uBrAjab+eyGyCsB7R1gBXr+TnpGkgTYv5fLk2YVZJSExLVfZ7WIzT+ tK91V+Ed5xDSY6Ou8X7D/UPxl1YjzXZwMicpc036H6TLNNQfbrgZ7nL4H+yr9VyHrfvsCPj5jI7v D2yHE7wox2M5SPWFvo6Z8pzTUeZ/5f+qH0iH6Hx2TG0kvDf5ITmLWtlG6n3hnD1JfimKk1TlSLT5 1TLwLAo87wBn2l8HaAfov9zt0oYlVc1uxjmsB+d8UsHRljg/IM/T8sFLdjRVKQC1tInB0mGAk0Ru h1e/Kg2MT+UMeSj9x+DWKyBIzEH4kZvbuze9SMEGQb9/P8Xs8334n0DcDM8M89hEqWQEoDPslYJ/ HuXVVvOGDaHbExOaCN2t68HUaK3SgE/vwoL+hVhCmQ8WmMfdJdbB3+MFETETdfX8bUNdu/EVw/Mv tcaW0ae0IaKOdLvaWrIKxP2L9uE0DBhyVh9bJBKzxCRvBkuJtFbpdTOfqkyPVQPvuatGKNYBPQ77 +1EfeCzjwOgdTeKD7vq1EjQTbiNfsdOdFpMvrvwm8QGzmtS1b1EHIfkmnt8F6cAERwEvDfVv245w 5XVwRhT+Vq+dv64XmJh9frzFPRlEtXF0gwR8kW+aLMYl40chDuBYxeS2wNIXG7GK8IRHnifngC1e t/TkGK/VW4DrZ5SKmr15zPjC+YZO9CNDwcqtO10bDj7KqJUC3Xk1KKxk8NjenFJd139UkFgY4WpY 5DBlXhhuls/hwh7AsMB7m1+Y3J5ffV4mT6kPcGyceefJBmFHCFUFmGddzMH/OqWiyydQFX8cpYcE guUAn8IiT8JrsRtjwQen3kd1aft2eO6EQ7b0SERllSshNs82U9Q5cEBXczV+Tr1zBiYH2QjvjogH re2zDyp+57WedIe0T9xHA+RKns5PjWc0dNrjE7PFpAHm7dLXy88xYN0xpzhJDYfUAj1Z+O7119n/ 9n0e7RMk6/bwOao78ArdynUlQh+2PeZlRyTU4a/nr/YcxXXVzqArBcOtfsJHNkNF0fFAKIuUkIL2 TPFQw/EhqKNqNmb2P4o0NdxMYAqV0Fnm5RoKckaHUI1qnJgt+bvKOHbf9temVsuL9+obD3YjVNFS KGU2FjuInycj6xRNk+nz3ArNhM8FEIqXl4CfRb+DrIqf0X+EYXjtH/3H/3I8As3AFrP8SWEvk+kl 24jGR0f/Iksd59b9r5yAE1zbeso5OO5e1HcVgCgi3+bhV8JdGvbD+G3EtUxhd5PFLVzsJzdJBz+h h4cWuGxn7uVQf23rPOi8R7a/4+qHfAmF2lRgbaqCvoscgpJqN15tIO4GI3refLdHWcnMu2c8+U0O DYztt7xf09ASVPqWEg/z8I50lLfj8LY5+SH04GbWGlDL15t5LxQL47N4VjAj8OXESYr+E69zFrVl stCZ2L3vSyzLlsFvO0+CKhLzfJXzYIpkWq656d5Ts0JZjKCoV/HK8pa0yzad4J2PbmaW3aN8t9FU C2avBH89+RFxE2HuP2opni5zCDYg+wdHQnR89S3fXY5KDJwtnrRz24dweHx39v59/cQ7IP60ac2x 9SN9W2OD7kGXLM3g/s/j8TiFRd+9FCJ+SnuJM/VuVNGtpYIIusDQy1wu8VrWfMiJw6c+F8mODKeN GvgFGqpBihSAQrxSM9YCEwwRqrcJJZu5EbHgVXqMjp6msEVM26p+pJtVdVQcGGXW+LYKlgCDAO6j af5OYI0xqDmoqGehuEnZ5xpd4RlNoIht5FD9+gfvyigV8xw6WIVtwYrv+0AhwOCiKxv4XcQxsP8P IhZnkGuEe9efj88HptCC1fmFHrzLEFD4cwCkc9w1+C1jTnQbCPp/pb9sqGd6hmJd9oz6gjEZ9B3i H4gVpTW9uEaYUKzwQv18cz9BEPn7S/ZAGqceBARpnhpa3VRyTFb4/8kvvnE2uEI9ZXhjrIdadvV8 QjAp8V8KmaNNuzDv5e8mzI5BBL1OnmNUe3cPed+MAoJZkidbdcshKlaJd48N5lS8+iLGod22W2z8 ZMY9UPQKtm/JGkyMYRmgOChsHR7bF730g1oGTEPffiauiDifx3TZpm9EQ0+GKm0yA1zNxzU+ar/k 7G8dooT0fg222bC9c/CkG9Gmp5oOLUDJ72Q8sqqnhErIhG6AbsmOvxJbW1tEUornZqA/RMVjceFT rb/ZPueUIqnNDW32clB6vkmp0kHciUGxgoCkSajX7lMXFlqvy++soz5xr9LMYDHDZDouO7VqZJa8 reaOosVZdj5ZGa5NcvUAINPj6Sa5ShDEHG5HIP/u7Rou2RipkDuvc++jriSx2soiOVbYoP1IXj1n BFVcflXzo+eG9kYbXhFambOKzVABPgavo9GqCfqawwoiLgFx8zWkz/EywMhZ3A1w8EeH8qMxEE4N wfDxOywEselsqRLVMYWMIFZ9E8nuxI/ADfILerSLnj0z68SQCaKCmkubNUskg0KQKdldKZXI3leX rnZb302OrR+hvSh5OuUP0Ov+e/kkU0+mspopc2PUa1XQHXJFeKs8ZUqMAM2Jzu0XukJlw6Aou1N1 ib2wwMlH1t5z+0B4P5PgkQnwQJWH1rq2cjrqh7jBOsngbg1DrxnzNM549WfUHHkbFMttxt9IZKBh 7Zod/jMsbpfcfPdnv+a2BFZxpS+BhKVSzRdr2IAgApmHJlz2z+3xys98oPZfJ/3D5BsHZhlk6Dbv NtiVHkDzmCB6/EOBwi2Dqo71qcRYyisG1G6V8Muy0fObTOnY/k9zrxtsLTbxkwkHaW7wx1WdPi/N 5o87zU+3Qywnn0zJRBFiqAs7Zi5fgpFEIMJOlXz5EBJCuKaBiBGuITXJrO1ysHh6bqKPAMDKbvFZ a0L5G0MQOxGI4M8UcPbPn9xb6QZGaZNjKgdYOXlzHAzZa/9zrHfNWZsic8s0YwbYq8RbofiRF9M0 aor/xNTmqiip2yLvagAtw9g4OokLu3LY2js92NNUj7pa7CtHxzo+L3wCsIpD4/4Xv8crPQQ7VHCw dsSlGfsOp9EJGSDAEAqFq5GDXOGnrRDg598t00uD/QFElwpFQZ44J4u+kzwiirKopOkX1xlJhPuI HXwl/0pJReEKQHLiVb3AJKGUd/Wjh7v+0o1wMS5DCjAlYT/zxXrsSp+baOWYz31BWXG9dEP4A5ks R0K6ytUggwHK898LZ9CBok+7jWsQWgPV0BphgktsEc7fs/mMKC8P1C4empeJo+Nj+7Sy7DNINzwh yvu6RFAKS3KE2bWzXver9UeKLmy/m6mPVTHnPGXHXJA66fhlkqSQml+pnJppzkAj75KfvkeFL4LS jBdmZ1XoWhUN5ZJFBIaBLfXKVh8jdXtF1aWWGrtMa7b0SAtDWNB65+PS2oE+viLG9igbxcZQyP/E LxfbVszS+AXeJptqg1TE+lQkwlfTGYeaKFnlNLviJNWqiJWNdOMNB/DzDQSq95Nw0gR1lIJfhkZ8 tUT3g0xDjTckwmDQc58xVpoRVRjSDOp0OobOvdE4Nt1ma6+e4yV+qUDPTafYb2Zfgq8VzdGS6Os+ bcnmEIinW979bB5liHRgrE165mSxmSa/m+v0BQJSTzGEJqMuKUuQQIiHMZ/buax9+A8NYTPPk7kT Ps9UpzmGGdi4i5kaadLdO51+ZQISv05rvMrgnDPqz9sXtmSJjNkog4hAhLrzszd5qsy/o4LnbJGq W0YObUoRHO4WUQXSMaUz99or8+FVJRgKXldZo4KHXSYwzaBlJOJBUm27/aMW7XzsEX7OvpQOpx0K nZaAotPpDJk17i+xXGjW+tyjuvydu3ipsW0JFrlEE1kYAKUmoVC5poweyhbGhl+75Vgcow0wOs2Q Zoi/7DWlo21DdbN8IxoFtg3lsdVsK1v62b8W6aqz4pgBMCmNtqA/M3D9WwKlylYYoMYAbRjObx2/ NJnb93LPju1XnIecV7zfPZ8efbInaVhgPDNvfcFpi0yA9peqOAPM8dPsT3hpBA/MrQ2XMPN5aqAV HdZNfNhyALcHkEc7GQvUInZ2N4wRgMOYLs8E/en1+DfNitGAB2C0kp+ghcNLXSLKI4+4lngYjiff YtE96vgXMOdPIG1SSfb7Oh6vH9ARj0u0CLibHPHgXE7/WOcMczytb273QPwHSHnOVxKo5INQWo3c lOfBh+eZO1HPYpqjh+NmsgmI18PZ4skCL6exO7I43gQow0BMU5bepb0GtVXJ/+wnFfZ8nBDOxbvT w86geZs9vRKJaisHBRKT7dhOlw+K9X4e5uODvjut77kV+Wv+7oy8NJEd/qlUez+nJIA1m0EUpRJ+ WLhZX2tOZn26i/Se+7jeoIT1u97yWuMBBEHzHNRmZGs+gFSnRzlJbQtA0l/fTHkeiuRIW6HFQNfJ tJhg3Yl9vgAVNmzOdjQpapJBnPLhY4csQc+1NcCbliNvLH3RwDTebCrlGp1NXiv7jbyLDhSBGNes GDj/IfeWfReDVXgBWlkU6N1q/A6hOPPIzALGMHkUJheRWg4CYbzUTAxHnXLEItaq/dSfiol5pk5g myzdnU2M0dRuEQ/tRJlrVCrq3/hWCkOqnKls4qtrejeFwwFM2lAhBjr+Q+B9v6WwbxNwgS5k5neY cpVUityxhIPK6Lc6/Vdifzu6cdhkxfl52BgoEgwYhCyE/iVIE085kDDcfqs2j2FO3lONk14xPsti VAvNZ/qX4cafY3elva/hyrS8BRliPU5K5G2/QmQLCoUCRpZEWrupS9vH0XB/jEtlAr1LZpjT0n0N J+9rTyQdCn+BmjDAwJRYs8j+462njAZghyso25tGHm/Acw76lNb84jZ/pAqc5iw9GEXtV/gfA057 lFhXQ1YGiu9r3I8PPfCjC+wsk1n2frcisaZRdD98rsOmMfuBKoZquDuBs4fbpaTYkBYf770EnbxE W+AskNHzZGSCloPa4l2PU0JbG/sbt1D2hSlbGrX2+ZuRL6eWbWK+VUTKFMVka2oUO3xoIgyY4CsU SlH7Dem9xHKTvvHgBL8tH69BhOBLoiP3Vfe00yp26WDCPUUDTIHCLs3d043lV+MRWnNWQBpwDUJz lcsNv2z31ExHAK3pIlC9F1wuxrIoDyhP11wfakEvUZCCRFcwYvXMPtuYYl37hajh109V6/JBZZR7 /nY11+tqd1x1gVhbpfyrfq6b5boJ3m3tkdVlateMAWr09xx8Nrsre5ZHX1NOR7lw8wwDHabpUZyC fepHNdeRu8aw8k2dInY4YFMX5DKiQpa2rfTht/WR/FCvM9fvil+6EWvc9gEoxdws9xZu0ozpzoI+ rnFcixUbWafCYFPFTubV0gksQoFZxHK0cH3z3SjNbnJgEGybaCICM3zr4vW3g+HTAqNvd7e4cxYq AkyrKKscZrWhzBlZ1MzPx5hHxYkPnLD/3cXGTFKBIo0/3gcmv0Sc7l5SchcGO4nbueypJtRJMwCm XTAsn480GTgntZdgoDM9eBTcN/+srznPqkz6S82OrIKaMfDLyQSt9sp2Y9FhIArGgVTKQGm9N0Gm pk5QoEtzVxBhWhuc6kR7W0YvK2ZmGk59TRLJmSTVeTXg/sEjexeams7V79fZsOmsx1SMV1F3pqjq 44OxM7YWqX7I/whSwq3t9k6T2Z2udERZSNctAxGc6ehWvSF3vaq6gKVFaeSIEay+bjXdaEd7w9rB uJg9V2aV3zSVrbqcloLO2tJ/kGrTQ57M3jKeYUSscSa/WJaN69VMX3cPMF6+XD83e01NxFFn9ObY c8HL658TXnTifuJR2ram6xfrwhedSHC61qclqnnY4GaqHvbEdxlZbSoZPPtYt9lvonwrsQAm0Onb eKyIHoV/Ulrs4RrhCS8FP0KjvsTuFSVQ01suguAMQdOwSdKg+Po6vcG4tOFTttox6QoEMKSZWxK4 O3tY2HiCu7UZ6SlwHG4wASB/5SrHDA7ZIWYxN1WNjJDnkZjjShX1ZHyxkuyTjdqOpy9usF6ImV2+ wGqLZonhN1ORl2VujWf7K5WLtEMpFspvHUqWp2EUsak/uSuJ55rZ0+1t56Ni34Hcq8iBBmb5eUNY EcYZ5SOeA8nomoQ8IqZKe11bx3axHIMehfoQ+riNfw9JBpp1MIv1s8npvT8hSEqzgpaiVJdxHmvR Vr/beI+qM0IjhS+/sRO4U3JNaao+XEMKid2GJWUiJOWzDINi/GHeuAWSFUEDzHNhla8Jx1z/rO6L NTIDO6YK2gfHHJN+vtWaW07egHV8qf7brXVz1qatfzugt6++b7TqnOxSst22iTyFlS4jplemBMqQ QrwSIhVOtjSkmhsYZAZJuXB7/d9ZSlhnGIElR53yGex8nsqurnhWQe/xqsFJZx3vwOV6SlLIJBLB p58/1EjtdLRtpYQEc/fdCCiIaMAaGODjCKfdHxyAb6mFW5WMOW6L73D5Ygeg9PYYXBAAw5mn+KzQ UNl+xAL4k5pm980+Be6d20TdmYWKWnGlEiDAxqr+uTCv85Dw8wkJ5WkAJ79mgENi1H1pioUJ5BP5 maRdlwqUyJw8+T5q7S8ikdWVLMzxM231kS5I5ofxhv2iLq+Vv+hftFOo4YJxsG3FVk+iVbVu4Db7 PA8rwvU38zIC7xTZhkanrn3Rota6CTWtLvlDjgaBXjt/f+7k0unfLjuNpWlZi1yQur7mXikD1DN8 hk8l8rdi+0xKHnwSWyV67gArnSWX3GWrAC9KeuxS+CC6SkwEzDAn/n3/OLivQO1N8etYpkYDsBrv YiKRAkLBWIyYk4F1MbIOqxJJkt1qhTUhmBDLqCu1DW3zoTsGHedKk2l00K3xLHpDHYsM75MZD66A htjzXlCWO2CL8tTIVnsT7K1mj7DfaqfMM5XEKZa2gs72bD9QR/i+DDD4pFksbEqfxEn/PzyONED7 tyGdbG44ZZdRGYc1+EGqa7kOQahL16fLVpk8pLlexr2OrMFULeolix64EKSew8l63I+1BlvMcAhL QnnkK/0tcKFjjtQ0UFBXvyhzlIOcGvbEp7RwPZJOm3pWWaJ2yf7JmPVoNpu51oUHt5ipRnybXl4D JWKoIq3i6I9k5J/lhek4SSrhzjAmnQrWiVA2bNhcGSxZsC3OMoFpMrEt0BCyPSasPV/jAUP25D/r umO+3noPOj4NSHvPQV6/udOVZAnAlFmgz6D0098QdEd3R4WL8AevZJrDHMUNFt5WOT+4SQ0Tovc5 jc4PVQEStVcM/BgGxq3saFUgozm3XIDXfhbLf5uOvSCQ27qUMmVskLRtnBPgXYQolyiX0KfhfGMP z2JqI9kPdsZMvOw/BAbn5RldxLy0CWXPP6Oqcd5D2caGamEFiGXaBH1DxehGzTfyktkwiW4nUkSS 6kSW59odTtEte0m/FxH3raUMWr0B+xKjjnJjxqB7S6oBThwTkucxErs6Q4jyiviyuPp8MV62YsAL NCxlcUzzioEnpgGgivYp/i06zDWMvlL4zc7LXtwOyp1vsllQUgYOuWl4/iuwrISk2N0+c0giBBqZ J2XVnxHem2z6TvfZkz4pph+j7oc9AnVmP0e/gDiLXIx3SgMAfJxi8uoLqinOjQh3XYeW4D280HsG z7zDV7/MgozfHUYxaZ6scv9ZxUgIr+J5WKJFr1K42XehtH6F6BgYsvTw0r9y3o5bCp2W5Ycalra7 HZ4nQWzcuHojdvhBf80QCS2rppZDg5n+/eTQJ1fqtST4WdxjBGrTNMQaMOz6HDIRTOAAGnTPQ/e0 ox4EpFxopP3e6Mx9W6/SzXObL7yXsbgEx28xMmjyi9eg7/64fB4k14I49/H716NFSoApOdzI7/Ce mko3wlUkuWe6ScprYWnIzj/Xlb/qcI/Xjwy52rIdmBIoqwQS1sXMAXRf8ik0qsNs5vy3b3aEDwM9 pgXv1Y0ndGlk+L6THsD4VEfxpZNFT2RU/TIKLnMbGPSFFx0GE6hTpNgYCPciMm2Eec2JXtqHJFJi m5a+LxdBReGkdhylohPNqqv//KmqvjQt8AhtRpAapkhsoT7GtrJOLl98rk0wnYDWdmwPOc+jMKzn D8yHraqFrS7O+8sE6aoE6JHkOxC4S3/0vqiSAAk+cFKlQ7hppYS+4D5RFdVo7s3EvepmdHmPSl8I srhSv6zlAY7n9bf3EW9sUiGOjqOOzhjqCgMhcru5pchRxBAz+LdufasqsmShpDsxSzVABYWTjFbk b7JjL/MlPAAjEwSi9xiuhCcYXWEud958B4K6plVdu0mUeBvByOYjILx/jl3gv0I78G0T92PrS85l 3GmhhSeFf3836uZkq+0nmtACIbvlh4Y7tTOyGZxea1QQzIQ80dfMfbT6oB0ktzzrFvfTt35nKaOq SnXuiz/uCim27ZXJU2ZACchBLpuzG/92VcNPY6riLA36ltQdCnOBIyULwm02t2HUAXp58yRtqbBM 48Ps3yKcTqBHMF+kvtGDpq+sk0sK/ilysVOmojoVxpfy6ss31AJ49EZ8UWagS/bTNz9kLQYD+0pV Fi9jhFVgshTsMAQ94bUWAnkQTWK2yjiq+tQvLf+LeACTY6AZFqiAMl2x0LEYQy+qNnZHmfN056GA xko3g/Af8Ld3PQLJdGCNB4bFNF/oEfJmIqWVruviWdy0GNLKEjz1TQwF+i4lQb30abi8eRG1A2qV d0mn3GD/BrRA9awsbOQHRLxCn3bALRUKFLEtw8LkZywvvC5476oH9RSoFok9/n9CbtOvmnUjCUFt 3X5hDthKE7P/jcbmixo0awT4A+SqUQnfWBU6XXT6z/j0ToJaR1QSkdMplowhm71+b+1CIVFA/qiq s63tVBcQa7OpHHmgAJSTBk+GqDoxUUwA8VT7+rMGG8wl1BozPfn4dU9cZ617WycjoEIkBhjmOYd/ g2h43VB9ObUBnNIfu7k55HNYM9pXmt2v3iH7vtvVRI1if4dfEeX6G3nk/jJYanNE6GlmMycXWuNB koVoW4+i4klzrrEgVaLzZqhmOtlbcR9Mp22dH9hqxI8jaF/dYYhRyPoY2WqVT6WpCXOeKzxrva0E uoAN3FRkZJHe/3nArJTC4C9yflSnWTSWCUV/k42ZbmFF8pYuFxez+t5lZl83WaQwYnPndPhNSYjl IIWTXRMNfhE2qW+aG4SkkxZnYhxsjUqZr3SqIRprN2s4P7Em3gGMoKB8PhEMsDqklMyjXIEu1u2v sEvfc6PN4lsWGN94B7LQMCl4y/daV/15lDcR711L2Wi1l+QUrHokj8d8CnmA+q0OWX+LLteB2tcp ynlq8sy04JnChNfOvtXezuJwDto2/OTfru5MZ9Y2tk4DOwL/jCfauCbGN1hYul15/BgjjJ9cuEgS bbgpDsD6aqGHK7ABvN630gSVrD2Mi9fFwtckiMQPNPgXg+mpXzsTczyLXgBXUQwKRMUYNx9rIcwM TOIqSSOInnRMJ7lar4vy76peGEc2E17H9KjS07fiEm69PNejvKeN1WiQ2CdyjtTzYmI8VxCv6+W9 knVdp2WCTEehM1wrP2cGjUr88k9V/T4WrGC2ryo+FWFA64i6kc3m8mqUtaC+YynC0e8z9tR/PaeF 9jK0u9FjzorD7MtrQTI/6vQN3WaeeOIQ88PSqEPJC9/qogf6iMFsjGxAINYioxodDOKiNzyjw21N ddrd1rcq5BdOsC29aE7rDHZSAPrNDgZQDFynk7CJasmc2OQFD6IgbkUscnacIdLUcZoqoOVYuvNF udqjLFk8xXgjHyW04FqJIajtBMFQwafcgdaybUIGb6cMCMprMVlPzk9eMaYv9xFSl2sMDLD/iccm EfnYx0KqAvjD/1+PbyX8wF1F/MFJuhlufDVvdYEman8Qnkhuca8nVvaEjoL17LHytUd7YLEnf8oE DeXvSTf6VrrDpnM2qn6j3bbwSS5bOt5Xm9hQrweKgLnRZLciKDHwTeMi1gQeiRA4roWnLFwiVr0f TD3qB4a3H3HuXOQIeMEuEtz5bFBHHl049ikn32MnoCe8rpqtA969dO2r4rj8+aMA0ON6LC6TAgmd HWbx0sb5nOzfbHzPLQGyrUBUm4rk9JSaYd0fZbg6RHQbRRrIfKjxi0T5EUY51Lzsq71zTQD6p2Mf 1oPNIP67EUz4ZtIlFtfrZQfZZLr16Cb0ZYYQVTO+IvqB7DKI06/9AjE5N1Dq/cUAAP8OCKX/wXMc IZPcWgeDq8o04qHxnIesFqnqaG0u7nwU+vUx1pt0Ez4VTYQk921PSEegjyr5SuiOwDtKUQ0cHZIw o4S11Wu3M4vQ0WLsg3SKXXaiumOV/BvdMWLSelukQ529no78qpu6cR61TS8nhdU6jrz4n9wHoRVx ExXSleaPWAXpYE0OIBKc7wvEuWfVYMx43IfXroXub0xm1fBaq+p1INrIvRoImGHxepsLzNF6spkr mhkzH3eUn/HfKZCV4YI2vzOUyZoqNCK1MsiAKTkZ/vvZ/hgDNA72EVJ9t1zRC4beE3a13OBAnjCa XCATS9Rd7A+KNapQFv5vD6hdgIz0YMz3mhRAv7lURUjfDhjRP1ZZeQMNb6GTrLcAuqVse9VnpWfy PdigN+aXks6q8OpuN01IvxZM6/4mvHGOHqEykB2l9bRRSHrwYBuk8DMQPB/Hxs+1p9t3H6KDRbeK HLTpC6FTSZupZglHb9PhfIAwxKfiMvha0X3J6l7dCebOOzP9i+iesXaXpw6zJEHSOwjlUAQmHAJy EMLNRZyHzwmwRPE4G19tAmVUdSvpla7OJ3gW/9+BD79d+aZaPMdcYeVlHcY7w3rzFoKWqKICVbhQ cZ9Uwqo+7a+KKYk05G4f4RaH/GupK2AlR5yTh7stOISD45lHPray7sucdzohe+uLSE0UAwyhjIgq A8f28e4P/ze1QTN/PjdevqHHYFSgc4QUvY/fF1prdeB8j+b7fp1QTuWwjUXLKmKIZVd2PBYwe26e f+MbrpWbYWzZA52IWGa5OHOlNzfEN+SFanS2BQgPrFOMbK9McKu0i9ZjZ5s/BlSJ9AXN7dD80lEc 4V5xmMytqVfZsraJXJVBQS7vfrV5vPMRCTxU0zKGbv0pjlCMUmq3/uw3c8Titn9jaa6nK/aZPCzY Exmltc0+OlpA+a7G4wQdw5Pbz/6bznVMBUj7CcNfq5intefuq4zoU5KdyjLhmrQkre+DfMlXcS0j nlptPoko+DeT0iGTo/84fBz/Zbp7nFlLj0HlB9LeTZnWz/8KfQMPIWTxvhsO3KUTjDhvWxQBpfhr eYU/m9Mjz3A9AnKCfwU8DnT3xOy7hjzYbqxOalYQxb5HqMXXFWJr3ATcKpfIjqlBc6kKCuHSsRh0 qE61Kj+4l+rc/GwOAE7QJXtCVJemIqO9ykDb4VZgCggJhlGtcAyCDpjg+M44teq0UgwawfGShdtS fwIKMzAqSBjzYcOymoTjksr6xBzq/+3vhWR4HHXdqnl8aPGfnjEiia/VOteTOXzHuixIR5VcXsVb O2JcdLshNmfK/PZLnmRDYfXl761AGUJ70LcuzLljGBxVYsoN0iTNl669gKEEQXF/1Sd6+B2Syx5r EO5+CelsmmYoXFQs5jPi56iJNmde5apxby3967RQExcsbuuMOgQyh5EK1/W8AiDaoOewJB9IRy8V E/RN7hztvfT4sKrJqoH31X3j8IhkqeyKrJ1gUoYHRqJt2MFk30C3rnaY7eslKjRLFO6Kuu+Eg5N3 Xm1GRIZxYjbOPVCbfK2mwjJtjFxD3JPDP/A8bqjrCwezi2VR+CG6NatMFqqhenOc1oAiY0ne4DOm s/xO8ekOd+xhPLPHkw456+uYtnswnbt2npfwLgySN0rtXV5hgc8kVbKt37+QbaKSjXGJE09Y0m2s 8PqvKiHbvk23Y8VZ+305WGS5U+9fwdz84rf6F2b5q2Vh53Mc4DWfoEjoRdDGQJy/1h4DQxAClyny zthpaEdm1hb2CmzCf0v1GF/7PCziMbSb9hIk5kNKR05J6Ttsn8PDCMghL3UrP0BUBy1Svh5RH0Wd cXGv8VjZr9h2pZscXT2ToWaerNCeAyRKPZ2bV0tz7MdonfwhfkpfXMTrLHHr5wyNuR2pZiVIWByU 1DdVZeH6xeVcOhgqRIGwINjmiEmu2if/rfNTpZDI6xzrsVj0k0BWmwBY4KKQxBtaNOuxfIJ65jRl 9HCYHR9tUfg0/ARwUgHLp8KAMiGVrW5usxo2ldtkA2Pm903wu5C4JNHkYtAwykOxILe8f3CKIzIA SGkec5fUhlQNBzYzf2JJMDxkyUPprJQabovonPi17Kykl6Wo4jpuN8AY2rQqjhOHEqwa/r9G+oIc jBQPQKP40uTDgodD36dqiJpKD7BVtuZrh2qBti+AGgl1DPXQ/8MjqzWnf4/+k9NV1u+D4CgKDMwz fMpL0VKEVepL1hRSKlwsavuOGMJHJrWIVygoISANw0xcKO0EJb/5fYm47wbUEMKQ9zgTZs4QXjo6 mkKU/mVXXogModYtgcU5xias78Xrrgt2koaaRJFtrZG7WWZ70jSVg4ijwkpjr5B9bl51pzg0Broe W6YHzdofmjNW6ZlKECMswSFaqzJZ8Cye6pTr+qnyTwe9xW30SxmEdAIck6zvMyk2cJ6WhN1YSJj6 8gXBMNNu96+9KY4kz3SPzBaANrXVsWI1lyTKTFl2FVWj2NmfLtgbBW+yIqe+/i0APiNvcflswdZR GfGnDnTK2++0Yoia0bMfyimaqD6HVI3RruaFYP8lehn9fdgIo/4QJDNiNtI7hyNRdW8jmRP9gGyp SoqgJtRwNyLmXBBc34zoi8yU68LzZb9R4JJf4uE1ViFcONKKriaFA8XgtgzQKYkB0wf9nh0G5der vz4lCScMeh3stMHLeEAotP4pFFseDm27vZ7jxieV2qPwOZXUu+zVrTgQLf8iNvk4mi9mnlnQNPUF 9TH98T9GyMR/421JHRM791p1DCNB4u/p8oYIC9rZoA6N9TQ3YlvmSabyQjkgiGky+inV+SjoZuWi 5NmiLLVcj4glgNpNDETvxNyrtgwVL9cSq5H2rSnK7A12qQvx3nkSX5LFPcWrnKTCBnd0uEUgu4Cf RLQFU8xAswu2tetDLuSc5X+VHa7aRH0vIjiOxNCWf3ZidCOTp17+aVfSgAXs+kSgyKwtVUJDuLY4 lxCftNdHYqmZyaamMpVidCdbJEEySTnnAH6epCWHQ1/pagJ77RfPWiYKV16/ct+/1RdHLlOFKofl qLJawEgAGVKu90BLMzPbArkPd5p5UPPegTxvj+ObH7/h+LWP1z8/qJj7GHgu5VBT1tVHO8u0ybqX eNs4RU8idCVVvUtmVcobaYvubnAHFz2NdKammgrghztbHmsQWX8LcsCgr2yi2nR9hxGc/9qqzkNb E8QQuYXmIUySxsyfl/0PV20kAiJCKBRfgcq7u8Q8DZb/Ok2929mXrX6Djk/HaLQMaGqBsZQfPKUm 4JK7XXc/fXJeONNh5oD6x0s78atr9pGT2qWi1j2QQMjAnm5HX3japv6uP3jiwpT1ZTqdhvAan5iQ yUxbaf7Rb1g16MqLvl4KZwBfvUHVzayYVr9Kgj7dyocK6uAcGdGYAMjLvcd4vuU6ovPxf5qZcSC2 /SDtX+660I7hp+Y/8S/nfZsb4Aahz6kL6t7+JN6IhNhxwluPbHPXGOGgcrYARMxPYczjkknsRm54 rMZWctxRBX3K8veeLmzHeNwY0JfRjAbOh7whiIZW98UeVvF3y2Qlz3vwAD5tzWPX0imOnAdp0rC6 EXIrjS8w6vujku0bNaJPVCp4MCVscyyuW+Hn4BlHhbjGcoVWykK7gwZiPno4VoBAB9GWSRU5oLgb kwt1Hpeo/V3cFBvrZVI7AV+CV1Xgmr1Wp+gdG3JnT/ynx0H0WbJYs57H9T2smW+tNmZFGP5mVDY/ N+KiG9S1OU+F3DJCypzN39e3baAYB9bO6/WktE3pC2/4Ffe56rQklNnD4EbfG7dBNcRkeBWweEgc ebfjUsxTRr2ysgHz22aQTOS1jfj3v3gOobNnZ+9mLEPdk0/g3n5d1gOTgVsWZ469mZJsh4g1CQA5 GZLX6g4cvbqH3/h70eZI5SRupaSfWgUJpR0eACfGODIed1J+YAtDme8jM9xHitRZiOU9YgNYQrNt zwuGY3S9ttlITtmSRQN/JNVIsaP8zcfNm0y5pzKArFnaP8C86JApJ1aQOau1WYpuxPA+Upp0jZgl sIv+jNTI/4UmsfXY0Dm7VWaR2Ic7h0RK5B/bm7+1/4oXR2CipoCscozglfrxkvFyeOC9Zyt+QhdD kH6xm0YpG50AH/AZioufQtGcX7gDzctTiNGCcikSk+VljbHJqzgflMmdqQxLj+RYj62RHKrjAoW8 CscPwyQYloD1wprBwdULlAnvdr7gbcJoZKURguDa6uXN2qo/JAbyM5DOLkA84L8UC4Ld1RDPiNX0 TL67QkYyp6FAAQQYutMNbkQaNTTJ5sCXvCVLZTx+x71No2TJlVDTO2bFNygMDk+T5nlJpotVZfnl C71aCA2DVE2kpitgrUaX8fMAD2fw+AnsqMms0mQA4jwI8qIcmhsHRPs7eKf+5heB1HgkOhMlQMEv 1dbsClPSa/xGydxA9qWB6xsrezKnTux8b1oWc4hPrnscjgG6HUR/O6KbX1Jfd6+IAm+s2TyUSy7j 8TW1TeFTxI2aTVa/c6tMQR/2sLNHV5eWIELCPbQHOdTixg09kYLLIkvax2RVSwDAbilq124kHM6q Vukb0DfnMd1M9O5BOaMwQGtijGnjTB87uxxAISg3J9yQ7IhdvnWfCjemhXFolErjrgxn22LzG4nQ e4hRlCAb5bNjb0W6j7hb1EB5VW27E6LLFPlSCc8hr4ac57WBqWzKk+DGyqYtqYMOpyv5FySUIhNl UokjgNwRqhUUsoxwagIqB6p2eKM1WNcQoe7Ag28SDLIirqkE0JCZE3VXhCRz+TQW2cVivklG8egW dPXqrrkLtUmfg7D1WDphBDjjZYPljkaAgxKGwe6cGdMA8QaZzyGRyX2I2/gb5vwtBXd2iWvCBxAp FonKWRZ41J0ZxTyKjYQSLVbBsk/mgQGhw987lmEZbLyWdukAqMij1hngHIIjLQqnvzrs0Mw1kS1F eGdRmx/f9qtjcIi75sh0DX+bHC+mvWAEk6IkJm/rnlkviTPc85bUMAAR+VrbCFwBOqIwKMlLffn4 NdQ36oCwD4Kg7gk++NOxJ3SctJQm56z28YQsBH4Ws9ujP04SJD/RrK/fvQ/5TqyEmjyCDIi/cJp0 DLAI8Fx5+7+bfYA0WvBnOqYd7rAhDmxzFf5zPuyHe3RkC7eTbjlzQP4Lh9NRGZ32tfO36VHj6NSX CWmklZKwEMkegXzqQbtygBWzrFwA4k5sk840bhqmYdlY1Raqu3VJjo0GIlr1JQVf+pMrrpNXj32I FI0rO/o/I+xgOJx9lZyCGp0qljTKY/Cj70WD9ezD5pi5sCDnzGRhm0wUCMC+deVwBwwtDXJInRVf i9KIPuyPt3BA+dKtNRVbuxR7NOBcJkOU8SrFvYekiwr4ydGfjAdMIqZ5TOcfxndsZyCqJy9Qjdik isW2QPElnrWmAGDMsOow8Rd9KErJXk8NzMYXAEiTrX8BFQd+6U16FkpGxVMWLCXzcclHPZ/j9AmG jFwTjYsx7lmXb2IwwiDxF23UnNi5KWDaoYgR1saNo/OSzKqVP7a+Z9gxFanXW7NyQBdxb/hyClXh w4ZZg2wr0ZnXZFc9E2g07owaCFG7uY5afTmisl/ye06qL6jV9GYW2yWlA000H/sQUwSRzqIcfLox q3XBLV39BgEJhn/hkZcM7SRHaR2bvWx/tobdYxqnL7xI4Z4/jmqQKRtn5IntSZ9aqcRzQGvhBIqF pQi0RnANymDpB9kKIajPJC8+lMy9fmtrAj4gCXITraglhem/xjYuWG4p+EVGB1O/kQs/3U6TJKFh 5j4f5AYQNRY+/0FWr7TN3YBURPsrNEUkJnZFyrJ4UHK62ISFo3RTobMEN9ihqry0uTiq3ZN3OVlX coMFHY1Nv24ctD8NOn2zNbZrMhdJ1e/8OeZDZI6eM2TnhGS1GycaW9PSXXbI9Gm0wOXH2Q9rqP5v eUjtZZhYSsDUjRpBUeGtKryV7r8kfzG1QN0/NBm2BAduh76BqtyY1oSC4P6R9PFcyWtLVH/X6sBV pqTLaIIPAgGjipOw41hIFdHAKbingwweluXVq8aj352h2wmgoTr8YF00QuyOF/Xc5cWnVo4rQdDK egLsMQcQGQWmnBYtBM8qJEVec4FgI/rY40G+O+sWc78bqAbNFKc8vg/PWhMGcoat+KrqFUQVwRSP Ae8vKtn1ne9Mb/kpVM2wsoN0Py4k89OQARw29l7Lyf4ri/vJPyoqAQlpHDZCtj+qg9phKk0B8Vz7 drPAogjlU5xLpwlnhHyzydC/dn4NA4G7x95VQKZrTDxr/ohsDq9f79Ra+WAKupMubRKb2GgMDysI vOCIXoAyXoYz7+FtABew+5qneP3A/m5/dMhs4UqgRuoMTrE42NbZqYomt/rw9L/wSJ36NJqexeL3 EnLMMP6UinUCaGoB2nOYUJKCxTymH/PA2Jt1rQyVUJml+z9C0tVVKj0Z+XWmNdPl4cUhL6nTuxun lYuwQEl//7e0yL9d4QUrXk/XL4gwO+PCry5CCWzB8blby5dnM9LmEEGDw2QXsYO0lZ3dMYzYMhQt mj9GDeCv1EToD6p1Tu8G+IdcXEAql5ab8bIbcb06fE0jS2DeiAQ5fAwTTetMVXYyevbfQxNaUzeb xrEXRwOrBRZvN4IkbmRozoTeQzsJquNMRYm3d8dVxMP8IaqAllLtzp9YIlEWaMtaYFfVP8j0Uqlh x2H9PSjQeIdl4mxnOdyrSUeMJeGZ6KfCKCVieusAQLr3hlEkyrzvn5hkJqoFciYEvjZYAGg+6QGv HGe+qlJtKfJoELKreqhATNUdR4+//h1orpUBEPLNTZv9dnfmxT/1+VakeCHn+rcRn1LjH2tnTmxO wQsjtwaRNJVAIrd5jd5uA8ON7mNcA/ao6C/3+UJ/HpQzs2qW43IO1AL82h2vf9zQYURaz693OmD1 /VbARZO+LSF27/Qd3TLN5QfRz+88Ahxf5Y1dmPPakQ508c/tS+q9wsz6kQdHTAZgiQUoonUrjBah II695Hle0Vn5blY+UlCxm8upktVUKXHZfWnxeEnYkyeRgBifKxTuaoobmS+wsMdaXLB3gyGjBmf6 1Agj4hGmbTxNAnVqOu0aHQV20ZDJ+fDRuexAyLDM5eDbe3TP4DBNTFurAHPXj6upkKxSNW6YX8vF ZxYJA+PdbOAxWYvWfcL1EXq4cfbtWK0saAAQGWTkKXTZlpSUFI8czRQp36xYTFMT1FEzjyEg8fLz oH8zB+rgFGU+lD3+i+M4SX/N5jAAZxWtlQtSTWdpFJH6e8PMTTyjI9ztEyp04a4I5V/b8hnbQDv0 tTmJBGYoEHoMCSTGh5RxXoRP+H51SJxgUf2sB0StPTcYpaXeOol3SQ6ppPs3i8B6JE4AZAS62TXi D6wqMBWAnGZ7t6iYWnJ5/j69bP/9Y/A0e+bjU5Wh1/luYSQSWpf5hPHrFtcBAig6VkV+Gfl2L2OK z7qTSRmu2iFt8lllVC59C2194wiL8aqg/MYEq94F4DEhuqESl/FLIGWorHxz6ptXqA1yHZEycjC5 1vP6NeLpl/j4V3yclhtwUjs7oLe0nqbxMD2CZSHg0mgbT760Uadpp80sDV1ZCHKiQ63f7uPW8i6L hKCqWRyTdqXvmCmsbBODPfKpxQPuqaW2+sMyilhvBacdPa5ev+Ow+v7T6gX0fi6uQMVJ5XV+vSq2 Fog+MZ9QYf803+LML9s6HtkqYw2zJmjY/6b6wy41wQxeHs46aCUhlDCSOKsyn5CtrznFO3eG4XGb x6jUi1RZx2t43be2tlCJj+gmtTkY/+NppKOcIvEUSmkD9PVP8sfRwYUE4+tnTG8eRKpSa30KTWyz Vl4A0qxVfW9BDHJse0hdPP+z4gQdhuUldKYHRAz8Fxutuid03qZyHGnpcMtCr9FpNenm9jMeK2yq zF6cunSzbJvIkdngPETzpn4QkHX094jA1qBxXf5lqBQCr1ln/OdgKJciY/RCgHSNRIVRYLoiqidN oZaEUSHEotXdD8khXMgqdvYwufRkIrrsQ70sanbmc4w0CZpmuE4HQXdf4MRc7Jtk1ERny9cMz3Oh R9m5ARY3r3i7UNbxyI6bXTKdTGs0FAd7bBMZWAU5HOdl+JD4wH8O4n9bGvMr8R85vZjK52JF6xy0 gzf/w+ZZx+O3NFsudX4iVHAc48LdGU/6usXYpReEW1ApPsZokEpNnzyY/JPzLTRZ966Lhn2SIouG 9hfQ1bgcrptaoUYhph3yXlTd91yYTvvDa3KOIoAYzSmxVK/KrtQUeRnZWXhLtMxpKk9IUhXSM7Hh xJ+vNEsR+Su42zsW0OgLgoFfzYN9uMPlWAn23GZQx5SkG0BvUs7JGelNBfSazqgop8JAKJwggh2j s58yiAIhlSS2H/hxX9qId4kU8Pvp/qcLDizMf1Ndfvrq0PAjK3YFeuuUnei8w6YaE1gJTskpWsEp plxVZg984GVIdomcaADoNVpkk9UjTbyAaj9s5aYoJekaH5/1GXscaPU96I3SAEr/MIRvCAQsE/VU WAF24FOtcw4DSv58CEnCjyWOWV94+EBIvziqUx7uQ7y55u0u1d/+RQAX5XtJlxCtCXuXj/iDOSEn g0pZmootAmCbp5E/8PEKw1EANSxBGsnfMX2LGQ1UFggH74xSNeJd9saara+R06hvfC393KhQ52Gh YQB3U8mbAh4+SYGCgiWGXnc9GL9VQfq8YMhkNzClCo3eICJOGzxCzQwU6V8xZdkW296RnyblhlbO NkRj00N1dYzCSq9oiY42v7s25GOMEsfJ6s6cd0+tGrET+fENoCoGrI7BJFVsOrP431t2evViAdIa fZPVnJvhwUp6vPQMTgs7dGWVmUisVT99WNus7j+ZqtPQ8CRSdcAmnbni8D3RIY3Miv3emX4UBvVg MFjc10NyyZm0YEKkaAFiRRBgG1IE+DXnFECs2O2rMUKUrL98q5tihO5pQ6ifQZSVgSRyAzK+c51a PXlDvmDoMfD6UCWG9QZYTcbmGGp3hAn3Z9jbZbYWZ8ZepMdDAytbxCfGgwHMjslfEmO+5dkM0UAt 3GH568nV1h4zrm2UudDYwlTu+0xHBoj0XFPacbwM15qn+soUa2EVE3s4k060SA1HbyE7ruDfh5nk ww3vvx24wDGpUbGSadboVZ3Ytv2u3n/x/75vmbyE/SAzPKvoHqL9WHIJY8TIrR23N/cHAUKwMvfG Zzzwmb9+5uQRfEWY+JCB8zmtn+ix2T2n+AWGHnLowmHhAdXlt1JkkYFlHfm5O1FtGINNa7DU5Le/ tg030nY5jQWDnZk0M+dqpsDACYoWcRiIpFC+BM8xXrtqqZ4HoNLS6Ij7DFcw/okmBO9I6YGD+d1i GGJCftkYlocov5QFk4VH24uoLP513bQ+VL2LpZ4XBCXE5PK9NgCSjyFj5PgHDmiutwhaLi+L3X3R HfYi1yQvobOCLzPSZGOqjqEvrwIKxyovtBBoKbnc4hvAxSBGydx1XkX/nV5xaJ26Hb+4Asm+yeWQ W8ipqWVTb0qm0QUV7G9KNarli0U5IHZeS2zFhAVn+XTlBI36lqFt0QnwlVGQC2SA0rJYPIObz+Jd q0b6A7Vdwi3Z1GxbuPc1rXHvdpELsbYvwe+6eTROMuIoXe9kI0XJB3jt5jpNLD+r43UlwU5D2jO3 8h/GkqMBoIRHsiZ20eX6TaXhHyoNJ+ceNIbJTuCm46y75OCjvfoKdUAgK/ZCzp9X6agYI7N8Rwm8 MkB5Ya+yxxn2aUcpHGXnEWglv6ldM1pVXeARKb7N6btKDkYSRfcIVLDBzRiJooRTMfYTHYTS068I rpSk1fCIHvStngoT7sx26f/uJEtYICya1lD2qxeWPJK8hsRUWoah7A+B+im7oqJXNEnfqeJEdMxR I4qKs/tN0jv13sh6afG+e+wBqF68cZKNDnW6lkLIwZ42NtNw11rB0HsKfXpocA/kt32BWIdlvjGa eId5UZBqW3eFeAd7rNwvprsim81UWk6Pa7S+L3QnV1Wb+HBV51BnBgwL0dWKMLUPKCTydf2xKhRD /DLZkcwZlVAzubs3fFNDv4i6F5HK5HxiGFcNenbjM/coK3rgezwdzadC/UyAPi+KSGi4scQRwyiw xWYKOo/rxfhfbBVUSV/veDI2Hv9nUCFwaaZLIw+IAqdbx/Pl5X6Zb6B6T0F1nOpw17kHPWGAlqix bL8hbaF8tCXtI55dI5nZ9pWdfol2JSCur7xjWNqA51lUr2x4jrYRCUtwpqZdCzmjyaYBeY+lGpbH NXoPcIv6f568lwiG5qf44Ii1JJwWPmmZzyPqL9d57jFcmhznM/AjFKmPeCJo0oWMynRS2Wo87eCK GwctrZMcR12MvT+wxQhCnhNq9JdWK1ZuFM6L9jf1po3XRhvNK5kjrz/TPFJuSDp4ebshgufg0ceB oLs2spPm4n9cbRy8e6g+Ed9juwNRdub1QgF0aJ6aXtR3pGHYry7rLTP/RhG9k2fMKUvX1109k3H8 xJAQ94xYmFEc0wg1ITn+PG2NcpzgjZIftGPw+t5MwZ+tWcsCRexBFlhFlLvPeMfMkvdgipqN6KAt NIkhUjYXc9vy1qQZAJD1QSDGeSM5p4u9aQgGaFwGzZojGh0dbqcaJmwSPVOZQnutePCS2hRYKGel hV5HNTE2RyjwRZhe3fqP/xh9EekRrz4Jb9JTmlyn1IvcWnxj2QSKXdWggs9fLO88Nttn1x0dXOvY iggd2nCYgcSSiFyNYRpAOhBmmXxzv4tr9AZeMQKT4sNMoJMO/TW2G/rpaV/nd3R/xNAIseuP0xVt 9Z5rEMyEn8uzba+Xz6e+D4V33jRmI+cNDmY+M3e8vmNslv1ClofxaJ6MjxnPT6va0EwU9jV5dTxd w3w7NIXIkknzzeawdEEtJ2onZCBgqgWeqjUUYkNXFv5yVOP/V0xbmmiiLn4bZrV9IXyCpeRnVl1F 3zPJ4m4v6zzis+/xvlEihU23gRg84df/9xYrZCA7bJ+/5m7m+Q+poRzD5nEEZqbpsVC40qQ6CSSE srmdAPbl1nAWKzO4GxlC9C2CbnXZU1kAVVtorSBprpFXb2Qura+hAbn85w36u47AGOySCDrdHDDE DxaMjGDsXQgcWWrsG9MYz2tB+iGfFr9jBF5KHD13Wf1DA8NmtEzMw8nrc7ylcFU4lE2IXxSfQfmA sXJn2TK8AnlkvC67SE64x7A5cU8gz0VYPzwq39To6p6MuaVOAJgjTQJeaNr96PbVrDJ5g6ChL1l+ NXnxIUrAaezkkKWiztJPTUzahf25/pppzyE+/Gy3whMyMK5S54gHk9XKzu7ejr/ry/8y9OCCnttZ Ag9jLXf+KpMeul3d5V9IOADK8m9sCQIeWlYiwWDudXLAaQAZM6giPOI2P1+vsnuBcgCDgfpPI7JG 2OuhvpG4TAH1MQJC7e26t6o4ZiVfhtAzsHqfAIHZBFl21uP/Xtp/HFJ1WXs/O+NLB5Ex/0SHlRRN n1sAAvdczF5BII1LwbVbn6VGUA09FPOa4j5hlYeIt7QHd5eBW0Nu62r8NJdmlAO0/U5zkdK2isLV bnN5YFK812WS8BbQB9squguHOxdne4qAroGCo/v2ysgkMvOwl1JXSE1czVxRD8tYVH+bOblogeVw 75w6M6KKGOpuxRt2BhPiLoSvMw0OE2nO+jS+RMFudz5gYJmZBjx19Jge2IrQzCQUmnpA798QlJkR 7O6NvaDYpoPdWt4ZXLmFGrdJj3LOPbPTJ3tMb905Ea+I96/xtZTKhdCYiIhjQYbMKi0ha6i/VCgG SeddO68Vd8lw1ggwMoSG92zp8m5IWbxPEPTlBmJZspB59YzfcoXlal7pmsZlSR+kW9b+qNPK9iyu uoCkpAgIsMIAkuv75rWGUhWavX1MENJecQQhmMyV9KJ5zRuzpb70OfRiBKMtKcUowrlAkf0m6ns9 Tc5+bFaQ90AXynMlumxpSbcPCUg5miMRB4CRvRGRY+SMuXrRKLdcJKSgcZHD+LjSPkWdNG56VLaj EwsO63/PDwp+BiT5yXnvPvLO7QAWwHSxSEWXrd2+M5e/06AsYMAd+yvrUjdsNIOb8h4nibjjKPnr 52NgbeF9H+BeErtYLOAy9wRbv6VwyVfYgBVlDIr2m+yt8tTbnFE6sy99XvsnOF2RJN9WHqDZ75Kc 6HuoZ6Wpm2k2195wtuEupxjxmwRHvKiZNla79BIsaX2S/ac9f8zkisOIEcfUg8FGxx2jfpfpDk9T FjCIJVHPP1Q4v2zSeCgvpTUztSoW2sPujdXlO4XqqhR/3XJOaNhSfNvb/wB547H9/C3hXEpdmk6X 3yYDqOWsZ1aFrimrzoh7ZbVDt4To9dbAfoB5lFsfTN6/WcferBBliAoiIaRWk+oCpBIYdw5CeF8V iAQdxp+NgcUgHhzXPAlT2WLbX3DI5nz4n0/mjx1P9psy0Pk3QLxFlVLH2Sxbs84sHL8rIJGbTYgA bwwpXHTCDDYc6+KpGt1hVdL2LAlecM7A19h5klZCSESeOyVVE/xn90gdHTpg6Axn8L6irn79n6+Q VMUooyUTugkIjpR9ASkqlpJNuPmwhGJpVsSTtmZN09bukdnulc6puVc+zVY0Sft7kQXhNwsPlXh9 N/k/GuVIlz5htVDf93Eq0gTScdKy+Kc6P1qZe9hiX/3yR2gjoac6g1+x8+3vgwStjLCHI1WoG2sc S/kyRbodWffM9j7jdzFeCWKM+MBLG70K5rn0f/W19aKjopMItG8HbYP+27NUClv0XnuvXw/AtxXH BJzvP2LtH36We4cmyhBnMIp4HV9V15uA6lqPTitnavHcw2g2zgbY6mQcpgToFpn+/bjqaM4seu32 aEJeQqPEr1yjpqHUWjGQwj5NaL+AZM5oBJ1c5ygQQSf/Qc01L3pfwWqlc1u1Gk9HOYsM07mxSr5k jUzWUnilsuLvzKKxRq8Yel4jBqHNmFMP+UvtDcVjeYA+wlJGAlrJdFbIAeVw91FTTk2ji0KFsUYB hv9dBxpGuQpNzcofkOWKHWqWrm0H9rT9DskWIsiAs9ZP+4q/O2YIZYSn+UV/TbNvTA1TIlGjxgVI 8jgz+n32W32+n9ig94Dc+xfbePIAndnsBDTswG6NPrMeaiHBN0W3xzQOKeDKlV1IZ5lZXCg72G7H urOoigY12AntbWAQIs0KRO6Fap1w9ek28Qg3pXffVFuMsqOu1d085EA1RK2ZKHcj2XQuHF0ZHLb+ h2q4Eg8NWe9/sJWsp9hHnVvAUSTOkX/tYo4jUxmVehp04e4HR5ufmqatmGO4OwXj6ab7cWhr7Bhy XezWlWh4II2qMEVnFWGV8rHLp+gi1Hj4WAK56U6oZG+jKRejLydMjEktWJGurX5WcaEygqNUxXk0 YjfCf1TFFOnRpFDGEgSM2cztsUpI7zvss1182om7v+HS66q62PbjLlBAJEsGT8AFnxQlTCxAJnRQ 3d31l0FvonmsTl4TiRtWhA/InrBhO262oFiwnWa5oQRp2tDTzISIyQaG8o8sZYh5hJ5HyZHhhU9L sp2xwK32dKEaKcOop3XryDUMZsHgqNdrlKwaTb8786tw86qxiuNQGtk/7qQu+WSD1nFioQMqgR9C 7VNw10txZhi11/Ugmx0LYOmyvZYHq39EzP3m02dPyU+WeHL6n4MYaj8CK3JPmf59mWXc0e4vwk/1 BuSw9tGl7NrpTOZnaxjU7CAUD1M46RjFpdpj/9ALE1+anJMKTQiza0QznHW68LyYcKztNVR8Frsu pTl1+w4eROY84e8gOhd18F7N+pqoC/ud/mdFmj14pVm3bWpg3Jke5PGtDjdGHsOtWB8e4N00MKhc GUixd8xRbhVWsNqM5tSYy4IFa4ycMMqBI7dN2RsXJDpSPh3i2SXrhy5roy3c7avKned54D0G21BK x+bgaxgI5lkV9LZd/qIjL3eIh15SCRpyyxLeD7R3U9tfU+HSIGq6C+IoIfN2Z1tieiTw8iE2/FD9 IxRY8JIBh67DCEcXpTxvwyiKM1rS5ZNXXfpcFwEWwehsGJ912eBhqPexzSTfwG3owTflVRm5F4dC rncBW/iSdVz0k6xMqZDTs1aiP8TeJsFt4Sa4ZjDf2lhAGAziH6sNygmh3pAS52j5yFdiNy3uSEgO ZzTnOhH+mbq8Y232f7f/SDp+4LPShp5FwBLRz2hAXZMugrKyIlGjfvj6xhX5k0wZSIWwMEMqfSex XDwIKznCX5YcjDnwNUx5PISwcdV0D/GtIAk5ye5GMBUeB6Wppa1lxmdC2LD7omAzDRrsk5HcmQC0 LSpzQhb62h36XJDTRIEKfOD1bqPryrCo85VEF6V6wAOVajhDHHBIXJ74d3DvI7mb7aoYH1teY76h XKLwP0n7u4QWbRbWv3a+6uGpr3JOJFm2Br+s3KPikKTsACyidvILRpOOK1ocMa8mlIS03AmoK+Hl UHv2DdOyeIuvp0zDV2EfqJ4EYkGI1L9PyK2SA3FetegMb35VE0Yhca9ywejD9bLYJ6aSuw58+Wiv meeh8+ontNDpuXPMId2XM6LmJvuFPrKiB+7unN/tcGm8CnoPfFye7MuvfuiTGfvyLkUJLZJSHs8g TP9L/kFRhFGZ4AyaJDuSPiYubW49DWMmeIAWg7VteX30BFYYaIosn+L9B/CQddBCEe9yihMeY+dE NMizav0Df+dvAHI3ykbSs2XHkb1d/nhClFLFDG8BrhD/sXtmG3ii/J/9H/d+yealjiCCcbmaUsK9 zXGW0Ead/juDguiK6cpscCgA1uVoA8jv0NDDf/43AF7rzi5Xuj+4PsnXikE43ZnTdcQBXZ7aZQvw 6EXv+vOnhVRcXunVh9F4Ix9O/vYHJ/lptMytZbRmhOW7fwLzD4IbVt1xPv+sgEIPcbUX5XJd8ltm CCtfsrxxbKwW/MrcmJTI2eloO87/OD8KGsNQLCUglDTR4BtCXvGDPFQPgc8pXjnfM1vF6s9SR7nv xGwvhV+LqDHvoVrO1TBcuWAx5jxWXnpix0rq63c+Egald61P41l+mgzk8Qn7HC3ZXYi8O/s5a4v/ pmZoy9m9YqbvL6/lnKkyXf/9E/8sfy/TPFj25WnXWo5vOGFbSzipfIBcyL89MLgrMEUyXPAeocR/ 1QNwys5eekJOEpevWOydVPD1/nc6LRcE9+zNB1suznk+16e76PscJPvTnsj5nt70aLQmXDFqsG+D tCbPCG0cxBlDxqSxrH/sthP4VvwcBwGI6pJC/vlfyfy1uy5RNKt1aQsnKuwab6OavACHMZnQWw47 FduV6QsvS0iDKYUKpEXejMb0W2DCK0jjL/WwByOlwc+xDClr+dnIWfbwGoriNYoVSSE61sHThSOD DuTl31SR6Vtpq7IyaXBDv/1vE9o6HLA0q7yOTMUEouAfgGerFqht19d5AMAdcs6Y1qXkDBIRxNQI 3BDxzByn71s61muuM4hr3m9WleYElQVkjzMAvQSZ8PXv5t0Z++Xb2JM0Qgb169LPxpAOqN8U2NJS Lvb37VwFIcRLD8FVtW/uHOg5HCZccAe/FVm3hSkL1/ImWiklGqA+/4kh6RHaFmDmIrbvxiXZTxVK vso8qI2f7Mp0E2dusBTZMIA+HBtil0731O2xOSHWO2oxtpKRlPVvIQQVM/o9+oB5xlgW1WwauU/4 +CEIdC9AU6ePlg4pZxO2VIkhhcOEUSECekkuLK717XF2tJZxwpnBD/Gv7OJyT4lYVPExmWe5irjg 3cfmhjMUhIzMHI76E9y2FWb8CM2U6AtOB9eMLfxlFhiN4VXxIfk/4+IXoEb5QM6zXhk4c5lp7zoX B4Yx7U8TG/qGsWO1QTWSpxzbip9d/3q2Ga4hkM/ut7Ybxc+z82BXCrruku9N1zvc9SRQ1bSgDluc WHbIr0LryY0Kio3ePkBiJrXnWb7wjveJ69OkQlEuW7t/tH23dTC0JYHMJwB+0GMl2lQTb8mA6rhH 5+GIZAO/ZCtHqpN+Eu9fQ8Pb2MIZHjx+w+rNMnSvpsfOn2fwwz4+7Gig0oweuK/czVOTWOwM7PkT Gx5AMP6Omst1ncQKkBhwEKC3m0SV8UbryTSzXOBR2B0UIMaYda7Y50xWdbT2Duzzt7vl9GhVkUwP b9aEEqbx9GkMJPiXC09JXnSUrI/dKCEnuXf+nFn+DGsDl26gRx85JSlTI1XkzGLRqR9Hf22rBa7J Aa8KK4jfHlJDk5gQRg6791uHCW7AU0lEHwejwIYoTgfdZN/dO7y8pr6Y4TF9eIwhwqy/yf2ja81A dCdH2oFds/vbu6zvZkMsgb3JJ0myI2kM87rGCGJ5SgpAc6ZP6DxUYFPUQEGCVsb58yEmC+uQSuEo KC53McvF9J51x6vA3zEpgsZLz0zRIEujIkJh4mHwWBARc/sXWH25N5GWe7T9ITK+CJhGxx/aQQuq iuLxG7W+xBFdzKZB9ahHpdO6hE/CpiNzxUmoOgZ1BOM/T+QG9il0Y1n8cpHQC+on6mmKWuUtCvAD /lKb+5TtT30ytbBXD++dJ+MeR4Fc7491nUUstURInU58WmJZxz0HQLRchgIsrDWJUDJxjMw3AIyb jTznzIiL2xDql8m3M19a8kizV2MvQThm2R6VBio3u+zNiIUNPT4k0rn94aYZu0ayotUEUit92Pp6 6iVaerMtTx0oziSjqX+po8rae6CNBFx1wG2D1DrENJfJ7/3zLI8oSyXg+GLs3G10Vnq+ezJfEzaC nCRGV4WC1z/wOJp8czvOSOYVYgJTV8zm8ntrvQnZEkjqXfDz5dLdq++ThiYpv268OXFT4a4zSBcv 71z5eQxw9QaKJiC+H6iAGuaSP6psIXyL8/5RcwMJHe7PXsywyt8tHCUdMY+akDNH4WC5E1iYu/ma Y39XVh3EYUeazp5KGMOPbfxvX++LZvvAIF+2AzPFOxim5kmowN3Gcd4QFkGhe7ZAQmFO1xmw2g7h 8hKupUOEbje3+7nGtcRtQdO0ZO7osf90aUGWtfww94ainpmkOFO3mE9Kb59zRITnqmBvt+tNaHPA Upw31KfW5J1saeQqUE2gg3El6bfS9j6KU7uwphjbOAPdg+fhw21YVqDslPCHu22w8bwpbKZVRvn+ 2yFs4FDn5qJJ52ZSFvFVzJcltH8dFCKzkvG25Ddl6cq5uf8a7UQLfhiZdoxctfQgQ7JZcGzoxaAk xlqnotBYio+4xUFrLrdooXZbzk9AlLUU8DaTlV1kGRmg3hMdCrC2JSS3TOLHtfCjcAX05OfST34b UA8xtOnu13mITwYXWz+Cxwty1hb5dvmkPIKRB9rypAPpwWa2L06dHHV291joNQlE37lcU2D06Dxx RAIUFQpeyJU+cDqowFH5DZ9rl4Edk9Ldjw7D85UdBbXg37CO2F+nxkOjboOc6iz3/DpwCS+g6Gqp GI51+rBeyghT9rg2JLcG4jQJBIOc62npkE9xm+FsOhAA7dOYsdC2n5gAF46vbSAxIAVa492eItt0 xHgRYiQsds8WP+lmlC1edSGrZv2R8VlGwrPXhSyhUhZDef0BeZkBUV5mpx4YUqBt2ov7E20UCNBh gjYGtuuP0FPkq00xzQN5ugrz/X4UOUBve4ZspeAZFAuyM/b+8sLkbsGvUb+2O+YgEpuEzZvIF2aq 6ikfvZdPQrUuAXghACxCbYNOrUsSuQS5B0ME6w2ojnAaWd09copicg/wA05kds/r/6hgL4LhzffE RXXwKSF9yGI0JhVT7tCb1uGFkYqoiw7WqNzCIj/iF8mkGFGzT63BIIXzmgSj19iRuYAR2LQE50/Y 1JhLM+W3CuPghY2Hecbgv0M/ibdgEF+ma8gnie009xGPh0vRZle9FnUHpZv5YN/0a2IRWuJDP1NI fkMXfKQfqoX6LtmYnhBYHUnObiYvHpkv5TNULsL0Yc6kcujU1KsTVxdldAv6sOtsnqNSeoOWVOrX 4p2jPgq3utToDvub1IXrBimP1UlILjCkPwuUdjEPulLUtmlkA3LjuJI9acNrX2wCuqi5cFrbLkxJ KpjzVqj94groa4PZcJFVNegMrSQWBJUVrnyQTxNUabPZkQ+J5xFdgBqxrDRS10swVS+qOq+8CJtG owWaIpx+zxfgAUXg0bgMp2X9jZ5Kk8xihqhMrE5KPjmPIvebHz5A31YiFg0K8/+0u/zjdEORy5ri 5URyCYTe48sQuCG0ypoNuomgbbt53xZuDS0C1SoT2yUR6Fn6ugU9LCs1RvcCDvzzMBh2+FDZ4Zro lRzppBRH3hAX0i8w37XshAx59+goAz4TtSclKnuncttc4Zx+32ds/Ihyi/4BPV3KHp/0MinIQ0JO vL+8wn2p2prwVDxIBtZXV6wT9qTzDgXyLJUb9j7jXpiDBTLNZwFXDGf17QKR+wojG9BQtskyofBF ZH72CTMIHMZGDALFlDBHHRhvucoGf3qZ+UjsaBLun0ERw0v4amyp2aOTRjPabeE+IC3caFEQMEhN 8ut+f/nV0EJzjL8TXVmGkqtSiDY1XyMrjOLyfPiuVGU2S7mkJp/ASrs0v7FjG5ttzxTCwOM0kVRB rHP2ZA0in5vl3/Ux8PsmZ+KPjWIc6YnokGi9CuTB5KvEE0HP2aKr2ws54CSZlEQ+wBZuT2dizlur 3CgQlyAraVvM4G6vVn8iFfPo28vJ/QZd5XtN+hR5yOsadEMDaMy7fAGg4DziStpobRWo0UeEXSws 4ohRVy21nRg+9UPH0GFEu83gymvcyh/jxKhpLehvnUL7EejShmwRan7AKl2oNiwRn4xW5u1c/h7u Pw00x5IkGVCCLbGW+Sxggcv+rjsiVOwuIW/x0oa6EqWIFeXzPop7lMlfoO1IwEPZhe6vZEksVte8 fqKhlQgW03Z9+kH7OfvxU1MTCjk8xXzm/ifMtPrkZ/D5APsBoUKQrs5SwfFKox5cr+n+CdDJIkw4 /SMNvi0Msy+UD4NwEuEMAuCbjr6+G2BnmY7ymk0U1kJ+Pi9joqI1vff8V1H4nZ90eHRkt3re0lnZ xVteN+1Nx1ExjS+C8hqPtGB+ugAV1uZ3HWjaiQEyuYBcbHPUQWK+xgVZ99pXQtp/9BnoEDKLy8EQ U2OSbibsXm/II6NIGaOA2ou0jINFhH17OVk6Ii9H2uwUCrUN0M5OcO5azoaIihYH0rjTfKOXmaBT NxFio/sAx0qAFb/uuLKFbZFNTyo8IrX7LMMjvKc3DdqeBF0FHfCC3cE7l8OpE61xDO9s6JHU1Vx5 lakcSZB6NPEhy4TwcRiSptY/6ReH/lyqMw+Rbu96Fq2UMLQn8V1fv5VgQq8O8Qq0HLVEFR3XW2QM hG6d7wJ/LNQWBo8wUUD9u1kGipcZ5BCpiUoA0RUm3e6ffc9Xxw+9hDVl6D0knOtNJIxE0f+rXxP2 M6loPwTpwTUhhhQG/iL0+jIYNXezA7cmThQnGxSAzv43CZhUA1k3fUpcTP9EQsxGXRLm+GWEFhBJ VzGHHr81q0KqBSsREL1f1TMz1gUcBgVbwOf8NgFAxKFA/ufPu4t7li9grIrm7ND+5if2Xxg6IBeY Lwg9tnFa3MaDWikHs5CUup4zUUjChj+ky4WS3kzwnjNMusjcoIH3+BLAxqFsDWMmTgGBEQ/rZ2LO j0PTX2hKfeiHyYIa7pDi2HN2LU09HXfEU9JS3keifrKiXkvMqd2WJxnwWhf7SI5f/XinW1fF2MTk jbp9EP6V48fMyo7JCILa9OKbfD1QiTTddNEIjqiikraAJz+oLyYDHxIWzV5lzNSfvcQCRGeKvvuN yk9n5X4Go0nnQdka2kZoK8GJU6OGzOP5ygUOsA3rXutTfbOh5O9RyCdH+dT0d5/JAnKYL/rpH6y1 qopgwE4UQ0GUMI4NMoIfclYH/nHJDyaz5udRukUfFK+Tl1dVANIUaqBGSVpjlWd/fUTDn+kOy+Kq iGn+u+6bu51fRft5CVHHCoPVfOF5tTRusPEIcHOav3/svdztlqDM3zDdJDHQf+U5n07etV5AsAsw J7BKmrk3SFgftovPl3zyAhVd7fL7DQEmYW07CIM/kKwbICEfWxIaDlLgSQevU0rOtS+29VsqaREp RJ/Lg/KACaPWCaBIPyclyX8IKl8C/Cdc+pMzcFg1EycPmb8IYWGW0MhBaJlLtnvz7bQUg0ctcRCQ 85ov4/+blWnfTU4NmCnyah/NCFMU/xnjVlCGZ8ZqycKffbm+Oi4UmiPsQbDpfo5tMrRKrq6Ob9O7 g4Z6gP01I2xwPAnFqR3OU5xgoLk4bAbefqv5c6SlGieNxA15gZAImDVrAuGR4R03eg1qemjXynxm JAeif+5qffHByknGoOKbr90d1qkhdVWxhRh9zGfxf8R+kirGkdxrujTZ9Ln9WdqlJEwNvRVLKjqU 80WPFUkTnNpjQ+TxuhMcllLR6kTns2oZmMWfJ1/C1ze9TDTGSmb2GlwLI+JF2w9apLGDlVp4pHaK 9KHuWSBbxZaoakoSJq5hjv/CaKqeGzWsSH5mZzvUqB9QQ++Vmsegk4i1Zw8slg2Mt+wtvAiX6d1P S+jYBzx+xR9dijHC2vBXAHPQFLJ20ccWielZ+p9FhCINdcQ4RMEn3tWPtPDTd+LVXSxdZk66xj3n x48gZxCTXtjP3YEY7tkLlIaSXnTlcrXvUVwlpYKwzsDATRlzsa/QkMdPXazKZypzz8bHmW3ENqJi rkNujkvFnGueIMke3JPMWJc8OHQTWgzdecHW/C23aVANhgjuhBn5SO6n2pFOh/FLnv1g8HJ+tH34 UBEoAFZtDYI1gd1tbThHi4OkUVFVRm5VnLYbMoITumQiM9KojEDiq2h5tTm3OVoDb1IdhIRbSU9Y YMW0FHXV+MtOBhU7oIPSbZkZXmIZ2tM23zKcVuD6pavWJ8D485XO44S8AUK5Oe8SWlTKCMb1npFd O/60DyphyeKLtv/srfd2BInfhemen2t7GAU/QuQUqZCFL5bL64EsJEEsasXSTDu5Wsg60ZQNKh6F D9iHMkgTqZjtx0x+ohZR83e8GWGu2QDpFouGq1Kt/dItuYvc1N2EfUX8KVA543ePof/sXIJbiqft wMTarVPdQoLV1FzPblWJgsSo6CyqASIxgLBCO8ysWiLdezKNev99vPKwAFX7GUWzZPSa8sl2gm7i K/+hLJYQtUIA1JNOx9Gsvjg93TApMqa/5Znr7CdW/Rp8arRKcUxPy0k2LUzgEdCbQbfmHV3iFrvT NWCJtlcl9lBhJrsPMoUw+QqpxkE4ko6JFferfYHFwipe2nBwHd+OtVspeijPAB4sSt77Lyz2hfDd lQYylQ2W0ar3VZd5uVntIs6no+2FQUiQ4kh034RafonHY3l4ZqzKf/a27Vxjx0El0/xTxU0fDWVI 9jiuXFP7fV9bczWkU3l9Yfwf8B2W53Jep0eDqOPr4oddVE3AM+ksEo7DuoCioac2B5T+qq6uZ1lh +l3QrhJGlzYqEG6n2u15Ig/puj6xn+nlXeBuyMpLauA2lPX2PqZSwERv1ecQv7RGloyS754b+MmQ 9e0U67pHzaKm7hbzukPox3NMb7Xmz9JHdROS4s0M+MVWA8VKJMogPejOvXbBynySBkpc0Aa5vndr dBB5WcIDrgeZsanAqPWA7dOfOKwbIzkYEgNvb9YXbCSW41sRF45pUgn7/WIP7EvQ8eS1i5KqwwPt Ib+GuvxTxa0pEJ0QbMnPV7yoYWghIb1x+3/IU++IFVvAPusIPv5cOWKozlx5F/7l2/kdLy5QkU57 ZhwBeafKzg8UNEpvfU2tji88CNbZVS3op9xDEzs+v1QIB39k5nZ8uKmsozEwhQi7osSlyYOudllw FGIDHpEmGEAzpYEScf2A97ens0joQe5t5jQnG8DHeXVopahCHbd1SbPsZtHUXl7HoYb0dWxXvFga eFunSvrPkk+//Wwn9oYj8trx44shRr1Pj122LgVmWLUqVXJDqE1AFgSDr5EnvktUwkWFFY18J4+v 3qiTskBCbHN7Uhe3/XbSHRTNhuzyIr1o7eROGBCSDReKYiq+zWkj+jU5swZ5fmFLlTPKN5lK/4Ur EywQK04sn0PALjAVZo8AKEKFiwmQ5ajEvKi8S1xnbUtoYiewTBOMFsndfvCEhyMc+r32jbavf+ny 0TOCrH9EfvCv0DdaEIp1JZt9deuMvrR18zFOwM0zeE5N7ES8KYGpRVVLBiF1x44PDgoeu3y8enNn 1dtHA0OMwmdkQ/hZ9s7dCh+ejER8jrZyudQabeDdtlGLJWhBV68+OrBVGBJF5Ioiv3QviSEThy1K VnjHb1scwaRnvxo8Yrg5n3gdljmj7j6HvpTH2lJVLsV7eAKqQNbN4uCiok28XgtQCLailV/YOezE Gv0gko9QZ6v37ZCRvN2kstD4ekgxbfKmfNKgU5842BGCqMaBatec3HxcdWzyOFsJF1Pwp/I264XJ Bi2pb34Ytu3dShsSc0rq3jrtWxA0vqPYmnQ39FlZ7fwr5n7smlXiOC38eC0TqYB4Ad20CPkBmR7j 9xQRzii1oldVGEor8LE90JM8NfmU8QV5MKnl7IEEkithQi5MscU3+WmYkP4BOFXaK9AYI8RpzV1R RkyfUvHgvkxc54OS075WZ6xGdcaE4KUQoLW7pTvVFbNQ01XeYd2AVzxFU2GEV17BYypgpXFOYF0Z qRFbZmFffxvx/cC+gVBBxYuC66Fg3aXuk2goXXShbj9QkEsruB/+G2OrmHhRe4fG8bOYTSGXJ1we 8b+NIrIFCbcAs3s9x85oY9KnPGYKVM7MOf7PizFCdjpm/B98SK7dWyIh183X4QH/EEJHqsvIG697 0fMHGWFu9JtysEEK7U0EQyoxJxqSCB086aRt5P+gPJjfuKx5/9YrFA/fqpkHc63ts1vA+09YTk81 V4sG+wGEy2n83uW7U4n9fQwgaNwwMLA6oqb9/C6TrDJOWe5F60O78AhJgJLniglSU1WTC7U6qk3v CRKuJR1RwCr6yQTY3bf2F66cxwxFFTeLGIUeT6HC9UKQmqq4WDrSWT3CkKCb1O7wReR5TQEFQK7E n8jhanxoPNCMXW3thk06kxOM1QB5QPThB46fYZuC37tRa0mySP9BAscD39Pezw7LnHxYa8C1Jkr5 BG22p0jdwwIPqd3iE85k3fC8QQecHsXJa9lILlJlCOiWtQs6eq+x6RGrxoEgVaQEHy0eMH/YSQN5 i4Ozai5k8FKSkDu3fdpOpTPRq6kZiQSX0WMxiT/+DanYzQFj/xUdpLjbrhLF/5H7aeQ0AhojiE56 BrqlD2UnAydnIsj+7Qyp7FoBHHBv/Kkow7BwPOy/5PvpSxw6ejaNyAXty8UwdfXRYMWo96tTUdgZ x2xB0y2BdUSX8ng74xS9Au01fNE0veL1WQ4Gl8P20v3ulCl+bmwIsYxAdMkrlhE20ij9QuzgWHIK r+XK542nnhKG8jCU/WrKnHMjZssnGg+rpbRZxnow4WYmFLukb93WKpYMaOBcijm5W48Qab47OeKN HXU9Uc9EALrW/V6C+sLLX3oIq2ByM85t11M/Yb60CmT1ar4uIZHLX4F10QC2v49tGlgQGj0SdGks 3oHkqyeDyK+MULtR7hM+4ijKvnFJTm4oiTNFStVzKrJBSz7bgKBDw06x6H5Rbek8chJ3MbbIGPlp x9OcAQhFzcUcG+/LP27ZheLWetRsxB2ny2NPM5Piw7HD0fNC/NqzeYAmSNQVeflihk2w99cTZN5O SdXszRT5bJvvdiK1u7BYas4nKOb11gTpua9r1simB07cUwR4kstAFrg1XgaVj9RxMxIBAkclGx26 obWfwBuxNPKHSxDY6eUa/edH/P7SITcWsNfZupZsNJT9zt6xA/IVR9SnD0Pgdq0XhSMCyfOu22u+ pdu2dTvQi1K+Vn8sk6/MVJA/timrkfX5xx6vw+Ak9JzEd8vw+7EULbC6CmP24gUMo2YkfVuj4uFO RvSRr2xGvBsY8CsyBqgGcWliN56g36V/OGtRhUEscnhiBIingSAgP/huAZE0hmdmh2S74VTFmY9C ROk1hKhOA1nl5aNcqQfIcOVX69BeeStp5BtWh4zJOH9kHVlfdisDGfr86s02HQkm86jLnYBKyfvI 12izyVPUb63hdI/NvcNdjO7FvEo/sbkxDmtfE5fpgBYIqQzsQmF5Cyf4YFW6SddEP+TbK4emdbiA zAE3gFUe0lUazCkCGoq3JRw8MailNAIPcmH4c0jZAm+KIe71WyaZiimqt3y8QeV8gNBJBsDReRyR FKP7kqPw6QkGHQ/QpSRIvuPq7aiStJnN7CC5qbP6FehMfa7hv+IHsHKoQ/FWbpbL3iHJBKskqokS TRg35K7hbjip2XxnZxkgpx+CJGekTHVCw4Kj2lpgJp+ejnHIGmF2hS6TKyt3U+E0uVEYr/viz5M7 5kLZmFv5MiaJnR4hrgGnOOpGkvplB1PK35uK4RI1vgFm7DsKx0Vr6iaF54wXLdaypKDsN/VRMIe9 nw3qb5yrLQiQxSeWCbV5Aci2xqYC4i6Ky7lCPH5NBtw9zGeRy5zJaILvVQTIoRE7wMcL0HZOx3be k+5NqAUbHmsIn95KwFSmKjtJfgiv4/8O6QsOaep1Q9qfkdeSyfHx9DZL4iW0QNxi6NwRqTwvbw5I r31CI4xUC82pzoKN54BGYMe3f+3mgPuz/4RihumNLMk94EyuUkJ9g6jgYbEqZcBbY9x8mmluopFa I+5qSbbA0RvdnxizdxkgNGPQE7Y3/p6APbCj2nlbb2q8y2MDM/Jn9kBUfUcGZGPI/2k6pNPxYNQt IG8EAydTXcC2bechAHifvVWFprsCyth8R4WL9rn7FfVXnbYfElM3zcpJFyJbqb4em8rGT1BS+E/7 3Jj9fhh9SEAhiMu8+pGhYJYQMZcoi8cWQvVgwbjgT3BTeWAG+kzmA8lz9SByUV07vzKjXbGwjDlx 6/vDlfpS4xynNydXOF9sKbC8HxM7vuTG4KJLepSkXo1mBiydduXqMS4lzRPcsNeaZEjJfrXaj9FX CRvT0fihLaMLzhzNh9MtCTC5A+wJuplI7udntipr8CwQOpfQEEi/b7K3DxmqGWIPgsIQ/IEvfHLU 8cnKtMf2nK20+F7RvF+stN3GcEIiJL88qk6cZ20xwWJyw4Md3aZN8cLW3uvWrhVxaRosjKOUn1yT gzlU9Wp6QrB4GUO+M8L7zci+3ZrQ8i4mKFXYcSbVAUR666gXeQtKAjZ47/1/452nLsb0ZSiv8DIk 3n6QljTm9kmUxsYqNLHQwBQRXtD8fizBIrsyW3r5zSrtz1O1aWi4QyGEbOd3q0NmsnvKKgwRpWFy FZTIEt/PBy5c54L4zfQfOeRdzxEfFrodBGqjACoVzYQK11bilxW23V4D4XFUJrrmlHnMbtyaqhXu HupMQ5M3RphwLRSTT396X9oxMnQ0i/wTb6IVUFqOnmFSz/IFwbfag1/exVrf1RXJbph76xauLdkI J8j1+8G5T0bxkkG+t2S/JW0KHm2dCgxfvdR0Lx2A0T6GSb+4ecayeZ3djhXpb07lgy1XwmERqYU2 i54Z7mXJ/U4sJ/wxuhtXruP1u+XAaW4y8ouVEjXM3cZNAT1vZjRGpLX9R8LVSzxTJAMw/KbMJ/6D jqpAGqc1yJUsDeZPyhpunC+Q2A3Kp3aoOpCbjNp5vdFfCe/pXRrQHraGHvnS/bHCRVWeuCNGtTH2 wSy3/t2XbGRWrEfYxrY862kr8CRt38Y4k6+jzirq9jgCzGulkBCR3SVDdjEwGl0oGRLJM8XzNBeC FWfJ/MYQE9WTHsvlLkCSHU6wRESXipdiGCxzQ46bIBe1dKhls0RLcS+pBrlk/kPjCWP3flVR/pzR iMXfOVy7MAB8j9Tt1AZBle2T6UutIgNkmPOeWBRCjld85kOSvspU2P+revq+b9tBfDsdV0XAxhOj qUoTayAmPVZq7F//fuAs9nTOQ1xfOPvFHPsUZ8IW4jYpSq8oKAnVpAyuD7QXwLKlYtjVW7gx6bAe ns2hjfNrJardbAHW73sCiqc9T5EpLaUYKv5AiYGvnZ5qEq2ezqJCm5yZcEZoWUjtFQdlQuYupATW YxLfE4cyZWx8jluGbb+Trvgz18Z+l29PM+FFVQmC+uZzW6uCiLAOJA03JHNmhbtHMrcFWz5MaKHx eJfxGCMtqTD/0p38nlU2INLBADkg6+ZfEgjU3jDSFusklzt/VY0incMd0gGdQcqcrsbm2vjzcU1U SsdoqufxaWSStGRtZVtu9G3Eeyd0Wrc/evF0Yf7F1+6Q5+1HAav4C7v/v3qefBsIhC8bMpfOscYX v/pwo3DPsHAPYTtp1pB3mTxIEqld2fqGMYIADfy0UBYglHdeIxPz5+QO/81hjBt62jFPZ9wzk4U5 7ni/fIKcOHWKjeoES/uGO35YlBTI4x6kg1Prrm9A7Gcp2eQsWA40bxnigK4+Rjuv2HbHZddUomC8 ZPZs2Mv/hqXabKD8Qhqn6oQ0712AQOxx5sIZAI2BQ96YOK4hDGDu1EFGYm283X4hX1uP3see7uMZ hu3uwhorq+WuJboOHG+2Nin6NzoI2gyZNd11LOFVj3eiE24Q8Hgjv+2oXVLAVMkFVT6MMaViTvow SJOgrEXF/SXwtV88xn2ZCNyZIAFDqSZ6pTHDb4vbLGKrZlqgekbTvWtIrsskfeK3iVzJGiyHAAUe pMCBrQngxhNOnjI6Hgcy/se6aw3HZBTiBENRX9Ns3tLfxOmjubHPwxlJpv08ONIyEDcUIYHbm6us 1qVeHBTI6GOThVtITZhbXSQ6GuqWsYYKquSOU1ikQuyIH4yKiMjGvHfHdqxDOpJwrmH8TpZ8+QJe ynYEoz6LV1WisYP30wZh+qf3SW/S7cqu6HVnz/ZDA397xTjyICyQeWn+ShPpMQ4SczeOHpq+4Jz+ 0z9UquIsrN5jgPGaKVgBlWWfrkjtj3fgC1RW6NRna387XtSUxNvp3iMNgHrk/BVuc9rA/jCv+YL+ sjrxBWJsmaEf2GyiePp45uy2dWBHoJisKEGj+fBNNyNb3ZE37JBuCipn5OjkWtFLPcrvqeduGByJ eG4Vy0ngVQvidkmP7S4STuDFPAndvo1psnW4KQavb3vX09uCvn1Ysnb9K7b7yz0Yb2Elp9m76Eun +YPk2VhCKaIzBCh1GHGZgckQMKEd+Y2Zef9dNFkxdOwNxTWyCFipgldiDjk06O7CuqXN6owSIb00 pTXqUq1KLOkGOsbRLhCg/f4iSrD1WXcNaexgrvi9TAe8i9M+qwr8bkmgirFaYTD0jwCNWx4PkvWW UfYt72rzVz3zCdiPpW4jUench6qwUxUNwetdYPeLjbNn25dbVlZU4OuDIErZAXFZ3VGwH+Oa/sU3 2UdE4OCm+pLExOjsp97I6hILESF4KjGmd1X2bljgm4Z2CzuM8gppVKkTp1GvZgJKn/2jrchhGLkl AOFCMT9Fg00iKIuomSzuBZWG5tqjTZhoT6AQcP9iizWB5VEp7J4OI4/a1YoSUElNMWiMQ6RHijrg qViBL8/aAb87HwICFztGMCBr0SE/LJYC3jCESF29i8dUzpB4FDXVkk79D2neNXMOjg5afc3za77u 4P1OrfHTCVqp9oPnSsKJQ4qL0zA13y99+/Dy3OSMVjKN6ovenQRTX1qOoVtrP8ukpSjemWkGo2vl fG/bqmokc6hdFmOP9DAtAECUSlxmOpbvBcK4NOsMBKBgJZVRMTBHVx4CgwTaegakzp6/ibdrOKqj 8b0oeHhU3G3JPX+EOlkUV0xzmhgRIHBDDqFx4wuPqfU8eyprByA8cQxUsiMZFKTYiIja8P0tRzqO 39yBU31CQs7QKd6Uy1ugTXs/4h+LzHCcKLlWqVTsQRRH2A74xCotTj0pDyeuCinyuaRGxm3gntAc Y9SKlGzwTmH50YfTjqiB9yava++BwZPjS2PlD2p3i4ro4KsWFw3Q53u8cDawuf9GiykCGdin/ogd SnjipBmsO/cp2kAbF05vVq0OZQKOIWm/V/Bxzc+VI+r0VHnyf3E6griyGb/iN0BjSphxqe07Ugff nZgGCzKzKPT2RCzJbSPF1NfQiojD2QoL20nDW/HM9nrN8zvZJ0Lxaoc6IZ/dEgHViIurYTW++pNH JqXgcixUiOwg2U4pUptp+7SvG2HHppoNIpGAWg1orGeNRusTaBW1V/zztcJfuFHv/O9aBwd8JGUB sk/wq91xS4DglAuIYFV5ua6cGjs6p5NXdmYM6zw4tEgdTM1LDA99+sTmS+mteDA0AkLdaZ7lGUmP c0ce/jiEkE0yexzinsA6s8rwSbdhDMmoG+OpOubXUe2iUxfSH3uLGKiUURfOhBnbCH6/lu7f6Wtb AnUp0cQ6iLZkLoS2T00T5SJBdio+YUUfoteQI5eRYoVI29V3r1pGNYCtVXQnF1KNBMnhmCEwkLou SGREhdnfi9zwbgG8H0g38KLMwYZCB3M43wz8SHpc+oskUXqjWBduRpz2YINQXHFqrA8hL1sMS61q CywlhvyLcwpnKtNbywGtcqAgcnYkAufMcjxlX9xwwkF8urNRHZo5Ly0vDz6cEDMc02EraNfhEFhN Gx4uzb3avJRHsolUSZS3pZ25Tk9VDjEQ1RDas1Jp+UK/TwJPVUIHpkQ3CF2V2m5RSx0BZB5rY09r 23/z287dMLzMCd8GdW/tDNuQMhjybtHLxuMu/Y69u/I46L6KK+hTLd81BQVK7TzsIUDbbFTwX2UH LreeiaPPDGBkcg2fX+5rcflyziBdZG6JhITBM0x6kjbPcLW9YsTqaNr0aeY++lvLGWdBOwxRFCPo 5kPh2L1ykIeS7CmmplWkcbtI30tGwKaAtBKP12XGssdRGPAnEbPdovIW6UTlGNmlifz24I1BYeAl deOiq3+enKOwwhFr7s3gnuhFIp2pvFvLZXK7b3CSqJbY5tKDhlpRuPWBxxM0savfxXC7ZNzH2fAI Wugc/OI2bK/wPIv1qx9goTbdFaRoYKYU4P97ttzndrLofbN0v8wj19XcdaTTMSugpuhXnYPTZy9S wnSScy8GXWAm8YwxAFV1HOFMrbvRIlJSQUMOolKfBYx1NuF/2NJ6rRgd3dHVsqYUkZ06RNFbUlQG mMVcuMW6fpIkSBkzOJCLqUpHCYzBky22c4JoPUurpvD4i24jf4Ix69tyFz2ii9eoFKf/aR3jGAqF Fn2T+WIaINvVC6t6YV8PoSHwfdBJ9MjgQV3Xiq7knUHNdKICqWiAfcMCTXX5kN5tk/4xFO74f6c7 78S3X6qzhTHDp36ZoDgwnE+QnbJr7bSBKncf8LE4vrpS4eZhipoVLVtfYf/VZoBISSpKq2GPzYtX 4CxcUwuhPLTX5fHZc5v7nUDDkFf5JH8wFa6YUgRu0oxImFJIj5/ptRgQXRYr47dcg2usQaVgsVQl 4cA6ZmEy8cctJ/7pcBa9C9YFVRA8JjkJ58EJtkh4X5xtYN7RG1rMet/eXPM90E+PLGMTwpwntMZ1 Ec9R26kXHsgkdcM81SZuBOBbVYtPEQbPTDKzdniuXaEycRe/PCB6usx2PNGKSePziJB4Uls+Cf9Q 6Yhbh8EvHRpFT58UrlBDxtCZCfTqVVwr/YwJzb/Sgmao76xTHGQT6DL0yEeLxL7X2OFmUQ2OnHm0 V4yEnNbEFhQZTiwA+BYtUnVrgh5fkkjshyBo3RxdnLqyEwi0Gzhj+Lx703Ln6c1xJcVMp/+CpO5/ arYciD+UdO7HJ8bo5zgUsNJbrAUhc4Bf/d/PVBwA82KpidfJmfqXWk78y/Kp7Ukv0pGFpAIth5w/ gMbD66qRqCCkNXbwdAq5CkHQAh+ItwFGOlNN6hJGE+PPiHAWoZTgkzxownQpJGWP738mrKx4k+Gy FnHPxgpe/ecgmI1POoKWAirigSlbpD0AT6r45iBAwGNBYddiNrTONj9yznTxGtJeMcbxp8VWaOBb MN84TERwGJfWEuu1z9hR1hXMNKus+iLVN6jwhYWrfwOU0lxqY6pk41ZxzGdJMn2O4TdWUMx/S3u1 Quv7+3OgAuHKQpL8cA9t62muSAx5BGtsIXKKAMGEBPPblR0soGHliNUOR2VQs3o9Cy7fS25YbHHg qh9I+JWlTKA7/9Y3NFtobLItoYC/rc3PYrmnXAZr7XPIkrWkf6sOG6ftITZv2yfWlWjxKud3Le1q tWwbnz2ynU9KOaEu/MskavatVWaE11GM/ZZBaJZyeKBzxnftch7CQoLR9NAixb3S1uuq/14Isj8e MO1ccY2Alhz56COoPiLpmCg5LIRUgJ0kiMeIKdbw98tqy6M9VEWghz6T/c0ZiO1eCaciigm1CLfg uebKuzD7wLdGNhGFpHFfJkxFUz1hpheAw05lmrHhZ5M/VOE4H8WGfSlY7MvPyC71UG75DUTLL4WL 6AYvsifezznY/nYk0/Q985K5UImAh+gJkZ7eNkmec+9zGmf970B1WoY56LuLII8qi3PgOgJBVyZ0 e2BWfAU/MRDDNPFVI6SWeWgwRANQJIfDzizdGxOPre/09/fPOg5lAJUPC1cH0tU8i2xE1ZNNRWQ3 IuYpxCTOXRI6UrGbIxsvRt0FlmYsuJJmtspqseC7T6iUQkcKiIwGdNE43wDSGrdFfV4yxiP5vzBp BxLTR/y7twA4nmKon8Am7rxk7OeuNCYPB6S7Im2PadZTRlsO+CjtLn076Qo4bVuVbJx8JyOlKaX3 AwX1iTXr3luIjDsEaBwgbnC33qzSOx7jyWXHVyHXytgWyRTeBAZ4VcLnJoL28KCx5WqqQIu5do8O FFxQdLDBSynvcWILJ3EuSK229qfr/v10nHzgQmyU2UQs46tPH/m1EfBh7wuFgghBApLo7nbkN/bU Hta1S2O/iDNsYAXBRRANFwrwIl8e8gQlLxwNK7Ds37pZxWgU/w8iX3Q8n7uHlJ/RXl9kVzLXoYAf 6fPeAdzUQqtaZPj4FfpPCZ4EHbtEj4QFz8lPCsadu7gWDkutggw9RtnNHYaAtOG2FE0iqrUClLd+ TpdiTVFhFfEjTSMDnZWYpUH5vhuMdvAtF3zao5giZAtv9OGXT7nnHh8qd5cw75m6K5LAHKm1mt6Z HKMPn6+J4bjo2qqN43Ma7OjzxGgGTCAq6aG6xjxB5GqPyjhqJZlqu6TfT50xMu4rq739UJBEhPIP gRkZ3K6iwJhIK873KRUBEt1Swu1HHiXt77h0/PEDD2DbbYyu6ZtDO2bK7J00XGOBJ5hjBBXkCFsE wWv0iJ8ee5gd21pWD8JY3jebOuXGMGlj/XduTNOYKBZkMC3HEjCuKoRuJCtmQDLdT8AaI7GFCGDj Y1CY0QsCORVSohzF50gIEt1sXhtbnMLfXlww6kTp5W3Cz4xLBKjApUgmY8wJSkmDDs1CoGstGo1C 9aCbXzl5ZyR/QSsGJxbHfCOwTmDNKy9MMQTpqoIG4KqDFpjtGeXKCrI45Y/n0dBsAvX7FNrrTB6g jSA1shNBuNIK3mn4I+LbLQrwlGBSgb2ksuTW8NUTr6MTtzYxxRwrolP9xsTcYYaZxtPRNP1AZ/Po eiOsFPTdX6GOOkkdAZAsezx3aWIF13837TD7tAfhzx1DLqwO13tr/II3FwRH3zk0yF5s70d0+pxp gP1BywMH9rMAeTKFAxo7flrq5lL27I+TCdbwKhMdN+ioK69nMnWyx2qdTAdAED6G7+d8Cx31eryD gyOp5KDCi939NoNx9b58dv+2Uv97Hua4N3JW3eInPG+DGugCtfQVu34DGOiFuguEtyDvi/x06R+q MU+WU1AJ2eDY9jQ8Ni1LNrXVSORdYfNZPYOYV52P0yX0Wydk5sAQXAr26bZ7H5Zv6KGiuoqBy1LY EqbQF9rG8hwZB6DCKJGp0NiVumofsBcVHfiqsyb5nDCkZYWdTaboFFC03bCs8n6UGS4XCdrxV7Ws 22Lym0YOdr7hQ41c/imoW66pk7US8ofAdJeK9aY5qb9wnbefICDG/FrC/wbCr83n24BtzcUL+nkL WPIsNezqdafTkBz3+MVoZc9fkMGuNcyttGeFLC5WRq/jg4mqWpGoeQ0Z7L7rmvNU0mZSqF2reQjC YAEQPcEAcKCM2u5JTb2GkS8J8fg9vpSobMwLeNIq/svGUZYy9rK1chasCXaF4rx5YQtnJriWvbuI rT3I30oXh+1hlTCXDTAkTR+rW5+gI2flhnsCVKrbi/yYkTqeQna4/Rxt40s+5IqWwd7sH8JWdjCA 6Oq+dIvnVJcyWdY5COcR8QkFO4crlzwnnIm1x32ObHY88Nc6+sGOgO9lb/CDJTXeu8Hc/6WFpxOw CqSQEA/z+CG6UTgIsPPmfciobM2eJq/i3ygOdbsAbhpw1VJ2vkEE9NAqzpEnTk7RFcpjMrulQzQe IyKp9ehkHaSnrXRj3Ler3HOWypOv6SROSQZEHvacgJxoirOOrR9oxfx4aRN3b4cqu6UtW6whfUu0 09WleHd6sS/KL5F9Y3rdQxEDAKplcSrySuXZe4nUYcMQtzZNPPkMclwxBn/vVnLE6PClkdfQN8yB T3uZsk9cjAU8VYABufwn8JFZRYF6BmInXOZ1x7Zj+Ep9IofehGhF/rYEopLB/I65OK4mUzaN4Y0k PZjn0S+fNUIs0qRRkQKUxxfqvURu0kiu3CfIY8EBGtl80UyBW8PvcocAIN1RixaOu6gIOMAqdab3 hWjOGeaTsV3sGSzNAK6Z69qerM6zfFYqocwWZKOUqzVEJAM6cHT0uQrBxw9Sd7BJ9bN8xRIAo104 46MnkLIBh8ACzh5l/37AWu5gylSY7/Apd5bIaD5GSWh8YOwJ0iu338k0iNByI3c2KoUtabsmtQaz gUMseF0kw9Rq6mGMoXI0QlCMVvnsoAmNp4hTt4hMRtwLCzewK0GbHQo3aUEPm/s6rbENgPmxpHs6 hefI/nuitLOw/N+5hC4e/+lHlZujgqgmYQEVeGlzJB1OhcZAXIDlNcbHUtfbNiEX+JiImTjKbAVP pLYqTQ9cFQ37CRJmS5i37TiLPjWWcH38B4AxvPU9IvGackfwZJGdnrTZebWyfUpWIQYnBDjyMdOA D3dKVX1PfJAtunWIXT1llMo4tOleKyQms2u/Wadu0OFGDEEt0OAeyuKM+e6sUMznpJvEahUPpJVg Re9kq/4QeoyCZ4/fQdYUEJlEP0v/jvi8q4x1M0I16hLIRmXMFS3EIMoC/S7QBySq6pTo3mTEjSeM mQoRB8uaFFpoJXTxTwnpZh7stFsPH/Enclz4M2eiDfLF4gw0/ivUE4SR2XN6o7De38+tNemCqsRB 45IlDeP0VGujDI7RBWASkfI+lwlRYCq5SZWSrTebzWgStU/pyC0uVWPOm1FuGvUSjVIBQFk3hGNK roqherhQo0C4Z6e2TOuT1ZXybtTqCVDHzRf31yAu6LtStwWJu4MNd6CvoNqHm8Wyl74dCNzrHE+h QvF7F6/1oFAKPouoBQ7t1l5pDMX3di4WSwrzRnnZU+AA/N52vA9xBJ0JXTjBGFys340Wn9reSnKo CrPTyvMseD2dY2OoxnvudUsw5Z0QOrct/tMiQx2JsTHMsaJdAi0GX0/BEr30Q+qf2+sg+HupnjeM OkgqPsptK2Mu9oC2nwmEfFCcyBYB/OZ9L8Jmv41pUVi8RdtBXnXp8bRr3t2t8zPKBuPWfIV+U7Vp 3/Wz3BEzNoGhcgZY8DgedZyUiQkZ+kZ2fCOQZmYjq21gwhuvOmX9FsjNV1BDR46KbcVI4v6B8EaO 94aUvei2JRB/m7a5r+YHsZf6+uNLI2B/0vlYDuG1uWat7D4zJUXqE7LKtWz7yMtQ6GjkOYf5AucM H1Y3dhtiyWc+QZEqCIuF79qLRhpm4mtylqFrkx5RzNB6LxPxbv7fMmo1UZAfAHfRWSeWq+RIXDx0 2V3xw0XJRf0Pg8ibNqJzvdG3VQgNV2HDxl4O0zbjalwS/Sq25oyWT8DcJJQFOdxvKFcwkqDjMMv9 FFmfXCePkeldaV2boLs652hq1hevJih9om5+Bl3BcEFfKO/Z86A0naqO/wEu/aC68LvN5GScoZPY VMV+7VPD/ZPWydB0ujXXAXUPt6biZ+PcmV0LttZYlNPntZFUnsf+iY6ADV29jgVjqjqLtoKqjy6Q iieuNtF+9ho60uQqoRtEf1AJ0KBARWHTRscsqy3pPApLnvvTxlqpeoNSFdypNdvMHxQmk3x+ob3J 3QG3loRD2RonhrRI1gRqnfQHmKt2wD9v2HOBFY2BSTiD09IhKZEXoQrC+bY6Ui3kZmsmArJiovG+ 4Uc2IYcu2l9wG3V5BYlRJDr6FXTUEw4XIaYaOZgtMlbHdrXJkKqsxDJxvRmlCn7wMV3KnfEIU5QX MH5beSyf3C0oJRjVheO3RD7/bUJo2mzr8ZKx+nzaUH4wnBJLmfVaF0b1/NVv+cgK5n4pV9lWCpgZ su9dGIXVA9vuXaTll2ml5Ib8hSmyN6tuocmfZPgwvf6LI45op4I+rz8IT6vnn3GnXMeO3er37dy2 buVC5kdFx/i818AEp0rbvZBN8V0Aof1zDX4mwKssN2eASjkMaU2eeRmt6pdQIFvlSSEkdLhVMW/O xhG92SenX/DUrOtnBsQhQKFG5gg9eDeY8REyJ4cZGN3mUouPp5+ToYQlaZDarwrWQo2aHiIhamJY t7bb7LbbfVcj8krBJrnXOWCNM7KEWli2ZzugNiWn35XUrU7YfYMCFcEiRSLGKUFrQv1CEDavlcL3 4/clLtFt77XVk/sDMYCB4V2GhMNbLEkKqQVa2fOuNas4T2ipnjWvBPTEKzAfu8dAaLrZLxlTjCwl aAstVx6OondoFEsGCgM3WvDGJcdw6ZqDecC9GpWsKMIh6iKGXPoRSPS/2AFXU5Hk4rnFtqQN8hIy VOWrPkvc99jvZRlCrL8ok1T5BoDmiQHhQXJrd60Oy8hGqDgFWqsOKkppdCWRqC/OTrB8J843sl9U tL9DsxusEmE1QKcSLof/+fX8dFfM5vbR7wLc/NigtOrrh/LlxBDx7zpOj4CdqsUZD0lzyrYpPyxL UJ44MPPT4aHAnUtfiHmQ+rhgAq99HQ7NThTDdiDhJ/t3M0l6ES9ouWmAr2+lsQaiyBro8vSAAvBo m7D18O0lbkaWjpQLcDZgI3gRbHjJPWaagaTqDo0LgLFnAu21la7CL+2bB7dp8hQ3aXoNywj/+Xmj n3IWZoRA+9uY5gAxTmG4AVA6LoFNVzuY3JfbeLtzPJEF7ZMCE2VjI1HBknnBj7c1UaGcU2WsMjZ5 uLdJ/zRMUCqTzWgMVFK79YX8chIMMZTE+ih4qHdo67pL/x3ya1r9f3I9iTQDUa+zSI6kFPYge5uR lGcXmAlT4PHdfK7jmWebD9B97gVN/8U1axn1v4Tauo48XMTMSrPf1pFNaSLNBOP3zYbyr+YQCQ2I F5WdjOftwO8ouARRAx5BXspV9wOY66Fm0ttB7+Uz0VS9TeiSdjPaMbkSRbA/rtkSpZz4Yfno134t RJ/8IAoe9TnTmIWQNTOEvdh8gt6uSxhEsfeCNx+A/Rv4+1kqvjyho4cvxz8psD3IFdqtMef063r7 WNRjQVdXqyme1hkPI1OzBKyMjl53JTDBabcQd6WjNM+OgaohXocTAS6uZnPmlk7W5UarFoEm+1b4 tH/iFszLrpzaSdaFOl0y1VQ5W4MSNgESJyaap1qUc0IlZ/aEaB+V58e0qeMHw5qLaB6UxuXtTfIb UC2LRTtrUf+vKPnm1RDbswhhfyJmossFETvomyvkelV23qlQlaZdUIixAorEHluRjYD/9Do5JQQf jKU+zK1Q18S8we2sdGQS9BNPNxHBdiUwfT3NvdVRzbGe+sk41qEjchpFZ1L9jq1u1zaaQ9uY4jMn hZzxrXAeQUXiX7LBXn5gArXlAb8FWAONJ26/am91SF+HBu1ldm2uC/wIdmd+em5IzhcftUGoMvcz ojGGohF8Xwr3AO3PsJvKN78CBV/TPC0o/2AFFa6m55CsPOx0Hri/aLE8AqYXTKXwzCWUPgFdwc1+ yHLCfSwittgz3r3Ln/UxNZKDtskppMrnNQ8MgsPeYh7jYIaUM7hlwJ1GBO1SWsesK+sPHr0oIekz R811r5YZwsJcEnDNBPQqiE6LQ/BY7+fmRMnR09Y2jw8UypHwzb/UUgQ2URgCPp3+gGIkzy+CFUrX JVdmiec+Pq9HMLJmdzEn+4zxcBj3Ohw1FCvMHpxnudYrdRZ4v10Q5cH9NCYvPsI2Sh3R32iXeVT4 z27H2bzsSHtqcWtY/nQHnV3/rPZN7kAp9kmwKTJu5nzYUZNepSf+Pu6N+7QwqERTYmytniaZ0m1y YGKUFuTJMYmjdsxJ/3kwBi8ryvtyiB+v6BCT02tdvLFq7Ps3hOcFz6SiithI44guM/o0jYdHkSNi km9eKknuF6uMjKTHVA/ndP2858rBBXe3dP9c/GbykY0e944ZISXsI65YPuR3m9WDt4OaKSafFygm asfvbTHFSkRh/yt4wHDqfIPbmP/7m0Uc5vMr86mfL+mQNV1cZvEBtLOTPxR6XsCuVNsUKesKxa05 WQqe1ICPws/T0IWf1ZQguwzZFsUkgq0UgMdob3M74dR9ge9HA9w8tFDJfGBmmggzBoHFdFPRCJJs Z6OnXMZEENWcI7k9tsiJLsHu2n6Rw4u2wmt1QIA4EHrxvDX/FbExXR+amFeYauW9mqhVSQrfWOkr G/KAQCsqTxp5DRScXJEH8G8+fi1Cj32a26ZjbCkQUtpOuP+2fJQ1cynMXF/e9I+8rrVHV96UgX1w 2QoE8il+xUenL8VBQc04xwdtd8zNR6bgLsC4y6Bf/DuE/68RaShPK4ijkKH3BOe77MmYcGaFStYz D/6DCyzBx/mb38+eHS8aYUNhPr/b6o6/GRjU7VVb7x66K2C3AEbphfMc+KqZGUUlVxFUOpj8sZor dzs7sN08Wm/1DC+XAfTDuseE375HflDsVp8Ri//08YHhJ32xYbiD9J+vhcqKeiqWxoBEJXW8CYaH Sfr4PmmNqW19lpY38uPnkLPc4pLeoftwk9Nv0aE3G4j5M/Uqte4Fa7hTDcH4tabOCkPEAHcKFXDo 4xaRQyyESlD+a27TEbCXsa2uDmAhpuUHvAtVFfUjQQuHm5mDZ3E0sAAtJ4iwGPyCANeMyAzw0dL6 ExO6k+tRRkg2ZfwdRUy90JMXxKOnvFm3MrsSkBeYJ0I/SjztbLz0NZqgLJAQTY5a2w4WqauOutmY n8jE7omWQ5KvEtsSLcPKrDi7RENz47+rt81TiB7yEdS8M0+O0522xoDQA1J7ZbUmakik/ra2dki0 DB9shOC3FLwEHv3AR4RS5T+FadzbCkEB6CGEOy7pu5f7qRSrYxRGk+cl2hFyUCDpUH1UbsH4PJ6w yKu6ezS1mmlsbc9CSm4K1xsASLrPWw4g1zynAaW4nySy+KVCJ026MH3/W2FSzmjc9REYWoch0Kkj H307Lbpac7XfAuRR5jd6ubxml3coM8jAvnPZHmbhZ2xADabYC4zpzxTUw5i0tEJ2cGfkySTYKFa+ 5EqmwvGH4HmoDuddYQ27K6nzTM53t9/CckqYK/taF0kjyn+1X4ddm3Q1yyeMS2cOrsEv9rxpCPBF wRpxhei5aFb09rRbmlMriDbmBJOkghyjU66EhACHObsIzZyxgE07wNKqomADlfloTgk6mkGj2H2X u+vn1gWRNxQ0t8Q1nrKHLGHFARqvRtqHMhU2w00JK1H+oTKRW4jlY1hn0WXuDauyKUwg2reZdWRN CvboHhnt9sLT2lKzW4dnVn3NRnm4WTAlKRU+OL6sRwQ5GHUpBlPlB9898PrOYyQtjxvJ8JQ+PCWq JA1IHHG78lyb8/WGy1rj5JNB0bTqFo4InaeoUlINtTaF2L0kP66/w418w2EQtc/AcuYlcwDl+rEQ 6T3mgB7wu4wYnZ+LtFMpItVF2RziSXQzKWC4ayxtDqvSfvtM5Doe7noUxy/8yAiJeXQJxNOHEejZ EN5bzUaSlQGPtw03LiQOV2FuU869t2KBzUBn+gnyGxZO8I8jYZEU7mzKlpof1QCON7jZz2zSu54n M+DoNkZa9zAK/1nAaeRiim5YVoPkfVTLb4roLEtsj2u22dofA1TZif4dpUW3yQtAvFw03MLuAXuY st1txYSCe05O52LfiqukFM0fqdmZyz0Lh2jwH5ahISR5EDBJK+YRu4v1zhlPk/yuRQJK4a/ECr28 0515m9EBsmuy+EwXNIYLjqpe+D15NqUl6Oi1SJuc6KHi0LdmaM8jxgcA/OIIsDVQKDtQ1Hf0IRoe fHLXR0clo3CQYPkkLK7uEQXuWq7RFr75aghzb1Er24jOz1tBo/LeFB4+udekDaYn8KQAC8sgPoUc 9xpvUb/L1EmUuBogO9U7b/YNKbNLfi+Pslws7bOJAMcmSOtnanuZ87O9t16R9HIWSpyVaTG+GZZI PZs162vhhrIWCetZpjvik5OaOIyVXZbpgENIVxe6gsgqCDiaJ6uXeKG114upSpH/sMddmAoTqEYV b7fgxLogriTraAZsk6W/fphLsmiVpkeZt7BhpC7XL++YiIrJzJRo1bVsa/NU1uMG0+xtgnKkGEZF r1GcDlNf8mrl2izYJ9l+DYjhN5+MM8RpjouiB39wjEm5aJ06qc4rJrX2edInNbuvqqL2n/a2hLwe bqsXctKBNKyA1519dTQzbA/j4lTWgBoS9t9xU+YE7EF7KcP7n5bUDMNlXUatrKmBOTBkV6XyPzW3 zfGSweiAEhwZYuRBCEP4C8d3zwL2RwReiatpCtGdl0BSkUbpmXd4zmHkmouLK1bgG+TXc3P+YA0M w5YRmbjFDtmh//YnoY/rQQfVKZeoiHTw+CcKHGtmwjU/AZzmaNbeXnjmfdBGnDNNhpwKO6zyp4uV Dikt8W2gRNE7VbwevPECJdqMme19gh1Lri6oY8jdvTnT2j/6HEfIgaV+mzPOBughyJhgFSnnwhpl PWHLmip6V0gtj8UXJthfMn5GPiW6K8mhMJckV3InX5CqBbR/5qomQyB22ESncg/WhmTLyb3j5xyp jAwv4ifJY32qe5IIcpfuHzIVa43euPFaiG/OBvVL/8hS1qPzRlSmyUjQcCzqT1MXReKJbpp9KpOe HJIOw7w6qtmV12XqSWyrXOpnJfV2XH0AUSy8+fdUlGfdkEirbVwff+R4JYrDmETmBf4moZ3JXCCC uiKPYiaX860ZxyLsYbdvddFE3c/zXTy+P5ftrhtOhMlEcElNKTuGgp/hLghWynsC+opAMB9xMZ9r GXEK12PZeLA6U059iPiaPf7c5Eq7tbai9IcXCmjKH8fM2WmXVR7ETXyjtJVKmQ+UMETH5MhSBRfH F4gIgDGSoIh00Pyt5t9jsv6OQ9K6RSqxfOGZUmLXRXHX2cACIr8kwu75xlez7p5bnHCOAgOs/VTx CbUAeZJX95Qs7DLy/fOK58MPRkcS9bZOlfp8fofE1LmWLCCXE3cTDZUkj5TikADxXK7hL7pqfFS3 NTbC1dQh0bmn/eynyOkAksrVJXy9xYgAxjWH8WZuG3+yX+YM2APArNTb00y00SllX+vYyLK+pqm8 zWvydH9eMIpaqi01sGXTFJbIOG75vuRhLwEy2KlgvAoZEeNYYgVVYO+GRoxwU1pNzSX1YGoat4Re K6Sh3+vc4tfOLZ+I3VskTI6yFsyIq/h9iw/M7FyuJ8cjs2Y47J6Ih6ZlkO4MNEHP/lHpfsACZ1MR o6VSWdXDmI/2ZAyWk1kSsWj4bNKKaHr5iQViOJysKchhNNwtKl4xzvP3FIpCxw8TpIT3F/VhJ1FH xSs/YaDSCvs+L645IFpf6DFTF/sz3qf2q+7WEcuGJIo6yMaA1wQISRN7OmjTlWPs5qmI6QkxLtVj CW+rKDpx7BTmLrfFULm0UmdwWDxjh2C4mKOmV1Uymf6PqY0c5x4TyLzacGP4M2hxugdHdN+sdBhp PYUXdkKnI9HqAdJFYb6V/mekpx1SXX+WoufwxrSqWFgbnAL7wxLr9a2GzvbzZsIttXBQWuVt+rvr UgpnrcNmoPC0m+BG+fzV9IsYiAzU7kbSxs7CDyW4A8eVRztWLQO+o75ymUDlI8l13apFKe6l2wty SaAapkQzmoOZxs08QvhnjwvXSvMZAEeq94xMuxPHTkg9pOh0V+FaSulzgdXMsM/N0oZ4XX4ntByB dW+x/ikuGXK26WKxd/DO4CD35X+06+7QzQP9GuxUeJ49UERxpHHzawr82QnPUXTC9Wv0w+IkOc5b lYDIy9aLfntksz5RXdanbf61QawGRA9UW8+dA6EAv6DyQr7nIuKS3O347XP8vyu1DQN7YVXtOEH8 miNXA9bwqY9/9eiJ+xthWJoaytcL6Y7tW/oXdjsiCI4ok8foowj3/7XtOgOO4LNHMZNXnoC+gq0K 35O52xNxa84O5DWlnn9XUw00r/1I3Exn5bE3v4FGyx5rQimqiIs6phl1Y4JjU9OQ1CD7x0cJJIOo QXkdN0SrLR3/7sXsCiOEqFdTzao2PvCkc0RVV7KAXqj4xcuzIx4j9JRqGTzFQtGXfrtuemgIhptf Ago6wiVRe4FJAdId3ONKDwhXl+jlfX/CYKWI28kCq4Bl0B67RauGfmR7p+roDIBR/azGRyUtHk17 j0WcakhSA62WHADcREhOXS9YRSG0z/j/4nWTHjbHYDAujGjUAzlKx9DouN0GLvBFAKCVVQqCj8Gw hWnF7fmzzlEg2Qafvl3l75alA6zHPFI2eHuQqMFx4h7Ayhi/vkmHxB+GYnBWNFyqdi1JZx3HCauz 9gVQKNElZlgFzLOE0wx+M8/ZxJHM935NjoSljuXd4oYoz3OFbOJR+1fTPFG+n3QiKcpv/vTStt8f QpuDHrJUJ5SCpAUxjotlEtDgkzsqRHvNqaRBc0jDP5HecDn5eOGqH38Yq5T4QRlugYF82jNwetTh 75gbv3rieXtmsUJn4dsStPzz7iCCat+OStHklhbySHoSzAxAw3Oa2oYtd9YbArBw7tRHSp9zyoH7 vkakq8Lj/rgf+uwwHUBHL5XaCufCNK6oO68UrRC2BopDfDJSJcmV0F4OYyZw5/Eg25pFzzNZeXvN UvdsHGKeMK9uZOwfFcCI7DHgoP6KvH35oiMcbFcfD/oaIz/B3mDUiOrS8Y7fS4wpyVNlc70FJqTF dKQ9x+L5TIyJQnG605ZrMXndMjM7KxnqfeHwliAuyh6E+PqOwVMzrPl/3qLih01s35I/+x8mVSkE /B9KHPszpwFwBLllZKgCpsB5v9LiIkGS0tnPttWIPjcC+WbBMN7FDvmTVUE4WKxcI2VQnkePRnJ6 tx8v4gXXz7W6EDrWoxgSXH+eCXoQSDP//uFB823JZGnsS2JdNxo20kFCsIvGH65p3+rPRAZQ41/N 3kaVCMEHqm4epsXcvYyTRBVqnMpCEOVuhGTIbwnBCA4faPIDXZNt/zHmbH25L8ozkpfZKjfoLZzp dCsMToSTfi13QZk1GPcrR12ObV3oylOTzY19xuraxFlX5BqVu43Dw4Oq/qlnneedsy0stI0eIDs3 bnQiJaCI/6sEMp8GRAAFNuG5WVr8wymxIWsicd76f5rJmkOGLkv0xsR0JkCUXlwG7BAhqRxlNR6N GinVgcLi7Sv8560ZTflQAYNC38L1+5pdfyQGpiw2llTZttEShXO1Nnx24pV2tQcM1u/Z9Pxk0CxP vmGh8yaKhtqqay4P+MWJeTPRF36fgTrkUvwYO4OgggZFVZ8H2Dx55F2X0TwOlE/PEbmnCtvEb7c5 m+CUlVR512RfUpUSy3WUkK74GaV6Nx4JozVkh0TgUaXYQmHi+h2aM43SHI1ZzNA+stj1Y5cpe144 C+1NajiJeCljc+RPdbawI3seDqK3+enGBnjfpd9OgieUMNvF5OShT43OtWrfuUwWj4GMwkWetSEC gjuHIbEUgXiA+l+HQQhaI+ElSob2WaKLEIJ3VyhapDrK6fZsZh6ZJyRkm75Wqv0nv7FLThyvcofk Ss7wbNWp78wFimwmuUVJvidDOASbKMwy1FP9agAtKeNDwNqKCrOKhpJcoor4OkdjCjGduJfcydIJ E6k5OUz68ZoW25BVmYh8fo6N8B88Q0u02e4ZLbkzfIXXRWjVE+x56jl2vN6FS2NywzAJk7X1ZqL1 bj05fKloAvaokUZXhAdqQhhTSTVQUvWT/2Hiw+y8Q4jdaJbtd8S2iVVn5Ih8z/fy3YJz0kbyyP8Y GGueJwep8MEpKldEOMiWxQELgPh5tnXjTPt6zOP5ut4pUBEhONJUfsTOovp5vo3kfdnc2rNkmVx9 6FbFmxCngAvv1RJAGJ9zpQ2VOhd1tmTMGTUqqwr6Engx56TdFU3LvJxh4F+d8pRbWvsjulbpt2Ts c8GG+e2c3LE/ilY6G95mdtKcyl99iRIdEWUktCci+U0RvAy3rvWFzbeUJy0ShLlWTycVmWFESOmK GzMsgTZFmPc7bWU8R5dVJn22OWjA2nd1TTPT1hsekjh/YlWWqW+l0cH6hVhSI7flPxtRw94JSneu mOHE3LiaecQOqcCtUIDXJ2Nnt7Jmvp1ohz5qicfvL5eDzPY2e59cv74WAjd7c3B49Qm8ip3IuYen bvXeQU9/SnhozG+Urko/C8h+jy7V3YqFDWOMmVP2lZqVOP8i9E7eUrWIPw2rgfJyBlSCvS0KZacN tA8WZ/z+YalCxnW5SX0tw2X8Udb3hOhav9DUkrOquqNrMhFNITbyGNZLHBqJcS2F/iVgjScAesEP yclJQx5sRH5IeI121Tkqs3Rtk3Mp5rV1dxcwblHBBfAMRx3RXghNMgnqqjv0YqmUxEnHnO8vvKyk U0jCdy1YPR1oU+UsinFGZG8ZeDFH81ArlBdUScVRdefM/pEoVdBgdlUvGhg4s1UN7bOYZlUFt4BV A/+uvs4+qwjuk89Rp1h+RfwFNvPK6NmXX9n5hb2jiZhv5B3Tzm9welcSSPofhue87c4QFPXJyxTK Bw4Zn0hPdZ8jrWCYD1ibNhNOshR8nQvsAurMiYaupw02m+L8f9um6yVkLJShIzwJtC6u40Cd4Mv1 4eysjKvMUOZst4i4Hkc3aIhktAQKd99OZ+rQDioTQqkCcU4UB/cXjY0Y4TkhqQjbDI0/8KsE4/ii aFMZ9Z7QDG4UwH6rWduwuwmzxzPySCLlnXCLHCSIg8k6gubodIEPmMlEXXM844+MEkx6RikLVBdL 2JFEeSNtnkShC7OJD+p/qh5L+MDlniOc5U2HuGRfnUV23KcGTqTxgHQYs2QiwBMhuqwHm0OYMGkX iONLmTBzYyAyFG5gMnqpMS9oN/43+/mWcxBRNyAm5ipPwsnHjGCEHlWnZOO4osdwutW7Mi+WCoMF cfPp18MYYwqRO7lAhacPPyj0ie1yJgDi5sdHY9By6U3JxO0O/5KTgWudOGehQJXgEDNUPVYuJeZj kEnmSNjQE+mAC/P2R/1wMNaBZwIes60l/mwoCB+9ecg6eGebt59Z2UXKub2zaQHzdB2o91MuRr4c iTxONN1ahFHsp71F52rt2Tzx5iUDIu12kDRiLmt+yWtN2SyVFvaoCElQW0/mrhivwGNftTx0NeWX t7w0KhU32OLLjF2fgx+HCdpfXUYNP0VkFkDb6qB/hdCR7wgfyUBphfpAJwbJXI+WfKWzeJ/O+vn6 eYQ/bd6+xVVlKt4bFPz8aHayUrBMkTG8c6k+eajBUzav/2VPmu15SPxQ4VhUA1GXp7lpzJdN6wIz n87TtTL6gbaueCeIEBhHZ3zsbWjFhfgqZiTTAc9W7oUyaigBjwemnieJq9MQ3P6kssMq5URS04DQ osiVR5f/cAsIxHx6FTKWAB15a2xnWR6nv7tHS2DA+UXqb0kIFmwgoU+NcivlRo65gwdKB0CUgFeS JEL8U0WSb3eXC6rg3+zfcK6VBlP4ZNfTg4Zm5OH94UGhFd6EG/lE5noFjn8EJz+zelFh9pxY8t1c PgZriSvcwzEWS2YAmC66KpS5FLxKPV2McItSKXLP+jW9Yfs4OOeRMIwRMi2uf1OJ5FJ+Mb2O6H0w JIRtCmbCwUwc+wD+9CL40s6sVdZJAuN7UI98L7BOc/Zp2ijT/3wdFAchbxq8jZM7E+evyZF55dp0 01VULJLrBzivSkraUZzWKicYVK1aKGW2fp9t20iD2a1EJ6IrOsCpP6VXxnCcmTIAtG+XCdvly/Kf GYax7uOIcUQv0rzoTAIgt2KrntzISeE5YTuG1QW9nsW5XcNi128ukDhL5fxX7rqc66uzuFasCVKj zHOeiZRNXSDZzEeGY0stlRMx7DZeSP2ivRZHJKCSaeSiIiXAeieJ5Zr65q7nTWc98A/xU8V1LAH8 jZMP97B79EDCnXulHhQiyCBr2y/GVl0G2RPu4MWCRZw4rVXKf94WBfJJ7h5zXR8BUQekvv0cR/5a RVtaWiCf0VR/oa3KQDLMhyBVx2+mj2w/MYBKrR6esTOYx1+KLxoEKrEOEAYnBsHx01iHlqbhJJds ImfDGYNx420O2EwzoAyu12AMJEiH2/6siANJ4GMTIj/9qEcAvhu9g9wM+UZ10tZWSz6i+9nJe+MP yioRQh+x6qfUb6GRYa3h+I4fhKsbMgKgPiDSVAh6ypmH3Ek5v0VUHikrT2PpVH4HnQBP/CBbwl1u 3ze7ccAEsS/LOM7Hq28rTD7Qg8sSZkT+i0i52YrDbzSOge7g4/9eRDaxsrY42dy+4wxfeVzpFUyn Z9JaPqGGzK6yJcuC38Bx3QTx43REOn12fJi6IdDFDAzSghZT8j3+vCAhQDuRrvW2260xL4G+Xazp NvEqyrluBGneAIaLnXymTYt0btEdTOh9grAd+arDXeYvFr+RTOr0W6QEC2qVzrhzLTcACZ2b5nt2 kFOWJold1RZ0iDn1tB0VxAkeflBTigtLmRGVQleGJJ4CioZRA7gIAXgxjTK/nz9qlcOxHDlWNTTN bmkuU/95N6jqoelfBNgumP706yRyL1ewdQc+ZrQ4aWRa3ob5zGRfjJSBv3csx1e+VtLvugmtZd2O xfsojBhgjk97DL7JrtyBOuMM+FdZT9x6aY0N+sUq5Mm5efBKAZErYxYWzKYiCawhih0CxRL3UUxV LEj+JzX/AFNuuQQNf85eVQOFg63c6yLC9BoGBIxrUF0kYSdDDS2eiH6Py0aI6danumYjJFUasmI4 vpp3BRVWGHK+jSis0SfkrZXbHE1zEXgptlq6qOqGfn+Pu1DtGfWWy/dwWJ2+5XHOD0hR/ImwJ9tm nREG+TfhHEpCUUi5r8XorW9SN7NhZYJkOvTHuj0WaDEjXem4tm+xrJI7y8YrkWwDgD2UKh4ApZh1 JnpRh+XE6s05yZlYifGdDJRD/cC0xVxRnXKwWrYrY8p0trTM+0ZtagqfxZcQ+sgc1EWQCV0o82/h LIDTv3FIXKKPyOeT6rqaIFXFBTMr3oCvOxrbeyXnBXfxoV/4z3aiqYOLxymgDHYwgm78MdhPMxGQ ZXQbw0TDyeDiud/cwqinTf3YBAojJSBcS/8jZYY79/5y2jXRfXV44vlEeUvUW7XKxS3V8HzEd9bA aaEXJ20iv5e+AZ8azX74TXkjCbqvYcfitigcvK85asByZ+pW57xdOzNMlTHcRkmQmYkRkJD6Ds9K xwHONFYErKH5SO8WQI7nPzsrKFtloEzQxUfWt4rrS+If6arc3boikm/qz4+IbCId3aPGoi9o40tk SVT3JN0c3rPkEiwhSfhCuZUhvDj1STpyQ7oCRDmXMmiV8nQPrj0X9vJsqCbTVSi4LV2dwiS1vEm9 FpSI3BCTyMucNqWl4KjS8X021Pj03di6w4y8vT1Tk6PDxhpLRsT3XpAsd+wJf7fkCg7vbMU0yhQn 9aqVzzp2h4Z0CUT2z30GDa2GfeX+uyri46TJtvfThRGS/ONrrXq/XiX3M4fXUiOfcNKFq9ZOlAuP GXoFzsH3Eo0+3POWiaNmIdy+b/U0nCS0y7HlTlTYozZvROti1x3MfPDEdxyQTha3MuSPXMn8wziQ 5hWAlqtieesFLgYu7lE9Cg34NhDNMgmz05papY9ebX/d9zOe2QV63+P40ws4gO5IwGnZhbn81ZYP 29jg7AM+EWcaBEC8mz6BxrZGTLbs5NyftUSA19YA5V1LJoLNJfEiJwJfqXqR6XwOgRLUsd1nwazu aZXOwGP58GNwMLde8zIDXaTw1M9g7cvWcwDd1XbukencdCYLbmhpZ+yWQ0p9hB0ms6X7GBjy6krz BMvujFFFWJFps6KZu1q5oShYN60YfYe6TjnGZbSRySex1zvPH3S8dFDgv+NlGhcwinSECVImGxmL Esx3JX77I8pLkicAmosVtQscHID5ki7QqivSWyZaaM9wA3IKhb+LuXJfNpyoyMhJJJ6FSLUSq/Kv KjOINOKRtM7kjU9r1wUS9eiWVXriELfKSRz2crTRR+ax0qgTwuHJh2JKdzXj3okbvRm3xBvtZkhy Bmvxs0OgHmdybzsYH53ILhEZIHJNjPrgDuYqyDPZY0ugp5K9shinLy6d8GbdRsgVn947hfMiUxbt QGwbI/bryrWxRKwLYhIVv7ZQU2f5P5iv70IxKVYnv+46G+J7Kx1PkT9OtSfhL79yVO+6n7Lcbt5R 0rTNHCIPWkz2Ke/qnUdJ+4e4MP8A/z9zloG42LdNANJ9hIJKJDHCYOe1TMkidtVSBfRDWI+pbSOG S1pRRwBuaBMLg5Pq2fKsb2NRb31K8QQgV0TtLvaYHS92Rqq0E2OU3T0mjspIlhYcPA2ySDwGLl5U Wt4nrBPZOX105jLgN6Qa5A3Mky6e0EfYv+MtluTScTXCVfRSRgr0qSD84NzGXD5dhck+i6Gbevvo i9zplrwFqxaVG8L75/Mnvyw3K0MMFZgCtjR4FNAhsiDDZx/CN5S4FDZI53EjyZd94X9L2Mjabl4I sZIVsadmMnNtcNhlNVlUTATe3J8mmxAjweBNBTU9Nv49IcwQXskMMX0nfgR0tUPA5R+WcbJ3YsAT iNJBjGX6FHxu8CIC1zV+4S8CaXdsBBY3Y35NGJgWg9IVoWLFuybP9einKyn3yhQTywkpGKN7+xFC uibNuiHfXlUa8n+9F+1CZCzdg1NDZpa12qpH7MXn5Q9QOMp+5q9SfZA1myslDe30oJSmsuM83rmk SLuLphr7U6jncrxsEeImyRVukDi4Idtu/eB2E4TcRDW+rkx0NBsZTXmH0DpEI+31wKOiLHYNZAEc y1YRDUctbmNOdl1mHgXOXqCRi0nF/aqJ0GMHWFaDT1zSfZatLPXgsNF8ufacJyhThrKr62PkJ6Pv U8tEvaGTAk6ytJWwF5HmN9YAZfhxLUnNNMpYcyi+ak9i5MaQy8FvkvhAnorOl7i0EZ33uzoZPG13 voPtvFnSPqAkdXYJwxwRaKr9ajZQz3nxSK9a3Y0Y+0oPznB10PlBTb6+MfMRCbnU8JMpOlxciWE4 +22C3guctvSjJheDz4g8Oa2BQAvP4voPthfmu4MuRMLUSFV07TY7MYK3TJpxbUCLAQA7MDG2lpZ/ df7C1/GXlblVnjXEPwfzC/eqv82QZLfZ5RrWH4rJ3rnBbQ6Kv8ARlLV89+nvN/H1hNKfmeyeRIYa TgkeJh5KgKTAJ27t3i57NUeBTbZpRprm0KB6W+jJ0GzBhrBqsipbJ9tKPi9WMURYeTqSiYHBKW6S BgKFAu4XNRh6RyUTq8eTu/x9OdHlELg/gQvtd8LNS8E3L3wlhveHiaJ5uKCZHGG8XHTbtGe6fCTT IVPcafCyLUl2bybV7kzaeUTElWA2kNsdXzWysm84BCwwpD3t11xFWxoqAW+0ACDQ/jpJj77jIOPV g5ESt9nJ8n8OobGe2jvpirGtJWtKM9V1zs5MvprlVnTrPKNs8LTtrOkEn6rhSeKDHbTUmi/1RlUZ M9439ho8YRsKpnshgGqexpfXcvig2qViT8l1xUYs9Pqsw31k4/j2uxiA9yQKJZK217p9W2EeJgQx EOsbCX1fF9+JcUNVdiBpheQIA7o+EJp23lRiObkTlodSL6yg1ehyva8iZQmXhzbYi2IclimM00KM U+UbHPJ8n5tLdluwuLcYtLWjqshQK07BphMGACYv1eHCu3mXg9a20oP3EogCz7pSiUO9rNQbvYRY 60+9wOEsuiKKs2dGW5bB7tOpDTd+EHOCpiCK/1/A/NlBVIJhAnqHuzpIqYlrAKlW2yLe9KvAl+t3 /g8IJyfalf9N3lWBrBPvbvOjFhuI+JfxFxeBi/gPjnV9MjTbwceMpcspUe5Ua3eAJ8BlQrUIJsxI NSdPjFo24ERgzI9M9hfBgPKVD973mTfBeNSh/yO32mOOFWEhjRth+Vng1xqSe4vUbiyFAuQ70Y0N e1kDjZXshCnWRftrtxNfRRlESTrlQZrtJoNuGA634x/HokKAU6RwpApeMXI/0xB21yfgzGZtvYZI 0ruXfOuJB/MTcw1/2P9yC8Mj9njRKv6Hd8G2ZG8Uq8BmXoQd6A8O+9oWSMcTcaUzy7xcX4mGpDvr S+cTGiOHx2PmUlIl+Hb8N+9Z+3X1sZ0iqnkue0N+ZZoPvpKS3uO0+kzuDat4TwWO1xN1menudHj0 zjGgxOt8QcSzb6R+Pk7Bj63Nhv8k3ckZxLbRh7hrhLkD7XLoR0U+UYRR3gSTCx+ZKF+0HG8BDgfO P3cYl1dX0r54AU7GU57Ob/PWbWrUv8Y65xyDHBM48gCXnjbio6Q5v6C2HG1pkqb83zb0uB1W8ah/ Bo1V/gS8b7Y0ytQcDy5WZcTSqwzLF+2i5Y8OlDh/NJDOnZGmDB6jMM7mj5ohL6xuSd4oeY5eUx8X 3HhUaAe/4JPBd/waZ1qxccFBfXXTQuOgDCJqvMiM1i3qc70ZW2FmV2SxKqhGjescr1NbMnLtxpgW 2dSkFdG30MfZxHIE+4gw8rBNOw6piruFCppUrpQkEiqvKzRj34Z0WBomFvQx/E50IBKT2MtHXCHj FC1e3WA9F05IMWS/NA8nz6RGO3bvnOaVGQ938D2WFl4iVLeAEzAkZx1aodNT2el4PaqmQwUgm0Jh +dxcoj3ON4vYeb3FYGnsn5xc7QBnRG71eJ8cMfVyIYkCasjG3wgArzrTuTb0gy5tWjJODEcfCXE+ vUsS5uaGsOXRMYMdJ66mqluQrFTrNkX1eiJJtbT8VH5zoiFqFWVP1Vt87szgI3QIa19EbO3MYTlq XDxveOG5JmRx8dcaaeblUBO0YQM92xV9eamRLc4CRFgCR0H504SdS1NDoN39Z1s2ig9H6rdEXckD uDxH9exSZAL1ytgamGDfAcnB6EvqM6nI4jzNg6K9EsCT6AfquiFHbGkOTLBkU8nmUrvHE50DJ077 BRJdqIRleTUs8dtWLpV6hB8ukNhf22uvCcQR9nU31XsxCl2GDaYFcWO0fxzq/3mdoORYR2NHfMny mztsRd4xtLbiwasJr86zlMicYFvzl3nUOwYuWTLjSY01jkhuK5TIRGLJc89vglyYpwVa7GBWAvYF 1A6pgxX92n6djoZxmI45Y70A6KOXEhe5TAt0b9t17w2rcHBbWaogXC309/asYz6XRqlvsxdkSRMV FJyUrY8yx2uWb3G3Fkb9dkUQRMIYRc+AFNzvyHJlY11AybNFZbXrIeRdIvvQEr3N+jXJGKdDuHoN 0yCyu8d8c1nGZUfhqdbbeaPToBMuSj3BmZg0KFpM/Psc4XxVku/HGPKZQOVet4bbZ2HqRsXe7OAs 7RTKeoHNBgK0E1x/164QEcbuzxlQP3inu+w4HEy9Z1/EH190OsMz5E3u/UvlhVQuKOPF+czOzn+r 800iTgk5hKL4LyXd9tGSJq3lBj0TTvm35Q0wg/n1jIXHSveenrR2vAMj6jukiox1U6rNVhX9mRDI LhU+g/Wocc1I7bcn8Da98cRrt1q+zRfdFK9bQy/0IM73jsRWlikfixHrm39IYSPxs7JdpPYfvkNY qspVULlHOI5UgNCr2RnCOXpQZKGHH06K3Cls0zGGi2YoMvqyfoXUTlLXWlxTuKEfr2F/OBSyDg+p HBhUwRxQp6cmIV0zMwLEQ5m439hZnP1V4rmFCpWF+RlhJ770jYeoEu83n0OYlHFf5LPPRUa//Ojb 6dkau0A0ac5F/Ys8yZoreLA0bc9hHVHRkXpCLNkcN+lLgy+NovNhK+xtw4A6e3fY6VPG30dXd9RC 0cBiRFBkoH5tKHgIms2SyTNKF6ZtymYiKj4TJKtJ7SpNBzVUuQ451zJQ6NIwGQDHt91L4Q/uFXZo xoCOmdPrhhzBRai0cTsg3fmBcRusvLF+RsYi/rEaFbmYoGhzeJwSFFpSIFwKd5sdtlIAdxgVHTBH 5v8RkPFoZ8xFUGLCnzWy4OWLOc6SwuquiWAVe+Wfm+QbKVOUC1JjjI2QGqz9OXi7XpEIcfVTOP+E 71Of/J8yihgety0tz8riIsB6v4Z/NGBmu5bnRZoOqxAt6BKxJb27X30EGYrc7C3+ku0GQUD/8ZAu gQoiLJdJzbXG9GgBNXDA/NHx8tDW8L5JlCuqPTbRHqE3E6R+jPY4oHmNNVEdtnwCjt7lTPtwIVtw lDDNX9PHg3rE1bruacGtTg0IDDmeIgHbzfbchh9L0T6hjoosJkvsimtttdeOK/ALhTfcsdrdga4l Nm9Lz2D95ydujKOFrq0A5ASC9WFXq43At8KCmxBlKwFbbkLogsttEIkfU2xakEcpqF5N5rRoL+Jq FYRhM76TMZbC7/ei5Uil4n+0RTUcBgcLS8NDaFgtpNSufUVXLsoQZZCDrwBCPA8XodZqs89rYppV 0WGYZsnT/Exq7BXWsqNASkX/iV7LLNkIcwyME/YGaQhy+fdvP9FaDEfGfPnrIFwyOjs8uIuCOw3F 6tSE0E1o0Q2CcOf5gpbzKMPDh74gxp66NWpoZRswMatPVve86TQfATBD7sobnlAEAYeDlNsTh4Um VEZs/J7qqt8owYwd6xV7drmoQejblBGtwAU5J4T/NKCvtHQ3B9Gfcx5pj4TcClgy6y75aNBw2NP9 k6KFd2WWqR/YqM/6GVnO3mrE1KUsyDLZiFA/QonljxQCHPs9TyXa09wzWBf1537s0LwuxuUuyR2s OCVh8y5WFoOYjgKjRdIq1IJ8sxQknk6SgbD6k1rOE6CbEAOjjY1p3QfL8dtF11f/Dy1WFJy1EG4q DZ1Ev7IHWPMHxvj6aJs5uXjhl1ReM/CteKZVTViWe0CHpJqkvtE7tI5+CQB0B69xLtdM+80PU3To zLrwXLBX8jhTylkLzlj8Y3SHuqEDPKTxHrsjPS8OdLEMLDH20RFjJGMQN7ajTJ5AIsJQDv90jZov oe1O7Bm5qLOK6MI2hqXn81RvR4k8S6X4GwdcYPXwpO1IzHJEexc2IULBosbqfPC/ixQHbNxH2pA5 2whueoIHbaVEzTowklDZKI5WJxdkF5d9jsbpsUmHPGEzV06ckugHQGtx/5RVT6AdCvm52s4QH6pu U2inAh9IlGotw2sbKVxnH3eftXF49juxag6pYWvHfPjM3ERrOvngZ6p2EFCJRNspPg7FxlS0nKEC roM4hUAkUaBUb7FrODHT+JKEbiDo6RZl6cpTtz1wxAzt9dWoZfy9SfRqlZAsx0P6vqp4OXM6M+3h 2WmNk/nNsSX95D2vc7t5jH+nJI6VHFAmN7jqlVKLnT0HVkESrA/4FXhWmy59GfyplUCpd87+i04X K8PwOYYuIenMCikbhDkCG1sC19qXbfcpqyVniBJoC2bI4+Bge4/O3w+WY3J5dDF18Nvry8Htq/72 58Fei8rqq1MQ7UV7SOJLrB2a1NrIPfrcqLZhKh9QRJgkRxyPbeSxRWKFdajAuFP/6819xSbEGduf lBaEO3BTjRCxTq0jxpGAYindeumT9Duv3kc5pB148jzMyqm06FmZR8/M+a58NQbWgJml8bIwOaZR pde2Nqt9Si4EmZ1geiaYBaRnorDgd5Gx/PywgieppBl3ROnNGohxDj0ULSU4vkRmyL4FnHioXBWs HPmIeQN/JRvrz8UXid2/8OTMKLz6r3qOiwUYUdpggnjIP/Nt0SjEu+6q1CgQE+R5dLHeuFI3aMYb X7+IlEGwwwfJdj615jFbYFusAAwfky2LgZU7M9q0D6v1u3mGAQN5xe9wFiz9roGrYZamg9lLZb8R fNgmBwChEbi0tK0rVrfj2g9O9lrOPxKDEZKIUjowejFY1jqKfS18AGW/6gbv5nbrJEb297olk9ER OpuV+cHLRawgtcFKRlEwQ2lm2BkQgZvKatSHXeZ6FdIzj3tjFQ/MIbKpfcVTWcLv9GFBqXLULK3n HE7V+gYvDkowajPg80ylhvDAUCox7Wk3Yj0lZk1Bg3acKhbM/DdemBpdDT1fxv4xMNtcMa8PsOjQ tyXtBOph7fYwZhrO5sMIshKsnRzEoXoBq62+kLf97/n5bEyBfcCYBwRmzxXkoAaRBIXKZ6ume9Dw +2jd3wkD1EIjHkn3Hb4rhOnTLJ3WQULr4jt59qFCu9/5AgZCElnIbZqr7dF6EOgXbfcHNu7KoOcR c00ctsG1dXiQVl+D/yo6pwTc+azrE6q/rOZ0ZHQFFKpKXd7eyH/G1VZuFCdTgtHE58V94SxrYvi3 kP2AjpVmdv/5Y53a0ibxkd/jK9TusMS+jHHhorcBI1G6zi7EgQ/PgUB7+N6G528OJru8pNk23//b OS0WbzFs+HUPLBK4oPOvS9gtBiatybM5QSm/jvi7dk+JW0Ny7QkZypV76sV6jIqzIUQ6DUhZYJtZ 74QH50UtO44hSuhvzMlPIDEOyZhAt1VLOJ39tcIngdYdvC/p6Zqni8M3J1mnkPmSzx2t0cqjFYAW 9B27cElVIVZKeg5epGaOM2wNfks5Eq9cracXncFyUHPa8s7Tkn92tdefXWqsA0hZ+uesq0TB+D/Z bS4IiRYQvpFMDF9sy4HS4Lexn/Np1EENB3vlxI+3VaT3DN4MAgoKeviHWLtt91JHQoxX5RB6lyeB SoKmMyMvwpvXidWg9yx4oTHBiJCpktjV04vR/DtuklZSqEJcCL/weDr74SGKz9UpK0M2ps8PfgW7 5u7N0UwpY14n9V9Hqjb0w4uGIgkfSZqzFb1vrC1rdZAvvlLWcnR10eoz5tZT7Vlnp6HLmt2YqYCH Gf9Na4S877Cnq8WKQOcxWl5c1G2MKT03nR8F6lQy9kjJBh28JBmzPnIs8LtIyun+kMAg9naNosN5 4k1Gb7wLj/L0k7f8TD3cB3n88nCpMXIyRSzqBY5oJbzw/scQP2952dh5+jQriXpP4fE2qmxLifmM BRcKTys7sudQryO78HFU9CY5OSpMaLBDLhS0MST2ve6GoDyamUYg4mwJiBHJek889z+uqUlxZLQE OLQEJmkSOKZ6juULeWeNFTAXmew1XvuDIsrADrw//C9qpykn+pQkMsIIJ03RsoaMtyCMqnbxIxHE N3o3sRqSLIDrBpLWbnmayST8rwWeYPtrL0/a+SRaApo8IDcUlOZaU4/SLG4fVjQZUydwlqO9+UQU 6GcY14dCBgtH+j16u8tH1udeho84Vf1iviLsBjNk5Wagt+a/f0WOVYBPABdIzP6Wc8GBlzjHBUgQ EuoyGSm38cF6Wi7ecCarvCdmcxUXRd6Cl4IhXcWaUbo2KbUurqnVdqGlmhchRivnBq+Y77aJUK/3 DSaQ8sHD2/Vq3EIcglwwSWBSzsmBTUUIL6kZOy4WzT4sjgBOOiBvpPdO6hQVtJuhXyaH9v4lVPkQ cwzfN4ODsayS2pdjtESKri5G5td6t5lvvRsuVbUk0CWTSTMGgOqPTtdm/Ei2BXt44OmbjWkMMNyS 2/sjYO2D+5Fg02fq9rGEujuVgEp45AG7PZ6v2uzHlEu1vXh3/FTwW1xbSY5teYBasfnqmguGue4H +l1yCbYOYpMXagfQyOVRYwObJotvsDQajecxufV8wLEPI2somKb+/CiEqTML1F90vqwq1JjcoljJ Nn/DNzWSLEaagTBIAe/ot1HOYtBiVP/mDG7jwPD+m/gOzyFM7vdH7Z3nyh4+YkLXCKySonjKJd9M mMPAa/gzMYkcwKZVl5wtGx8tT8onUzqUstDGYAzYaQyE+/vtb5RmutukM4MU06afSFLlWhDm/vll QgARrQh1Z+Evh+CFSfjozPfqpyxjKy8SjkOiYO7cRdtpKy2X3AT05EGm3U4XNG4nBV4gd9TfcE3U ZXfi9BiwWeVIorDafx6C6UUo+CjjmgYYxQYUxEiLG66XVW1Ea6jkmaOuvtu21F+B4LZGXcghAzYy sylCEoQZ3HC0eMEau74SY+r7rNtxfEbfeoi6aMLxmHU5yxTpWwE4wJOyN0nGpGA89gXoAsfTUTw1 UUHuQ37HVh2VXGb0uxyudv4n6QdKmIp0He3aSVih3QtlA0QGrCXsHv8mwpGtvBYMtZfBjyjDpC13 UBed+ogJ/uIhoVd0BTYeK3/A8p3vjFaPTcxWreM4TnwkJCK3WrkvsG75qPgo5OFeW58nfLSlWV31 WlswnupE5gW+8OkGEQLOn+2GADlXjQnfC8jDYcAEzTL+BDwWQzmGM7Gch+AJU4HSf6BtfmFOeCQT mobo5YtG+rkOc3EAms7N38fOMyBSckJp0xiktKBM1an/5AGWiWY8wNtwxUDHlTjaiXUM/4utsWUi rmArYWpDko5fDiA49dlKxKFrZIZ4YWLf0VgbjjC0wPsf/OOr0CscITnK9snmZIRF+riYEHdkOGS4 Mpi8knL0zt5x/+SRtETuKL0s8Zg3s8SCMNBAWP8jcvkNqlSMLcyIoWtcYUrXPCEF/IoBgDZ5EztB iB0h4hR2R9/R54YL5Lh8yZEIck/VqwCK17xLq1xewhkZQ5kByjqYyplFUTD4atN10rzqL2qr9L1q PIrLdM1j0u7hUEQxc35GkKQdWGAghigaEh9A7J87g/tUlWwDUaAtOH4MdGP+oVfU1a9MSTVziNgX 1DAujHlOLbYljLKtTcLmLV65NRvg6/tAcYAxXRM92aR1RtzO4IfF6yS7nGOf3fk1zRoOHeSrhpAG Rwwc7mNh1O6bPFkv2KvXAS4KIiOoilycfkZJ4BsmUMexSf4oo8rwf1425Y4zOHMejfWOkvQgC5jz OJXK15bSlo67AtyKTUjM+D6nm7aUZazEl0xzr92p4tt//1DAw+gR0ley4Jw4jrpAL6C76QHOJSj8 m0GHRnE8ciAJUf62ZFnXLu2BQsSoLzVwROFQuYtT9K6aJAkInGqb3v7VZQZgyjwthVLq+e6iAh0Y 1KATk5x7f8siGwXthpKljId7wtEwsQuO+8mRdEs4J0bmrXmjLwglvdAwTPLYT9LUuA5sRvNdOwoP p+wf4SlC2usZKGZVsbvK4fDptIdO0gmFc0AoGSlLIVUdellGCm49Nk2mJPW8rE5xNZN8s2XaWmyn DCLjuYVGFXFMR6sOCZGzSJ0wmRO4L8FoxePB31ktU9PSCg4WQav/GUlagTFoZHBnbEy11aGIBUhw CW9XMSDtlGsjA/IY9a/6f1/VL1+xjog8iOzyKkyXtK0TcqT5MOywpaHriPXpbEwuFajgM09I81cb 4JfyI7sHpa4bxQrZpPYGSHLwGZPqEKXQlOrMaUY+Tb8a2sbFsEsTZNWxIrTIkNFgOJlRz3i+sZfb rAqltE6YXZ+JrRokZ4g3TwBax0JXO+ftS+ZQqICKgPR8xF/xiSfu9TNf1eDhyYiOeNXbX4FF6Ax4 fvWbBHCSXkvYx4SQIzmJNFMcwf6ywS6lmrVl7VSkjT/Nu9CMUTjGqE/mYGYM+ZJ5ryf4qTrBvFn1 /SfyzqmQt4f972jLnsApTCk6ldDqLqdhM1zQ1ZI0y++x8Gzvyfq5H7nggFVXRVm8c0zT/dmKAN0W PFttryuN5I/pgEaSPS0GnxiZHGaryMj/IpIEzQLBUef1h+prdtF9ILOydFEgC/iA0tZeEZ/HzvyD E1G4z4T/ekjQWiGG5IJN5qApqAKoyElo/XA7Nhn0MzxS/l3FUaptilKHNSRD/U1GrGOQvT/OM8Bc PSH7YtRXVlhNK+lojA8I17/6JgyYRoSIxTFT87d7QVFVvA+KJLL7LxzzQopJf8PwBI4EfBLB6bVj tvd/v/n2Ng+OxreclJA9VNSIvlnGEJ1imsfZa+pqdSCVsMQPNot7kJZ75e1ceQAB/C4KMwAGG/0u Es0aZ+SqPZgjDg8C0hvU2iy7mxzmGpljkEFqUF5mu5D6igk8XtsF8bxz6V75EKsukmPlnqkhNvyd 7JPdsPpUoe2vVAPQYzQqxRWaiA2SxOdt7ueNjTm9eDJzvAOFqg3gWaG5vNmCYjY99uwtxbRWoOtr MavcYnPC5dBF3hAhQEngk9nCKyItzKJzQmC8kzWTuyPvTmlABFMLbQAIDXWbEQAGKE6YaLfLwcFk Kcm4b15LASXPYMSGYTEKu2HLC3SDU9w7ravB+FYkjw8lDSUdKTRo9tQYF9eNfqSmrXc62lIX/lFj a0sDrVzDUN0VFsl9bxCXy9O43PsPGsWF4mapoyDBY1hEqA+Zej/Z5+uFyr9pMZGEpF3PKOJfhAA6 DCdgbag7+h6luLBTjebAyXq3oxYjpTWky6yOak+ipjOLJS2Xy8vbRQgs2F2tfiSG+oT7SXuTTczs 8xtn3qi+6PTJsEORtnYXESDS7ULgtQ9R/gN4Ya6YQz3krb95qPWDuRAcH2bVSIYTUWfEIFa2XI8s 2D6LHYG9ou9FHQaEFD24+gBIji7Ep3Mok+O9qmdd0Q85+X1HbIRkFSXYkF8YTIBlXzD/Sek9IM51 jSjXvmrMlsDZInaRKxTXseA+v8tqY5sWrMXZ9RoXgaC9vKZH2bIKtHRs56R9laRlt0z9L8wICsy1 fXQ50WgHUnw9kT9gFPQBOi8brtCOWrlhMXTNX5sctrPi0bIET6XhbJC9moQWZEup9DLHyrgx915I b9iy9dhe8jjIoCO7XPlQp62xykYMteXBc5LVqULhlgC7PWeWg9bxcNTEVYNS7hEhEYMadud1Y7hJ n954pNz86RA2SMjSkpeaLP3UwE4BczBTv5ZSLSgQXjA4pU6VV8e8S/vFHqiuxA/UMivnOeHOMuxS L2MHq89rV148KD7QI9G1pBmkO9I6fa/kyff8K4IMqJsOBfsquRE7oaTsrz6VBj+58ZfkZf0fIP2a XbLcWWSmuWGpBHwVnlubQ95eGOAIBasMifdtEZVCBhqnMwVAMuq2OD2tKBy4/mxxrE+M8oUKzf/F /9pY1fr1yFRtLFkoyUckCnDDDL7nIPZzSJWrHxy+m8S+wdO5blkFAWx0MU0wBoN9QxpyU3uZSYn9 GHpHHAaDXteYhpWkkjsAm0mR8tL+55sWXTTO9IpYUoq9khKxPNa4VtdSWwlNfloaFOffQEdRKsH5 SlJgu2bZ9arIMGz1sai7rmBFlYd9BUODc3wEvLQK8dGqF5GtY/R9KERs6TRo6BhyiWHfdK7AnFWh gsy719hCoW8cGC066Kw3m8AlbmcOdEiwQ6PaU0UufBzzmDyLyDlvartVSaxX3FZ10ukCxOu3Y3Rp BQ2iTOxBJ7lEOupnj12PKSSscFkTSCoz2pOZ6fA/FCnS/cfb5nYlGqXSfZJ8I4ed5d4TA/z7EM2H EFvEFZ7OBx6DSlt9uVOVRC+1aOKj93W1MyfnXjA3f8Q+DalJzqLX5jMtLJWSD76M163Kua2D1qSt uqBxAHMACziooaoCK+RYGJ10WfoLaqf7sUDKJurwO1/7lqiSGsm939qPyTpxRHHGX1/P9wjsjMXz Hjur92gyfcBun0j8GNXoEFDQse0RUul/ZHasGh6z47RzOPGL7j55s+cxzH7UqbhGqs66JkhHJ2v/ MUW5ZxxSv8mbur7X69wiG2w+e8yodgwqGpIYZy73HcNn3ECAlb+9ZzSCASKGxJP6s5tPBexRPIUq AvPOP5C0nF27WcNVsCeKW01eL5ffSZqKOncrqAMG8g/inFc12aEQB5BxlKhAbJX9a7DFEPU49tX7 oW7SV25A0hJa6+6oRS9mjKLhswGHXiVLAJYjAj9qaIA4k94qWFEdPqTPg/hwQN/iHcwl+kWE9O6M zIrWadIzDcXMpjpGQ/HyXGZVxR+eCnhc98HIld9MM7kqkcVbZh7Fyn/+1mWXOAgz20N0gCk6HpG1 FsNrKJTbAFfA4+LiBw9bC1et4M23R5nz4iFPpxMvmkGyu0/3dE6YUmBxOCamWLXvoHuOmmTZQDJo 6nnUG2Vk0v780n4uC8Ttg5wto6SiyaQm9VoMa/z1hw3eiQRbUP7sb93GWVk+nr3MQXw24hkxDsM4 51n18yjCjJcd5jn6QUCBTRnNp091epaaYrsxT0lOi1GhChpoze0rRI/lQhuHQVaU/VFixXg31zk8 QoMupXohgNtbk9tiAuuP7ikZa9xeFbjYZ7C0vnTatEXAUB5aKG7xlcg94tf/m6f3fhZ92MR2IKin 473RXcGQMWKxPnZ1+dxmfYTLq6oFqjDNVKyO3++kuJHikY5FAXEdDk8sAMNFUuCFpi/ahAhR6a9W m57+cVAX06GiE03b5F0CVajHhwbCmYC069Tda6LNry/td3FLRcWBYhjYsGIbnSPSGLuENFO78KVc 7EBzHOFrkXAWSGuWRvie3f1NgbEa1cjObw8msXIz26lvQc8/ZMym7jFs+ckbnHp4DsZwRxzbuHH8 oEQF2Bfe5aGfX7ysGqK0lw6/S8qIC+0NFj+ETSAI0A6FvuMPvPNAOPwPYUGm7FKWQl/nLTDyRGSD +1k30Z6T4SQ5pQLusE/maDqGavF37DJ59Bf1v3sEKlGUgqfkjqN4P/4uE7gDsfLkMzWd4hrdhQWl 0sZCElHcIktMIwj5J8P76XG375lGEP7QWTimrMrRLXT5tpWzYDmtrKePtShGwEbymgomoKLZdRri ZBbhiDmZ/ZSEHxBmcYjGYZeLYvu+nxbX3X+KL6pF+IcijsJTMPOBjtSmtj/t00ZLe4Sncj4HYonN OHijF3NLCh9Eu0SoTySycUQrDiNklDUB4UdIB7P1dzZyQa9UXFlaOOHZnbhgm0Wt9CqCUxk7XobY xzUAUrwrsC+6daIuR46N+FzOqBMTvQJtmzupQkn47wO7FvdVszsRXkz+DZYpaEYbff3vjgUvAqv1 RcQkx+fd2lHZ9UmaGwdIEMCrBJcv7bsqogSzAECmGqYYVJMinpRV4gedeZgIhCLTulMerbhv0vAK 51tvK1lpxz0mG3NNoTKLQe1+Gs6yaH7Zm7jjLyVdqZLsE8qGn5gJvNClCTdL2UBbcITrL4fD1t0H AFK32Md7ZNsi0+uJQBWMkcgtI1yecu67kWPPESuF68OIz1lr2oN6EIWinPlxCC5/57UBNqbpTwBp s/gMZsUrhKa/4YdtMiFqxSmawcovjziV77E5r2T0gAuzxUC28/ESbBNHPHcQDvdpSjheTr7n0bAk o1w8g1W4if7EmJoIzqHr0jqtAUFDgRts8doh1KodQVKX8h6y9NyLsdVBdSKixvafcZhKMwiNkq8A Ygs4ZZKOzPqjrmoyhop39x/CP0UMsJgajj+nVAxM5zm1iHqXkhfSlNMoLM++kDR4Oyw5m2IKyUOb WXfaNYfhYyXZ+Ods4W8JAKgwxI8z7unLhqtSJrsCtPqzwyeyEJPg4cNfYidZo7fZZxmLZ2psqSvC ld9qZ2BgJ7qsU5gkvawo2Iyb8klccjz2xUqdtnK2A0BXatruVHe6QIY/juLc+3lJ2ndzKHPrICMT eLOV2QCCFWmwVXXerQrTLYl8BYesfmxsbKgy+Haya6Z+nXubv2dxFqRkhOhhkSK33GnC9k6xCIe+ V6FaP3Uccu937sPHx/3oFs2ZxQ31dI86y68H/pxPwncEGe3JowoPNBPAAzhFxpZZIqAiluLykQPO INQYHk5HOT9/EYneN5tvfn26E9JIoQ2pnqhavH3aYA5jfEcRL0cfykUDF6IXwyM7XGdYqZX2L6wY f1siJfTU2oM3NwPOlXTRqC69Rh9tuC2RmbMgH9OHYx4tBMapbJOqj6ZWXpYO0UaAi54aJxcdMmTv yaaTwh7pAwMN3jSCYI4GaKurXBWHR4yAkdMGNQ/Y2dY8+gLiy2P1N+Zo+0aF9BcEBlsqlEXjgPPL p6JtKzMV0sCvNfEgcdNw1Xam4yrYLV3ELGnJYLetgCtTYeqUB9WjP8QqD3l0B0UU4qoOhPaMvYH6 ZtiupqCJdJZbPgjP71ihFJsW8YtKxnHN3stYpJUSk0+1Phe8SI8pwqcVy/MiY+zhmgZ65132UxZb Gt7ynZYDG1kE6WkJuBGiZB14FUftONBW8jlAYFtyW0TvktwiUs4mPme8dTEi3NYjWURii232dqQI jus9RqPJFDAS/Al5+uyar8QLLFb8K9ZkHxtNarkQis/jp9kqJlRrxTSLDpqr85UjORqaiN42UtCC oR+n3kp9lQk/6T7V9CZgaBaRDTfl63kkZvrnVMdmDR5S5PBKBdH3r7rIlgMuoiCl12se1qMz0mP1 zpe4SJg3SElkWe9KQqGFX+4V6nCzeVEiacaq1OEGUD5YAy/AM6bBcw3SgRE6XTRAtZWa6jaCHO9/ eSytx643uiLjAY6ssfNQNNBSF/8hYrZSmRq+tZUUS/4aIxL1UjQ7vZ5yZSKFyNaxFXjBSIwt365I 49HLyLyW7ZoyL8iN/XVW1AKjeIURTR7EmArpB5XzAUg/pCo3VhomoHlFFJcYqr5n1U+XOKobHrz7 mnJfXaQZ2U4xkybuOZPbNZ8wHgDkrosnTkdtHTWYQgA2a7p7HFCjslz6/3QQKjZ+ftnxOJ1JLb/K AIToMdpvgyOMMNNR1+DFfA7oaWTVv6QHY1MVEksNXbAdXMBzp+fPclbMj/KvSD3YG2uzkf0AXnZS hKlMvFvJVvH6yEJADEwZpFTguUBwLcip275/jJJYPB6f7PxDFYtMfeq4TwgsLKDD5GICLgCox4Ku v/0kcXrdUknKQJGsg6zeLibRTKutLUq4sMS18iwWxOxE0dgsQzP0mIPhGz9lXJMfN3JcoTOJ4noJ 9BPgw9yMxLeCliVMzFT/mRFNfp2MAt+j4grhLT1XEgaOLPU+1hLLgeju7MXgNooySqWnUOeNZALV 04k+H5LEJNQiAKDvMyBlxCmygoKmOahXXed65UyMdIDFqKu54NanByBfx+mkfVPwDD6gqav5ezVJ QWOqBVO9iMRDbC8YjZpiE8mxBH0kyvggqn7ze61r5NYnvHTK5J/7C6PIYl6PlGLQSlXtFatIPWlw j3O/P7QfW9+9+/yRLJjdnpQxWXll5I1pu7xogsJ92oB0A8FXohNqFU/7rOx3XD7oG9dPGx53IoOp TNJHRKS1BMb49Ax420Rbths2ZK6JSqFtarzd/NfCVF0SJa82N402NdyXDkaZIlYphessQCFmqXMB l+9xYHkUtBu2U9Ubb9SvMuhg8glAB7DO/n0zD5CUclSt9OucoMEaZcBUcv6O/qzTlVlaT1EGupmp d4UWy8j0Q0nbImJWjeHpazXT3GWF1k6KcrhjLD8WyFG/F08i6WLrMH9ERUfY2vRKdF6gdad5ZBUQ X9isJoQTC/+BOpJTRaxB1v2DJScg5izxV8Jua3sAaAc3qd1kd3RAAl9JH5rh3cr05gXQuDJ8v5ON vVGGf480rW88DTixwfaWsWcxw4OxsKKFtfX59AABEVEuycYbCHwq824QvuJ4qmOgjlGPNNr6UKPH hntGfTO/KYAenj5TLj49ZxUaE8fdJSlRmfBdSB2ibDPoLJxef5+gH++25ojeE4+ozRS6JeuugtqT hpf7zkr7bhm2L+lx2wBK06dQsQkMzSxuLpIkcBrpZudSRP6xmhP/IA92C5CE9gFoCaBI36Jc/AGa IrbUe1JDLglYkW81MQf9GZVPdTUPiTbQXCPdEKxPbLD7EXFNQn05N5w/av94dtzFjmwvJDQkiUTX sf4YyiJeC6ZdWG4j8axcSpj+DCgZILPGY7Y5JixU+JxAYSU/3i130/jOrrObPA6wYyDjEw1i0XLs lsG9hVLulBEqdyqXtbelHl3a67FEUB6AmJPa1qM1HAho4OXLMwkUDOjp0zXKmLl6TQVirepmH2e9 Oz7gl8zW/MnekwD4uCJQLySasYBDqsjxTJfCoPMMCbyXLCdfvzcHY4lze+wtv/znGF0Kupk/kUx8 0LCbi1YFE9zXuNWJq5XLtNpAxOi+Ni+nVMj7XRBe5tsir8OFQHcbTye4y4FlZ3LgG718fehVaVqu ltgAsybTHBHfc7c8YuSICNvwYCgOdZbqsU17LBJcG9uctcqjiNdehqLQPjnEg6kJ/ex+vPppGeLg PtJQevXeG2pXJOb7i8PZnJ7OAiBgSwsj4679IGAqGHRQHM/vaST4k9AlUHV29O5zWxwX7djBpOqU soFstck2DDtE/OtnFJ1bgtU2AVespysf80gwfdvPY/+316OJ6pv+0hXUfHIhQvTSbQ7k7TS2kDHd R3EI43iT4fp/JWd/y/cr2NGm6T/Q4xn3Y/GL6Z/OPku5gXD/pgDV9an7Gx194Vn5iTzLiTN4GYDX 0o6EdspjxKkW/OiZWChFqbhePGcAq3v+vbPQzY3+RW9HfXrC/kCFItTulid6S5guw1swBjmCcCaV wwuy9pxgAZoCa636d8rvztURZG6C7FAlLrAyU0rtkQoC8FA0EY4qNxFuLrC1eln1sy7UNm+ovUqM 9sM19N6GixDPToy5b5ux2c1slilNGXi382MfEoQ40shymAofaOHH27Nzh8fJiVNPEqgLXlQfuFQn AnY36koW2AnjkCQyKyUvej1q3U34aa/Fn3PYXQBjKr5djfxfuDgWgav2ZP1mV3eK0umVTg+E4+F+ bLsKlmwvELIoiugAc38Ky30fMiN3Z1gNOWLDbu746AkPxSgtsUYF3xr4GJq5oNy8S4cBRp0lAMhT hNmY/Saej0qAn+zJqSsHKwZ9soP9SRygSw92ETkh6a/F8KA2dNfYHIhmDDXzUJ3fkjDAKv7ELL6T 5EprIK1/h6eHWW94BMvMSHSiYU58RRPEuE7tXx1L/uAcUFA9XXhf6CO7FPaez41KRlWx1nFfycx0 9DVSb7KfyFtd5ZFoNE3GIY6sCldo5ayYSZUQT/1Y/p2luyCUabMQFfPh5NThM1DW9tqoiAzxMChL vKlvkG0ugzdJjhx75XiI82Ld0jQMR6AYs94TULKJSG5HBNnnb/Z4/zCtpl5YziG22HxkCGFKqnim Vsl3dJVdoWpoBOBAeiwUopQoh6UNZ+5/VWtbvRozZ/ZWuxmbAs0qSV/CbxCcBEOdZnqpO4RaAO9w j2NFvUBMflV/rLL4fKTJEDfZv6MRjAPrw2om5ADB+WXHd8htAPcdbTG4+GyOSTVADlIEDct6zHVI HYC0fz0MpK4tBEQNT9+y+pN3kFq2mqAPk6KebB0ucC221E+OmJ2I4EPz3Chcu0nujiM27rUSe+O2 5qgUNEDnWWFJYUoEMDG+qiBHY64NIWQNXr8oAWlbyuKDbF/boplIr38a4IuPKwZXFwftHPA7oKvg oglpQP6Ssu6wqy0B3KqdgWkGSwVDHpbf5CRSSlCvh37ctKgebwyF4NFhJVStqiC6BHtUcV3s99EI Fy77tasA1aNyUzikY9oVN0ePLYOCU8YXS+6dhj8Q1NDE7hng+89SjS3u5k2ofSQSBj5Gk6SuK+g2 XWpv5foRY3yK/Uy2pNaIKePy//YIGI53KwgRw8+WIA1IvJeQx+IAtqaFmJKuelcwO0KGz/MbLgZo sUi2yhawpbX4hRts8LMhytksae5wvrN/Cd3+Jk5qPva16dxxVTNyvWVQfFytp5T87dX8ZG+AFNpP Qh4sJrk8vqf9A9M0oWdziVH292ShGAeRDcwsJ1d3u3y4tmXp8lNet4jnLkDX2Bw71q1v6GnXQtHU Yz8Q4J0kROjHeSV69N49+O92aRe7DXzqpM/0rBwBj4Zt4zJIJb4QDzXAau+VRmUxfcSivDHDq5Ym hLXWwf3ZNrpfycex89UJO1sN4hLwd23DldS52PXSnlCPVesI6zncvs+sHTVbbnT9JlVGn8nO1Kfs dwmumHTfNecJwPPyVGVOL9M3osMqCbHSpW4agSHdEV8GvnkeywPvg7e03jdFqa52GxBI0rSr3bEN m2VYeV/wxrx/xzgBNOWh/PAFv1v/1hUqlw1vu1DYwFai3fSiUz+/EIpqcQNsMy9JL4JzgCkQi/El +FOwpZ+p+J2a9UK9eeXCCxIRZzzCRrZiMZLHMOP7Kl7EtExJcpCqypsY0wWA2kUzNZ8BWkujI2sv 66Y5a+agy5XOUp6SFYXIz+caajnFl2uCimr+fguaEDrAKYtJ3U6zlbUYUPpeqEazZdF9s5z2hEQ3 mSCHuYr8DYl1/1b3HzjnlY7gjRSlPlbkIxLjqCc47ucOyV0aG2n+iqNz6ov6yyXS6LtEIU9PhCTL yFBgYb6UcX3dxZbv8EgR6zXoDdk+k8vz12lVG+xGqBjzDqjVQe0pYvUPldMZr083EtU4fywavF/B 5sF2PfsDj8n99Wa6/Hfge4A38DMU9C50Y0rfVJm67U8o2PksW6sh1sjmo8wfOIG1eemVjQom+Nte QmR2AaYwCcozj3ZbFuO8swNtbexlfRSY9EqSuIGnZO/d/+cUYepCpdfUlzOncO1DpV0R/TQmoc5v wULOOPNqKmSPMMqhfgzDcW3DGDvhEu4GkcpaRn219zuNcjcthPi876DgmSOCgQKJaU4WMIiYDsbp kjWAepYbnEamBy2i9aZM7fMsvRDwIb1fPeRG9emQhPjCIceR+bp6/8ou4cLqG0FduPU+qbhdXxU8 u2CxAuiK5VS6gJgYXTd+xSAV2BY4gWHtANKVOi+Phf6JqZFH/J4ygUZn0eJ9mjE3S+QRr+trszLv 5W8UBO9SfO7SrAmQsJX6+KmnEUqOpMkXeYM1BOsS4KGnSUISUBlQmmoq0Sc2vRpP+CU+r6RPv4XP bCq1HnyP8ZVeiN/AkHSu213iaR/TY1EsVlhC5Tg/JIV1TI6l5yuDH6DB4b98e3M8TW+q0iTVIdji 5qrzoXfxM/oxRKnS8mSABmBkDOK8a2uUhYlLPwHSAW40pYA2PyrdKi9Nbd+y14UVei7ptsmpjeEZ I6xGIk+NG0EyrQ1z+SY7mgHKjKmnnTcL8vgEYyv9+ss2R5ZGGuWcbbI+TMV9c/SlYGW9sa+YAW+O tjYtBJh+Y1o9E6toXEEHbhifs1qwddNV7TnI/uHinIFcUPFnbIcki3yFkJAqu+M3PTaixGTwh6D7 T160KSOnBhRJpm3sOo/in5R1lvF+fHQ3i17FBGgcDz2HQdS/AXYxZRbZkdAovZVnzBcrsJj9z4yH dDuZ436+2iVKXpetpnyNBs5ga3p3nsWo/0ajK8wGXXn+xPcKh4hwnPvp6gq9sXH/U7B9gBMKmumN vp45/QUIRutIIFbsWMXNj+qvACPHYTWMXtjdZMMGRH4h0ge082y4CQOgb/DqFOMvT3zdavdsrhPh mxOZ8ljK7MRD0Ldo2VTshS0BTgR/jibEAmOES3cGDjfvxmqtPyOoWdm1dLpVuNDAnZA5zxgqr9ZP xduueyJo9xLh3iAQWI9OM9kk7cBqBaT1ghf1F3IEj2qzngNfrtd7/TpDrBt1azGuJ0LJz6Zb/o8P eIWHPQUVnqVxI7M5sDH/KtEGtiAso/D61UaD9/cmj4WaywRVu0Z0MVPqEgtmxSqWUXncEMdVIy3i sa5PIlHMaTuWhQm5t5IhCrURfBqn+xfCegs5b0J6pYGJfNVsJKvAtkl8dJfX9zPwgNV3fs6zVH7E jyqvQa1UPwzVSArnKEBWgcVas5bhd4txmVY6Bbjy+HE5r5SulVrZMr6dFPl+BAghE5NqADZ2XLqz 3hkP5Ak0k5SQYfHXUxF+g6gqmjLBYVgDMzERjkzvXjU8wGn0L8h7F1t44qP3t/PpzTWkCZMM42HW w6NmP73z3dztsmVaxhnComTY8K/5tuah+dTULswMkRSxoKIAJXwq1szw31awaZGjNJHHt2CWmWik O+sA5qPgGRrLwxLQ13bo/kGz+ef4kb/vRmMqmYwcukQAh3DZmZt3P7th2qZjP5knggkWxPNmVp94 YbNtQFjD8JopKKh9sQLtRw6qRW2bG7y2wKalSdQowlWy/LayKbV/og4us6oNYXuOlmoEdoYO1fJR rG0B5RB0+pPI7ams1D4vR8rahCsoF6OyvB2SkiwF51h+sIVXBkgck3oaOwXlJIYhzVEXI+eBCNDO hTvyrzA04FCoOnEsnHTBfN1a3TPfZ9fY5uE7LBTlTjPXIODdi0KoIA1kgnR8x7CA6u0DiHGWS/uF S9EbjiASTus9A7Zn43KBVFyOmIsOugFo18V4TDMjnVWwNACYqbo9LEd2uLLLDKY8ASHWoqKiJlQB o2shx1bw/uvoAjjoNaWrC1BtCtQ7EB1FTjcSAdl25WwUFEoKXO6mUkTwhmGXFBEJOWZ4B/dzRXtj ffxyHBBsEnwvrO++/k6WDoxsEGBgGOTB6BC0OhrVsJyDdDZrQcDfgPu5kUh14zywY7V5QyBnEyDa 3wgoVPdPkjhsjJdHqK/PPIn7m6lP7OxnNo4LzYQ2EfahOvUxYT9ZoLDe2IOhiMjnDw9j2VweOiRg ua4FN2nFFs39WbtLdqC24FMtuPR/7EOF9tMIdhdO56VcHh62MZZPVyFGqWaMZI2uKQhtOs3VvRuv SlVIYMRjqqeuxPVt1EbHsfG/oOFlVdGB943+dknw5EN+C/WGYYapoeFlNfYBPI7RMYkIQHkLiIfA iLdqE1eAy9FMPAxdY+gnE0MKojqBXiOe+cR6ET32RYgsCf2PGijztHezGZWHTOy6yDYjPI4KrnHi 0rTHiuwxMEqoUwtriegt+GqiW/ZaMayjZbi7+wTDI8l/aZQaChMnKF30S0gxemJ0Qo2l5cS5CB8v jyIxm3qEv2R44dKZpsRSSiQNJCBD0K/7qvpmKK1cvI5SwU8wNFErA84vfHASuaxyRypWiRvraSEp wYAkzp09sc0OvIBIdqi6ReT0ClNdF9Wp1UdSdRPFAQE93zgrtVXB+fChrv+mFD8ZiNZzfq1p2OuX V3KwOHbRFdGjbulKmdtwP4yY95Agi0vCDaeMzsn5+7iuiH2JLthzbssgFlSJA+K7lKaXrZyEKPiy /vYs2uh8c1PBRde0W1ut810dw6bdnkJHceil2HtxzWzAzj4sj+/oX94zMSMbwRKOxZ8T `protect end_protected
gpl-2.0
40dd0bbf30156b4f08d33a81310a6db3
0.953549
1.809865
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fir/fir_compiler_v7_1/hdl/fir_compiler_v7_1_viv.vhd
2
86,668
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block j60cUBDIK7Kn6SyUcULLkFgB2d/vI2cnYgKOEFzVgZUWa8LsPzMa7QDY2hC1ddDex83es7FWp4P6 Rxys0v0OTg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Q0gpn2+7QATsb+W+W1TnIrVtu0HtTibTTmubUeDF7/phm3Qw7Epfc4S8Lqb+lPfUb/9bviAUzZDO 1xQMo3zhFh4YYG5bDFyrtzRz58pXKvnqmJSJ/UTT3mS/lUlUMHGLeXE/baHGNvSKfQ70+heMFa4z sYS69K2j2miaxHbb9dQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dP1BuRrlmGQxP7WaBSId30A70sAhjyj9GlKHvc4xg7PWiX9Trbdopfqj9azzPyCnW32m5z6oXNMp 0wvABljTFwBy8qs8UYw0um4Uj/QmZTe/FiFST95WdJc1eynEEBcv+qXHssk8GmCk4N9yc1SNqq3O ft3mXul43Sx550y7It2XPIsNuFqUEUW2oklRtHJnY2YeE1c1iLCOMKck6b2jpzvAD+pwVNT9Z9fQ 3siwqDfr7EuozUs6+VKkU4o69SHp6YOHaYaH30CvvVbBz0Q1ESURVzOmsTjk1GNfSuS93udb0rNK gNK54DFQqsNma7eIvGzOeIjggKf4VJFd4PwDLA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 1atFfsKb7hWXXc+CLb5Jnzojbcnn0SoyHTF2+XFf3ULokiBwDdnUKVSAQx5bwYPOsY0tQtkidNHR Iag0us5tTFH2c9LAH5Gc22aAC1uhmsio19O7f+oQbyOmN0fFn+sGZrdb82SJMbfqaAxN0cnT8uhV lOZVeBUfEZnwJITcF6U= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block R3P6E6Lv+tW9EddQ5JamDhuzsGRrgeifMYfgoWoFlg4x6COj2uBHrOr99DjcAuLy/YnRCJ3fsgoA QIEy6n2GmHHjYHwsqNko5cOHOwsRYZv+jqB58mjAlSimZMMtrvy4xgEB8m87uhEDy4xtGbzajVvl fMhHN1KcboB5JhrH5LmtE53lcmrBMhTMHax/ZIH+UBIKHAHm9qmlOuf5Flh13USPSd6YEPcidgSI WKGsmiS2DFrpSJJdkkqG4UxaVvRp89M3jMCEOLNQTPe0GzbmPgHLDGzQnfSb0h3qpyofIgUOknEc DNjaxz18qDJH6AUtVd2CwBerYU3Db881/LNP6A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 62416) `protect data_block gDdzqnYXkhwwzR0bb4yfMVlrjZ+1fiH1MDaquIOAFUg9CEyCG+f0ZIdSsJmtzRcCET7pgJ1DMpZ7 Buj4ii0q8RLaQerZWiiL6fAkx8eZujCTtzaJ1uO0mbzc3wsCWhFt3o4LRQp0SsC1M0EEbxAIh0XU YENQhDqG5zBwkoObUTkG/DxD+tHBhdTxROgDXdC2B4HM3rZpehokZENQGx77XP96/g0leGq3VTpU 7dD8hKRl4aXOyD65ojoeGfw92iThyAXWowMsBOVU3wMwy5jVLUJXsGS7Oa78EYJzU9gWpkRRSfFK SJjkrZ5k1O41OE0UNyrAVOwueEFtoW8+pRYJ/w7+0Oa640hpDqUBp/LpvU8qvKI0XgsCWFx8KbtA +imIu/YX1v6Q2pRhEaXRDmr7jXm61cEpgMuECjPo5m+hpqvsVxN3y1+3Z3aVP/MHnk1/8BKkiQAf LMjIveU62JATQNQBxV868ieB7g5p1J0PAOYz4Q4SlhDtlt7B+vgppo6ai5dhPSTq2a7VmDaURWeZ C+WthOEXFtK8RKvT2v4Kr5QNgd+sVkjCWlNC6bG3SBg6nOg+ZbjTLdRe4EaC2FYdAUguiWwH3uUP Vql152PId/1meBi2eyfB6xBohUOBwjJFMtWdUiCnRvpeU6aGMki5Q+0PISaX7Zp5xDvQpPJ7NESe jAkp1Pwm4+fQOmuFalJkPunce2Be6tfT0EnAMTs+jRwUx1U2Vv/H1/sMtMZI57qUZEquXVJ3NugG Qdr9fIG/kfgF6fGXGBUCp9GNzBiJrYmlO3/CKzXZEXs7HfroEi+10hNaaMl43AsjndJE3/v/Ui0L vkiOO9TfkcPZfJnMAdF5hmtnLmc5rpByrjAO4oO62LGd0LSEXYffsDGDaECEt3CP7g55qaZwzIJP Samg0yhirIga/bHiraUYvHxJ8xQ2rQuTs2AgPLPnGUwEaIDQyunJF4tFcLMtLE41FUPscoGoh5D8 GauiXiZ/Kv2baxad8bC7Pg125BnldDNbluNWcf+VvuEQQjOfcXZYq6LxEMgHdL/jlzvdamgtCGMJ fcZ9KNkN90u+XFh00bAYlUeSd5ly69jAwOdzJBCwWk4lWdc8FDL+C5VsJmOlcMinQsE5ouucKXyA 48FtC7xPSJUB9DQDsOGGQCKqgzX7A7rvAm0Hhj3RqWdziTsA/izZAaZueMp6xEa3E81xEME5veLg UoF7teatT1nsgh2jP7ekNO8ptgjLHgJJPxNvDveJtmHcQn8rQ4fYrYQsiBnyCZknaupVMfpc7/me cI2TNZpgC5o/krFsPtYDMXiJ0JnZ6Qn/qKjXEIgtmjcCdfft/aMT5wxu4mMSD3wavK2aqoQRKgiK KZEjlR1Cvff6F5hSeqynhgYfTHtahRBqZwFGHRRBPA4JQgvWJqHH6I3pMvi7SD8upN8SfDYQC3Uv P7DTjHyXi4r/T2pdgLABqy+gQmG59fbKwuqDv9mRxKIYcEccaV093RXcBSDZW/FvtIGvl8MKmpS0 DEwwRnXqaM4tDVQ0BAmix6PysYIUdogkLvI8UkQ4pKssXF60yAQ5rQZNPBpOxmvpcuke6V+Ar/U1 c8KiSchqmkhLTNKzO6EDQBNupeHGLlCkdi5HabiWNbHs1SArHIqWUCADf0Y7srXL9wlFfL77PvkS jz08EER6aTkSITngxYN7K91WNk83A9JkQNgJy5DTDjNAssckB4rWQHUk1+gWGAt3o1CJClPzgWqW 0hpdR609pLENxHkivv8c97vbRAdQFqFVYJEiv3wEErlJXmCkiMP+GGrsabnzzH3ve8wdmBvhBFuL LWVpqSRdsJg/vCN2TE7tgCyH3kpUHBfNM0h+h6w6u6ZhED5Zrr6Yr67+bjB0xfOAGOTJ1+EMkOos 0LyRgsPz6ddFAfpunowKtJlH8gO7MS7B3Tsmtn1R6wmr+cakBk2mb6pn4NJHYed56ojkHUuRRbrP PYexStCq5iy7wnb15wfDEHW03y/ToK96RqOYaYcFXdZkaIcaYy4z6Qu2yeeK2FYhNnc3zvOxdf+O nQJRmwMAg6wGZDpKZrI+/jGcTPEXTwPL6md58scW2MWDT/YCWAHhx8VjbRUGCbf5OWkV0jgcMVUX ag9V2h9YeNx31YjujEmGEHOm0O8wwZHbSgXFMVTiwmTs/bv3QJwsOERiTlEKF7oFgpKnmCaH71rT NlLGCzFk0ZDlVSoTuSSR/Ak4IXBRyxdSkPu2cL0LAWXkixk4laMFX8op9JIIF+jSjtFlsnbL1sBu OBldWes8s6MTCwLfeqoSSy4P0dmnYw8IjgXnrEof2UOV37LVfAzdsX5OE9IwYnY3YWbk65Y3R15J AifhgRCbyd4fDGgyJrbAt2Re+HiDM1r7dux/k7wI/GC/pE+Z/I0/lcIpnwIh7KKoFUnJcBKtGPaX aTKEr14eT3ARGPjzN4qs7QGcVVP99rgVBZ8LkvE3oxuhoZNAJ917Ej14mGCol3pK9f/Ou+f5KGPM IKB5tHNr0udob98IEVmzhm39ek1wVRovsgyRhCALk8Dx3hU7pFQAcZC3UH78udnoSckjvftZmiig PSTbjD4q+OqywK7Xt9jvaJyGVsip+2BZkf7O1bdY9r+wWA1GqMPZsWwjEeXVBSASMajSk4FXqc64 ncpcE14zGsy7nRoz/Tx6frGxm/oK7xQEBFsiw8ktF4iY9IQ1BeZRrscZGsZ3Urex/GP9DVOEmzPv VIoxgM0gXE6Pa1R0KboxaNdgDXX8oMyFzj+nC9OYzEKZNjgRWfTd9sw1AXX8tQ5b3tUYV1hFakev acnhumorQ7klTax+MuZKOoo2yN4OAfJRu3vpLFtnlKr66LyS915CgMo1XsIXjfpn7iwagasclLtj E913tFGG+t4cEglKkSzYXKm/wYmZRGwYtkXt/BaXtH+R3YbFia4BZyWJjd9EFK4EUxB0J+m9PfYn NlX1b1FDwQSp87iQJ1Go2h1aVORTAO+FQ3vHPsrrnuzLgqXg2dcIyiSf7cF2gryVZ0+cL8d5wCZk zw6TUxE4q4uPnBCQyYHIrAgyFqjSVjn5sBhG965/Yx0MhTCeIf7efoY1f+FEQn2FiCZ7c7K5LOSh 4z7Wx628qh5zVhVWxLQaPn/iSd10et+moI5VlUpLS7zKz6pOthDKivESaIEsdRIM6PdJdKozoSdh f/sNZybvBrU8BdvyGO2RkeumdQH1rkVdBTICE/pktFcr05HqqkXUAANwRRdpwXblety1HM24l49w 2NLFwbpOGDnFvOJTXSrVgiNWB7jR45hNIabwOo60yZlezatjYB6YE0ijGLmqUUlFP4E5HcCTBsKy abqyvxoDsweQjAq3xZurhAtXXjrUctZil9buQL74XQ2m+hCRW+38hk6eXG2rVmYySdfAYM61TQ+P 5kA4eRXuc6mZl7A8EvPaCH7vESPRGv0ULn3pKdSl2FZIJJMirl4vGa4peZu4S5Y2rRmkGJLGD9pZ /p8XAtX0Mb6bcGEPOypgkNZoP9IrxiREpSkhtRAkIe7SzCIe9BD5s3LVnHUCGKpfX5oQvxhcbLvr +e/sFXTqI7/mXlJzS6ZXBGQZ9wgQc9QEPMjAAKUedep4JS8nphcoMKQRCFArZscwaNo9vhe/PaYL BljaR4m5NoncsVQpGr0FpPQkp9Qjw+6NipZfYvd1NMHad5iFEQYWs2WyaZYiGH784orw6DNnahqf jbChnGJ/kZvffCLDmuwB2I4dDboXbIq6enCoW/KH0Gi+VpFFATl3Ja9Td2pEEadugi1/a6/OAVE+ +SQjlfvYtsRuC8s7iQMaHPtDnD02XKSXSlL6QCo9GhZQbmKRx4QK1chQ7Ncz4/+pmEaCyF+rzc+M DSX6JVE8hHZdCLL6CTc8R28bvFdwYG9ZeWps0vGHUFkbwzWevip8a7s27LTbjaxA9IHVC0UX0uv+ EQ6B+Ch6toFadRcMOj936jf7hnlYUpURbqH+gpmSu02AnWAZJh9wdzigmFOGtHlJryc8ziPTxiJB tSq17rltpDET+0v2WStEkO/lJlG1RoPXSzZCDE4vZvmo0KMAAUghAl/r3UIDktXvchoObycN6PbY /6hIJi2ih4R04lwirNsSmf+Ot6iZcyAj/dNT163VS8KcAhggeZu0DMFgoOqEiVr0tJBnH5TCWvc7 0Pj8EMfwJzWCvRnN+87CVoCxMiKYJMBeL7HGDdwkgpyOn8OGy9xAnfXq66dcNEb88XjkinK0s3ut 2MbYEkhQF2wCOSU9a676QhHNcKnqcteZvJ3q9Vqa1JI/uqmsfktEnxgh4KFrQLinew2Fhma5SmGY aLGa7FxFjx555POw2obFwKsUxkCLyVdUFdXd2WJSftJSugwrocz0UNjY19ooHRbzNH43shNU4qmb q5i+kOX9efVunKc5FkxE2eByjQ1CQkCacHOnyoUwvLw9L1QhmQAcR6za4pfANgLZm8fA7p/Qm12D EovOCn6TlH18AunuCazhuqIrgAxF2YI2x/WDkO1P0NfNS5ThwYZjziF6DSzdgs9bXealitdzEqVK g+HKvwjlomkj25M0whRTiQ8PBrRRnP8qfTOTQYdSRxKUW6VpytNLDemrbu85ag1LX9uX2Bb2Fjye fwdRs33r9NeI0A3OXgay8pSddRGmf/ibblcBKlpSu96Yw20sHdwmRxo2Q4ZcOplgNNqYnTOgkU7d Vq6h4TxEC0cqb6Jlaad/Llq7nVzXfpHVbHoVcbYdqMR7dPv/agfYIhWNps3IAsurvvSsrOx8SXkm y4mxjeC6dqEq8bH+qTlaxeWQCY9C302NaMV2IXF1OoFAscaL7d33VSnyiT35LB8qh7Y+E5w1z8BI ChbkxKszAg71HjJwdnIpsAnQi50+XBFo68H7uBoJ6Ybqzh2hKNnrjmoN9JQ9z+dotuXJUF0GVARh N7xQbPP2qTakl2Tk9DGPSp2zVTS9aMMj7+4Ra+3n2ocDVTchEW1qtaAE53jhg4dXJFmLfth/iUn6 i9NUjcJc5oQlRJ9wdDOt814qI9O1Bl2mGoFN9xf1K78/7O7eM92N3v1/jyfSMvg6Sb2d3opLa9m0 ZKoiVz/fxf3XsHqx15AX7dXO4Bz8DwD2pVoEZvkBClxGlxnQgPmKYQiehUk0rp0qhpQRGp/Fprl5 9YX4octWhqh9RZTmpHxdAJoXUbA29GLDcFHco8rA54Cu4onN93cd63Q4LmHOish9I+5Hz5rsfdTL BPXVXRAlkhiwySXfPsAqF5RkglCLqZFO1ELH1QxAL/ldX2UacHgtMYa/mWlr6hLCx3qXceMlOYrm gufmbBe9F/L7H3gMXPMA6XlCD9/6c0ChhBfK2SzmXaOyjQyOMuWlsy8ypSXPCPJTKmGs3s9WYA0t 6nqZhyM2QpyKt4yo4hTe4gyJcDJ3sP6TlhlOdYvc4vABy8HN2IORf/hl1S+lRSxAmkdZPQeNV4oU ihTG/RS8zpD/Bzt1/d0dLXfYZltf03mPRG20W20c97NBh9OF6dms6XqoucjXpSBdaSaIhO6XYnd6 yFsmrXeCWn54hqx7iribaYOsB3j7wox2PMcUCX6XaVSu8909I2a76z7ox4vs/ffZr8IB0SG0QmyQ oelzc1NR9WaCnDbDE/Sn7bibSJ4f6DoWKdW2a9zWV/4deFLhIWUDuQ5PjyNrh+g97EhVrANQcmOR GyBpumGD+vDVR3S77vF71J0zn/3ue5JgY2oG1uzqyTeAauAMCSzdFIIsPgaKp5HVjWzAT87aLYdy 6tylJF7AWukbmu+s2RJH/jX5YwELA2uK0ddjhpCqMWG/fFapAVQiF2KVBMMO6CKC4ZnnkZSiG1Sq nz1iFjKNZRo6IfSL5Yp7xc6ptgLs0B/n4lqFxeZ62368RldBqimEqgiwKHkI2cnwI9lpeibNnzK5 EUYxkIx3IWtbrpkcVxQvEldG7tw9YofzLQ4CDgmfUUIxJtf9+K2YPsAMQhGdkoA8WZYvSU2Tvwys VVbndimnuAZAl4XkN0dYKdnZP9DeXN7MOCceU77SuX7wcY/RpTmY/DXJs1cbrpEcg4KrsFE5se/M 8qnMvry9LSQfRxaOvFhfU47S3gtDwgPp9PIJtg/U7Yc3oTdgRWrghYC3gdWlyryWgzucGHA5j4N4 zxf5hnpa4aQLtuQBHAM5dzMcAr6xfN+v62Bgh9dZ9/hgxVsQN0F3KBBQvOhYQ6lYttqbLpnPkUsX rNTMCjg9IPTy+VGGP5ai70+81eJ21olX3eDFHlC7KxwyUteZCp85tvIi6AuwcyOKUIf/aJjVw0Fv MUZkbSZo2v4hzuGahX4wJZTQf968ezfJ1+aJ+3Eq9n4lZF2JzLty8e3DHpSturRRV/zk++iedqWL aVErBwwFeNl62OZs8ogQJHtKz7QPADYkEWuj9csQgZdBKDwFzHgd1LagrplPnpDAj1+unRVkYMeN nCjc9O4Bpap/ho92FBfE+5MST0EzO85NEcL5SBr4qrBo1NhuohdmB38pZiKI5JOKM2pW9g3bC8NS QHCjYU2nwrN59KjPlfSWLgwHvKOv2JICGrbI7EDOgUOVJ6HV5FUHggpc3NWKFHn8CuDc/ueO4UgQ 2oxHNLhvTwIKatM4VuHzv1sO8Y9xgVhmpgR9N8FaLmDdApQvFpL5x2dpWz1MNOu9Ph6FBadgxB2J QuKkDuPeyRvGAonM9X3ErtuH9Zs013NAENcX44saK+ks4Vbmsak2hIGfynu4Gx1/UhQCIQhEBgvo 4cUMQDA5y1UMI5qt0c7TYN6zA2qCvENcMB+gDV3DAHdogEj3saQQdhZ/nVg2ZuIy6HJyDr6FeEnd l85/xB8StM7ek97t8xAXSzjRVnn6o8pk7N15KK52wzOjMaI6DeyS3r/vQ3wr9UVwmhL5R4yXJAE/ d5UKB+8bAF+AIgfVZV6amSaFihEep74pbcOGFte9QLX1aATLZ9nMV7ZE3nzAsKvN8gI0XvvYSI7s fUIswAhcj6d/oYzQZFq9WnCdGLtdgeGKk231kg3M/+NozHf84pNuU/8Ej3zBMlcxZlGHwzEkhLC7 ZihF2CaciGl0Csx9HLi34AxfCtM54sATjFwS6/CsEvsJVDl8/cRDfWY65rffR7e3BA0dMSuZ5fjo Gi0cNw/cd4iX7bNbT6U975GJjMPwT73iqOFOptsfD9RDBj+uoYuYAxCIbE+ttLvgd1aHLOhAob4Y JhhQ3U8ToW/Ry6c4Xus28wSyZkp+bvc6zsTD5vP2crhjCNJmcsGpGR4O1+wBnLaKULsTCp5t4ZPh nT58lHgRaTwTNdqNbTBs3zBnWStMs/cvaxah5oVM4KTXqNEShUgYEpmRzWqKwP8fwZ87dV/e8EYb fJjDSGnnHmFRm1OgsbkGhYXQvcfqPzHoO33etaBZfd6h8Zn+jk8Ew65n46Cvmi9PKVMLgxlf3GEP vjLxH9AZkDwLyOhod+ISwGSa5dhXSMJgj5owZf8NNin0hyPCeBKCNy3on716lvCgG82wvyRY4Tq+ wzzSAQk8U5zGwCypSBBfCCxQCNiM39t4naXNSbDJCAE0Zg3jdd0hbJcdvvY8MU+Tinr/Fl8hDsqR T4LdamUDFusab9DHT0wR53HY+6aUuJLtZldlWBkGMTlXpukiwU6+nOT2HTyOsbJ1pr9YjJlToH9r rA2DBr6TGi7yzVxM392Kqe8znB6e6Acv7siLE7mBiRUS6VgJwfDzc80seRxGJQgqD8blOiK4Wdgi 8IrB/Pc6O/F+MJJJu5NHL4JrYJQRXIrqk5mhTNgmogzeIXKz/PP1hnX4+Vbc0NWZD2NENGTjLWHF mLxaTeaTyeqMcgYNjm1zauwy9c2GZTGV+ieNPLjNr9PIIrI2X0JlaOTHJmRqog+qy9JNHtuIF2JO kA9zalWwK0vtNz2jhy8s+R/B7/IJQhC2FMLE/QS3yhXqC7LZ6F9i/N53o66yrrXmsPUfAUYRsd25 WOv7GRV1/YibxBD6R/bbGgEiouY2wn7tWRaXyPJrqCyRXBkjnBuuaG2UUrmHbWmju5SRoOoM190c HSbR0jJ5jYIA20Pfjltp5RQw9sZgYxwg6bg+pq739EXobvvoCDrnww9A9xydD+bWi99nfkXIwnJx APhj3ObO1VMDxl10eh/96fgbKH6SuXBJODzkkS9yVcbSfTD/rWkBPou5BSQWPDBSXgfQvQNWu8xC Pfs1TSXyRlxD5AXCZgw97Vr2ZyQvUJ7o3aqXyS1aylG9aMRA1u+MAaIe3pN8w7MdWPyhB2jfJioz qGboEFUS+3VUGq9AwoANb6tcqK6vs3/Oz0eq/09GkC1bdGC2uV5WKTvnqbfvYzGN5+vuYDqmZO+l kYnpBZgMH7BokbCri4fEOINyX0NOCc+ss794n/2S9wPbHrGtNq31/uVV2gUu5qlsRlyCxp5Zk8J3 trywXvPJyIBV/uBSbAlGL+nwPxqdf9UPn+lO1N/34rDCSZQkYo6kO/pFHfmktUrcOKGWuvXZaaiH HlmGa4ASjqGvhIe1y0Ym7vnFcl55D3lIieMTv25ThJQ3LQMGw2/+SiEw9VTnONAKsc2ENK8nkrmN ES9LNvApSNRSbsOa186fUb7t6VN7XdIKBraR54ULFHlsCAH4ztI0IRuKh83UHeqXWWiaey66455n kpHDAuilWD7rJCH+m6Q/JznkyMkMGtz/eelJpbXJu7UmEarFJQXC0FoKHK8VzLUrz72PQG34JwWE +6Zdl78j2uny72HiH5tt7FTy+BEnZqWnqP6AJL6QzbuS+yma8h0RDQpd9mV7eXQYyBqlt2geqXcW KkbHpCsSUYa0GJIi27cszWltEohzhSlFhfVIVjeHguOmPBe9T74igvTjLYy0GgrcN5DmJgY0m8VI aUWcyoaLXS2LqFVDN/W9HzZFB8DjaufodGXJopL+45ZSx5nUdD4OaUaVlvxRwPFpt00VzNpwidHG wPDCVHhNdj5yqNLHbKosstwymJlSbs4a8nVC31Zf5qu/I6wNAMkrCv2w/WTa4PNA5e3+VsWxgJOj OsrSqd3rUdfPI6geNDz4cFSBrITozJLC2bWwPLmq3lX/1hZWRm2ajJk3aa8XscM2yZUbeMR333Zb OCCo3MzliX/De6ZqFQWZCwhPTB4euATFoG0hAbJB+CLHeF4QgZcmOKfmHX0wJoAb0z5twdhFZ2Pu OGslBVTd+6ca1XswNdcAehkMPWxsDKErxBGBCfHsREftDqNa48ymoiBikrG/rfltSkfIVw0RaZSp BLdR8eyrNkbl97sKyB6rh2mIgVcwTTht+WjPuq/5xDC/RfsM+9A4Kgh5PfR37DDUsWw2nByQVsnK ms42o5CLipgKtDDMzcSTNlCwy0qiSrb0W3yGGKPrVKR5Na2MikTNAspyoAYSI2RHx1HJU59TnBFJ +d7d0yh/O50a0b4xeNsQcGKoWvyyi79vfXdbf7YlR6PzUyWj/oGR3n0o2Fvo45dJPrbPKn6e8Zj7 AVRR7bHRjBSYE09RsSlYAelBesq06WJ+02xGY5KHKauIiHZCUj33WDZdwQlA8mRBakUObUnXjvoU IgL51TI0wj7StxocNwNGb9/yy8J27/nUp6aClyqz6hL8twYIfLo7qJRQMA2zEVtfm4unZFDJyXCN SwKklAV+IZ7v8QXIQXyIvO33d3gbi8HheemaPBJz6Sf4nT0QmpolgMRVI5PBO/7/dUIVMsKnBonF uh7MxOw32hGyxxmpI7S4BPTu5RvKGLR9WZ4/0gLj7XkK8s/GqRK5u5gHnFgbkzsz4t2BZkglg20J QMxYAy1Dkd7HlcdAdXPQ8Sx1RaQuldJmWLGZpUibNA685rJphkna5KPvUndyI91zOjjsaBtRzzzO pIaluuDZ4fCGtnMzYUXZJRkShKM0sZQIl1XQWsMMlswoGKXXHUnjYpcXAusHNsW1/FNFbd8/mO6p B2oF4K/TCOpdued8p9pO3W2DlyzF7vCLLxsCM41HxeuHNyZ4u3H14WdsB+jt9EFXn2trY2tSFU7I vLfRpFYYMriIjhHHcVlm3e0vaKUpNsBIRXOjhJ4uupgwg2qtArrVq11Yy9N/69/dnb0M/VkbXv8W NYKlk5wURNGMFWh3aNsNEk9oLFXXhv7c6KCTH6RaSioQuV8JL3JGQ4l4Ekvw2G4t6TCtDA++bztE fWUyYBqNbxvMR59iD0vuHcGarv/MLZcWS16aWzqIlTHy3iBfbQrImli2nxDNuzifEzpW+g8fPzKk LDSOatgqR6q9rsOBJ5vccTjyTS1b4rUC66FO391u6v95G9rhg5bsKK1m6SjEMysx/zmT5J7d4kvo h3xOaLxGU6VJ8zOrX1fEm/GL1aN7LRYpTu18DrT8o9S8m+FUS9fGnb0CwNx+fdO5m5WMX60AQidN aHcRQVIFMurIxvqKk+ygy5avTHFeWueDzaTvo6WRqj7ZqrZ/sH8x/wtcu/W18wTeedQ23SCEGp8p 3Ef+ytjCh3VVLx4udf4YLIHCGKLB/d6C8AOdb5yKGsir7kkBrBt1/Mcop3T9A+J3UN/7yhhyGpVT tbDA6nsUzJdnuyRxzkKlnBwYE1zMnjcNt1+Ma/OtswkNcinRlVH3JYezSl+qvoYBIzPm6ZvIM6Lz 0lIwBQg91Zh5w+GAd7sWm6msMvBvdjQ/Qdjmku7W0L2FnR3wsSknTsG7ncLflUv9HYxBEiv7zLdh 3InWDV+XnaJ7cMAlYloDmo48VFFqr98zVwfQYthMoAY6jL3g40yOPHYdOCjqVj5PA28XqNXmQTGw MTJ8WWc6J2PUOkoJ8YdWJFPOs3LlVHKdEVKPb6gAN35Xnr8VnqvXKFXvjIQ3zwmVtv82WPnA4UpC 8NT+Mbz1qrmuFxMxaD1G1kwgYSJ/RG/Ll54NyjMD3uHYgggJXI4kG4fBwFLa45UGUN2OVrwa2oqs 1SwPcV3i4ePZiN7OwGf83uXTmMfeU8d4nVy/F3ufByktKl/snC5hjK89jzR7oIhB3tPWv0fD6Y54 Bv4xvqVJl8FD8NAfZjHUXvdH633/hF3DD2kZcp6Q35Lghy1hV6s+AQuCG46l4WQfhzikaasdMNTn u8s/IcFnzvw4gJgB6pGUY/mivBV2Z5n8iO97M9Yvch6Ik3NBAmGwwHo81veRddGE0k5QfZfSImoh QN6PqlooRHyo35I79eC3yXhuBFAtUjFk/3LoninLq6jwMJd22ejoKdjWXIb1pMI95RxzHshy/TAN LAnZasCJTMalpdDpMQ2aJ8Qqp6dTG2miChm4IwKWrUZVRNHAxRe2UzcTUndOcWgPwJzxyv30Yn6I 6soh4HeGfe+6VJFn0a9kDkZwwhSaeYkKjgWBoQ0J8/rF1r8iZAg3DYfUeuUpryO/zGeJNgfkWGFU rjMO2b8a9hVS/KA6uYsdQTyAZs/4rt6Y/u5wwzeJq+xLn+FTZ+Rv0VZ7wZRmzMHb8eJNuWr+amIW v14PLO34+YqwJRt7/WI9OwJEXSdVv5RsRMvbQGB0iFC+eE/vmxoaAdl13F/fGgE+3fhQf5SU8mdJ jxGC1UESQuJPyWVDRkFkeGY40Kg7PQ9DH39KEk9khvBuEkV6gwNa/0qtUYwnYncLit0t2BBQ+XlD hk0bguNd+aPyIekszjtEPHzFIcIIh7hq31k8DzjqxVCgMhIcygyKGYk77TcASF6v/J/on/PZQsov aS3juHh5x9rPM1L/ZNm4yC0mINB4kAbKwCYRwCgUhxY9PgGVfitoASZEt7kYvhqCy6LOpi6+JuNB KjkARnPSutS9SEbqPFwR4JCA+/rApYld3N2r2fvQNQWLNkf6kqdu5bCj8COXKiWq+/HgCG9jFqvx AXCGdkOREGnTDFETH37vbYDyMtebuJW0b0wDSeDmwPp0T50TLZ9+UUiPO4XhQh4UdfZ1y67Vfbkj Zn7I+3JRpd7AiKOhnonrQy4bJZaZl+RdbcxgbDrNpegiacWOIfYVp8cMFbrm5KVsO+bWoliy6jKY LfAnrv138AYPNNayp1ptQ9eP94yU77Q9EuFOKIm56k5y7m6UZCQZFtLkfAtUDhxQMfz8YjDFz7XF FrpQ6TGpsy04qMzyxPE4iavT26l+SKq3WAMq1FonNQ/HbNCKu5l9o4dF6bG2WmMPJFKHoVEyqbOc gFfhvBEPTOcoUN4R7upSoI+QB+/U5bx6Ng+ko5OqXm8Mjn+CYKpzNQJ1lf6ep8axYFW515F1dm7X Jjz5nzHve5LKp2uuOdNxXSMSuTo3SBzJhusTtUCG2SNpvy/R8Znuq5PQddaz3iy5vhnApYc4VEmj p6eNdfSSTnUmNG2Cc1n82wbpjbJTRIlbFZ7JbrOp/I/jrA7DkRd2Tw1zUsDh65+00/Z4h1AGhF9F P3vwg31bJs5CYCfuf6GJeKJK8WfxWvfw1N36wYiL7K7yTRRFO26NvB/bAuOZYNcg4aO6nHqB4Cwh HIDM6FtYVHnnYbNJ8ijQEIjYu6EpNT1aElJiKRVcNbqXraq+5ijhQf/WrWm6SNmAQ11QzvUFVpHY kVLzucRPj/0zBZbJlz0ig05eI9wSTBZ4OLwrhxWGUPEXLSy9JMllv4vvSub70myNjqWljxP54nu0 YcotNDafAEBn7NyEYr7g3FKX42Z7UsqcIbv3DXoHMX1nEzzjgT1ZqsPmDMVKpwPVxeyWTENpBSYs LFg1zYWzDDhpwmOABLEXJd29FUSBsw+3xGLY0mbFjJzONjVHKnD+xPaTNqhY2MkjsMcvl2fGnpUR VmaPx3/m2h877OoX2guzgt6JJAp1duEH2vxJ1tdhY5pziaL81J/J/W2BFV+DvVw4w4EVE/qS+EHv rkHqUcoYcNicGf5Knc+ade0QT0aC11rxYamUKce6tD2ahf0aGsatH+rG/VFVXIiiNoxoYqIy1m77 QhXRRVSSzYxEmBqs0fhrVjDSrgk0Fa3GXevSYmAw2/tnvcnTJcB5rsvBfe6RsZqKGL4pJHUAYz4B zdB7EuaYuK3TWQowFlvzgk0xnNMFOyn2QhBuW7Aka9VfE/RRHd/olLMv9cPOfMOXdTEpNwuq3bzp TBd8cpokjJp+4ScS0TIxqs7T7Kn2L+TMQe1xq1RgOaSXCg4Fr9r56X0uCK0K6ackNd6SNAr4TX/S K67PkafBu9w3hHn47O7E1+lZCqickWacRVd6xrxrtUX3VrJJOXtcJfannCGdMqhGL38sX3p63qbm ZTBYF4mcaE1ZKP3u+6uJGEQKN1hy9Y9g217aZt7iqhZAa8RB8GUiV0oZUi0XoA0rDXp8vUKCVLd/ np2MFu1xXMYO3tBojrjUeKfjP8X0bmCBbGpqUZXbM+2v5szqP8trxNSBI34Z5AgqnrMDc7aG26Ut OqWLX1xEWG4/5qkSp/aMzK7M9tTGL8S5xRJc1xWzVI/28UsqsFIAog7odAJpJloQBAYNNIw7H+Cv Gs3RK9LdzxfsAXZ30+q0f+RLDhmIatI43x4XV9dBqjWl/7Nl/FCIimINtOmlzwvI35UMJUHwC6h0 HZ26/Ywkk0HNFIzxxv1VVEalBhDBVsN8EHbM7wCuyRyRHwQJkCdnyiXgTH1RkXBi+RdQwyPpLz0D JUrxYch6EyrnMams1c9iZvOPgm90Y2MEsF2uCOKxuL657GALs5nBi9Bc8LUva4bJ5JHbSvoAPfqH ks+Jtvj9A73OcerbKWf4FkZRYw6m6WwhjM4Uj/OT5E+7Q47VIwzSeOUCxai9aeXVb8owCpbIn6Iv 1FVXYTCDnIFaVJ0ZhrU0R+vRMpeNg17WepAEomnjbaLnF+z0c5sovPRMNGd+Hum/ylrVDyaAH5b9 JGjOcKFz9sUSX6HNCvp2dWoqwCI8TUv5lyS/hZi6/91buvpsmYLTzyWEvfFMm5UNkSWPp1C25luA rQoZe/rEEb6CPVzkEayTzTNooNk51guJNu+KWD1tcSAe7HXwtg6rInKeiDigtxUtrVU19OL9uZ35 WDHNP8dJanmQUjWrvk7LsIM8QO0e1l7iGmJn03Yjrn07/rrv4pXuhE3fJBcawyQJ2GIbHsQrKeyr TbtLf5WfmLhtWRDFnDcf7Ri/k0/1yGMLxsHTFLFfd6Pif/X/mb7Mv6PtIm/tTMl/8rzZc5nl3gdb DJGz2vW3sOukT4dGfWMFLUD/FenMAbowxVxqbREOJylBAuZw6cqJJ7Li7vzWZOYe4+XTcoi+LuDX mdMN7PSXsPV/TKUjf/1AfpGYN9cQ37OSAg08ChXQIw0i+Cx2NH3TFzgtl70dTd8R8Xgwgtn2CGri +6AAgfuNgJ9iZ+kb7ILP7GExSj35XAiTw5xuPfF8RHISpjUjWXjjQOyvGpInfnEE0Feo+3cSyZlN L1x5dGoYWlrrh2adCRKqyAKkzgrjaPobu3ontpWc+KiBWP4QdaDFp8jbLgk0A0I3wsSCY78nN0UI rjLfZYQNkptauJtn3xlD7vhPsUixgCOK7NIjpwnhd2RgjzqB+e0GBStsoktgy6XbtVvQtwcemQkC cbzieZR5wj6kvGugd6wE1eU9AIBZ2yJg+NLSswbXtHojNaofvTy34RPpRGF7fTDEBzjzbQYbNuXO LtXExbnCtIFJai8Wm+i5032p1rlgeMLHnWU7jFG+psn58zAvG4oqq6r71vwlHOtdBEvR+mxQgqFN 2+Fjq+yBaX4ifqOj3EgqMpF4h0nKfWIIePM9R73PKXgblCAFJRuTcsgHmV6PeXXFbwTxD5Yc5xMl jBlvPm1zTIgfwjApd4qELqLNuhzrpJtwKHbaiZuvRw6Y7KTBT3+iezO8jhYAEvae1xhprR6jhsWJ 35OuEloCAUbRIP4b7FCqhA9zhLVBuZWJO1IfiDG6+p15+U3BRdOIPdLyo3Oxj4lyrS3JCwbSNnOe 9/PScOelraFketKUH+TFZK0QvY/UfT5MdIG4sdcBSQVrcwyZSxJIPhTUzCl9Re0f/1gAbcKXVYfx s4uEsl/ToHL+hX+BFhiIB8z0mUBlEDKg1+g9MPNHp8nCBFgJxr7/HJuB869cd3UF8KTmqmpAdnc8 83La2eF/bNz+DrsFjtSSFM576WeHeZ3iCP5EjIteIJLgz9DgXieYiLafxAjp/72y3YOJBwsfC/ze LBP7ongGiJirb8B3YOqcNPajIoVjVCWnSATWPNLkdBGrtulg52kEPc8HdmD04e4n8hp+RddP1RZ/ lAWJzNBMYGPU9tZpCTJQXWs3BslGcpxJ7gkj4ph6+/GH09nCH9XZ9EszGBrKxSYqAhsoGlcByHSb 4UZpI5/jeLJWD/zUhLgdc3Et625WOVAXqjXHCtHveb80U1vyPN1uBFzm4RqkWYmsWm56/Jk+aQFR fD2Qz1fCj2NUTlLPNCgKF0W30pEWSVb8Kcf2ZsIGUO+NqZBty4AVqXPzerElk/VFdqBAtBSptXDq DJ/13SO8YfVoxkfT1fjunfTyOGzMvWmNeoeQ1U445VfFnGnoClCcBV5Z7hDuC3kkf7WJvDRf+rz2 9HBjaDDdeFmZAx+gwe2aukU/YCZ9q5XN5PZjU+J1e8o7reyXvmM8c53hS0XkZJCWRRm2LeuVw7PG y8D3pEcLQQK50+cSnZq1X/akIDdkJhTdVULfusDGHyYcaYvrkMDmU71OpYKHz3gWLNaCzNb3DuZb 8sYikHydkAQPYjOC+U++ajFJwJclKniowjBqkDpikegZcWKhb0Mzv4CqlJLQ62AoCDZ4gJ9mAm/2 lL/ROfj3sVzOBDkIir48S5waAFQYBg6jEkUZnJYxNbuqK20GQoSbQeHhlpHVCNts6DMhI0tzKVS1 rpXE4N/6B+ZQK1O425ruog7gwr+2S/7AyF/i/CPd3NgMlix8ek5MewRwyCTkKk83jSh2kEb1Q9S5 uM+LFXyFWsk8N9myQHMXepGFjKArIUm8qbAX+fk5hWDjAAXTSiz8VPFixEHiphcB/slm1KwFDb0N EDaj3cAgcjQZXLRKB/KWz4yuQtchZhnDUXyDr18CDPHreSP+TxJtJtpcIujiIr6QOhTDtaKfLXTq hnj5xKPO8+y91jpgNXzRqYgYjDaVdKwWCxROoOFjR8uLanoLMklVM01PS/Zp1R/MkqXbxmGMmqjH Gi8IF1lQ0Cp/2s0RujCcb2Hz2GTh0tIMDB3ENP2bNnqHqmbs38gO+p9UUCuS45CeBNuMLqHmiBaX g+VKRiAF9tRtUtBln4HKn6pr15Sjx3eBBKb996ZjyjwbKlwiV4hCl/YOXxq/KcOmUT/Y3WhRAiyf F9kPNS/YeJcuq3hGDTt+HDXjermktmzLqPE3CoZFtZ3c1Qgey3X4e9Nrq7RQQcK4/ft3Mtt8hDt8 C9mMPqVtYhsI+GfrZIm42GMRZI+rGgEHzBiFmZHw2Asi1ed9f9zYarszHVikNmmKiAIqnRk5zlkl 1bcrrwFF7PSBoKkPJzc3cPQBnTEhpBTuS4eCKK3w7NqOzdR2WXLwdtwPp1kQhtdc/yEd40hxvod0 tjbG9aMlvXiRfhAM+XAx8mtQvV6oPJenCblvHRY3PrXnXLBuB+JCQ3Zw7vXU+2fUn4Ov1ZzeKg4I hVTMtA4f4UgvXIGvWHCLsTEmcd5hpJiyfydeAFw0uqMaUHs3jnZbsjwd5WwF/vFMzvv18ugIakVt vjRFkrdj/02D23Jij1tNA5qN7IBVzhKIdubI/px7BxzNFcOWmJSaaYnAfM495gAWw+SuJF6bVLp2 zJSoX9xszFGInlM17PAmggUrnzVaMTHbgFktSjYgUa1qDKN+lKhRMBCjXdFZ8BrZK6hp1wHjKVsF vpvO3ClP+Fgxo+n/pHJI97V+xJq+EEf26oS3Mlhk3lsPgF1i+8NECywxtD2ioV/mA9UVhUvNuElP Kp03rNUbYlLbN6THsxN7oh56wTLAuFee3GdFCqpcGg0dlaGGMkslrSmkVPFxAWPmKBtrNd5B95ZD UshViBOVWvMKad7KPaevBn+ovrfIKTB+y4nlqQ5FnIfptWuCU9dSBC0ILTWzpdn16vZS6bpR6flO HJ+hptV4jl1w3vgXpnLh6VGu0Z5KAB6as7NF1kT6aTq/61x9di6xt/Bx0fStWMMAkYxZotJWJbnZ /5FL+KfcFuKWmUTIwaTSVOX1z5EU6LGoKmSiN4ULu5iQhIzlcEa7xuIc1VsC/GtDuNfSBclLtvDV K5sS6f3LbgdLaDw0IFJnm42AU+DWbOvoV1F025waQnOgbDFRu8nqRoVhLWXcgQrOPJrC7etHMmpn PUK30a4xzOJ0yLyrE8oC5hLktFxAWeKR+mGIlMkXgobKdpucpSmK5CzgQ6myzcgiVRE6ndr0Lxw0 yGrylSipxlM9HJEvcyr2VgWB7pFNagosBW8FocD+t/zDaJu+XH44qyrn8rmjt1/7Eyw4/xZ7+F7V hIG8fyYka17m1f7mmpAMtFdd985J2nUd3Xez0ZQJa475076KWVS6lJfUFaaHtFWapK9bnwjjx1wl lra3BdLf5TU2Fc807EOFkKf93K7yg61Dm+wXQ+Pgz9wGP2OmT6EPSXaVUB23e3zPCMOyTVnYquZ6 gagIPCL9VTALyaQYbOOsKQFMo9gRZWFDrkjWH8oQcu22Q7tC9hjf3RYL+bnAtdJ+KTB2t6eEHxI1 ihnLfM0pQpUiCgPEo3Zb7O3DWUY65XqHOlJRFCJOiOBRjXDb1yZ5KOvgduHA4b5EkPhal5pw94p2 VSu7pRkenOlEe249N0V6gFXSsaBxgieobmmCdKnh2dpnOYPMIAWiyQw5Or0VZXqy05s+iDKJjcp7 xzvc7g6R+wIfnY9z8A3tjRQ+ubrLEafcVpg/2khoYKkMIyCXGj6phUWX3xDB4/m2Q+OWHHMTx2JI yiB8orhIQq8CZqMwTp/behwrHBe5xqa2qEWy44QYUxNdDH1Qg82EcPhjy+tLnonLWwP4vvAEfCV5 dIIiePnV4cX8I7C7Z7BbkMhjnvE6H688O0X6JBuRlMQheTPC4eJtRKU+1OvDt7xgpaG+E2Rc8Xl6 d9qrFoWL14VhP8/mk30BZQBI5kbpCs2xN7PNzGHgNUkYlFkkyhZz13iaeOu+9uuqpc7f7lqxKE+3 HeCR3W1hItr05p77CVjGsXynMNBMqVB2oK9rh8QZu/UZeBMV/Zyb+Xn+8WliEv7TABNedMKFtaoC LM3QrRrY5DoMOlTljQeLJFLa0EtzRads1uC60eFpmIGKoEUG0a2W3WTpS5Hijizxji72ZeqbCvVt sNrjYc0cLjqa8WbzNlDha1dmvpg9KBPjecPChSyNpcU+2AIDS120V8rlKImbd0xmpkDcdrruPvkR Be2fXA9WfquBUmNB1HB8/sMzdqarH3cEWvP85mWZ4aar3wVKIZ0VHk9s5NGVJ2YXSciW8ciV4LsB fmlhZt2J8UgYjjsiuwD3WV0QVRWN7+FR3ufxr2T0/6rlJNoiRb+ynERYEtbvVU/O1Gds9r/ncPqB sBgWTDlhl9iuBZaGK5/kftBvLlhwXUeann7xmC9sRAzklbW2+HmRHxcKuGKtvYK1cYGyOfzZrIoh ApTr1eXaYfUQv1DLAlYr3HpsImWnHqxzMqDQk1uoUoBzpQ9EYTmpcFt8oVsriZXRi4b4oEwrRWjV /7D/caInDW0NMz8ntNgk5TR0GkXIQW4jWmzjvvjtPQs4LM3Av0piQ7SvzMG2u7PRmhv6rZHTbonk fYddATBkblLsqI7QKSKfr8AHrVjDmiJCSfMZPD51vC0c3s6FU9vPB/+guDcP/qw7iKAm5qnHHQo3 ZnLmQAZhTiTnRpG4o5DjDM6jKMb7+P8LB99t8gDvrh9QPybEomLT7baeY43CELXG1EtwsNSgcYuF gmLbbz7DEq86Rv0MIx6cWqCxMN9VCgQDnetI3Qd7w+jebv9vQXf3tvcjqVKqT+r1O/y0wL+Gwx7k w57Uo1S4+LkKCeu0SJ603sCTpXfw30MJCUQNT/ROwpbnvTzZ2WQmEUKcOwHlEq2nMZ7lf1GmH8pO 4Ke7YZta/ADsQo7uL9hebDwQLNhaACnLRrSdY5y1DKtZEHsgFNejxyi4qBG8DR8B6CFdxtrRKWTM kRW5scgzoIRKXhj0nP8yoMHrWJv4ShvsByzdf/6Z+7fVSMPHMPWAHbOy0hTgTvh35lCKho2YaN5Z 1IM27/KrbvIN3A68VADcyUO2RgmIwgqISJwuwaDX7X+LgnnLzMucvE2T7dtH10uRfqWPH9N0miEr 19aKN9Oof1b6dn3amP29hBsBBNOjTnivxjnjtK7b9sloOkmfl2eCTIYRVFxLcLvE4ecudU1gpIUC dOuW5TZZ17IyuyasQ65MjScV/ridKc/kpQDFp3IWFJC8ADTT5QR6bJSKFdlPodBz1Qn7SLn1WTnb otXdcOcV8PqDqHLrNznx73N/NeOe67IyGDBczjZ8ZIUWqe3xCmt2hl87PvVmEee4ntWl3e/KgNWk Ubapy8q/rXcMqtoe3YdWW4qpU3HMzmIatnJ45SP+7lLtqV0b53qhc8KFEMEClUi2E5aCU9DYseUV nogkrqfS1alFDMFqeYn7wj3KSir6hPB6whBOkfLHS/4i2JSo5jatS7+Evi4KNwkuUdykVFdU6iQb YiQiBKGq09txrjgjTDwK+c0d845K2qbAF4rZxPlVbbGVbE0fVDFmsbZ8t+eoFZ56VgLmZD0UdBDf rY3g/GgtX/D+iZzlneogrZ8sdGtv5RZ6JmaDxrb7BG2JmONBaFxHespgWIhxkIhSFT7lClRVycvs 5sF5M0S/YwESNviVNEPgWdU5tTyiP2u+sE9DH62I6vDPWo69S6lPpMdI5XdxVBHYPO7GXDUPxLaY fx018IzSaueBF8i3m7e/OjAmw48AeQSjLdpNtMhR1IcnlnuR8CbG0vXK1p45DZ0jAqlsAzMR2Avc ei/LTvA4TuenhzkR27J+6jVL57SDz4FpV+SEKTt8VjQVQQzw6cS3YuvRfxOXZB9iVW9keV/FuPir cy4H854YugMSUzfHqeXkhta+itBKipFiKDvk3Zkz75SVTDDN6tS4bnhbsGKbYtC8C9a0lEZ117gC GE63Rd4V7QtBj5Hq8amBNBQLgyAe/+sVEkJHy2TbyblzVV1hN12StWq7R7rPtMEn/f6J9q/+6+BR Xx+YlLSN+as7vshalDR2tUWcAuJ1Bm9c1FVhujcMfXrzx3XvvJRFuik60DbP0yPaRkoYrV99PrUN K5yC6m6O0AmwGxu4CJ7kGcKNuJzRDFXb15sfgBCaluj7Zd3vRluEFQLwzG4A01lAUX9cqboLXxjH l7w6+SFKrqM1ZIUBOOoh7/CBuMdhtn7uhUZ4JqTKQHRfe/B/ebLIFe12g3s2Zd7USeLaITiFhXSR raS1Whg8j9nEdpfAWcBgmXBkQfPGwk0th1TsOHz84WKrm6WVtjjjSNXw/KIrLgfP3pgvm5g0ILOC Ds/w7znV0yUyAOOTEY2MPC+37po1qCKRqIs7ZYim0/slKh6WX+RLletLVsw8k5aR+2o3wIVYDqXp t7luXadoewToIVGfqnIiTj82pdAKWLqpG/I91ZPqvkSmI69JpyoJM9GnGFeJhzOp3g6o4BKa+pD5 TH8pHn4syb5Wss3z9iseqjWSWLR1spSxM4A8e+QUS7xueQMA8yRQKClIsEyytN941PXzox74dklj YHl2YtWn9GKV2qtTzXQZ2lO/rncw6xHBq1Nub+GIi8bmN2/WI/twn8ZqyJnbciiL7sZuwRmtGUnp xM+Eekwc53VDMp/sT+RB1eMG4OiolsnvXjs+Bi7J+Pbyo5YnUma87wy0EkolZQ3fHbcD05dn4ES5 uPgR+MRV+WiYPQMGLQSP/J2f9NizP9DnLN4SoqVzPfNeln5HGfO7i4rkrhg087xsdbBT4XCbzJSK JQ7EVgG2pwRIlXrNncevyeW1Y4eOGMiOpynJ47m+D0rRAZVcOTFErbN440L4LXSbW8+T61hd1eTB HjwhRBb2MvsK0vtQFUzFop70BQDQf+jPSO0LGQcBBXb7IcCKiLfbewMS1yz5fJwrHC4OMkGIexBQ BxOkxnraKn42mI6sZk7h1rOOBGezL4Nm3TgapNGe2X+yda9xCUKHIJNdIG38kzCm71ZLjbUi05qR vbYPVRYOLZ/FGHhacn9FM+8ZdEH/Y+F8UDNrHl9g5R/omud6y8k6qoeAmW/DPvBaULg4jwbarVIP KRznnL98jlOwxRMqZbnDtsSsNOUvBqCh1vyCT+OQ+gqUnrNGGTU3Ex7WDy6InhzlGt9iV9PBEsjM zuJIE6OZV+DbZqxcUkNaIUW814doaiEnMgtkgSYJL/a2MvQWENVpI+l8HditRDmr55ux0ri53l++ 191tSP8QABVKF+CrX7xzs8fwUNDJTk8vgvVIM5uU2JZ6dSob3kntostx+OYw9qpo7NlvXr9v6OxA 5AshtzNLQCFeVi7sj3lT5kLgxhz14fKx/EjDbdfVWNstrYYLNMhFDkujOGLA9CV56RwajibssweD lAPKSGmy73RMDyfyOAXyt91/K81J40ESouTqIYzXSuzjBQLFZ0GGHnOrmddaG6/zSpinxhr4/G05 ClsKtO6+VGWaA/mh1o6gJygfW5bVYV7WAF7Rc+MkSkLWg62/Uzz7bwofNDjm19GB51gXOmXJJfyZ fR50LBvLGoqWZ6xBu05yLwp7h3Krjj0OZikMElOc/E6q0RyPAntn6GDumZnTQjRwCYMCCMk1fFpY lcy45JBVWLfiYdVzJutKrCUPUDTfFqNpVZvCCBbjcDidKEQg8kv7qs1493RnSEoSMTlggIPuFj/h BnjnjcVPpi46G8xxFo6WhALoEqdU4p1ZYYGMMZYnA4FSYuX1om7hpmxTUmLuHxrhBIDDBwD6urkS V2ROe8eQXaZqLENyM+mXlCuflqR0QxZ+xjOOBLfj0EjTg0q21S4oIMzgG/g7mHkJ7dS5Oe6drgWg LGHMwASLGVrLLmf7BVQWAA3FnO5lMFq2UiX6jsnfq6BmBYqloGsZSEtdtbT3cMCj3slLzeVc6jJX 6ratPcdO5GYrxSiIbQJw9j6G+FuYeF2V/QoJSvxnRd8EBbaRV6gsIfqizCdTlNmy8CcM0pmjqW5b V4+ZwkpqHD7F7I1hKsnsSl96zhsSBMaNVUlw2HerfAitowIJjJM0LRrqWnMIUB1pexE1WsXyIqNU Y01pG3uZ1xKWLsi+HqscGtRhkJPKXt1kIAac45Zoy5YuYrTBjZNEH6ezdQ9U2WGrWBShZ5TM21lJ hlsI6tHIFkkyhocpl5mIG2Kf8BRsJHW2iz7fHyUYpqHY5KaCyYHxCdSBp0KIJE79gODGvC56V+J7 lT5+HmY9XhmTm460npwMIsz/2PN+IYKfiB2tuxC6RDS6S/ztD0hrSvUBL+g89jMwsGsWl1Hc644E FnIaZsSJ4ooR0p1q9/XbAkKldWU7DOLicAl8mAOLWtrEI170AvIsXvPaDJarwj0q08JlcNNove46 L+27/lVP1ZPxDmclP3I3uZuIunFIkeRpV3Pp25Fbilao92N8/uS97YZDLC9a7emrMUh5QcHQ7OA9 r+igP4tHkIPrgS/XnBPLzaV7nmoQo77sQzi7wjbYThLCnEY0p51eFryzaJ+u3eUvOu94oJG6VX54 eEZlrPfzRU6qlSo7yn737Uk1zNk6kydKLzXDFel+UuzopHUMrU4KKHVLnoFCw0583XARGUCbsZSH TSEJN1A+wazREKWl3fwkDqC25Oqp+G6RWx9M1EEYMdv5xv8di1hVDGGogwTBoRNNt0BPOXRxF+K5 OGiyQjZjwFfY8CBdlRPyS9h9/Nr08X3fbj3SFWb2306PDkKtFdKkocQRkcbFW2r7USpq7Xmqb1CX XUrEpUR82UV5cpr5d+T624wMzGYQr5D6qp+WuahyRH9U+uwcjx372mv73qb32GFi45WUMsnkZn0d q87aLpgjCeR8ONc+o1NM35Fi6C1SYy7LXTNKW72aQl6DtwdRQUAtpnn8EdrfewyF1q4LbSMTQvJV BeBPsKtrMeAyAlST1AnB6Xg/kNVH36l+OEcLHHIt1sNDqgUb78f3LM8vTSA8FMreGHx98SETZG9b /gzSTocyA6CPJLootKZztKgk3xNG28m7Euaj4dbPSojvu1zwfoZcV1KY0jOYWq8lH7V6fjUbGmXe SnzJGLv9GMiGEFD3PACeknU17WApJ7QSHQxF7E/3kJ5gXkO5MUiERi8F8JZlekBjVaXILRA+mrIW Yf2YbOFX4G5cIdyvpzSSjeAhzQHs1hX/VPSaHnMM9QV8C9mXq/sq0ADz6JRPtjXp+DqcUexxE1Uu QAhhQi9IUBQEsdWVeD+YwjAkw6BklYywgBY0gOEbs5gD1WqRaXyZ3OrBV9PzR0W6EsChhu2qv8cg KXy56UQgIZDNfPfTP2cJ6CF8trK9FX6mVIBzUGjuA+GQIejIJxygQazygN5TZG1aCcZxJ/Mrl+Oh FpOqfXLGLCPrNmMGwksbAXItvf52p0nkgGNN1XdcFs6slX9jyhrjgONJffCaTexe43gzwYXNwm/s /2KqpvKAkVJs75Sx9H/870O0TNqNd2NPX05SLscSOC+siEMbm5x2C7rtRh/Jm6WyDKSEUPTGLo1n x2fAyej4RsqA8+PqqfmeOhZvPFbMUbMWo56fDT38h21nzHJcFUTVK14wAgxR5JUaf63Oa/j3CCPH owjl30KexZfB25oIVS4ORx0OiKE4W6UpkQvyIVZkv/H/euQ+wkEOtUmEAEwoNXM7nEspYWDstFh/ CPhNZxcvB/SeH7in+m2ANar96joFUKCe4EDR4mg5I76dKedCH+QT4CE24OIu4onFSKGWqeqn+wTU M00JwIP/MBKLSNQ05zryASyrOj2sVzQqolnPtxhtAQ6i0KVPpjv7IS55McFLeYtGtxTDktOzqIjp gsw08d5KdZfQJ2TiVFPNx3HGc2d69BBRoINWqeVkIkEk0QKm0gYaLbJIyAU7tUYOixm3a04Q5wQm iAuPB210RJzYcmMpAxAImjOQ+55wHsf0gKoPBoisxHQbBuahgattBlxFHdf2BELTW1/QVPkodjO6 BHItHIG3xLLHp2IuTmzvzmb8atq0ce29v+us4qJIBt+9XSi71K1PC9MpYe2i2J76KLB/nfKXuGs7 qH0P2DWPfcc7gSqBPfou5bm2wrbAM8XC1QyE36ZS26qsUgXv6eLrDuUfwdGvoRP/OTxtjTVw4TTL gQOe70BT5Goa4pSmCYtWgxMQTSiAZev4aO97OSCP+JGC7hTFb19IHJ+tLvZ8/m6DH+eH/CXv/m1P sq0hQifMpNfjEq9eBAGbdWRPQCxuhx+C/h4PGZU1ufUzBx4xoLXiPz63tny+zLJVvMKbMCQ3r9+u INiP6nR6bsyQmw1FTGoukiOxP1SnYYb51vnxIGw8t+DlqbvLIo6UPb6MVYgDtIOuIu/7mKLVMvg4 5fF8kbGUINwejJeNk21Yi8sileVL+Gvbi4mQYYM2TTDBlDGPpMfvrHA4PBQc8SmdAp0dQZUwmYJX sXI8SQzVPTw2f9CX+HJi/sOb0FPWrxw5RY62P62hNgJJWAg08MmEciI96HIcpdPTq7SHA+92vCXD OasI2ElY8TiUJ2gDD1VMb9XhSV8ROu1Cs06MYKzwhBlKGNn1vxgXyH1eRuyCfuvjAnb5xeewfLCB vl+/h3QkSQ9/LK12Bm37F7/4lz2klwKv1OEJGUIrq2EdFpLq9l7iBgHqS8yXvn3+DWAoMSIxCwnq k44urBeBo5lmeY0BQ44N0ZowA2koiIduM5XSP4PmecsZBKunnBg45oW4REZ9tFNo6HoFj/blPaDr q/mMq89GXPULFwsSCUP7Tpyy7vOJ4sofKtWRSuJfiIKcV2SQIe2+LKRz/Kij/6PJJMmVi1hHY8xy OINjmrdzf85L8dK9neCzs7YTw6WJw+Suza8lKTMzcgN7PXvI8I9lk8m55fcr3KeFcBWkYM0KoVLl 4ELbHWuKaN0OpH/6wX63ZAwwrbj15G4FPG4mEw/ZeWccbT/+hAU2kdz8iS0hBDQiOJK2+2dbhpzm UIkVDFLsSXlpwGviBwYFwwVpZ0Koh19ZO/HfqrbFNKhmhXlI1cxWjFd194RnHtyWtS2Iy8fIOQ8t dDuKc+ctiMagV/iVLhkYdAl9O13VkvafI4RyatAvhUmNsfwq0sXUEFOf6lmoxZKrgD2e57phKoY3 Qmx/a5U0cyF/TPil8MQNpkbCJlEHDTLaz+TMkC+hHQlVoA6q0qYete4HgjcEXNIEZ+qkBnhRJSLn 7sxJlhS3X0iZ79NSSUNIA8qzfiu4sNEqMfR1XEfvTVB6Vj8rpxaNSdUjL8zTunAphMYGs3ihIv9u Ky3SPO82VmULqfazT0lppG5bjsyhvyWOZ1VfG0GDLqNEy9d5WPMp2CzqgnrLmFy6Y4lqRONKUcKo FI3J3R7fw0sa9WiztoT/y+tjX/V+Vc1FTzxvp7xX7bKb7tOCXach6cbu0/6Taq+UU74WqmgSHqxN EnpNLadVq4MSMs/E/qYPUr/pIYQdw8wsoMYoySi0Su1i8eEsZ93mglfFP64dxcwNtDRpCx9QitOe dQwIg2IWDDAMsJZz4I92qvKEqJeaYzwuZEMndXrSWmSaXeNcbOly2QdMrz3RZP438dsVd/5LUTId Wgv449ENZwUV6iXOPE3vGv1IOljuDODSvavJ/wLW4rvrx3DxwAfp2TAd2g0uNt9XtNea0+/WOaT2 nryNHkFobTJ91HdodIYXQJ3JxpPjQJev06l/h8151qtgtwAeO7V65FuS8eiA2abh1mG1O0kDKEaq 3JvIrAClIrVvrSFyQQ0roKN4DRe68LWD1sVXCT6RGqRFqxiBqkitVN7a6DBZ/k0AdyhlefuGSLo5 9WWi1FLRvBUoQW3DnBnRL9NestutMZL4d4XF3QOxR5LqVCdAguql21mrOAhKBVSzPIndMJGYoKGh FZmcaXfkA/RxHjF9xz5CbJvaH5shbKWCXr6WjbZ9tPu/FKX5w392wYQ4Szq41CQeJ7Be5n2Hm82z jPLTCEErgk+vR+b+M5Vxs6YsIxRkQ553kmuo/H2gho00Y7ezN3FAavHxwscKck55S5WSukNuTcWt XgXGLabQe4JMsdrwoR4TTM+dR4tkdg05PZ+Imy2fZ4axJE05HwF5vX+Q6MsbM8NqsP+gjN6eOntE 0D+d9LTbRoKRbU06hfxvYLx9AkrwKzlAwci1UtZra0zR9K73FbJhI35MuijmvC61sOS30TRo6cNH 53T8MpBdTLDhCqjhMY19YO09LAaNpipNE2G76u54IEzBVmQ5UJB/NrQhdEm3u4cXZugR6Uywf3wN hJfp/aZqhmP3Ent36bdF/gwSOgs7G5v+5JiMKWVVdJMeLeidlvhiB9cqVPAH3WmkS2wekbgOgpPc xmJkzdKsXcQho26e3Ia+NBKJxSqbbt0Scc3+80OM2Y0gRmWCSx+0/IlryjGQ2CerldjTtZynKmot /A9Ngy7zEcR5cmsgzqXLT8bT4tFNg+tZf/RZImn+2dqf/SD1jbWoGjhZG8LXB5vRSBcPAcqeYaO/ Q5MKRStMN2fScnX96x3coh98RWBD6esrS5KxR6dwi8/EnplUwolTGGSRGR8U32jlgCDA/1r0GiLA MdXYkzMjM06fWIsEE4prUps7q6B4YUgSIQdZNuE98FNMWI/FRbZW2lP9xZFPcCeNE+RePQzXgs/y dHPCo/2lb/0wUJPGDQJjgUyu/muNYkJ3rnXCDe18dlt3DP/FiZtVne5AzXKRJppUm4Awp60YYTTN F0gxJ85JN7710PjJfYSFdEcKFWhWVm1LSowh2vyLu3bzM6O4FJYfWSSJzG3AxtnOPCMNt6LzHCmI dHwU+criH1ua8UGbMbp0Vpzhgyjs8zZGvTNxFEHgnvj060BwNJKT+7PmYBOa5BDQ+PYbNWTfhbST dWtnKs3Gndxt538Zixwze+4uXeotK3hhN0VCKwzHCHhtwxsffCc481FFMrGwnnuHQ4XAcQDbNQLd Cl/bW+1cM1Ym4pf+wpTud9mK+Rr51abQdFCeEGbk8FT139ocjAeAP3iDiTdrqrd9hSEBWELj5pfD 2VwkYJWIjdVqQdcP8FiK41YsCj2C9MioEZYr0S3fULVOrR1+z7CIdM82cPsA0Qe72pUnr8J1AGAp QPqyAMh61i2YY52JvHsL1Jgd/g339aPLixqNo56EYkE6voo30cf2Tgkhy3naBoUzqKAUyc7nYV+l ybZL18nFwH1ZOEYOFGzRRJuVQBnT81lfrSGKDbCGmoaUPGnXgcG3WHZWxrw5HgnjUjkHiIfrqYRu i1wz/5DnlAcoTo3NRhLGQYzuojPi2FAPtSBOzXWT431moyZssonCL6EQQXW1sHLw5887sERwM6rI l3DG1yorFX8aO0BfN+q/wirbioccgEH9/l5JtVTP1qC6MVrzsIhOFddDNm7HD4svdMLoklq4MRz4 Uzh9IrPl4G9anZP4zmWFdEpMD47AcrhxijLkBZBxRKNi6jOR/59FQ8tvyeuIGaJcF1X0b0iBUnDU jLctKLav0RkaGPMYW6jge4PHbJpmS1H8WftqBiKP73DK4nxt2BZ5VMcytYaDNWT1Mn/Y2g/Fl1nO 9/FXp0cqcH2a8JZuHui0r3YcqJz1QPkuiQQBUrgYxmK6JHrr/nEXYcwSMgGPVgmfjb6e02BNYIQs qwRdhbBKlxL/evayjfXwwxgkhMObcl7d466AIGj/RAU5N3IAKGGgfXxDKbQDlrVRg2tkLIBxatAb 4wx/GVeQEG+KgkwFmTfgKMJTL7iiqRQID9ImTaFXeySNImoNmlALFgIb+Pjec+5O0PfneNQ++Ac3 zhJOs1qwuIgC3l9+hwp3dvIWJsHA7sNgybx55wROREPC2D8YhqK5Ot53zCD/UF5iIeC/g0bNAwiT ungB7RNbahSBtPtQW8QxJXN49NeG6k40UmmUz09dkJDzv/31REkckvHLPOYg4tAywDBFr7LrXqpp VWGdt8FUCUFt7AHTMUzzCloMciX+SDQ80wSxzI55yfl8yNfCX57CRGiA346CizutTm2Sz6RUIPA9 vuYzFga+Kewtj1P1ISQEHJSmXhKwqr0pqOMdeNdJMfWft02EH/OlamoWT71D/6lxUjJM+bFVawro nu73YO9TAqSI7FrInXy4iY8PzKluuS9Gl4+Nd0K3XdDAYn853EP2b1hl7HuSmpUUv6KgIocLHek5 D6V3fo7yf4ltYlYiCtTeDtW70yhkMPAge4rdQRa7MV0VU+URugbibvKG4K6yItHG59XGHVxzuEXV y1RoZV1rVyEmnf4DlWor2c1ovOcfrxIWp9YBHeYfwsWGuv8Enq3D7m+6qTufBkrumXmWXGfvo3+8 W0erS9A7Hr7NL5ZOrViuAqOXW13Fn9ppSwclTxTy15/eEDOBI/bwgMDIeRSapNZxzEMcJO1e8Zjh lAPVSLjr7o7etc5NGsVlKs5Nzu55bMDHXXu25C6cETNHpNyG4+R5bM7/BUSiZGq7D1SvpRsF+7R2 mEJCQN7S5egLsaSzGQQZshcWl2RpePjD8GDeRQqjGlhMtpPENBsFYVWJIiwh4FVwO0EdmImSIaH4 Z4NLJRCrLomWbgqGtqr4VXP1Tqw9ZAv3neLgbxNcZvALiBJm68O6wkRPhmYvH7E2Cptseb2HHh6e p7xE8EyCpip1gx4IhwZgoIW3VkOAIbL8R/8jDerddTVhK5AuncDmilew/rA6ZgFjAYXwmYQfg2Gm JetbykZUwRTwZZYTWRHWQGubazi8USYBZ+Q6kBgwwVTkfCQij6Bn13RqYqBGZv7vdZOHtL3bBs38 rZsRRscgfHlQsVKtigjYEt8U03N5gsUb3aOc9YQALXs4dt1wy9S1pg9zfzk4qdOQWg20lKzHJOpP Bzz8PfeQD5UKENchfXuzTgBeWJYQO/WK1TgzWzcQrrqVBf4YVzk2Lq1UpkMbSyUSzcFQNgdAIOxr 65rvFBrl1XI3kqP+DraPdoBJGhJSMiIEY2S8FpcKNG9zBlRmbiSF+lHYCos4lqDhkkEiCNaBx2nH fISN7CMW+Ifp4vGCbTDY+hsJOvNaXI1D7ys0szz+XuJmtkSMpHGAunEQCALDVJeA4zriNLzrQV5F 7jxwncDN3Gs1txUuiGsjIIE89LgzblVmZVuWKiKeA6VPxK/Mmsn725zhaqPaAK6zG3IEtmLOdTGI LNmCqZPc1mWQJHGiHhztmqhBWQsL7fRNoqxRBjdi5nkr3URQjUdX3qMnYXtWuFXBUOq4oymivdlr lknswNdQDdhOf59KMY/U5qb7uTqhRJHxO5zXyMur2qasGRKpZLwtwfwwbmxxgUiM4cLAxxJEcpWQ 5+6SumbdEgJXy/rQKib/3PDzTEXvcp0T3azg/LIMnATUHh3G/68Slw+6GlETuncweqQ6B4Ypi1+6 GyyV4BKr5GI+9eOdZlB6Puyvg+FyDAXnVT581wkP6SW4OlDmWJTmfN8gk0bg3IsJJa2ZEnTTUX/s Pd2j0HknTj9S4cBkV8umTe+5wLa8gtB5HzaD5RJDiFRv3LL+duFn1bOOr+lzV3LgsNqB9j33TLhv fM12ZZvhGkA2ZG2ZRLwbyCd9WwlntiIz0eoFUaQYTWQxD2W6wJQogCul8PjveHj9vJKF69A82w4H MW3I5p3OxWaXxHEgiEMg6UJHHv2Wd/iL0Zrg5pEp1BmMtYHvS/JMAM6lBdFkQ4kVkzFIDhdMwwsA qsfLQa+AiYnt2ZkVJICcWx3WgrK7ewojU7XlMQ392hEw/fynP07s3Kzg0/3bf3KG7AwnMhIiKkuW 2us1Rc8LgLHEjTwmctF//qT6NKJnIZ3o7ETEZsCqkXtw5tXmF367LyuOGg7mO2qHERO/Z/3SxhDr M9eTZInJcoY+wxcelRhfw9jYhfkTB/jkG7E48RBldqKpLID0x1VAigAFqFCXiHKgUx82ywr0UN8K /YW4sSoe319vPM/rWLU6709UBvTusIychbpia7kuDC1Y7bnwvz5rdpCllkTzT/+zqGslUjruWpUj qeM1hbt5n5r5eoAYvCQJUPO35Nl2vSAoTiGT3OQ4487msZ0AVfrtAgVTiAMnasTv61ogiXJNs7Gr JNi8HJCnBa3c48ppx0tNySERYszQ25wigOSWhTipyN2XKa1QhLX5d84X8GLFz5dDD8lOICdGQ+uZ tlphaStBzEid7+XKi+NIl/J4pRFpAAk2JMCgWYe+DnG7FkOL6nnbc8gFyBA0x3fQkDHUEjeILKZX RIckZCafy8fgGSLadJB4erdHAoo5+PrbAZ93Y6AqowA3qPCg53LqMjZApBkyaNNEGAu87/SaJYV9 +9o3oj44dKGXej7UaQtafELaVZtfW8traALu/hrRbr5jz0zWwmZUA0SzQUxSxEZTSREPv3PnH0AZ tcWKj3oP8o5X4QjLVbuKHvWki25MCVoTZVPxpME6mdkKLZcKHzV5Zo9Z6UrqIJEKjCz0vQ1GlMBO qeC/wDwtrmjJhhg2WKFytNfSrlpKBgfTftqgCbHS5Ki0bR/nBKin5a4LxJQcqipGUwHcyEagBjpI maGiQBoYs3nYqe7EzKpqeC1lermmVR4WwlTe0zWxjIqu2xPEDcmFbSzta5PD6MItop7IJWqcHXNQ ///J7oGKyrilwnuwvmlI7NoyKfyrrlkRJKRcdTgcPphuOXoTaKWD76JiUr3syEijocyPX2tCNvYP TBzU2sFffvHDNFummksx3AU0xCeHJjkaPFRewnlwfygj8AU8RT+4iCImsf6Z6dCkW6/QWTKbKeyV HmEm+3c2xyLLdLaEm/MyEYXPGWLKCEaTZzoqRBm/40cKPYGEZ/CI9izpSho9lqOXCVk8NsLy3bdm Kc8lPj8pwPtYb+aouiWif5News5CA3nBj63TnfhLiDSPHswN5dYmmNvKgAcjH7+I4s/JM/4vGJ99 9e+SUQYIQms0S896aCqXqM/qjl930uf0e/eIHx7dFJ0cWvWvBwoezuImETXb25dfjh5rWCGsgxNR 6W1Ng2dUuweey4s/JiFQVy/U1hMCHPJTngk17+1NGUwBC+97ffpCrqZ6XxirliZrR5jHQzrjMtGF MExEONh6u/DJqrSB81fRWn2UvpfBqRoXKLkP3ou8gy9MFPaPyqEewnJbT8UnIYy4IecYZ96i2hZ8 +HAcsHb+aLu7ZcYzAKxsf16xLQl4Pfhu5HP3l2MNdJydrWHBZkHaMAYQ/8ffhArL9/t4dMkhDJtE jv4nbH1Fb7BChzz/s42pZ+S4ivfykV+5YojPrgzO3jVNIz21njDIkmuZ8bxiL2A2PMXOfZoiTI7g piUc/Nwp5eGPvu07bEpi2/IM+5bUU0WL5/yK84wqyFXrdJF9eIpIStTlvoW9xLfPhct0KcExdJoB oZdr/bOR8AiIs6JVyFWJI6zvd4OqW+7hV6ETKnxfIEzy57m1Yy7pnIxIahelcKatUqK/DEVUqg6a WVj0y4rvtVHYyCHhaMDMdIXqU/iGZMNWHefuRFVBeIcWXhhLTnxzH308DHqilk+GjUbkL/5ldIHK C1RK2QsuUhK4R0SaaxIZOZmwCEbwiut0ihGRvF0vQJN+nzpeO93pCLEH/GggSwZSKTpMasZ6pBhC ee6upVDe15EZba12grdY70qjRM3A+SFKkepW7pBqr/qJEQ5NoMJiEH7JkOm1AuRNBmbeqn678jA/ ngsmtFxfbg9jBFwfbd5jBmrF+mkvpElWw3HE4GnccZklpMIqo3uAWhvGJHyv3hpL++B33OzYbFaP 7MQxpHruUzMVFt3WJDO7CFBN+pi4KITCVc319C0OJl5fB4qMaDVzM7ZfINlwNIuWNZaAv+aml4RU S2BG65PcroBGl88gnVl9DtipaQe5eV+1qaun8VBHcx6kZTsU34wOEbEOTZIaoO5ag9Fhmtp1WzzI lkH1HhdLRqe6aARxH3NUMkfsKGeeMXjsFxMf625mPh8iJLzvu6bJGlK+um+RfNE8E8iAJIiDBKm3 mQDOMP1ZPt9q0+AmN2eZXI14B6vcLhr+aFNwhLD/902Plba1sxQMGrDQUPFouFAzfcNg3pJlSSCp q1T/boBQlOmVKmgpK0SGW2+N+7I6Wb78UM85WldokoaFdCUm+5Cq2WPj1tBwr4L6MH2pTN3YPa1m Ss5DDCskd9KZNffduTnyqyiRPRI9WLfmbscjjUH3KJX6ZfAmc+MX6rbcY3+AbApdSyRHNhYMQAW3 WALIBXdJ59CJwwhlB2uuZmMx9ouiySrst5miuogmjLYwFm066WCadtv9DGUphMgJBZQAL8MZ619E jMWLXCp2WWtbRnV3cm83gfngkkFUnJ6oLzOYp9T52uvdi5npG/w8DTC7KaqntUyQLugU618wb30a H/Ps7QgeoIO3kgJXmdR2Upct6QbkwdIH0aCmi1690kN11x8htfAZ2eoRJbYNmDay8j6Q4VB29ItE DKmbkpwBCfz5bO93grKPb62ZDc2P3HyJTEWck/cxWcsBrEkJiq4nHPo24uCxcyMc/xodlmyQCA31 Cw06VmW4iBuWe3LU1kUJAqxmKSGg2cRzAdC+b4zLBgTlBQsVGa2VTCz6Y2BkBcYkkRU0NksYFoyD +oCVZ9/CVWbq8qfYPG/Zz3jWJQZWvPThs0enK94jo25HMS9OMf/fBCxyg4fDgvYDkqrrL9X2YNIK Q8HPQWcT1I8smQ1O6EMtSIsagJJjHyGzgabr4lxWp0J8RgkNwybrQ5xrBEkIpp77JMikeuIqygU/ SsET7IpOOQfrAS0wAsBGsaR0WtK5X+nehasw6lVyldXQFgG5RVfMxwXqJX4VC11IkkVaCJHd5EeB vIJgO4GZZJnAoDT4Yb5+T4rOlMBmnGOScJdPKYqqgagdfAPh63dO3uRr90g41slryXR+GVZHlAbp 8FVtbPYZjSOOIlRYTUaF0wUUJBEC4WDyNtKdjJFFn0AQN1qLZOvP0aePcubOklY2qcnCFBLdexM+ 3GsyCm57qxUoMbc1nOR4FboYzykKxd8hVjk2Z/MV9iKKDuji9APSoMTQ/ru4kZUawHkKCqxbsDkg yODWYChgD867MF9BC6Jm8Wwo4Fti6E7BU80vv7FFjAoDwUsC9PUizLrdm2jIE9PsL6H1emHaWul7 uqJU0mwwofaBPIlK1H/A5Z9HSq2MFZ9AVK9R9jkJK2gv22KU4v3//oNL7YW/1rliox20nbQtS3ek pVb5D2gaRmKEitrIUfv2zOi8eJWnwUe+L8+Ls462sSVtcw4kb6xfVm5h43aH/NNN9Ol31A+MEZ7Q Rtw/aTccSO1ZXgT5xYOfrhtw7sceF3CdVAbSqo1dJkPjS1qmfRafcoK093aF0ABWso1zwRHIMqjt tvCks4U1S9g7ACRdDgBATIOV1j7zs93jEPMs+E7Omro/DSIHfbhWcRE+cMSQGxKpCtsvb3eUZnxR fIpk7SVBCMhl181k4t5VIX9oZRzKsbL2RuqTTfi+Y830qrhRAKQr7MmIEWLSXaGElGRTtAc8+Q10 uyDs3SaeJcib0SbCi8Er30bp7dojlmewSVxznb4+SdfPCYdSIp8lEAMaJIKYhhLT3KrdmnAVwqIS 28vQsWZNMBUa7foebsgMllH+4XQUGjTJbtDpWq1men0Aeear7CkVrEP9aAL+nRFwh32vBc4rqZFM DBmHl0UVL9tCF35hI+8ATlxheTpn5y4/LIGgu3/FHbbBXodz+L6WascCH1Od3VcKObVBhiU0YGdy Q3rbGbb0HHUzKTzkxdz+3116zAZrJDv4gitbVG7Q0mfDKNVokDdtLH5qrE2m/+XcUn2gz61a5lGj lteuovJ3Kgv1FL41/x+jiWyiZvzU8CV3nErjYgD+skPRcDoekQMez+qAVer21emmywe8b8dl8+2E ADUxonfuK2W9aKs2a8yNQcuDtfQzpmDUcUCPx3FVEpsw2T1zEIO3wCoyvoLe58S5YSYzTIP46zrI 3FR6jo7VQqsQGWhTaLqgjvn/Jd/qFrUuJvVsc1Mhw+TVzgstwOucX1dG9xYsGd7dCtf+vLanHjSq w5dVIxzDARmYWkV5qE8vK1T2DBqsjLlHrcipoe+JN25nj+yKT1hGuZfGfU2z48e6ZJS7G4pC49uW +NOLsz5oP16ChWjuzvzgGu7Yng//fOi5yKSBfuZOkIBLBIzwxc8r6/FXjL3CzXSH65IxKUctV3Lo k9dKiwHhgWJfFgsAaU5LufLZTzMFdNqatxor6IpQw6Ftok8CdyETWPlfTKqLrvwAzOHVgWKJ59LP YytloLrsBBb5KqmOP2iQUMhagxtvGbUq7bLxFTPysl5UFxslXuFWyx+O1whTKP0GkBW1OA2/Pz4l Mf0hGi7t9umanu7an/qTM8VLSffcy9WB0tfN1NP659CFulQVJEfMVz9TiVXrtZ77Lo1xTN5XEwkY RUg2O74/acqjZzaO/LrqnbuSjGeVLYJaj4qPZaBWOxUebN9ydBb2obOeF28jo1KBWqoiLxijkcXf 0WKHQEtK24+/uDjumEijOV3TRqBiZ60uFTPeH7eMnLmJmhKmAd16gRIwQyICVezJpFHttytcHP0W YIKwZOZCaUQ8+l45OpFOwHuLN4i/O3NN2IXHXIECc/FQNNMkDfkHpnPiMix6Ahy3BssLc9SBVQ6a LolvhyhiBycgpCJfc++SZYXbYyl4tKCrCdAWIzmzJto+3sKbfeG7YHg+5X31UXksU9cCiMfzuad/ 9Cz+hxnDMaBB1uYx+Wz0dlTTREql/a5xaSdAozku1ysF4D3BVLbSHX7RDKWTrCmq7oFmU+IWzWZB EiQnOa1oVZmTfJ77xHypGvtbuQiKfHO1D0gMr+krxBj6R+zDs62iUSj7zNhOviRgkANtf7rOE5QX c2H1AHH1giHtLsld4f9V7TJ/6S0IR7yQpM1jdN+C1qoPG/yJ3FVIg5V3tZPbMN/EA2UMouKR7bU1 eruh1eRgXqOebQwyrT0hzuJtmhz85AJIHgeShgtYgK+Wg1HoYQxnMdAbU6Zrska1Hu8IfAIFvW7u Mopa8zrRzDWRVS7WalK2ThF9uNWznGQB9we8IOKFaVQjxUhPFxZOFObv27438ipUvVpJ7LGexCd6 0a+mWxhu/FkSITp0TMzrC6zmTpmfXc7HIwFncA8DmkBjv4tAoold9RHpcP7gexYeSf2Jdqeomovt HAxpqr9mMygT5RSw/1Qo3L+cJ4U5r8S1OdTtfFCjFN0UJVV1eR85P0qpoziGbEoubnf74hTy1+M6 NGbI9tFapw4yKJq7Kc3N4V2jgszkpFjW80pz8n0Q6BoBgvxOge6tPHIT5qq7nGZvEI8lAx2M7tUz 9wswt7QU7F7GHJbUs3lcTK/2Cmy9W4O+rXn134s2XBWYI4qdzo4lhtkSverGI42DuXSsOecKOEI2 A4+AGiI9hXUQWQRTkNOLq0AyOFaBgNvHpORZmgJKCnrQ8C+32iEwYMbga9VN8Sm/ZOPChE7ToHBf 5DeQLxcxPVFSgwYALrMq/7Y74elyoIvSwbt1bpJ90k3hPaWLQxYg+qjqNfoySYfBbbS+ZzuuR4tm rJvVAgoMKJYJbRdsIwM9x2WkFpcc8QvPzCCsKnXVoucv3G3WPfNGJI5hCNlPKQ/zSv6mSkFeDYsr +L9PnOSpI6iKC0Auz8zdljpgh9u/EY/Xu7nTjKHdcG+3PoFSSqV7tdgZqz7dtRzwNhMXBwVw1udo 8sgSyd9sW/W0AFR74W90Rxll7lBH74t4kCx4TGUCCvvnpwfBsceaL4CRCjJ+W2YdAY5bxehf6S5H Rxcib919DRbcHGlf8ZPOu8DwX86KnR01YSWlJysktCvfJJnhYPRrbQiNAOZ/ozO4HlPjJBiI7nmb zxI3ZhrNgW2GhjVC5BAMQFPGA2GC+K4F7wUV6Osc07l0rKpgafNAFBajEOrg5o/M5ji/19t8K8hw 5hLmkD5kaCfqF2ADHhyBO4efPhXts/VFCAi5ME9YcN+wJ6bjZREjGQ5SmMLCVQ+gXYtRm7wrJZ7p A5sAN55H7LcbSW1Tpe7OLvNj+yUo1Atb3GffZPX7UV9lMNJO8/FhMZO3xfkVXKzbnjOlldNNf6HZ 9MCLGnslJSu/RroXennQsk9uBkJFtaV/DSS2BynXuhNwoXOYsmzQuSRToSb+ZE9S3GOHX0/5lH5q HNABH06AkMz2Io+OVZBEphsmyryU1ZJ2tURwlwMnWT0Bh4QWLQ3gZMSAEUS1Vj8pT34THtO7l27G CclpDXHRL9tt2RV1JPcVtOj1mVSPgKFaXPWUyZISSKS6v7Y5TZ+2JqFfVc+sEMtINJpbxXQhwQh9 pQKSOP8puTa6YTimuoUAzk3BJIh5Q1//RbhXLGcM23n2HvxeF0dkAAIcVWuXE4jyPNXZky7D9aMF BSkjldh1HQbBUGVNmmRPQXiWF70y/qovrblKySgUvaVNRkmTTzdxMv3k1KVLG05BeB2am1rbZo+h Ooegh27QHvFQCfr5xMOlxo6MIQ1UIftwJbNm+xyZWgO9Tl0t0yFk4tOvDkPjAG7Di0pdma9NRe/Y 3MsxmdZEUCwQPhD3BxHllpBP9oY/LBUjGkJECDnIy+1kkuzVkhJ3fQoD0JkOv9RJ3YxrDBhE2BZO wAmfAaSfc6LCLid/Yhl8qab40XiHy2cgVVn/6Mb8ZA6fLdEoMF5ns1m+AoDruYRP3x3NCMjp7FDU 0w+Z97B6dBF9P20t4KHIdzEhRTcVTe3549r0IYeMdtu44dYdPp9WMXly+DWndf8lF7MJYr6x+g7Q tG329aYs7h9G+13sWXP1LamUO1ovkf9mGIJn8RDhAmlRZlYzqk/xaKt5wVlC1lmSJVl+aldc5SQj mSG1Klp0P5LWVKleGx0OdxraOz/DViasmGPAZeWnyXB2A5TaoWfRm21MtZV6rHtsazBHhksolQlh ji3kbuIEoAo+imkjWyYrRwIfEP1DwK8cnGKxy6DX/K4VBatMP2Egdxf+LWtaq1wpljz3qN6MNT// lEsoMMbK+kByzHqkB7DGs9MHF7C2Jm0GpNCuSNstOdzNh7mvheJ5FRx7A22EAAi6engvjz5ke9mK S+ADDm4U44rtkK6LlAVo0JWTbamgE6XXmygIhEVAmJq7PgULSU1XAoqV7stobeVX6YBI+8jJpdpP DCZIpDVrVUtnQPCHwO+ceQ1XWZ7rYti2xRC/zLoPM+G/0A8Pd1nqT3JKY3O4whL3WLy5Kash0hUc BLqoyzppNTCtKb0JC9+Se+JZnHROhBAJM2D3GgC0enn7HqL0uf78bQOdxgHKzGDdeADMprHP03G+ tnIP3Ez0M7D9MSvGSKA67zhc60IVyprBgkxTphUAidsx0rNMjoyzUphxGs2fI4Fe7shHPftuuiXo j5FrQLWYSA5UCRxKZR7JoLkBk7/gIN10yOEqrXMo+ZfNWdkMiJqrVTSouBHSXuhxCHfC4RH6PogN EIES95YvBWv5DRf7LKGOD2NAR3J+BZLpn2M7ppkO6ytT6kOBhG7osj7YfNBmMCnY9ibjE3NPrWDg 1PsGHGLJCh7VjsYqPd57zOn88R2x5asqGiSPJpLUaJp828tGI5Zu9rYF/pviuPxSO7af34d2tLl8 xTRBA1BcY3rZ5rIts2yvMF9wkMn1YuqLtHgBleVK2pU8CAJ+8NCNttnTUqZ/jlp1pxoV+gbMxhf9 qhu4pFi63YU6qrjFY/Gj6rlOb5ODF1Lg/gpy0nFAG1bI7efkkEBXPbIDgh3VPtvjdIs/ZtinQDCW TQ8quAkz/9lShgqfa3DIuIy4+Zt5hIz2ZPgLin+ziyVcLf8wWexKGxNJ0LykxPOMH9pcqR4xgv1I pV8aXY+ZO6owqunPKtw/rOsf/niwZE77zOwCPtTcpUzNiiwecHKy9nCko3u6d+5PwJwAjIDGAWhf 5KlJ0SKa54BOxFlZ4ynzlnmp8JOGT1iq/Ru6rOCIo6+WzGSeQXvml+2V3p3wGnkBB0ba+nCEI1Zn Ts6Vu4zb2ZRNslUboW+WuCVn11RKgiGpS7ThUMd0t35hQXAPVwv6qsZZOetSjiBzua7cFbA0wXQD hmdxaluIRpnrw9Hyv01jhTM0H5UDpilaeZNrCjxbjB+J+xn3/MtKMd0fhsbLGJIjcUPlwWocKQHd AJMGOeOStAOikTFqy7G6fomOru7Wjj3v1iyBHLeN1zVW5SAh7Hv5V2As6XT5r/5f1rQlg1Uygk5z T0ssLLcSXS0ES/Gq6mF35yJiFKOakJwDeVaOMZZ0ib+E4CUaWxIwMyIyhb4D3XnQItb8snJqMVU6 lv19MZWg9/N7opThi9BMgbEmzEXCekDcu1zlrSQg4g/tuBnFIccEmpGHErMgd9LEHP9HUqlUPdhv vDyKdJZHel6J+a6bFnyRFaduCt7Os1P5Ls2ersThe7SoK/vKS3bjNum9fM82bQJ4jDiDeJXi3Y98 6FJMnQ/+I2s6BZsYBwHi+/Ks/ielmHysMD/cdcHmq40+6g8t5X9+FCWeGv8Mwuk0te7fiz7p7716 mgHR+ZV9vg30FAwLn47/+6J4BQs5qdemJ+stbtVUkguMn+Teb9jArhHlu95lxhrYZhkkHizCdAB8 HiBurZQCoEGVud1XAFReECgRHVd3JRZX6/N0CVasnsnznpY2FJmTQwZe18spEXcN7PQwt4L2HkA7 yruDZg2lnhfod0WnfSwjd9iOg0VduNndm6+NVEczp6HO3DM1ObfiglBA/HGFuZOCChBjrGDALgjA eA7bQ/5pvnEYaou/JstYAROsAJAxiHYDDCzMsB3ta/YK4igznPu3kfGYPdgyhStr26u473Q/e7mE d5nKDbNsvVL2qdS9toEVv/fE04TdD0h1NIeO2TxUaZNkdaLZyDf+7ckBKiRIjDX3kKl6WFqO97f7 IbgjJLu2Tsa7zoaddSZvUYPD0nYH+/j/wJHmfda1lCFGQ8s0OhIsaooqxKR/XzJE6BhlAmdt1xcW rccAL7IBndOHyMuu5914R5RY48ecYCLvWkTP+7yI2JeiWmJhMrZAA0HG607f90yS7yor2mgmvUTR 3x07uKwMmN8S+OPuq65qweQ06kuOZcRN3cAenrdowWDR0y9qAcwV4NfK/7P64naPoqjzq0AY9Sth md+40RgIgNSx5IEuBBoZKuuN1OS52B5PCmmFgTcs598eliag1hq5XYuBbudlJtzA7vGnXZmh3ULw TE0EVgNhYxEJ/m+3+cf7Qqs1BfiZeN+AZUiHkL9EfPySje9ldc/po0syyLwlmozlGzALlOYvanE0 d/d6xhvF9VPKET5nsg48d/6DtJCFuPF+rfuZ3hsWHM8wk8We5OvMcMXjTawjyTMafkG+sQiQKsAS O4wMQfdSSWULgsU8gcJypqFki52Hr9CIVFMe2PdIgzysiVWqwkX7m87qDUKlFuop19EWsrG6valM VIIiLGYvyHR9YwUON/ib0BttsgYGr2az2DnH470ZHNhpG7TJ2kNPzMtHpUNfAtvlaBGWvsnNrmiS zqToLFnBWdIOHwXXMJ8YJwCkEPiPyPEZy4zCYg/nIb1CfcFc+T2D9VDISArOS7KQl/zZtcJBmW5P E1ZTB5lDvR7byY7pRRiWq7gF4C4T4QZ91jLixT7sdFwrDl8S/qFgX6JaMI0AZnHLYEL6lCCKy9w1 xe5o3x2Pfu/cwzndV+Ha3NpKKnAYpTESQKGsWeyO/xcbiw/IxUU9c3cagsvgzxZry/GNfCG/sOk7 59XCUG0BGk/Pe8WjNgQ2k/inzSajHBbVF8VrlKxaSPXC6PKW1P4ZTYUw6U+c0yRCE71LgXKW/l93 Qs6ZazCxS/Awkb+Njb0sP+OuK0uEb4ExNZQ0ASVweM0Ve75BEep+E3YIKijEMDnWxXgt114zaEo/ QReM2mZLwxZtxfD51C7nZayoYApKHKBAblvYrkPb21pas0pKgtOxspra9PmSWAvSBPDmqd3RQKvR R8W14t08/+YETCIDYBVuGQL7UZs0b00EIPujgKL+1pi1+SBMCT5Zn/Lwc3jFkdBPZI7Bg+0JDLSK U72mui3miuUSPIhi3laaSNOUkTk48NwQCaY3ETGICW8CEJa2sg5+4JiE0iofjxm17kPb2EqJ+wiY 3HmDsihpfgzXKWEviKjWWPj2g/VLAE+J9bXmbkcOE07WYtn9LluhXB6onKisbqzNk78fQW3b0K4c blEPz8EGhOH6bQFkwGtaMqDBnwcdxAL+YDUuYTZ0Kga1JRlSKP8wDu+jk1UJ2AgFnwzQbKnl1wyM vxOuc2BStTvyfuG397jWy1MaQW6RAmhOcRyfwa/oU8F5sLjQCfGU33ySJNNNO+vHm5zy7DnLpVw8 Deib4U5xn+zuM8uXxxw+mgc5zGZbqvHdNlic4WmW6KefoT/eZ3lqaySNuw1tN6aTTe9jLQQ75m/x sywu1frOGOHH6w5c//Ast5EF1Gk08JDx0BEo4uphB+CSp3kWCZ0jc0nhiXmBtCdzFAXvQjW97hdK NVCzeF4c2GSAZMym1csDSrvCuwm+9ZNxNt3P5hfwLiZjPI6aPbPQUod1QgjYboZ5060wbvTWgdMH 5VHNeX+kte98B8vIzPNNYR3/a404D5HM4OtIho5ejhYC1FMCWq5V67ACnRoLkTN4ZSvnG5oSSYuq aR5Kysjaq/gd6h1rYcFqDt5/oVuzEOcQwPpwDm7f90EzhaVc7ZIxA9PLDr/8GBWwoHvKbIOeqOt+ OqXvUod+Ju8xfbjUDFPw3ePY6ZjYjH8vn3Fl7TGore3qxNkjMfXVI02+1nQhhLKoSoYW+bp9JggA yNI0vzTEoCqUQh4YcpayVcKbogATVZH6t+FnBzmjRgEfO/gh602YshNL5BxWUew0EQ3i+km7DK1m T71T434QFhaBoAl/gcMx8HfNaU+2ge4NqblDceuI4lmE1ZHabz4fB5zLCeJE4P3NdyN06NbTWZUz FMY3Rzp2PIzR1rvskc7Ts+i6EqUeljD15nCCCY5nVcnvtBlGTRgakr74cg9yKZXZqJ6xwsxFxPod xVOJOXULaOPfN0I5R7sxhPn2OEsj35ZBPHpXsR0xL1fHIKQUfoF9sQ/Ggjr+Jnz9iW+0w0vHyj7x pC5paK2xktEHxK6DtdyDZs23rct22N6SqK0nSSw8Ur1zzo/HpTsFgMTJfDjD2C4r0dV15/+S845n KjAoqN2srSD26TNI25fpA9oCnPN3K5VOSWg6VYoXRjUpN7vHheDgdE91WVgfrjRJGKSFTLBykEdH Cb8A5Xjs38/Z17FTnWLzH1wz2MO4+nvaeTlEPD2ix36TeYFXsJIKOaNtn7htfxVGTBHyWJGBQ36K QW6bMYYV5WwjptvS9FbEhUIO7RuE1XxTZHVSiAL8M6l2plSJrysshd3TNwTwtrOsJzPrJ62zUWJM tm1QneHqHRDIKOIMaxJ1KpBwNVMQabaf1XwOvQjGmT2JAQ09rloIwLEviMUhM3uQYfupytRf1MYY 0VvHQvQ70sUwNMJ70aa4BR8Ek34vCPG3gLzLa4Fx0hGgF+QyQGv81ccG7jPhmttAbUmqM+Jto8hW XE9dpgJS0HUBlmyn+TWDc/FW5GE/2w7RlW/aEI4nRsYFtOIE02RMDXTZiPenhYxFZ0YJztsRuzrc 7yPztZmFnB4LRRHieR3bw7+vCSKXdX+xl8W+03dYkDmCOwIdWEZ4MOtUzWgX4FLxGF45uYx9916R p9BcNZrsCdwtf0GndhqE+izifXs9w7CoORFGLmRuTkllF5LMm0u/5j1lhTO1XgUaiEsu8/FOw70U 8UF1paAP3WvmD3n8kV8b+J2KddraOo6d73vVbIGVWaLSF6NMyx9C0kEUNpmxa9C8eOeeopCr4EN/ EmcWs4q2m6wT2VYKQwg3Co1s+IyT7jTc99KSiR1RdFr52T4KSXOLXLbDU2VE4xqHaTB5azUlUloR Y2oHZ5cTvHJqVjIx5rUWba85zSVXiUspT2lf+R7bRunYXQOq60oPJSUeXKlWYIvma6zi+be8z8jH 3dwD7qJ/0Xypt0i/0+E0jfAxmDzNbolD+BFF+8UCrM6EQ22fq11J91TMpkueyLsWHI6SlcrMzg1Q 40rlHjWPGPuDg+V1DrBdVXIydyES4kFAKpTX/L4aQKWDz+Lg5dIQJG96Tr4eD2aqRrLeP+mTtnMT XTF1i2Ymt30ECxfNxObi33jdMKno2TQUhHToKaJK7wTTCeyccCwrQ8Ciyw3WqVBU4FmiAOakMdin oLcNd2cE9+do7Y27xvXtxvkpnSyQClzy0qLR6JgLL7cW5xbQ2hx/B5tPbpxNb/Nhwou7Iz4kl2Oa GKMDdwZywMI8GzIyKFoEUKSpnbgtqNsQXHL9HzZ4Kgtt752KQacH7zQJrBeYxfzJx4CdaeEQ5T3h NQRYcDgkOZDFR2mqqDjkl0mtLL2HUhKPYVu7t+LekBO/yOmN3scA2ioH8HY4BgXbUzfHY04flY3o hPj94E/5LerPx9tsrpzkGkYktSSsaaY2x5qJxdxIaFb8rxgSCUpSyPACcOUeFo6rVSZ3VKU0QrMP vmb9kYeakooU6QqjJ6tuxWg491D0s2/09IfLsWlSzXJl6jqP18q9pjxU+vo0lyWrSrFuiguSF4AM vSikCxp0Zh1gpvUKOj9RxG06gjXtzZmGddtXWDqhVRpS7zoS4AP4Nt6F5GY0CdgydfxM2HQC7LZL BdRHGwDELiBDDDhscAxfw0FbmrcABb6rghLyxmbZ73HXkCjUyU61vbizEFKmjVLCTQQw9htcE66g tAUUpeLN1PtTHqcE2+Hrt1zE0Is22DINijb0VYNnwjL/jwrM+S9kSRU4jNGHAVJvos1GenlWaakn sCt+CSutS66J91AOsdCMEdgAYLIjN8hia34MU28fxtVoYCGXTHkAGSD8fvRfcuD+1uzZOtwZ4QUu R8PJgO2MqgW+eJ/SevW1Sx8mcK/XrOkKPPm5FqbJAknlkgEQ7iLt62aMIJ+FmJ96WSYmu2w2X40Y 93pK+jz1OBJAtfO2146GF6ClXM8NLZiGuvcR/MA+oLA6KIx+VeOlmQkU7Mohot1kXIFErxr1bfm8 PHJLEkl5QioWdX78XIBy+Vq98HEeRLWrxcqQCsE1ojDq7ileTCi6NIp/tMXAMGXkVgLVrUZ72AWh 4TpdaDcGdfj7msaHFV7HYWIMdmZaKk/5C+YO2HkXZUtxgoMYZjvsNVizexk/KvWMoMCe4VKCWgEr MsBDrqoMASXpZvJi9FA5ryhLgg5ooRFpg2AVaFcXlsDCbIl3KEzQDY1jo633DytcMk8xY1ofuXph U6fEAmdP59KIGvNedfaXeBjR6crvWx1s0mWkRuGmoQPhKaEU9BKY9VtwG6IqsPpPyHW5k8jQB2YK hWgQnyjk+iOVeoEMCCMw0BaeszaIC5Rdkq2NDnIscu9yRsL55U0xykN/fbpwkkBG4OP4yz+bphr6 tfUQDWBWhegKzboNnE6JZ2aRemcYagTXRoDwu6MgBuMu3JuYoZ2pzE7tsFHWjQPK96OwLiYdysXo +RQrha8sKopRmOmj3KcdYsx+kj5Z+w7P712M8g/FvwB+/HvHX2iWtvQ1s9EHOZowVr++HR+PuacZ 3aKzvkWxvnFjfu9l1ABMRy5S8g5an3+zjG7nOJ5pM0bE/Eju6Y4/5cebple03qarq1OXX+R4A1Ct sCf9BYq7hmNrEVL9bxvwgsQWvh4e6w3K1vVskgfb6Ui1EW6ChMdDbWQK+DdpANAvMnK+rMtEUPBs 3gfFUQPBOIGi90K5aqB8d/oR1Z/K9oHRaD1UlYEKcameSn0+HYiVrf11V6LYfIY9SuXEaiHRIgrP I8mOOb49e5P3ubdN0r1xbxhnVSr45Mtm1k6bamj57rmhoRJlMgnw5pz0/y/8o1c6/cFBpoVqWnou 5dICteb2VBCDg02rz7UVj3UKgGrgh570yd1wsYdiPbJl1c9JYbZ2ASogUHa5LFkBySp9Bvnhz7D5 /YYCv//oAifegydKlALJdBosfw/46qocPn1saryYH53+rSiXETKuavkP/DXbG+2ntiktzbQdNmt7 siPQF1lhpT467MhE1tYixfWGjXnJNMEJV/hmdeWuVuPcNl7o+NsZ6k5TpMUjFREx1+AwBc0103Xz GTzqh9+MYsUJc9IR8E6lju67q5REfKQPK47p9I74ycmCd8jlCgBeho5mlE6uSjeOsnTMOC3D+Lzh Zj9vpnU4590jHa5OcfM79uaNxDknLg6hTl+KbtBzSx9AwN/MrYN/NR/kYqDwnW6Gj9a8ye5hiuY5 Tv1w9EZauFuriBZ1bkr6XPdMb9zyj19QwM2hs6aWlbNomkIyhtUhI9uR+8ivVBCgkP5s/f9U0UVV onY0hw4pGEX04ghldPMxDGYtct1vW18Y1995hz2M51deJinvO7H/iMOf73DSsXGBMYHAXPKTDQVs sDdt6L/R/WbjNjwNMZqTPCrzMGoQcEClEoKRuJ4aRnLqkSdMj3JSG2cU7bMieeLTVz5mo8tLBjFC n5ASmIpLzKACTcyGHGZC5AjB+8TOymPMGxtujnhLi58+D7hn7MJn7zUF/oK7ekaVOZ2v3p3v6CFP KmzYsSWf7ZaxtXjnO8Tap8xDc/8yuUTnz1T0SOiYlxi4MX28W/pNbzc7If13iYqy+tFLxBdMoEHg SdqwIVxoUCMMzCfA4zEbnsq9Z23H+XqIKEvsz0NBCvj5194mHf7O1LQeaLOqgM38A/yYC7Ij+6CH m+RCjYLIXclF+SbwF4jQmZ9iiwfJqhtmPl7PeyV+RpjTZxMHMhZY29pz0AM8g+bgJShavxMfywfC 0VTI+EU90tk87IMSkkjzFS5q/YrZ2+NBt+SFnKQDURzRy67I4A0Rsut5FMcWSD190brOATqUDlmb M1Tw+csWVGLxFvFn1EzUplbDSl+W+knp1e/+AfdEOfpkEyO/38qgaD9Phiy7+edmSrDKHcyLxIRm 6osmzarloHeA0Xp6OzujP6MA9pD5DD+lRTCTS/eqok0UD+8DGL1UIStdRXIYSY2XVYEcNyWk78ik xi4kL6pASj7znKESmR77o3ZclgEFxjUgnFh0pvE096HIWE0MgVj3zM4UvD54IUesthGph5Sjn02R 4vD6qpLyOwOINNIZPjK0b2jfOYBcRkzWpaue9FY60SyRWOQdPItf4VaOl+G4+7kVbndulEhGAj5o oa0UlnE+dT9yulO7RRfLMsSOQdP5BVDwxV6YlDFA479Q3ENh7ejhPjQY++9+XHSSJgmQ/gDDnv8e bFxAfwWnkdgF4vk2wAQ9wlulRREPrVIUmslirrYa9ney2NNPZNUSR4WDWX/CAT0Dpds+jUZXwmad lNnCGVMLJBGJcMVociDK7dAlD0F+wHfiRUE6LYt8Ds/eO2eCYSB+f0+07PYD34f6vFZuRRDeak0F 4sAWi5yc9HUB76Xk4WSM+nuvADebtlfXb6zuFbSLP18+ZaLq8PL5sKGxDnIOpA144GaHOJP0tEIP MB2wROveu/1BBonMFqF9DH3YbqRXHPgroKfKybkPjZSx9gaHTfAjhj4DAHs13hoee3iZr89W9Cur NnIa1nQIXDuk2Ioxgp9Gc0A3LmQR6JNWZ/N+Y97zN+ZuFzzexek5HLHDb3U/AdpaPuNmPHkWYMpO PauHESBWO4sFtp1a2HB+84tmr/5fKii/nrZ70G21VnF41UaYOBBfuWfvaVsLePkqBkkgrA+8jaU0 APTlc9BGJLr0MSf+MgjsmTFhkRUxhfeFnaQhvO/HznQWiCJedKcbbn+LxQ3uENUJgo0EiuUHvjJR g+2rmOqym4Ww9QgbTlTX//E+TIGSxTETb+5LCm4oo09jMXsapbsPPjhSZXtx8jUSp0/ulvSIi37G hviAsSyc21xkVqA4i9NaQOZ1gDBqk3I7M7HQyHOOyHDBeJGdt2kDO9/AE+QO3H5bioWK1+9np4VV FXg/5GpHt717hka3VPQP9Z5hdm3xzs68neroJeOkEwzksHpwXFtEDaX0GImLIJohJZGwbnarJyJ+ Wf/N4wMvYjzcW4ACbbhMEokSST8WvRZRaflTXLJF0RXnlPp6szA1TBiiXuymWezl8hY6h3ViQ+kM XLWF5QoBZi4PLCgCOz71kWgmp3EyGl79/c59IuOOwwvugz6x+d4f9aTy4EN3x/w+sWHZFu12xsP9 hDhUrKpgzJczfFXhBQhCXEWi1KxRQACkmZuMFc6a6A0WlpLiJ3zGvyThNhST/aAs9oGVwLwIF/hm dG1c9msyblAgMm2HAIkSlTosYGrOlpC3dA6GNuQuFcyS2iMQEQDhOoIo9UnSNUOahQSZghVjgla/ 13F94LkbEFXczfd5vPJYSLPrr0TT95TEuizUS+zctGiu/hprx99NzD/uMciDjS1CfsLEEmy8eWoU KXfIU4r5/yHi2IOyRBZQMGGLCFtUJUBKuts6VQ+EzvKw4yFFE+EnSOK350UXsejsllBazFXyt8e5 rAQZsn+Ai8sq9NfuAyt3KsRnJjag2zbcFqyebWX10FgRP3ToIFLXAupnVzH/NkbDb1RjHqKbMb2b tISU4UJw5XzBEtDDO0F5+zmkBhlWXnuCxuRDBJ3cvp3VcLkn5HwXhntvLXVMIshbk8+PtnsLYyCl GczfZAcgsJyO4LAYbdEEVu3w3ROOUXSCdpooOuOKGdaGJNFK5a7764rbzG0bi/Fv1yy+4bmue3UU JH5X5n2UJaRrPoVP1qUE0+a/C4sQTmuodEcwLqAlNAMckX6tT2WrL9xRR8FJyS030Ka5aHZE2BPw q9yKDHbd6OW/NcTTGpHYyllRf+VaxkmxnmgkP0KDuB+5tIwzVjR1nhyQFfL79q2uXPmCfnnfV6Ax 1ZxSfOCSAJ+/XlZENPLQRcRRk4+eQXowVoniRffnUVoaSnmvWY1hGyliNOHDi2xVGXVi7IAnn/j3 29dO6Av02gSQofJFGB8g67hwyGnLFRCOfnlicC0ejJe/rM8GCPh5jdO0JGklMZh7VxzULTR3dn64 MaIk6AxH4Dk3haDGOdFj3c1byNAKncWrdrgst9RMwYMrEVPrI385bqI8sVsf6yIaFw+dszMMTvsV aFA2Vg1yqLbh+fsCHhwILlRgIg/MJ2CCxeIr0sAOOxOSM94q8kHr+WWRkM3EhO+rROrPKMTPlWtg JzO+xBJ0QQuJVvkmcrHsrvk5JOedynSlBdqRmL5mS1ZDPtnLEJvL8dxD+uY2iyk9X9PoK6PShwOt tJ20XrOOanXMbLcBWWd18Btk0l2bWbf3v4gCwfO8zLsbT1n7H7QOE2e8AaD17T9KT7vKnqa4dIyR 0V0OOcV+hy8tncTKqXJJAEgDxNdbNhnRWOR+u82bgxua063ofdNyimeK1FYxxSvO2FAP0oHQiaYJ X97UWPEvbboeHiL6xgL0P0y2+KDMB8UPyWyoNHK7c0+7dKatZ38QRqdrdDh4w8QEH9Grxo4dklPG wayd+lgXvtBquJEzNKYR74TWccKNYpPG8ZEV+bY+Tv4SAanW2AIKrY80GO6hIKnJM8vmCLxGTocO UCvQ9rj5JrkmEEPNyeqt1ldU5USFDNcwDEhJNkgN7XUsbyt976Sj3mu2gKC0XEbQLw8cy5QTty/W gT5gCOAM/gDNaEYG2RsYOWxqoXnNVWiyrR6SFvzYco2rQ6n5GEpLs78FtThMcmmmpXjxWNpWRguI 2wmthnWLwMrZElrgch4YDxGxUjoHAg1UqXhl9R9eSf4wTP7/w7wwMr27C/scZ2qkHwdnhCORGoG2 wKn3xM+hyjQOYKoNEn0cX1DecJlSToSMI9sVDI7SSjA2YbxNXD0z7/02THo9Wk5hqpudzuz8n6BQ cOm7HA5lbW6p53nKIjN94OW2RGs5ubNFGTy5ZN0s4RqVLAdPqSQKeg7XCT8ZfqnbnJJnpGqv8ORV nC/jfgYGwL/tRsTvEQmNI8C51zCHil9BqKWxNsiPWT1cqq0v5wuF3b1+182jL3NunGkhul1CP+3p gLrDLpNOlouiZHRB1Czu4JiXM0RpTsMEuspH0Ue9hU9Syfk7vHqYKnwjmJtsAc/h3yPvdfKZuMHu w1kFBHh9W20qJECaTLphIWZWwC4gk1wmw8BDI9s6yk4zRcafMYaTXo4d+chVrUE+uKOIRJ+xJ56f LU9EmAoE5wqgERqf5lDCTMIUPfchA5SgV1hl9Fy9gjGyXH7J0KmGMiPeT3Gf2179pHIVPB5RpTUu 1Ma6EJcdU3nnPw/0c1HXWaWgYoV6XCZE3Z9YbxIu8YMzNvXGlC3A1dtm2Og6YXJ4fdC2YmeEqugd kavUs1AjXEGyZJM3JFrt8kUyrSNfcHcWcLT1HkaZc7WdstUvDIIjsiFMcG7hRv6q+E8fnBrL3M9V eb57WS5HXdOlTKPUQEL0ncoN18ntXFV33l5OM8T2y6Krl2c2FP4DntIzp0Rrbraw0FNIHMrnaidl zX5NJnchey3kwjVd/y8d479xFfMnkEGz8jhu+H4cKWtg8oaL4lGU2e5ne3DoUvtLabVlHluOp2kC 08wnuil01PdLwzexX+NpF2Cb1qRX2bzdPVTMFFoDQWejC+/rUTHVSBn/j9/ceFStIP2J19HzB35/ cy0rwMkZ2ho0QxMqyptNakNWVEkSqFedOiOUBuNZuU+GLL2/hUGiPEZMeoD20QmJ1PVtR4MsnHNE xcBMZkxDpEX6s6UYCQRZbXSfnKi+MdCllZHwSKQzccOBfYDRMdPsDL0eskC1y9bpzvReXG+yuZXi +jCGmpBdUA3mg5rqPrVk9OYYmEyPfIqI0hcJV01QbafsaeHthdvuBab2W9ngX68oYxFvQ+rLXa4b eES23NvNufCdRW1ZJVCToob2xK9oWagxRuE0fwnilMsPG608VcAomlmYg5MjqWQFkJFhDZmcppXi eo4DokKtI50JJ6SOzMLJHC3m+wBNoDKEf5kJRPA3XHYbttdRC1hs11Cua6B1XP9mUzxkjr/a2Uwb begmwdiImbPetHmfgLh9EqeFaBvoQ9rcWbZPCCsk1tnNK0B3rUfDFp0KTLU4Exro6cXQztKWCghE 9WbxI4slMBwoy32qVPoLSAJpwweJgMSQ8ojVDZTDFHccS2+sAb1nNmyRxBZSFCxY+VOsYavezyoJ eZszqL5x4jwZEuIMeu6N2r1fVP6LC3CKHfebaKiE1OfuHBetdcBr6URHkr7L2yfvV9ZT+Sa1R+5e s108wyekWNzyU0+yRyiq/jV5QsDHrvw4s4dbh1O8f2VrpO7jbivz/MfL5I68MaaNuElaVPhr4HGe 2JSZlsyRiqOvk9kgCXPSYO2NQi+TVB2SWw2iuhOz/cuIu2O7D2iKV5CkqNOETJDoV4xKRZwqlQup wRQHkZ3ZKdvHXUQ8l0NSpDKWu6Uop3X1BmMWmyAY6MJm1PjQOx4WykQvZHIMRQna5gfzRswEtbLt 1KeHDZxMtGgYp7lc2aE4MARYETffSziuKh7A6oWOHi3Jy5JDgzkp4AmP8VZykDRV87GtDz2jVBNk 6VHMidjsZ1cG3dji/eQnk6kn0+h48qa3SBgyurZlmpLhvnH6H3/uwBODjh9LCRYtUU0MjmK3q4WL ojAsYdGRv6EkGhoJpaitfXRfUWveNOO4E8SkJgaUxXheDUIVMRjtbolTsWubTO868EqpiUhXHTBg G1/aYP0Az+kkH4lVU48RnlDs5rQg8EDbQhC446+05Yj9idwWFVbqGpS2zOWdlfY/WTYeoKnfoDKV IuR8S45kxAOlP+qOXo/G0Jnv0l/sENCngZQvGemPjzby5GC6n8tqmv2LhwrMxczhYMvHoDF2vXTr iT2vykFbJgVsbPlQ3+w83y76gQbNVNztckGhMHm9leZWvQyRxTNS2VW5uEpJJFS7okbptzVbUzFr 3HsD08RraYyUF1jJcMqOylm5KeunznKoFLdo1oqFvJa4vTNwplpY8Ot+s7uHgItvdz4AkG2zjtjc PkWcTHEWsj8idPbo4SbMR+fK6EFG5NlObPGypeXRWmXm8bMTcBZdej9fJL9cbCf84iFeU42y9RKS k8DPqP9GHEwiM4EEpDzq5rwxyoV9c6mRykIaV8MZSbb2LCI84pmBSBcX4sHu3xE92W2aOf7gJjib TG4ghQAaRck+cgzA+JlIhcpsVV22lmtqc58uP5Om3Bp3tI0wfHCCWRC2aylEYRyAepwuOKY8F+KD dK15JSu3r3A9PFTzUlwWmsctNrt5Svjs1zGythZSBkLioSz1eAXidivluhCMNmeT7p6SyIGqcBUR y4ADEiC03pZ4Neyf17fMk6S6lYfXchu5YqD4ygit3dr2jeC6Cepy1qmPBKcDA+Tje+nNcvPBnF9I hAAQ8dLR775z29ikQambVbE6UDs1TRWXVG7l4UNzOK4mCMCpSZhl8Uj0Ky34yg9Fv6XV6VdtfmV2 8Lh3RLMfmEHNDyk4UO35At5wehwliDnRhHrAjFkChq5cnnY+bEoOe445QSbcXXSBzp2qOScMH0Z0 DYzQY0aU7luBIiMyg9Z+HabtW0sTm2GPdmHBk98nFrAVREjhts2bir8hKg/44KiJMh3nfCPKKp5x 0/su8GSYB7lX6MAsQq+bN7faDkSUl74H9sUQ/Sl3s7u4Z4cUaWL3TJNDwUrUFKpC7x7sKz1y0fXT iBMlYmVbGuCzRQT1UI61wkBWXM8h+wv4rY5zeRbs/7WZjmu1Lggl+zhWn7eWKLJBetCQF/xpusKc CpDK6ac2vyfVQMWALkQsZnPCw7m09oHB8RP0492pvvO/6QIdVdwtPjRycN5m7fZ7JhnLGdJpyr5C qEV0o31MSonKnSv4ui6EmBgqUjdUWIzxny0YYkdp0tWUHTQJzWPggVhD7lsLgIzxmilrC3EBAaVR 8TXmxXv6gyXBUStx30N1ytYcDvop/N4CNw2EgC3jDGxHdPfhe8enKW5lInE5FNAN3LIvDHIlRWXp dwGz5su67lVzfsiqa3OEGy+rkvWhqDhK544QlNbnr05gEeQBa5WybFI5/Gjf9p5w/mEto1wA9yIU MfHUoLhP+x/km/sZGIhZM4qpwO7f6coOcOWD4G8A88wIiHZ5x7aXYLqM6ziJDwaBxK0IGcQSVmdz aZ5kG3TPO7Tv6/uqpd3gMooQMB2b5h/mgM/Udg/Hxn5Xs/LOl+0qAYng/OYW/4M70SgypRLe4QHa WtJDW8FkHfk7DxrgZEjM+GgB66DAsQVnNVpq4x/zvBYtq7+ItZmixkI9Q8Jc+6s3VO3CO+Bl75+r PI9KtGDQZOjP3dQKXa14uqRUj6nxlgcM9yV4EPvlWHQGy8rL0wi+akN9GyAPZyUfaYtmtxN7Fx3U 2697AZ44cHQTNN7KqKwG0srOJheR9AR5Skxmp6hd0Gkc6zcO2XDANal6x5s470W2imeTygO/Z9EH 84gNDwFiwfZp6TEodS7PJeuuKXTJRfCdNWyaeN2xQqYdqIkobRff5j7RcKfrwtIxunFQvj/CaVXN u222ZHC7zGmiua7sPou1bnF3Q0dX5VN6Nlzoi0oHexW2eXzjaqsYpaQC9n3Bn+OdDTgKTHt1VlVu c/rmzJKyWe1e1RDRe3MvzYEaOJgJnAxuJku5eRcQmCv0ATBe3wdtknI0hcG9Bm3Wja0PnFHXIcBc is9W4lw4CYBaPnIJWfG0WOA+TLQMYr2ikqpFdgFtJKKGiG+3HnKcEebGfkiowDvnRHa7EcS908HY hPcAm3fALKWkwPNzMBhXWNmfXt0jlIVtCGzy0pe9mgGZASxvbTh7Eqcp1dsoq5LuoUfj9QaaZ3kw ruySRcdqOZowhAB0yS9juFsniXrtKe8DgU5YWlfOn/AMGbvJFOBpxots6c2p8Jq9+u4cdgzENl7T v8pvn4FXp++6HA1DH9XJtinehLoLjVYckHwr6/ZjVbJbB2Yn4tXxgCtFc7xdX24CupSGwvZiJPQM h8Dp7ltSIULKDoJ7fUNOVglQBkIrJ2MHSs/nfF+YnqC++AJjkORCzaB7jXUgbpmeG1U+65Xj4JGl 2DPpA5/LEkj5Bm52I1TAb+EYuhWBXCVjSW+zbvZ7F/rLyHB7U35P388GL5jmyoH7hspVlrRwgIWA sOjpSPwwBqZH0lUmrO6vqLqP1Qu1UOmkLWgzRdTdokPue4Lnreroeel6mTiwx2cSe+opNK3rSHGA 9GuU2cNaN2rHQY6J9JnYzl7Fh+vgXZAQpaf4IXyQQoqNrkiG7yAA21WOaCkWeci9LN3OWD99z2yN VFzEQzLGPhnhcr4oX3Pt/SMsiYGI+M7CNJ/owqX2NiwJR7/AE1/mEu/PxBf4W27d7L2TrrEekZNh KUXjm6jm8gBUK4UZ8dVZyXSrIbez7Zvl8m9C1LVHxM0KIOhYV82+kAy3hxcrwx67/1Twdx1uGOfd 7acflZfJwsquIifOAFLUL7YF2MGo/XCijAj0AVQ1VMqWa1UGEC1c8kQ+G03jqbGbua/sb+d5JhTZ exAEjeXaF73lLyIREsvoNbiYRNzp3YjXgGuHydCPnQEwCTo2RtaPE0rm0sARni2cAWMEpRvBHJB3 XGoXimxb34mTcQIN/0GpCp2TChKz29CjII45oZOLo5delA7Pti99en8TVGIlQyW/WrG0aLhl2Ell giSnHS3V8fFQO1lDB+1oiyGBBykdT/YUIIRH+l/4hyjgLAXg48+jiqqhZkrghkjGigd/7EMhAbNF 2BAF3ETdh9mkyaPTOekcd4vL16LmiOyauJcSKP5xNhdXGqexQf83NYXmXTdRPe9tCOSUFljLGyAv 0XUzVyi1sZMla5x1K01J2NXLha3KOOeX9JR8PfS2sfjfHukEXJ343yUZGDj1cuRtFYc+hMZiKV1A wdQ/GBPG6eq1LgbefDrGQAkVnK3isxc3QNT1Kr2+0lzyPfsAbQhUWASuOgHGncw0MJ1eLkMHZqrK BFK/Wi4s/wdYH1hpCEPCG9yoBfcuxFl3BxUTHbicdUPdcOasgGA024QHTWLlzdA9hpWHZmYte9DS mfu9k/q+gbi89l/4vk8WgLhIRq4w8jJzl/JIp4G3nWd8eF3Y0DRNKBNM2T1mMmO2ojm8lN03m15C GH7JHTfkIUSUDpvAitGrWEiXUAwoGFeClKEeWxx05x2p3y5GBznfmvuVDABJhFc8ofibJWnduTf+ S6PyFljXuXPyH4281eNBEu5Wm9fEpJSEIq3A5QSHXfPY6LJvMCArhmHADDORWPDNd8D0fERsBPEM fz/T3uBSrsV7+IhUCSyo6/IsnqCgCObvm63PsffPlm66u2nNwYw5nDZFGqZm6mJZl0InlDyOv1qL BqQDtTgc2ToEEnhPJP6Awa967IIyxM32059vlBNqS/9IY3WWgH8wqqBa6GNdylphwqUeZEwpbLM6 6QFc0cEnTrWFtS9UzeN1KckePrC9wO8sqRuvp4s/ZAMQnccDjdF/huAJi583i0UZ+FPes3o/lB47 pUhg6XD+QWemPjcDCDRiYDhzJl6fYjhMItdH5BJdfg+ZzCL/BktDe8bnK6M/4IBGR3KKhhX2T6T5 3hbW8XskckB8h0vfgMVkQg4iglC7bd2rB92RFfmjU8UyXFKbqtV1D8HCqi9w93FCEIzpgx7uKv/y beygZb7LsFtk6+tti/VlAkQhBc3IJpj8ACge5zJKR7f3y+XlWCX+IkbSTjZsdRjp0cM85tmTZbTu DYzK2rM7aQjyUUADpiVxu148QVj8y83RHIGNMMpoAWxpaBhv09FEtOA7LaX6M6vCkkwZsbVJOAqF ak8w+QWziEqTpr+K7d/EQo+r9VghrUnLlGK9TMazmvv7C3zrEYCWoBdFiiIoKFsLj1WrUqkYaoRJ NugnC6VMs/wuH1WASqum4ImpbpiKS1rAYn3gC395FsIr2uSdJgG3aHUOzp1hu+5hUVGMyEq3y5H6 AG52qPHT2yo7ytOWkdfMuaurW8ZtpsB3quauKqp7YyDj0CaPAQaFq/EIG0lXjC0a7HYndP+rXQjP ocaInvqnH2c4kzxVYtn6in0DrZwFoiQrp3fJUfnAtf0E2M359LGIpLL6bQuLflogz/lVG/31J+/M 7QWoDQldeP+O3xKdEZNTX6InbtSV7ZiOcAScARjZvGvxYNNe6ZhJ7FlR5Qb+dhqcgCkjbJQMuKoL UdYA9mH63O3WL7ZNM8d0I4y35N/4L539I8Dzi//TzJST+f0ix2jhw5HfS7ffudfxhKTQzBjk8KuO uenjFOl1l/0RQaUb6fIIwYDT/+zim6bIQL82V7iGyfWLaNogdwSvD4atfzguC309KpTI5yNZ6Gro 8/BNT4axspMYCzCw3ymLHtCmKuNmbzUgPyf6ksRjnqqH6SYUyw3pQgeHn1YCAVZpt5zQAndfErYe jbaEADLfukF0KLy9/mXIGguBKNaG9XASpZwJuk1RzS//+TL6iqfYhNjvweQNoBi3dppnqjMftZ0Q QXvbtnMxYq9+LFITZ/SgyDxOT1B3MwpcCFOljJnQw8FUXB3JNC/DsVR3ADqnh6Sf69OlfwoY26fu 5/LBUq4XPD4A2Stgz31PdTYShssM1EiaB8f8bG+nmdv2UzoSsMSdQkj3o7me/JjGwyGBa9tiuyDK vxbqjLqsf6JfTR07KQIfpdy13gbuaeQAg+M9Z4RytY/jhlJpfR8sc5rayxAgRCjYPqXe0j3WXxEM m79tey5FRxIq7pw1ApKlnDEnRMlSNm+zk5MWj6UqQaziITy6ho1kbRZB7/MYfO6wU0mDOHcjXT9H lz18sfAB9A/5yXWHLlxv6yxgD+Wlcgr0dXF8ScPR2ggbIB2zCeljHRbuzChlibeHZrGdB0d64Dxs Oy/HAK9BhA2NB64B68E0fVc9pooRjmJ5TVzXGijYprCMRskSZB5S9hxBf6iNm/GI1P1UFOHrWtYt gH2PqNOQBtsX8XOzT5NYzXVTuXqS8wKqhvulZTwiuAuE7yaF0GePi4akfdSlvZXpjG+aVuE7Uq1n cZT+LWZHzo1/rNtJ5XLKfJgLbGRR1WcgkbBCgi0Hvudgv1oQHI6abxhd/W41lu4b7ky/LbsJlgLR vwyrJJsU1LrsGH03N2Kpyj54anZQWgCJB1ai94nI2RBaR90VMmWQBxeWTPE8CzzyEhBNHsuGPOaj F4ziVg4Acv+zmQBOdh3yLiAEIrdVDena36dQOoTHoPykttiwU1XDN44WbAk1tYBF4qoI+oXDyukk 7NwDanjtnPGymdk1b+MXbMmtfJkNtvKG/2qLdAk3prrITGH+yO5tk6boqgFgvOwodrjMn0JLFee5 ranZWpngSwOXjmdWFbzd2KRJHwim5T7YHeVU24sDX1ytYkWylQMCzmeAPCOk2hzD9g+ZIAK0wtmj S3f+9UHnWWaaWSw59R6orQK5KwamPil+hHPpW5RqD1u6Ir5F2MW30CiphdOx2wr1BAG2J+p0auFX p1acAt4O5Q4JO9q6gzX7vFnOY+jMuog52ELCnkoGSC2Ql/8cGaJ5TQNSG7sYfKToF6Fq9qYC9ZkZ 19hh0ri3B7+AMkehjjOISmBTCJC6BF7eOjp3hIJ7PoKAiWPjsTd3cHqMoHdQ0u1qNFJGTeyOjq8D 9Q6GcgqC9XfChAGxf4AEC83jBPRElhw1JmBcWE1VV3jXikb5W1ynSvG2LGRV8xx9huz2hiu5e3lE 93sGw36nBPp4lsXsZHGM40RzH+NS/GHQXUXoXpjst8UyFWP8jyM5Fy+qxpPliGP428LISTTBwVRN EWpj7SJhoqUXT4qRx8lIsIoDzHGO0YqhN9zj/M0xG6o5dAzG5b8pF4j42DnEUeL4TjbbjEFgwI4l B45HUNXAuE/qXGU10bvNDTDdp07aZSEmbmDIOfGjQLK0GkOackeYrib1c6RSojmVSE2Cr8UsYyQB xHmDB/i0R+B+k3UU+ANF4PxkEH5ickgbym8U9vR4uuCYEHZk6lpmi3csxtUfV49OYvcj09LAi3ay m3+MfpFUSiefZO6aL58m21gHor1/WpgaFGVC0w03UTl4ajGEii0NtotDPPMbdlZn3Xd44jcbVjTX vL7Mf1TyC+oYd76zmxHYce5uUj8H9IOkkg0QSLa0jF90e61rosRmgM6KjKcUzjWUaz2yVaYscHYq xx4Cm1hDJ8G0oJ87p07JyGM6rnQchX7rVJRSfZPNi98jAyhwR1Q5LhLYfHMTf89FtYki+ej+fcBO Rn8K+FqG9EGpbSjrImhKzkYWLot009iGaYMZ7v7o1PWeGO9Zt4aCTRxI+SlMeTB9rFOqi7tmA+d4 aQAcTj5Fq0rEwRmOo7Xx62TdNRKIV879w9d6e/NTILAIfdV+t3vdbR6nKVnSZw1h7FwXI2O99Rzr xWaNkaFlGkwMjrKZKI1AuWuAp0oko9vUK3eVYMaRFrKGWzu9CjRON10wxL+NzeKOBoPB92nxcn2v vbdfJN7NO6MqPglp68PNLY/zA+XeWx4zUCu7ZrJx73z+a+HnBSMRDtrk7EI1EqwokpQYa5WotTDi 99b1UPifNilfPi3CUD3yeFK0h95ZY9K5dyYxFLD+ny+TTr+HujTgtq1bZ7JlTrYEaIxq+9sNuz+y zkPU1m3s37tWxv6pUdfFPzWn7ljH8Pe0etjfMaa+nsG85tAUnrs2z0qe9aqBhrJXRMgiMIQnnZcB frVBIGBgHG2lFUBmTYEM7K79go9/8FH8Ee3x7wO+RjyiA/EYwkQhJLzdvHEqjq7JjEM3sB+k4UHM l5S6F3C3+HurgqJ1pCsNpa+HXO00qk8JtXynhl6E1xV3V5WkkZ7Zbd7r8PU84PLQE+dgDhzosZHW gPbORJTsofMral+EiCR1NM+1UfNk+4z2/IKAb4CZRglarSsOjZruWxHCIgAsFDtDmDXhCEIWTJw/ f9aI36K9ot/YMgSo4udMqiG4Hm/8Tj0TaXnuqP0hoquun8bFilZd6EeodpP4aHfkT+55Cftz01ze LqvcSodx5UzkkMm29azQ8lHyWw7VHY4psuiHzHUeRQwtBcF5IyNkdiPTrSn0B4u2kxLcKoXZDE63 rfRQeoa5//r8lQxFMwsU/a4tdNYVjt8WrzLfz4U3OqoPF0FdNEXLMVkYcECK75MREXMYEePm0syJ mhQzCL31Bacb1XvZRipWdd4azqS8LACtZG3AuVvTNPD4BViA6niYNtMkCCHE6QNmKWyQeueZV+k/ Z9Stcm0dxTbiqUm8LiFzBxBCpzXhzKeN9Xbwq1kW8ToF5+oVkJ0g2nh6vyoK1O3on5d0N21iMqII o8lfh6tNxQueT5MMpXrCJxqbg1IuGkb8nmC7ZsIow3RlbCI+jpSi3UeorWJkI93oWTBfISEQ7kyL rDtvJgOMyvyoHimZ0nkl92uj0jxYFS34iwB6NgZWRms4JVNxNYvPKt82dP72vRml/WjMqmPHXx0O 02FygwJzvl7PW6gZZJ7XcMBS0kPIYoJO/qFB0C4m6EwyUChymuXi001dmpwmAZFcC95/AqEJHjjC Vz0o3Vj94pCfZrFF5FEoJVlx9YKDUxlZinjN3Et58+IE2nfRoMuzCYu1BXXrGuJYa8qAPx/76btf alfcebdIBCSzZvCQ6switS+XKJANtMaUN7W8ql3frrTF80dXNUOQBGuoBRVTzZcGD5OrWrTqkyqb FpNxBLkyaBja6MYZnu9XBSVXDklF7UcZb6auyWeOCOa9JBXh0pSYkQ1M6b7Ncz+FbvTLVU6n+bQq 4NlRjuKXa5UKQLvY/jHamJ85f1K6W7RUdbU6iUh2Q4n53575T81OCHqt7LszJI1TMzE3okM0h+Sh i8NEkbyjXcFODtEBUEX8iPEBI2GJO7zAW7ehvQeie+AphUFskFlAY0jM3Vac/4hXuJNh+u15F74M JbVGOq8tz94fT53yT47asOxNJJt0dxqGkVgX62d+MK/laDqOTFfLIxGwu6cOw5fpb/tCOr11UXj+ Ihh4V22W+17ZnE8INOYaaLcBxtrUl6+fUEUZASALzCeWGhnlV23NiuURiosNBawoka0uP6X+oGKT sKWnNF0Cdqac4MCqwst41XU7+/CJTvcmstymibZs60AAV140MBr3qOZ6L9CoQuWUyRExc3/jxUhX KdJTFdT4JjId6hceitTTXQo0GjVSdSS7XbyBuUxKk3QqzhriIMy52B+2HV6puWQpQz3AHP/dwjM0 Xcnlq4hMLtCZzxiJxN31Z4a2leLcGhkrfbhxoXLk5nl6y3k9D3GnAClnNfQC4i3CTi6hGzCH+3kB Pr+1FYyeJAWDjOoNnFVK31RHYAhnJm8iESctpFZmAzxiHBxGaHpzVAugMsE+s855hDF1sa3uA8gx GDTimZRMXNzORg7zk0j25YZH60Zlo60LA4RHYXSWTPg7tXnWwe1gwbAw1/yVC6Pw2Z95li06UHFH jcraShwNcTxR1qpqFYHogkOzSVzz6/X40d3mBJDR0aXK+RykvhkyvmHgwTxVXj4z7E2o6623Vqb1 wrzGCeoL9SkcwI510Cye19am+BZY/QOff9oVxI7D0BD7Wx7rqrzN7Cns3yvPGAxF7sHsPZS38wBU ihW3va2JJ8nzuBCGLlsPsfQyhh9t6DlWGq/CwmlAc3kuK3ewTLMGPKeUQFuD1KCoLx6zkTojFSbG 2FWMuqSAlXoxaKLTBVUWbyjSGixzl4lcdVjRovtFVpLzgwbDj/D94izPuaau2Klf4+3VeWlYsNiN ntONUgDt5xQfg2LRarNwbElxykj60QUi+T8PFlRY3jiUdDF04fbDoER0mIYW3vnlVD4obPjigLr4 srdEyvFTmplysVogOH6MX965Z8J/IBxxBSPd20gzfg6noCq3gJ4Gxiqg5dL1MdgEoPCSwVRYSdWT /BBezB0GlvoYpQoVZxSdlDGCujXnPIo1ReyUrfY2iD7iFJmPkMgSnF6C8BJnRy3rdCXnbucbj/Nq vHwcXFJBtwmyAuMwethL/uaonpX4J3c52zMmh7fVN7YOdGlZJ6EG5YZ6jMiRV5bEzODtFJA/F0rY 3eyLeSlRgmoQhbz+aNvuQl943RruQZzPgct0MN6MkfiPMynw4DDrPrRCnCowzjj0F1uR6j0bHiuO gBu33Za1inZSzZwOpigBmaz5gZsoCE+LWjcDQzCLLNq8Lyg11m7JDnjaPy0fU/ajnJcw0l+WDU5/ gJT5/KaoSHebNGdZCcKQeZsVzi786m7JHPsnHPjjZ/3hGOuNQimrYY3N+Tb6J0tBN2uppY7F996I IP/PcDNZfZYJkVw+3HzpfWbfMZXTdhaDMDBubKGUfETGQvRgEsf5Bk22evBpSzyGNQja4TMgd53z ncb6s6XXsabNA1SBNFXyYuf+IIOxLE4VBzhuv9BrDNUlg7Y/KXHbtgDd4MGHElVGAXSrXabHHoMu +eJEpMM/5GZ46peFr9KyuFLvBpFsjU3KYg7TNafEdKRkAj1fLxYCnAR7N1+gGzj8HHtjOLwGGgMs YAGBTsbpfnPxvRDB2lADyGzxYNA67QkiWl3syPmlCLgf6Qfz4sLsIbL0GbtkPr6GwokY0PuOoov7 1sWHFCqV/FE9K506N1gfo9ezha4jYOEEA/srpNK6ncwj9WDGkxMP7oxX+R4OcViwSm5qjVzLqmkl qR3Ck53fWfHvzb1xYfsW6NyrGniOM/AFtUmtp7K3D1co/W8/TXFdiiN0blQKapMGSqA2Une2VStf nGwM2vZO9h7UXOyo40AX4QQ+DNBrBQDZ2Q6mvjbuKHtB6WDBN6s6QtACAvPO7lL5cJ67Lowe/zWu yo7IFi8n5knvo7qtIRHeEAiZqKM2A9u18ng23R9HQ5TwNIkVArJ1pmz5/MFpmcwyiAnId/oBFNtb yymNeVQMQ17TPxNAtYxjZD3PiFqZGEoI7Sft7sT0SKhsr++hehrxuY1HvgWJFSDK1HTI6WI6K7NN wi4SkQFLe85KIvDlSeE65CbA+bPjovHF00Slf7j/51lSXVs9TEVR0PRTsVXJII2tNi05vTD20sd7 Z9RQGmA+syEdbPfAoWaPbMrCrKI5TuE+80heaOY4hSk7Kxkp/wawK6KxNwdpMZ88fcQCAg0JPyED anNpgNsrv1pMzvxnIt3orT/jmDPfzPeJT1auyPBJ/UCEQWIx+0YA/JrS28+AaclRNsQyLbuXm3sT hd3Msg6pp6mvS3NJmrQUWPx9BcBk4Ir3taZgI3X2KtVR0noq6BTJjZF90AhcWybyLr7WGqAah6hF fOmsKRRlk159gIOMs29Kl/u/pOmuHWJhQrvGoMAkCnyHyFYsQUx3NTP/IDTQOKUmCpK0mIeo7ade BHgjk8+D9XbWfrkb9tZqTLR0LQ7TKq1/SznIeoG/5i07IAp8lke4kOer3+ZJIXnjI/PQEgHersl0 9WQJ5nNcArF09TreNQv48jhh8u0yGjxDM6G9j5T3SneATgWDh+LjuPwITEQ29LD0oESMJY/YSD/0 VQKpVLMimeSJQNTEE+R6eB8Ed38prIE/segHYG/lU5Cfxjq5syfXqlJJF/+PEX0tj130VnIX2B34 QD7H87D5FEBCc7bTAa8aCDsm7BDxyNk9Oz13GB9SkRHfwBZU+HlglZNcqLKFL6Q5o3GFezsC/Xi5 fg50rPoiBfGl0H1PSnUKU2T6cUvyYoTyN2LbDrmT7xtUWuIcxzhIpgx93DkBxIn9Mv2jR9DW8E3n 1v9aaIGcIfuMVcgaFHAEJRU/BnhYPw5KKvZKkIrr+9W8XVq4A9aG9Asj94C5LdiDM4j0e95BWO8K rMT66yGGxfeeuuHzboNriC4ahMHEoEoYNup6FGl1OcGdhSXGyCjCOWgfOQzrgKM7oyopnr+Xk4pd z1hZqALdJUajQZ5QLK6NygxwzZv/IHeJSBNoGd+MD+qaE4Y/1l343M3lrfYXXqN6B1URn/CRcjtG NmHbBUGoGbMy0JalsMh7CfMeyyAoO7KccHqTJDXMmLnvooe4HFJY2Nj01sDuAvlhvmPr2iJVqw/c FVWyinO2C4QtIZy11EWp9fWQJSyGjx3gEmbVItCfwiCbmoYVA4Suirq2tJ+26einiAdopC5zXDu5 fmHpPcHe4odJFfgMI2QWJkLKpvuyobaNgaPp3MFT3GYJNSDHBZwzcOjEdA3cXGOxdbxy+qAgefpy F2Gtn7y+GfnZR8wxhTAT2uddUqwdvCeCLkMQu4xXehCfnuVD8Uq8OibtpAkLF513xT9phFnLFMxx TAZqm1xBxhoRoQ+LGAsyFP0Iikx51zb3LG4+zKSbQAkKalGcncYHfFe1J/4mTgZ6yKnrQRtd0hxC 3HdcMSWqrmtWg3n+HcntOUpDUC3oPMy16FOO1SNRZ6z/nWvt4oPvE2gJk2V2mh+BzIwvW0XMMJit RoLXUZdipcPS365PcAtfx+rEIdUYwblGwDPO37VvKU3W3SsnErs2gFyZAI+PGCQQzpATmvia+ebA K30uQ63BdlvVIGSJ56wdZv0Xtyidy8PGZf8qhNVly7eEkjZ2gHUjnBbD340a3x2E0mefS7ptkVCH 0bec6+o6H3gMtAxzy3jZ9TQrE+BA6MF/wG0zkGw3QfkpMDIqO4qLPJEVnMKTR/ZgDDYMdrBxW5AD my9vayAn3z/DyAycxDUWM+xz/DaETSYd/qigwCSskD0FxKdyAbhTwc1d8uOj0Su90lXyB7SuqrPM z2rBhislJ48JliOPJ+kEr+FkQNQDzoqWbO0K2bBtYn1Z3uQ0Mq5VN7DUHzFlt8aXqZfMwvWlddSg V2U+94OkE+HIll7EwPVkRh/NTlI3/QrgyTRIXUrUIncENMfwW9PvcCKxhd72m1tH7z4Yg1ou0bFc eGvb2ytT+UKF8mke2t3v4ZDVIJQJ9ynekZGiFPHsKmDwWsIaYcp2EbS2KoxP6xf/MZdoyTSjFmd9 wCYC33yfKEsIy9sLEXVuNaVevlVQ0+sHmvJ0/DNs3pSz7j8X1zKq5NzcWbu30z2fpnbVg/StOAJt 2gVG1dFak34PUQFj4XfvUzJZgeo77cph82OhpjGgGxRPLRFtFATNZEwe69w7wac0UotV2lStqTLp Mb47F6EaiuOTirLHtvs7QKQRVgKgfgVsFoZlLoWHoNprNnYO9DpKMXSPmPPc05cgcqdbb7OzBf9R rXBY+uf1AEsTpshLidhV/MpAD1ttRW0SXiBTpHuJ8aILn8euLbxHRbtW2qS+BxsffEkdskpsAkhf b3uoqNn+v4McmHXQOzOA2gt6YBN4hoP/+T3A9CsV1G8jD7p1JnJ7G8rCjADhdoiY8zRwWuDDZux6 wwFWM+u1PPJyUYf+xnkhFJhe48GHWhMYNgH4/utHbadC5JJp9FJn7ek30g2HLjII3FrZ5bGWBjaH KU6ykS05cDPylJnFFyH0jA2xgZUFQdfSFp3hQA/064SrPwyolv6XFjsh2GZu21uEtBafrkQ/bhuP vhyItexWJ5mJcF0o6iLOlqukZKe9Su0BdZEVwm3FXKA0AcSNf7BQ+vetaCyiNixQ/Q4fZqy8wTmd L2GzYjYo/xjbtqhEV90UvDb0HwSJ9b7XGHSW3GdItRYFPrHo9zFlYy3jO7HWt0Gp48XXIsa3Jm/B kOq0/dlE6FAPllXAHi8Hm8ONnxUgsewwEcbxpinYYIsiMYPnYYjW10/pRgxmvoXS+2iQkIi55bco BnyldQyaS2vGUbL/+D1QEEKfrsvY3aws036h94YpDLO7gO4GZfVxpMNN/8KT9pqdamzwzlVRPZpN UnvnIFHPMl0fSZlmx17tgikD6QA2yaXZkFMNldb+D2+RbeHH0V/lajdLpVX2CZJHkRx+hSyZUN5d 1HRl3/n0ddd7yk1ftZf6c6WsHWuCTy3pJRiqLb2+RFM2xSij+rkijQ/G+t1KGn0k7KTfxFGsf6LC yWV/n9EW/mnwzvtrjCyJ11dOYgEX/OOo3J0+KCLzfQs58u1qmBpxEb7JxoEebGBIKswGfUq+DvGz 28Z2epW/1X+WC/YnDjLslGUFwURRNUalSfVeupt2bAlFHeR/Gycs93RJdlRCWrsEOFiZSSY6uUQH qIOSCQHj3ya/HoH/3s7B8ztHJ5QF9XKC6IRuR1Dokqw0TEjyBwf+d40SzPl8vHr9ybnLy6rYOM1b JyOmL6ManTtDAirOIURotd00DK3Hnb95F10J3HvxQXEQuvasv7UdkJJ/LkSdbUe4JiII9ztjeF1l Hs8KeoyPNZFofjFwFt3F0m3L2vDP0g3UmYC1EQnQdmkMDJ7fG9+xCra1x346uHHcncP2kaSPLMzC GDuAdC6LDaCGRgoQq1wSUs7R3jwECzNd0DfOT6Lv0DpwkSdjZTNzdOWwFyL7VNHJ8uOwR55TGXrP jufEfVvch2/HapvcNQ3W/TIkLqOsW5KUtEORZ6KR3SyQnUGeA1JhvVUPac1HJ7A9ategaebrqsdq MAgaGs4XyVrOjdZ7pY0uyLUMZuej2h9w+MprmCI4EOVOfwSXSu+o+dh17AbBiNUx8V6VV1zSgQSR po0bsP782UFgLA4i9A4aqjxuXUqdk7HzvWr1pUi/7DN2fDAmq8Eg8ZJb44voKdKhsswu8hrJJqz4 6xeH55tlVcqMyMKAFSkX31aFXu/MB1cY1C7JB/YW4RTKzv1XiGTCB/kBYSAIgl9NJn0hUErjLwI8 ZxwNROG/J5Zy4npf1q9YlaImkfPFnLIuwvISzuvBOdsZTJT7ENGtwsKbsxloKGXAUYiC7ijPtlRC u83X3h/3FZqA2cXCLiI4vVsJlmkdJwCiGsxy1/NU/J4L5biKgPalh6/Kl8e6f9aVqa4HWn+/x33Y 51JjwZ/win25ogz02LZuLcfQx/QHXLazjLIZO/9eRrtorBvN/L8H95ktEyfNqEOWrXXDcO/FL9Cz 0wLdvyJ6JXSDLPjc/Ng1EmKSsKmfbgFwsudiWcROUUpdWDNy0FPiWpjFr/ASlDDSwrUGXMXIqsPo g+QWw0mM18FSIZNF/016PCZE4l+k01Xh8b6LuQHVMmUbtU5AkQeOT8Di+ClxvuHwr+FjkrEuaZMf Zm/LegFaw9vJQeMJ/16m4hZ9KOFDOgZLC9iawfF2T01uyQo1vfnFIw60HTdrQqre84jwr6KOqN0r TgHdVrQuTpyk97ms6EIRGWcfxgk7TCKacLJkSmRssNmFiwVgi6UfeVxBrwC0ejSswAi9oIb1CiPq LK9aOPQq/gPW/RCZ3MShv0mhWxZxztUpNDT2u1qRrtNbRjp9nv6V+/HQ0ZjyLMUnDNQYOpwydlBP kvVLLj2puNAL6+kXOalgZAl86aTn6+0YkgQIxJnkI2vfdz2OQJsF2x07/X0SBJWPZKQCzWqS6gjg 08q6MiRLK7OfgqQezd9XK45jjdqKZraomfZU2skk4NtOpaNccGyekEMXoWmRgNDoCfm2VVThOiUL njYSorI6DWk+2I0xE15vY61slGSj2nQwHaOHN6Urw6lLUMNQXKLhfrXoUNOIv//JH5snRM7iJ9H1 PTdxktmOkBYD3hbHE0SXcTfTtWLFU9kZJsOZ9KcL69mplHoU/R2Gn40JUesZNzMPUxLJ3PB3FKfs KzL3uT9L1HPHQ3gk7OWrBdhIjT4zybsQiHy0WmMNGJEmVSzBb4ewFYupKIi6EbpCAz9cuP0Y1jHV TBk9E60yFbibwdAIXTK1cyzsGFNgLxjqsWSB386wEIOdvx9lTK+EtdtW0uzSPqkCFj9NvFcuj6y8 2pQRuuhiEWUQpgHikhs9F7Xjyfa0mJ53TFX0Hk/6HdQe6kXqu/9oewZgGaF5VlHrdd6Ev/JTEliV jWmst2C2lOD1g0WUrN0kJ9qS7SQVDaSItfiGLElobcljtl/mr6aOywIu2LXqtBVQLqfhfZiAx9rO uiVWBdfTJMPaGA8V9Hdq1hZSau+KAHqItxTg3Ug1d3U7dTCtYRJr19tEFas26Z9MKfj7fkzefqP+ BqZZxcU0QtX3WPIzoOHdDzflHKpoVKeSmWuK4KyXhyLj3ZOVXYFEPrXZ/2tpreGdmM3aMTxwOWVM lVUbf2Ragk5vZnFWFI+ZSWRaos5ByujMv3cUiyi9RSugsmmwmxUg+zO0fbPv0YfM0OKKsST/z+G1 kHU1/7PdTCVrh531ygKxCuODcUZ/RR7N9XNQobi0Lj9ueqoa4fh7ipSoGd6EhUTb+slX1oMSqvrV +DVWuhrI4kYekkCgyJSQ8DiyAn4Fyl3f8C69hnxLEgtlaDXoqoV2C5qxtSaZNYlsjwPqHYCKtRpn MOnf4NcFDCbH23zvMP2PfZMMgK/IvHWKfXwHQo4GUuM4k3LCRmvaJbzSq1jwragrEuAxcrP9s4I9 46wy6hBSMxAyzbIZNfnRnpj4QzebdzalQpofKvlhQrtMUcy5cA5jNJm1UrDp7h9YaL83JUl2ofY4 LNeQQ0vDhTvsfCElQwXryFuBFxxUA7qeSLUHmfL78MYZOCN/KTkaPfxBB5jwneAECJwIE3VCyshV rU9RDWBOF7+Ago6P/zusLTxVk9D8hBpBd0+LpOK9tXetJBKxcjJuvmHikl7NHdKCfOoLrbikjHUx ONBZVuK7RYUmVDW1OyUibx4a6ZlrUk3BEx3OVHyEqcNYOUJwLdGe88r39wPw189k5ct4LWj3Siz+ 1TB9Aq5Bh+NlzqoP8s/LaHgOsysZKtT+Ii2JHMA1ybIs6gNDH2EI3APzumEp69M9poWxtdKJ1ENR Ck6GYNDpLWa5KMy51Tgs6F64j7k5QtNnZuzFtEAWH/mEHNc8h+KPTge66CYvUQ4ytYL2TDjH3R2f UvnI8GQzXaWHfbGnhS4WON2KbTJ0oC8MYVjiM17PTALkk+x68bwTenLKdrd6CJdTc9tKLpj4UUYl ifU13o6dg6OserK+r3E5WykjDqwzoodWfy0INP6A6iJAkyVa2lDLv1zW+tse8+mktPBakgZLVVzX IAWT2GuS7YNwdO9kNzNnndRRCQajTFRUjcoJZiSbs11sWmViYjb6a1nNvcq/MJJZTW8OfzML2Kik iVaX4GkK8lvT9P4/p89dy0ed7vEQ8lsgJxuBP9ipCkQJjFf+/+4NUuOnnP0F2Gx+Wn4qIVT9YHb6 BcYU8o6jPkWsquF5m1m1yFkkKaQemgI47nZPcQTX+8wesYf8QmlOIZMBFMC+BhKjlB3Sz6bPk4CX 0hH+1WN0dcBZE38fz3ZV0avWZZnVEJ/5FiJH/VxVuw8a017QDzEYQo9gU6LEaEI5eZuzEpc6JN8O Z+OsBo5bs6nPQc0ydaYKDCGSi9f71SNNgH33SK1Na3A5qjWNd5Ma7KJr0d001gZuJ5hfT0p5H51s GXc+Owei1osSKPepFVOGs9YLzxghuQ0Tru84f35in6v1SeSytxEortjzZAylXmTJkjK4xicHiVLK JT6cKbgjKI4yIq5NnUDI9wFPBA2MA5o70OyRp+HbzQuMZYn8KP8zZrySRa53pSiUED/klLgwOnoM mpn0w0G2eMLZEdSZjTfLJAlme/ZZS72YQFQxP5xZwLXkMEz0F7BxIEpPCTvDuzhC5+fB23+vU/Yh tJ08D5wwAAKiTyLevrXPEfQIO22iNon69kM75mhFKjIFdAd4ZxhzYtE5oLftOlBw6ZAGt89YG/O3 VpXOX9xamoTRX1wUYv3EY+ghVBc/+9Y82spdZmaBRWMzqo5GtqDYCOIFYhdQZ7+/FhV1Ep6krd2f 1I30oDrePYl8xguQCixIyv+xvSwQXD7tCxnGRHzifkKzLz45ASjFGa/PPmal5RsmFQcjBRxL2owW +ykKIZlog7ewJ21ZxwUh0PmJ5F6clfz2cOHv+V6lzHigFVM61e1EX2zmkFVsy2GyjqsDYqw2HTbK sgJx8HVNmqnEPRbKyqhyhs2Bp34zAoFy0zP9ezNUt1FdLa0cS+qGojBIWiHVvk0gka2JDnWDuqJ1 +ivodulzJZ+wj734M4W/dvUhfV13jHBbmcSq0UwRjUVJuW+DjQS4QntgFfN/nehZSmW1vGG+rl0Y nY/V27R2EwpZQnmcxk5oHmZmr0PsuNm6M1NpDLEb1LDal+Vte0SAWusWNGeNzmVOxOYfpNYC3lwf jWRto+xiIv7xtNv+PfDrgWMW/GlWUIunCElHAD1LNWHQrMIzHaIQAIwgUevopeBlQWlcUm2eAwSU WOurHkYOTJa/2+0XKU5kq7ag0bG7lYuFgpVfdpObYNeVATkpyyWmi9gC2bjFF1fg6K6YEwAStdTE F7+lDXaxoXBN0TYFo/gtu0fjM3veSiNTlidijI3inSLgC8do4jqu0m2DsMxOq15nqO56sxkeAMOe EjGeFBlpkrT+Bovf3OvRGIUNF0ipxSHsXSyuiszhC79OazIsSYwGTMqkTiPcNQDSF9rnbdEKMDu4 bMBRaDX2dovJu+Uo3C/ZgPwFasbNKsX+6DKwEWvfR418pYGQ6ri1uNbuKk1Gpfq5wtNqs/LKv80d Vf/8mlVssMqpSphAGdNYoMA8XyKxtFDXh+0uhSjERsTcoSwOCzU6VQHyXmR7N0yS9mok3EVcG8EO +EXlXI3kYFO7ikdKkd98pBvv7/2eywpnhuC/qMUMclBfdBmGkSHaeer0FmqqSVrMRUYRRcaP+atE CpAro0WO+oJkVgtOc5URkLz0Bms6yx8hPxKYde/HohK18WkNOVQz41cYyyELQ7XhNCIABoko7Uc5 TFNXgqvoNUC84f+yfqxvkpISdvutplkJyd8WX+56N0Lz4szMi+wICbMzoejg/dUR2ebbgxxb5EHo djcvJPbY27L3gHBjhJPTTXgS1ZwVn97fUVIGThWueGeuikDgGTc2OhL6ibgpYCc33cIwj3u/1E+3 NGqQfbqCX5hILX1B/m9O4EErbsLEFMIC6EklokNWrjYSD2YPSPoZrkMYiZCt5ZFrbC7rREMVAxQ1 uo7Z0npuYOoZuDsHcGM/B1FYqWWoiAu1h6/ROA20x8EPAFUOSMqNKP32G8o6Tjl3t1bVp6IDyZnv fgBBIymw2dlsNYEcAASm1Xu6UGOxXgBx0t/8TacnnxE4BRtEyiMWEyS/vlNeruM1j77wD/l6v0DO KFLPXGOZ8ustDIazcsgGQSIKeDAZMM7ePC9a0qLNJuZ1Dqo2UA0M4l70Og01ER0/FAEQK7O0+dLe Jlnoq8h3dWMOtBeiu466JfBJz+0OZuQBFVwB5UXZmxLwVqNpH1iVl4cG22RmYYSiquNT7qTYg8DO eDzvBmDIVfLuIt7s6kaiRY8eoRAHon6MT84pZQftNGoGqwmd+w77E1mS+Z+g58/5oUX1GZt0eUBv bosNEj6K0hq2Rt6Qjrb5C/6/lc1dROg0uw9Ma0A+Da4DbLwtlTnQVWrEUJxVMwB82aIxcSdjNUET h4Us3qzqj8CZoHM6dfhINttXiOD3MclfjDsikEo3kn1IZTIedVFx44jcPKp0WwfWXXCMHfSEYpnd XrUXazu9zzFLdewAFfqawqNj9fpr2Gssbup2SMRdVrYWR9Xu2vP218Xo/8qk9Gd8ahzBfki4chLw lWUhhJCQx6i6uPkpNlBicBSL8w79ZKh70+uLVKxDiQ6UXNkLQwe/sKjEgezj//cGw2agSs5xu1ap JXLffDGDsbggVXOUeQ1vevILWfSgl7ZdA8LhdnGRT+R6tkPf9VYaQE7tYsZ5ijvgHlH6WykthIOR zKm6Pd5Kw2SuDxl3ClCCt2pR0DdttmJO8Vrg1whTevRwSPDI5/hVbvQgs7W95iMGPL3U5rJgQUfQ BUzeJ7l+DKLmF+xxRhttIApbOg0XPNMFxd8DW4Mo5QsNG8No1p9b0fmrz44enyNgVzR7EmUYQ7uK DGHLbED9o01MwJuCWNgLW0Ct9XRdC6JbbgxrDTgFM6TKJDbNj89o7qFD/Jzrj9t/4SbJdikz7OC7 TosjzOV7ppt4jwRGSzTWqUX+xJwoH9ImumIcL9LN0fl55PJrUmRwb1H7oeeJFDv1JWxSBSTaVnfF tODaWXntaKfFgr85zfzpk0IunJ6EizX8tA7nYKpnUuEYbBhd5vQJoY/vFVsLq6S+z+Wl3LGUsDMu JKUnx5bqqmyEQ1pkK42wEY1sr14qq5ZB7E4UVYBqYMCA9PAPVgRIogR1P3/HD+xVK30ZUkB3XyFC A7pSFnTbDtbM+Mp27ERgNax/T91J/H/R5//LgcNGoxLDznkLZ7rYT/uGnPCyw7jbNwLAoyQ0pft0 /ewutbjSsnCXv3INtDQmYnzPWo9iQXUECtEAzoq/+icILZxjjaJlaYvV7jBnNuP6u+P7TUmDksLQ 6D+RHM34uzl1oaKUlJa9kkGnnZWvPvLvuL5ry/9OjUUIe6TLudmpLVldrUz5h9w9ZXowMvKJ1Sj3 8Sd5Yu/h0igGE92IlDGemxjrOb2rPXHqSUcCMXb+A6sV0dQ8DskcDnzKLiMCGif23zZMGlhH6XCl 7WbHFv15+nrYS1PfF8vddsGSWSsrqzjXO0DKGf+8wV7tlKi23eVnG6GDw+NLDpGempLyp5Y5g7hs HqMrK+pSzZWOjt0TgkpvodzPHKFkcn9OxOfLgaOdLm6pP+3/K8FSCjulY4rM4pjoJRx7X29VOLNW 47pUOMTXQFBkIxa1T8w+bZDT8Fzxyg8gp5w9kyhuK2eloHFIdK+y2NxGuKoANuskWVZhuapqgLpz IQVBs1U9etiCz2MY12bVji3J1qd5MJJF/VtOt947wKpGQpXVHJsR/N65/FursTWZSjsGI+YV1ZeB e4GJ7XwLPpoT66m115upXGynn9Pge5ERhcRNPUJXWc/Fxt46gO75DjzOAjQqiL9UtE7i1wuuzTQ/ Tvs4cGGTt0OpZppebp/NtDf3fkY0EI6alKNDQjDqUiHuIZtNDS+lXwi6AJxQSIZZcfgd8aaQRZuX IgHWdQobwEL5w8nvV3ZH4Dm6LqTd1LMuVoVjTWgwkU8FUs1gEhvkDnyX4NZsB2hLPGiID7nEeGab ewsn/VZcI5FKfL2yCKvxk1h3Ol/Gkb+zT+97HJphICubPzrPs5gnse3iuGMKRl3KD8ZpKxHmv+xZ h/wQc9tU5qpM5KqEAGOZMsiXqpksaUQS04WsAvoAE/35culhzd13vE3LX/dAJtICF7qx/o3a/0eQ cRYaKl7ZRSgDBbA0uTo9gUQ5Qou0tFQttTNvB2ktkkEOgPL1seH06bbUujbROEfqmBLQNZQjjC3Z WwRvMvee0ZTUYBXb6aabVabeF+zsZAqv1k3jWfxMyTPWnDrclLvgjU+30X3+OrhzO7HyUYVqCRIV L3ULF1HK5gzW6PRQxdsMcw4DTYLXFYV8ZQ9sgPNZzTHri4F+Ch98Rkh5mUBcu644lFPTBr43vQJO zWTnBcLrU4SCzOi0/hDnxAaJ6C2H3Cwx6zzQoCehq0PnmeDvOowymy98yKQ+SzkIuLGgfXia64fp L2ixtzYj7kNJKfMeFhJ+nym+J1Wqawp6iKaKcJHYrndavE0P/8WBNFGEJBLZQs4i/1Xbz4ROMVH6 VsObCM4v0jF2XHHHD9Dg84+u51LKktUgEfeV6izD2H6LmnIp6R0/8qJl6NY1FpA8T4o7Z2Gonhar D9jQ1tx6AAA78OAS2syB+N2qu24ukG7xlgE5DXzEthiBiHrvLsDOAkqrRt8Ft2APdsR0pNIQSz0l yQ2MJ9y+wxivnv+46SMZtH00DWTvaZw5lBBxsKM7OGPHbSURiubkPU9hUx8jcRS7QEEq8sEy8Bwp hloK++vkt26SdrD2SGomo50YipWsNQHLp5kSw8g9SBPpxEkmJpHaSxpZF3/pAGADRhlbw1Qow0Wp kccRQEytGmhAJ6l5jFNTjfsH/5InJjuE/5LP6M4oyznk1dNZk78wMKjsL+47Gh9E901+tCCbhYhD NUaBy56VS+nRftfbqwCQIpdo/LbU7U9b3vqrBQuKNqSsDFwS+1weG5EJD6OpGQlJsx7Duj2IpDtG 5shRgCm521y/JfoDWnBamJXV5p7h6QOF5mXtNZfFFr7RZtjS+jHAFp7+tYcK4Oyr/HkFvyqK7Fm3 /W0pNC+RP+NEtHy6YnNSqc7kPNfQh6z9k12w5F0xrcosPblwqd/dW5/zzr4+bk4MZ57si9exIdnP tT16NBCfqwicoXWui/y+Wy2czuMYCZALExcBgCA0WnvqbGU1j7mcvyKwmNaeDkFTNVijQRHqgcCo EL9Ys5gzx+e3GV9c+ITIKBM2tEmxmuL3hdgpy/8Q3YDaMkPvgKHK/6P6BqnsC7OBc4+LEuInsyEi Xfz+PtBdyoLp0LEaZ1iR4eV1jJCw58AvR7PyXVCq4YoVnbo/H1mxoYsOFGVoocgUrfPjIWajqv5b U0rRjeXEB4nTTnOI7tMzHdEnO37aRhygm37Bmbqkm8NAYUUCAmJH0dm7mzHXjo/JTjcQcnGlYQZy lDNtVlUQGri5zJA7vJgyuHv9zJkYER0M0ryU7DRKrpvP+c1DX12BpIxxmBsf4QwloRqIfudo2Cbz FxSzRThCS8YvH21ArrKTVAZqAdY99MUpFgjOzDS+hi9z1zYOkwunJAwi8jCxCy2ztLmyg2lmSyRz huUlT6JmhgbjZmh63ahkgUDKf7z+kYB1NrpgZafvtc0TeW2ljJ3Oe2E1LPO/o/p1tE83w+MQVRlO D+1tjdxeWa/PL7FYq5cUhmVQkKyPocai/cr/f9S5a0MDVK2Y8dJFoZPv/hgldr69+c1Eu/fMkHjM qnuKbBZfC8GfR/i9rcW4u6X3NVuUXrhfdabOFYlOz/mi3cZ0GJyZrzhCGA02npuMgJejurb5dis7 Yay9cnCjXC8pdog/66ZwIEmh44Myj5nbwidSp9R16w77nKEm2TgJH7mHepcr2lipwF8Y0sT5ceJ6 Xfb57/X4vN+F0Wf9lPWY+YlKQmTdgYZjFAmTrV47JOovnuS/mUIS6uJ8qVHG24oqh992OXETnaNV 5rwU5lZeD0iPVV/6pIuPh2ARXWFVRbiFyuqAHWiWisU7ZhjsgQX5bWAPYZ5Bxfrz/ewjc6M4ohLM VefXjxd3zZEQ5oXdJyKA8PQwftqUCVWd0o94ZVZTP2Y0Dd+1XH0/oI1gpgnntEitOX9/CseKPlRD +SO2BYUU5OVS+etSyCu/InUCJHArSD9cVrFex0R8cwkZuWDxd0LrbwtvIho5MpvAyyI1iVol31Zb C7pwOVOfQkJNSmTDvrL9d3LHNvgW0B98cMdRdJyhxJ5+SVdGq/kcVZxYZ0yIvv6bD8XHV8m5WtU3 Fg0fq5o2SMZHZg7yjZvEhhhgzpg/qNBhs8VPHNkhHBUERzxKVqmEHgOYsURmDaALdvJn+hJoDSZZ poauaGMk+0jtiQFmY5IlyQkVivxcV5LNKsx7pyBWwHFPKpWaQpSMTA3Awap3M+LE8YDpKW11n7tL wXEneTQ0Zru37HhXVlT44aWjq0VIiSDXvVEo12dyXAih19VN+8YUsS6OVh0pzf9NvF6RNkdgvAXR 21P6k5CDzIR81fe4lIL82X8Yrrm4sol0KskjkKHOafJd1sJzugpXVFWwHJ8ypw7zt5AVzqC6IZeY ALPXEDPlAUY1t1xc6DvT5wFHwkCFvR1fPGMDTqze3+6y6eNB9A+uWSMlQAgID0/Z2eg1lBPe4rxF kJi2YhJcLWcLyyYGB4hgTSNMQPqZ9yWfH4P469k4uzJrk45b5xGMjvwWl7Pt9osFSDqzvpsPj7hG 3eexuEP5JF8bs+oZnWwhuhTIF1x3yzqRvlJz7suvMWhmQx28NorNyYyIyBbaNW0vBnbTe/qArdN/ tv+C3e68XwtEIlWEEp167TqMv93J6MhL5HFiWiTvMT2MMiVi+LB8uEc8332qM/qt89z9gI5b03+U gHOpYTjNxn68P9KXaIV3NkGNl27V8E18NLZHxTbPtrAZbaZEP+qlT4J7zbxyTkPhDjlP8FtDTEI5 TW0tdaWrk/xJZorb60urXxtM1u1WSP0+Kl/S6ctw+zoJ1tJQyj0y0VGdx7pLu/ZTTwqTeEdjqbqL A/IntgDBlHQS5iswdz3Y6iafnFsKLd0tx68QnFxctusBZ2SMWB1zh/2YSCgrmv4dSt/ZDxa+pqRj QTq9YxCR4Rlx7CO1H11BmgHDatKfE0zQWWPTbrFJMqO2mbe/JK3NaeRbltbjUyvStupGCX4/FqiG o9mFi/oxfRPx+Wy+hwSzlnyUugwvwHHCIC5O2VeuAYuSf1DNxmdWhZhy3gyO+kXR2+rXqILeg84U Z4za2OVaV4jsDflLyJpgWJ6S5iP5ij3YLuJXmB9VxoviT2nGaXoHE9v+9CQU95dQYiyuqGchwKkc LAvWcAL7scxsru4OAsKnDN9sw1R0O2rTHDotS8lI3QGYnqDf3Jn0XstQItakP4CaNDqvYFNpcaTr nkWuCq5+vi+78NtCRSXjzGYbJNgqxZ01u33SJuInksLe+z6OfbHsimg9i7/lDww7Fmkvxsz1Jrl0 CZ6Rr4C6GNf8pHlhGSszWry2H7PECdHEMUl6Q3M+t3F7wK9N8IeqiKn/PD5swIYplhbhyQ1P9vQx f0iYvM6kdEImNgp51/9cCrzj+8rvJe4YW+w0LnOogOAFkgrVtWB2DPcYSz9K1PnWy/NdaEVJd/0F BdUdGrGFuOiAF+AYZdka5jgunnnZFHvmESQHirpjRKoKmggIxkHiDz81wFIfYPgYOhZFJX7XhkK5 tQ70oUk64X/aDTregZ2JJMg0EARK4NQ7o8El2HKVu9LqwYqdB2Tq0drsAqL2lSQUDkjPb//lnO46 zuBI/a9J3WbTHprX4T4eRH8rErD2MS7Jv2AgPcbkCrn+ZhnjMj9XZj3GcssLwCSV4s8IFfdV8gpH Ff1PmBy6Bw5UFmpvCZh2dToExUN1MTzRT5oaLeKnCDwgkPmWhXl2ODTSQw3ClDfzQkbJVJI7NXoV bEQrDuseORH5uHF1pDgR5r97R/Jfay950pqbYs7SCOY68Jt7uh3MkrcYA8UfZ9JjFZ5JX4FxH2Zz IAYYcujcXsbEHgvFAaSBGrsNrzAi03WyiS3uLNdaehv61BJfTV3IGxXIZk+euJyrfzPvOup/ZdYn eJYaIhv2gxSMUnsF9ThXg375MMU0HNxkb1k1iuozGggaG8JWXpD2a3f/rvbO0NjJbF+a9SrmBdrI JapKmGMWpNd/Q2kWTqSkzxFssqvLJRyiBdD/czES09PzNJ6P1xB0pbY1dmIOPXhY9lGr1YSMFfGI h/o9mE/aWnrQ6p9mNwiz3YsqFix8W/1dH6VBPWz8iLXZEdjDe50FOn818Uh81u8DXwE+3U61DefL t4064RzQvH6uqgTCMPadpBj4InRQgUQDZsVUJDowl6kY0f1Gmf0GSPA9pioORQsB6Uv5i3gL4cnX WMKD1Y09MVwb3XVKnYgptSnwW59WBieqvtoAHdes9AcWrHX0eusL/pvjfizAw3JK+WJrSdjPd4e3 xOCvPDYligCcycYzaX9Lg5uTw0K8nwAcPkX5zBtis2FFqjxy0zE0kQmLnUXBZbEzq9DK1HKIfAF6 j2SCPb/NfK20h2o1kUqS0cTEFBtwBjee1C7pQiMrokTCkX+NKkbJSRolx+rNu+ObRhFtsjFfLl7P 2tvoDs9RoIm/KI8F0LQQZjsXPIyTzbyj7hS20IjEQLuhV8fxj/krk31wX/ug3PF0bn7d8TRd0mUS CT3lnJNgL6sAfz6bHT1T4nRnAJg9E6Ik62fSPnY7lc59LI0rRLprn7Bw2weAprdfj5LyhxkKc5YV e2urYX/dktV4TT8lmTg5o9bECOeSgy32patcNeLcCxQlIRbKOEEyXLi1lbBhhPp9iVZPdt9Adl9F a3vN/k5QuXJY7XraYF0/9tLWiwlr2N+hRbJvJGyJQfXhTD4Mdr43pyhxq1IrTzErz4bN5IPIUEkI KKI/orsD4XE0faaHxrvHfrmavmjQQW4eQNX2APS0TrHqOLsBFQVshb/cbg/nERiLfdb5dfwbGolH ZwIR5kNKnNQ4j7cxmyHK3OxbzXGQxwSL/SPGtF9L8ShZC0Dc9s8ZI5oEFE+MeDrIrUdzfy9tGZiY IV5HFmU5gTzi35cKGFldZfY4QnmnpFGLwKeOJhLPvExVfIvnV4ZJhClpSYCTEDE6rOolUv1xS2CW n3z9y5f9f+Uk2bqSa10c7fU4DGhOxhnRKSvJq+yMtYrYDeP/ar0RnMq8vs5CK3cy8h4DU3I6qEGb AvffmxIdI7aZQpfIyMTC1AGO+xfX0Am9Z+ouZtyL0Z7d5e9KzgKIRW0sfJ5e7Mkw5buwwP4LaaPV HYKrQLMkkbuo8iOpL8GCNOBhMg6+S729odVz8P5idJW+q3uPqzRssWy6MDH3cAqDXk3kv/SpIOFi LALrjBxVbN3mY15JWbKiyygmuOYdbGb2+RebzJgKve3eM3YurbpB/H82xgJOh7heYyDVz/KSmEIL BRl5oWtSDioL42/9q/qfLEjFJRd7N18CxvXXUO2ZvUG6433KbDKnvY8pnH6zY2P1xoYHZdmjLBZE ldkbnJ112NK7nr3MyNAmGF5ZjT3wG4b9IxNDs99i5/PPEaZ7nhXZBibZ7o7/a4LHxfyek425P4XN d2nDRQPWFBg8AC4tHt4ujz/YGpTELa0gcwVlGkKnsjnNloEq4T2eHFltxTGAalJ9Btq9LHmC2P/X 9HiNGZ0q9hM0eihe2WDuzQ5s1fDd6dNdWFF8u8QsUAi/32yj+m1V6ZpP/oEVYOL+8lGWiavM31CM SQ/tTdxB4N+YLgjzKHIeI3Iqmr3qqMuZVjTNtB+M879PoSB8SswgLkzzTyVrpuM0kk+xJ1he1exi zf1DEumnBwrMqElKhyFfDWMW1s8agDSapVk6MKD38CUb/NoZBtE5dneIA1pJVjfpWHr3n3XNkwyg hm9uwPmzN3InH6C5J9n8FE20Fwt4ui12VSS0TV/BXfhjOMejMiIpF4wOucDm0gxfaJNVExySP6zV NfVo3eV6a37mUa9uWaIG9+37r442+N15ImeSXBQLGrRjKtGoLx0EFSBrhkp6vxfQzYRa/i8Ir1NK PSfxX8xz51YL5lD9M9rSf95zHL7yn0HTPKRPnAcyroRxT7w0yZRoBMGhmay4Z/nWcPCd8tEfMGHg be8N9UX5mDPHZ9iQDVerPiaL1i+u8/Q9dU+gchbpqSQQ4MclFG1tS9Xz8PtQ/E17HGG3oNdmI44r IVRh/QnNDI16wQIhP68ZQTkmpGrIAXEJGLdYT8zwC/afrZK33UFyVHk48uAjk863oedb1PnAqxdC GFTECdjsHTiy8bHI3pCMoOi3c3dmNmezrXE9RFSF5mv/1mExNEdtkwcWgXsqoASM1n0rZu7M9UnV QCDG4R2UcXJF6QuyGSMQhKmFJGohwJLhKeZe7zSiQPMZrMORuZ5yTi9R/CroeVoGIadTpudRc/Zk foyGHjNtzzNKYb+sH7fTsJrJ43mksBuLwdcR6x1oUPQ4PMuC2Oh6YiqaDgdCJl/greh78iItQxv5 iEHJUO2HR06sGEhku79+bzGBchANx9CTA6ex9ZMcP3ryZP27Xlrik3Qt59KSiFQ/Ob7reBGQ/j+q NWjhyg+LsT+GXCCxu8V2anfDrpyWAaQjRMOqXlJRNjyrnR8q1B23oFpSkcyUpTYI7oO0zzwyxQds zc99sQXI2FI179v+27Bz6fEkqlPA0MpCGgAHj0YHd7tENIuH7q28UlSpPcH0W1z9zlSFOY0F6cqm k8OEIeHVO8vKR5nXY9QKyOO7cg6JkpMX2uK4HDi0nhlsoz9jRI4maOk5+WnBn45Fd9Xa7ZpK8Btj octd0IUn2pryXojrwUc7xZdnt9CjHnw0L2EuzTkYdJWZRceQPnVUMnwYOqtLk81I1JBwCWwVt+2Q N4L+Twf++uMGPHluDyOWNd+oL3IDoRVQ2jodBQs+Mx0qxs4p9IO0GecA14xnzTocfFTbglp9p2/y BiLsA+kZca8hNtuTeKwaq0Nq5NWutVxR1kgwUsVwS0bl7CPpr+MUAiGE1JtF6VqkfKaL1J4poX0N FMCJiCilO1NkODzubBxn9YgmXmJJdXEXLhPYQhCqXO1l6lCWp7ZLpC5OB12GghhjLwAXa34BBs0K SxBhC36kCGJRiGpscCZtAqgG5Z/IUW+8hEQ5lK2aOzAVHfSzZJi5hf5kp0HsRWX7AQU3wEZUTOGe UztUAZhUT/s4mgoXX/VctExpedYg/CXRYJKseioFTbMW5zVYF1GWRv22l+Hv5yH7J2jF3WPvximN D83jYkBdkpXbiUow7aOVQFZxhVWWiM2PU7BndL5o0qNK2EXBYN/A3XOUogLGxPRp2BcAAjtwNOso y41KmW+Ln/8RXKFmeLFg7dVsViNwd2q52NX7fFqUeuGVTZ06aFSuTk9gUVTC/F3O5P7lG2mBU5EJ 2YVC8rsRncw2Vtl7aBAWWi1I1gceS0aKuDpMZYBM2arFvMKmQz3+OvRGcvM02sTiqu3r0FvJpyIN lQl+vRPmsAt3TyQJsVkA/czCsBSLKA37U0guqCI6e3wb+CmjKOeH70/ohRFQJ6mKp7giZ3eiEtsm oOj7icjsgUw5fITh9EaKUYN2Wp6kg81TmJTioRuyD/8VZaS/mzAjoCttmJzdxcVEujyc96Fs1+rQ Gl2HvPktwuNgTTvYjBlnShDqplETfz5SGaujn5rHyyzUw1ggda2nSwIuLlKz/JrLoFEY5XXAOZkf McZVU3y7sE9W9V4lMTkP6QIyYJLMUPAnz53PBejajfnNmOlTt9fwJV+aVMp82s91WbbH8rzpSheh V5U+aZWmQt19le10ZQBq7/2y1vpv05Q8STKoK2xSHWyiVjOTX4lZUWJgmbIMJk8l4dHIDVKBhA8f f6Vo7bl3FuMHd8n+zGSRaV8+xYDf9y2ArJbjWVznFIwtnIVpNPjbfK6O8nhuhc8bI+OqJXcpRdAC 5QrFeCoV6wnBcQ4ounmCFlyqYlYXbGDdy7CKcTu+m3SeB7vkjt1LBFMa6hEtDg1Xl4mdsbYKV1Gb /h5ueSuJPj0fFekPYfOtK4IfFKRdrZgn5cKThiUEsB4ww6x59C5OO2xoifDFILRZiPE9TGmu1fkg KXq03A41zho1gESqVK1yzkhCYgisrl9QWAKwqsluQ9GypvHvpc6mz3VITf6tx08NaEcptH5DezRa RTZiXuqcKaAIfpP4Bsi8Wgh4aBkhPujRPWSCQ98cwfCgH+i/msacz5HQVCbQteDKaIxEYqVTmtrU tiEtpYP+Rx5Ew8MRvrJkjfOVvgDbqFxQOJk9EMiVGJn4rzcdatlMLTbw2DLSjz/Fz+JFz+uI9F+n Cq4GbFgl8Lkq9nmKmLia7i+Zs87+vdhSHxSftuMQVtv5hgXuWy7gqr+I22WiEpyd8Fnc+Crb1UY0 nW57AyNEM+Z1FQaitAMGgcr7KMFv+IrGmI8OuZINWr/tOXOHIy1TvH28l4UK85uEUwvb5my5u/yt 1MeWgbpJkbv6JaLWHS2p/kbKVg0Gt1He4cvyNGmo2VFUPKTuiMf8FTAd4cmJuqvNnWiXDLuHnKEW OHpxC8OkwI67sFNZvMxaRP/Uy+emyeMc17CNiZ7G5uCFse01f+tPrdbxiosRnijSpeBZSR19aRtE f17Px//xKIYqK3tdv2u+qUdGKgvJPLBxrseHHFL4hKjFLVQxn2YrhhqmA2h58Q4xseyqpM7drIgQ 593gL+roeMsG+bIXcjm2HRQ7ZBJOlBNCzCFwh5JEVptDRrEq0WQwmW2c/fpLnMk6jFJhIa1FOr1j YvBVJZ5exPli557tanzHn6xaZy6mMOS/CgQmXuJMfBv4f1kVhqyAYHr9SJZCuQ6hrTcs/9663Wqa 5JIUDCOp0pajDGiRlkFick+PiVHaQfCKP5qT4n8m0oXGPhZQ/Dc5MKYe71ak6BJduMBxEP2iRwUB nAjAhINXx3tZnouljdyMoOkbSPh/RrMqpTdn41aADMChs4gvHamj8iFrAKppcjV+kbliwqK5NZCy mlkc+IX6QOsNRofqqAKRhkxKXlB6fu839mL6MLaL0XPfGQT503dwP5vsUCYlHUyCK1dfFkVnuM7+ Sx1BALjJ+M1t2+M5Hk5ku4YnXRqQs/2McBRXcmuAD+LRzKqr8KICiz6wvXH2vYSn14yS93jQThDT fQG0jobyRahGtR04PjvYkX1vCSvMYfUc/0eau+cadOtBSDiIKFttrdKe7IVcTBXiahUZdvZqCiLj C8tmd6z8mSn8AXBmyewQBPXRWnH0BM/YyYDpjw7s+OmT4SSKLiQflVh0aeEY2xFIuomTIE3KVG3s OSIt900k5Ndw8JXmnXprmXdWTggidmzy/54vCT9owBl4f+KOtLfxbGeCIwVYwLWgWu6naZ6lCY32 q9w418ePxkgfeRVkTp6P9IW5322b6SzS51faFaGzgTUgFaSAD5TtS+uI5z66jOugEnTUI5AJHvcA vCO5gpFWXVtppFVaYJSGQ2R1S58rElVJUVkcNCpUEQTWZA49Zo0IEdqY6Ao8E7DWgAypNzpeNh97 71Sja0TfURY+v+a6PZ0820LaIaMBj9Be3nXHFu/Q2liLU81SLj5Vo9dFYP1IAkPpqoc/UIU5FALE GweLdI/wFHiXXWVQdrUXsho1FNwMycl/IeYQ5Ms1v97O+/ua1uxnBiQj8SmyJVC8tfEmUM1ag13v c4mMREMfFMx8zS2wm11R2qjHzKdP8CgCwU0h0XVAgv3E41ENfUS9XO5Ty7SqI+RTu7Mb6FZgrY0c et4NxTvWiFiqA3zZACkcIxFyNuk1Pqji45uzmsPQSeYQRAsIhJQ6aebPfGNyL2fYWztIdzh4lcVy Q5Y1pRaKDqxTZ1qnfJhcc1rtkN4i9n1uVU840wJ/baBRsKvBU7EH989NNcCHh7DNadtVTorpwyJU i4N99S+5jE5EAwJGmiTbt99F0c/sP9Q/c3fJqpmxahCBdHbCdgEqZRjdcS/a7Zgz+VUG4rBsy7Ul leBeOve61l4VVisOFXKmwb6WoogSPe6WGaTME6Dla6cFcjV6Kus24sbxO/rDP3UaEFmzbKaOLd4l pgZZeks84OizP8VEvf4ynTigc8Kk+jVzU9I1BTtln3A8qOk+U2jRK373bqliFOUF3qGKr8hKS8Ho /B7Js8VUsY/FMvMVwxsNyns4no5Ix1h8eiFhRMkemo4I7JuNvNAcxLq+Vpr/Lp6kGP4NBmr/CSq+ lvNIiHDChsrJlfZ2mb4ci5N4LgQOOkpQuiaPPBQabvcxEjg2hLOzh11PK4VpOe5j0TRyhfnTSFQY LyTRDswJRs87uEcvnV6QhjKUYNFifTjfkDlOC9XxCJU91WcgcRMWi1cHvwo3ytN4Riaz9XLFTXI6 CmqPihYG8CHWN9DtPXR+yNZ89GmMw2K0f6Ah3lsSc0s/D1wpJrDkzt4XhdJ38myRhAIbzyKD8b78 KwBLPs07Zfb2Fziycq4J1+OHJJ/XMXaoBTq6gc6KW0a6VqmQ8pSljSG8+exSdcMDfJse1CQO8mCA U0kAS2DvVFaAxSp2tW+opoh3eqxrv33cs6PF9XQblZPQMZgT0KNGh/S1qWO4uRzuM9kZgiwMGnb0 oAwSLkKTEdB3Ibuzz9VZGeyjMZnXc0iMPcubGLIptpKiLGDym2MJrTMpCzzvBDVtyY33Tv/jJ6uw mBP3bhXGKJJseSdM0gTpUy3gbBVM2lmz/0ROdi8kolOlO4bjrPsMSLSC0o57Do/y9wf7rh7yyDhQ KHcelKtrkG2PUGIHizE2hS2cY0CdNHp4shbwCl7FVOU05K41bqfBl1JXRU9J5FVLCrX7sETGaKzW BxeRVwyfLHDvTi52Zk7yxQkrTzBZbp+poHskQYA7k0rvUL9TYjpXJ702QCg7IcO3ARhSbxSv5iBE xGjIltzqbYm4DO7EpDV0awLnZGRSwVCNt/qGgjFRsPeqylU5i//cx8okNHrdn17kCzsbO6+pT+JL nq7oKCW0AW/s6VMydi+gw4bBQ76hhjDf1E3MeJic2rq0IrWQqnRHWaNqhQKqMEbf4XRMUmBT05qN Gyp8PeN8priX6Tzn15+nq8h6sDQ9inVhz11+51fZg7znbQyI3MG4nxEe4fQzzw+ndHQMzAqZ7r+4 LQ85KfNnnQpiLhx/LBUG6wH6Vwxot5+zGa2m9jgKM1fY78ZLTsQEQTVoZ0FiMRf9UXNHmJkQIXqz xrp2A45ESXOmIK7pnnwnzXbsqmGU+Gaj9J0zUg9EFiYTy9MMmJVHMFKiMT3tsK3c/qpQ/t4M9qB0 tQP7Pif1TpV0QvgJuHGNkOCqnRVatLItLqOEokm5nB02aMNBd0Zu3kqhsXDgPV7AN7GWK6/vVMmO gwkAIw0VzRnAPJs+rrKLJFxbbfw0O+HlftkXMMW9Um/LE3IFjG5bq+NPUUVzgO29QqAeWHdQ6NFG qp1oJ4roVxsMKVdVA8NQsIWr0mudCl1s9DBo3ON6JnVGtE5gzvrXj3K/ArmKviXNY3E71OG1bV/1 hCXITbw6pM8HK0kT2v1WuyioZxE9UBSEBv0Vs4uY1IwCwK8MCAVrjdihi2FdzUc4zgUhvQI8PP7Z YKGmrv1vQ3FJkvmWNT3sVbMQWQXit6dmNQ+er3Mhe9g/siSokKoJxkSevi49/Jo+CMrsbnbjZSH9 +adTKFNrzvHHS5HUG8cNJL3HTiSXQgRwt+rpwuh218u/0S9/BNr6tQsoObn7L75lP5yWwl9T7y25 iZmBmeYWgEslSwAvfJSZpl3+sF0IgHhfP5OkUxfQ5T6NmpPl+dug35nBpiFX5LeejhYFpPGdQk4p olT42Su6U3jjFccm0KzlY7aNPYc0yDCPNzChILptFR/i4rbLJyPIIeOL64zmdnJSKkVqgz5OUUqw 0w9XjfLJkwgi0mWMddXhqk2hGyNoRouF4oilPYpowNlhTxLZPp/4okeHa6/zv+DOeM59IKiJic1q BKUcfaxA53doq+eXgJgcsBC2SrMfDmTXUnO/YY8pMLZJrMsRzE7hViZBd5o33QW1NsJ3Rm3PifWy 4LsZOBa2dqJCMRqj2JYoxDAOdVxoQMk2sLQC9mz2D0iF26YRJPCDke1Gd2JLZ/X95Da2MWAsZTaT P1b+P2hjfCRcFSBx/+h95FTUFIG3FRYjYt4qMw1YdvYjNs0e2A0NeGH1ZJUft1ymeBuZBiXUMMv8 fbI5TRl0YkUD+VtekcruQB3dbobIW3CzTx2Qqs+U2YHvJ2k164rbZlgSiGWaluIu4uSp1j+oFGGY cmYID8bJdsnz21eqKEvHBsFaCdfcYMSYoARPZ59gRZpRj6gXjg+/lklKNkubpQcTj8jACtCAnOTH WncVH6QRH2Gc5A3GwPgx0zTeRHj7LLKrY1dgtVLqgfK553XTYPEKFOJPTVWp1vdCSFTJ9LunR1LF l3AR8hK2x4NCbaQiw7If0MbA8IhAWl10OAEZw0Tbd4JX0o0Vy50V5XHVG9Ai+/eSalgQ5r9Rx3Lj lHGAur95eZCR2jyCxLbXkBgJYi1ik77IT5s6c0dICUy9SiZXkpM03Xrrl8knLbPONB+LFwvotpN7 HMRaW32jI48gMSSzM9rmiznMUEXU3855J3o8PXZVFC+ocPhwYnHBhlM5Tlwh+369/gtp0DoYGgWY lyWwjhuxSyA2rjEfbHyGCXsXknx4tLGB3waP3gGvdK8WATP+hqCm66vP3Tbqstw2mwl7W/nVzLhb wclL3ZoyXvXzxg7g86dvhuNLqACLtT/agiJe/S3s4EkjR3LsdjSVBu05kjp7gBEpDAJyr0eokrIw KDqLKHaZU5g2KH/GwItmKpAH5LtLKbJPx9j2zMlVjso6P5ToJ/4Lh8VxTMn9lQzqzssFDkfYDoyM Lz5Y7swuIOTIV8cqr416TbMDvenKUPS/vGkkMw9BmTEpnqugHh7VgXeP0nn/3mfSo5AwYrr/eatw 6LSDC6bv/ZbMPOTGIjFiQ2u5xrVtBEYRdQQTkDYgKoPFbSCLwIY2rHHtQ/Ni49HMTWqVqYa0EQta 2GiEtX9V+2DSpU7dDId6Uce0XKXEwuxZNKsAUIlUG3yigrNfzXJhD0i11ySDs08gRg3XzY8C8dzy ZA== `protect end_protected
gpl-2.0
8d57ae928d159661da91371f0ad98b42
0.953766
1.822671
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/c_addsub_v12_0/hdl/c_addsub_v12_0_pkg_legacy.vhd
2
54,417
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GELrdX2pdoA3DT4BRqaCQCA9lG7MKZ2zpUFq9glME4szKBDF/qcGhcMH1yxUL++sbvoAIxQnEo6N IB5lAKc4/w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fl6122ONDvvAhVRwgNRBBtiKJnpRRBja/EIE/fRJrtz1rEXSiuYDWb7g9B6AFRym5Mu3AY03K5Yq i+zMaIwX2a+NcbyjCtG22Cw84P8WjZq61CkcjElsbyz/A2eNH0Gf7NOFjvnBTBIfMqzH+WeOQ2aW SANgcifyUoiajtKBYTY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block wRYEcUTp8arhKdYPsQZ5MubQcBI9g2o36PWSK6ftkrHxJvtrRYFkvbO5dvzwVJyueXjY67iJ/n0T stSz7erBSvnyVvHQB3BtJ9pWNBdI5vtlD1aw1UIzDc+l7NHuB5KVFTk/xEl7JTuZQ9nykFGGtuWd wem4CP2bZgkA8nnJlTwmLSkLfsLjA8kxuG3yAh1KSfH1VcmB5LrjkVbpy8hsUkvsQOr2rLLnddOa 2/6tPk8ECdRPsW8qK3FtZNyLykb9CCSJpsvPqM7QNGqMQSI/pwv4D/YW4OYhjPW+5SYQOHFeVBgA 1t7BpRtndj3+YxdmuWN2WUmQmL8j49Uh5fT7Mg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DNMRFYROmPLIRK5H70UoUNZaYnQnBNr3qunDuSRCkENNEOjFxBgjS903JuPBXL8uvsOlAqw1gsTi SCsxLpqRgYX5brI5shMSopnf1cFhRAw85zJ1P66gAeRMls992DDKGgxOiemC19uR+eb2IQz8R+3b lvue6J7XLkUe+Noi93Y= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block aagxOm0Qz3RBS3nhnT30pUDJBw8f264qjDUYH1gAZVad2RXAyf1boid5AZ9v/PduIpaB5K53P6X0 olpgnzEB2QB3JFO0SChi1y/XZn+KKr/Y0lfGP5juJW9n3RI/VSyhsrX9SifhBtB160D47DJMqOMg VfGCtOpjFDXCv5q974bc4I0GMhr31h8XQiuO4AhisfkTzRUT7CNKUYVFtbLwjwOF17eIXh1Tal1w MKddot3pDNlKeCPqN2dQla/y5WrFDBascdmJzCDMcree7qRUMxk5hu8AvUeM7QNSdJctC6dxuG9q LC13S6ogFpixPj3PjBZKgYHqIYe5RyJsUeFcBA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38544) `protect data_block pMr6UHl7hGdvG/9/bsPoh3jupN1Jklkqu8Mf0FcNTpQus3a2JyR/6rQQ6KMkc7Uu8Wa6Z1akmH+i FegVfk0fFnL3LL2jPF1MndRxe/Lhn8YhkeIYhNz/cSAw0zRSUcjJwAgPcFHn96zQ+M9yxzUzU7De g2E7x+ityE4os4/M4PHsA0l4pHE/5oHOjc60HSC/bv8CrZQh1QNDsBpssLHMkOFX+jqWgCfqR0l/ OtFkGjA8NNLub9v3CZRhzsiJNiKbJUIaA2O9NRaz8iaVrSnRfN9ThhZIPJgSNTY2SM+Ge9/SgUI7 TBukDquhnoqgzV9K7BjGRjUip5fwMaMaDS1QtkS1AU2Eg7oYIVwFuInaPjd77cqOH+s/xGZn1hhe RpO9+2MAGWNH1LGJcyHQx7LADDsbDxuatuThtZVEREWJ3OwgSyqkxDace6K/JvXSYnohgS+/BRql whYPkqYpDC97RoGpvDaVe8rt9nEg8VfrwQENaaqlMy2YBRbBLc5OYZXrm7xiI5Kel2OJO0zcfAPK ZxIvJBDG1mzzsi4w7Qfp1FamLnvpOIaQr6IFpTwE/0mcIfEVmsnmyb81ZgK6N19+yUmxVYvjXz7o Zm2KbuCiPkCltWrQkquYRaoWnzbWzbl2/qBkcLHFaekQ78mlJAMTc4qn2MOgqJ17jvG8leekiknB ACPWtQ+2JoZ+4RFES0o10HTp9Elzb7qYMOSms1hHOYHHr/rY/72Z5ySAFOvenMz2vhybiwJFU8gw Y+N8xPWwxlgRJGerGKI26oC2QE4AaaWruQ+drBszNHSTylEGskhY02EADAunUGj1ydmpoQDAXft8 8W3q0qw+2ctZqgXIX9mYGMxpGtsa7wVq3Z8TkRB3gzc8TISS7c43MvITfy/lUHGPG7qAJtN6xdkg 96E8ZS4Ii8WQpoT8dSFOA6QwdTb9WrleoWTq7tvPXgeU5XU4hfZ5uipbAsemZP9UN17iilBJVPNP sqNxMBwjOCOyvw+11QC3UbZTh8Qbv0ClnrhrB7d7ydPkJa7dRVGHPL0d62+6N/mMB/ybYM22P7Pb 5UPqfPy+tzPyQWXm981EHs2vGRYKoIIiaAHzAmZMxVx+mGgi4Z6jptmJbkBd1rgiwxk+lXDmELcP n4QY6IOLtZZPQU/jkNYNkrdKwhvQKeOtiTWCOEGnZdOEYxTswgJN2rr5Xh3v1sIU6D9EWtvDp8eo mgznXA+hguHjbvTW3uM0EM+tTTE+eKEhgdAZyfps1WPZXtHWhzeH3VhLiH80jUgqDB48svcsZHor n1RrxUya48ANx+mmegtRy21JsBg3t2jy10oKhGbCJPQP5fpZLN6ecThtU29RJfLLtL+olrZFsivk yx9Q+0N0CZW3QuMssZh5KrUca8PepSYQZlem6dDZ5ZPMfCNisGDL4qskX+gbfiUfHtCYgE7Wd73Q VbHxcGom74tc+hxwQLJnD0uvot4OR5olAweOJky61iX5ap5lOaQruwyvTxcgkhO3rBJAKM9VyoHo CZWKvXwv8UCfmm/dNbingdfeVIfFnAnthEMnEUxtjh78GcQx3dMREUjOp6IgPdqOVRPZ5I7nsIqh PX1H/0uMELZ7sh8P5roWB1pMmaFWSMBMl6KPEYyFzdHj9qtsBBLwl48cuLG1LUtIs6O2i2oVsMDJ 9HUZXiR/SkxeYD39zkxKyC7/QkFA2GnSIBn4UR9xZcFNU6HSvFzzswrXAwMglF2sXIwQZKTGl9sx oPipKyKrQ0MCSlS9ev5Y8y3qzDINQgYNPiLXucKAGUF6zeaFenPUWZ0Vr3IAv7z5i//7Z0hZYGjm wYawKez6tq4Yf73v1WOiM5QVDBd06AuUhlF0PeU1Rr2mXyG8/ZuQ3yej1p0yo5+M1NgM3PRa7PHx HtdOY9cIuR/uoMmNqrqD0hvDPNsC7/gaPUYQZtfTEwikD1cfRp4g9c9h344coAHygdGXwzFkAo0K 1YQgGMn/2+ab1mOM9LD6bLNRdmUsE/0z34olGvJHn5brynaKdpxXuzH4tG8G84BbMUe0QQXyZFMX j4YrIvK/m3SY76f62UJA2atouwi6M21FSm2qCDNzvvLwVTWldFbVEw0xZpdc3xUTh/i5UqhOvESX dZ9XEuaCFJZirlP3aPvtym3ZdZMOomLUzR63rjtivT5L1EQpSTiwWGmEqai6q8WKegRcCA+OPav9 1uTFWugW0hdL+edpon7eWSm8bdF7qquNsAdPAIt6XqQ1aX0oG9yZlvQLb+PuiBd+kBLQiwPwbGwl hTbY9jph8B060ra5kVEd7ulYwqzJ0ra6RCmZJCASXSCPlWhQ6FtOP5n8dbhtuk2bv5QwZZAy4okp VqBLINRDKtAr7WSVkqBR0KkEF9Q7wB3Vs/t3Yc/rHZs/Jj+FB/OR5xPtmionyu3b8FmGJUU026C+ hTh9BAO5cZ5DnHfMS73qmFfqq8O1XbAr2K5B2YpSuWuuHG+3zBwd8JfrYf6wWXwJpchzjpQZok+p hIMWYyguH+Q1QsuTQN6B1hvzao0hnoT3N+WI32HXsP+ijWmDG3sfqhKGme0OrezaTDfU1xyR2trj vRruRTidzAGpdiNeUnpDJhYhU+zlkwcwJkMJVRGzTvzUFclDTEOVIbQf4ODeEp0cL/pvdxYKLL3t HeOQD/VPDRahLsSOtLXH0waIpPw6CSfBNV7lCnd0+V2dQ8n8hDjBsQNFMwz1J1IrUxKvjJBD0T77 QRN4R5EhgVdfcFKB+ACKGsGwfWdtCn4dPLi0dyTvuJ88P+VZySOKo7j9bzOdGE+fJk1Ijs3tTbKD tGwj8HcZl2e0r0gTNk0D1inY8fH1lIaWnUzL7d4nxAfXsYhzphy3CAJzExaPABwSiCL5XnZLVHoc KBor9SkO11Emly9e6mc3yrFcJ6w+/YZr4flwRRpbwNw0uYCXWNzBhi7em5OeL6nh2skBBmUX54ck q4bHiT5YYe5OFrLcPFNLIdjaTyXa1GLHBJ0VihwpZd6hTsQbpL5iE4G0enjJP9NrVhfTV0+tVtUE UW/VE014c/kNkpdjYwdq9yvgVyHXrQmRHGQLjyq7V7g2DCyDfiF8au9XvySyYpBWVe+rImzdXxwt E1kqkc1xXrpAuAL3U2Hg8tI4BdH1/uNPpf6+8ZxJVb7qO1cOH5Q+pTRHyKW4DyRlkES8u6qJzln0 F0NsqGmGO6JWQNXk9QgzRzNQo9Fku3GXk8Q8543OfrwE5peN7rQFDiq7YWagSwt25Sj/koMpxUoF 9cPNrPTdjIP7SKVgUtKvV9e9oKfSkmGxaJs/ewsj4GIy9Ee2TBZAQCgQc3gz25T7ReaeINMsh12U 1Cav69LOgd9hLlbQG3o4guhxWUu2ZSmTImBo+o5v4JIWpg0vWhSlBP6ShvQd16PHkuz5h4pC6abK +KWZ+iZYd6NoSMUpvgiak3lZvkc7ePBpTip40BAAxPW618M0nluh8vjYoHzJ3hlpqNTQulOtQyVk 9CvXBEuR/OWoaapsECveHkBtQQ/bhRelvFkCU02MmEzD5W4WNkbjgS1aE893iHXSH0+UiQDIiAP3 OqtDipWNTXI6DircHm2znvz27CuCO5C3LLY1JKXjZefnlg8eObaRAVArEZK4ub5jkCvWt9ZGB0vp 9uVZy6e1f4P9C1p1UjvJGmOzOG1LcVB8rhFoCooOkyEtXukT5zP4AcQnMtBv8X53JFJm9acoJ6KO Vo5PhvhmM7fwQAb5tgeCJyfOGSm5TYDDfFCLqnSQqIVlA7u7HbxMsfHzhY3IQq6oQxUDwhk+1UUp v2fbqNFVlx1p3KzM2DHuTA8XJdCSEV8B1MTn9eRH0qwnxW+hwYAz8pgCASr4UfdL0IchhQZ07RAN xIifVHgDF+RwNuiJl+2n1iiuj4zBhj0BkynckwiJRljcY70U0FvM+zwrn7Wi8TgTTfdNtgrFGBep EODo4D01viLvCYfV4fOa88XE1faZsrgL9d7dPIFw8tCITmZOUeQqGUNsTdICkqZaCuzR91izps5E u2nNYsV4Iegz3OxStisALcf7N2MsGofrUiAItHehG7bkdnSWheGmqfx09wwGH4c12cKycMii2mPn 25SbIy8KzmpxtgyxyXbSKmzHh2oJzVEZLux4c2zh2OlgnKGO3ngtWu5oP8xwfRskYEZE1Sqg6wbc sqFOYsPvXhYYcX7LmXh7hPHsswts6ycK3OweTk0OryUx1KkIT47rftfl71OdWWnldBeEW68J/REC V4CyyCf+AYVJt7lQUiFpl7P1K/c9rgmFKoqmdqETnotbSByrTmTr+zq20St1refZetIxu5cJPpCs DdbRZwYusCqvOYabirxquOe/YPrdZl/mp7nAsiIVuidU2QsbXoj9yN8wJAl99eDMuAJO4B3hUPhd +7xTkWn9SLe89e6ZOqB95B+dL1cpHjQxGLS4mU1QpOOAx3byANoay+AR2lQ2XGRNPLGDtgzr0RSq 5VcMIqM1SWNmT/ubl1KKKHRyZDHWnPnhDRdUh+oNsD9mJ/VRgved45mIPFponAEHfApyhWqHQAf9 xgrDq9KO7Cuaw5uggUCQbcmgaO6mTdasOpnM1nhQeSSLPfhznktQ4ByS9T0jlYM7FgWAVv1x+Xuy chop13S1DZQohxriOhRC/SjM5RMiaFi/wgKMkG/NsphS91R4q6kzpksFLv6/zP6dkFAnDnm11bw7 SHrqT3TVuA20VX/P34mKeZtpIYpMgYBt3dIJE8wUUpmqCnnlvpVpbZ+nxjxqtUHy0dobD2sbOcJz V/8eFUbkfTBtETshlTjHjQLTyRWNz7tW/8QK5kk3mNLH+/ocVaM6CSmuZ5TwtZxMKZB152PLH3G4 VlHX2iwuQ3h183vggLRioShqu8SSzR4jtd7XjfkIsfQf8At9LoOnz5juk1zdRHhxt3UOW34vZRzU mzZpRooOzjVRMSFOQE+TEy7VFeSywv7RhC4tuqKFLiavsRxp9e8boE85MpacXcafgn3LaQHJicHF qkXDFzUZ8PN0UkgjmXzGCsR2k6Jq0+i82MNBY1qLF9LQeoraaHNz9GoN9aiOdcW+KIQP/mwhBJCn 5a2IlfT1dYP4HxHIpXHti3vmLujlUcLc8dL7gQ0iq9iIdyQJn8l+vmnp6lmapqYkYhDjOd6ODGlV ioAYBCnNeLWd0Dh1mdc2UWjI79YBMpw8lvqk4BbYMvZwm1yTxGbG8XaAQjhFx2EgNlEUCDRFtcnV 0AZUD0H2uNsyqCgF+hSg0ZPulEsA6Frx+S1EO9VsEYyanNo6oVbd1PzFxswtZcG6Px8bQVdWEmgL D8ZPVgZ/FJvMOodbRMHP6m8KJfmR7/iaRso5K9uXARt1LRfFzDJjbE6qmUJ2k+5xBikYBP4KB3t5 5Z2BO5CFfOCak/FCLKJoN6zrnXoeOUuicDxS1oi2IYubAaBr0T39JrHQUbBt4VON70qUQb9V/wG4 n/3Od9v4MFIrcgb61iXgtSTceJlYSo0cBT8+0A0iUvcuiey5FLk/bgWIsy1wyHoCWfvD6tkKtUp1 OQt+xkl/9F8x26ra/fDpx6aJ2953iqlKAD4oGraQ89BTyIXbJMQjdfMoAoooz2tKToIz6aZ32N2y P5K9v3kiq0nQZp7f08KYTudPjV9JwlsRxH4AHMUQba7qk68ydGPGqxFWkdFbFv+ukmcxKSo3A9bt aC/BK+H+TziyRzQMqq4Vexr58hlVfka9cEifupCAnN9lORSmbjCje12f6iArJYVrNn5RGGIz9TSP LDe7xXygR/0VaiBM7WW4IrSVN7EW0p/434eEs/WQdQ63ALLd6UW4+0UuUo6TUHA65D2frpjaXJcq RqEGoRyQXBiPoZSGG0+uG0LUsJCJkzXigPmucGu93h7nLND5sJmP43Y18QnQLIzKtbNCBq9rMj2o ch81tpTpk7UQZI290UiJ5NLr6BfQjxGUDlJqrkDzgxoVut35yNTie5SEjFq9rfZvVmZoiOkQkIII wFy3f1Y9pQBCSMLnqx4I46pbJDOLqPFCFyChP9NLNM8v3dM7U/mwZxCq6ku2uPM4DaqwyaYKrX+z kBJ1gBBBlP+myjtdf+YefZHrW21NoJZjulJq6g/nZN846j/EUt0blWurMfWOfEegGTzr/ZBD4gkg 1Tt/R9crRZqHwRuO7OBDZecccUQs3bw07chqQCLd5WH6Q2BPALUp8p7secAf2hNaAZV4u0LqZBIc g9ix5bFXhene4anuYQqewEp6zhobEJ7T/SRgGcECbM29US9nD7J8/vlq2pIlAF9lkB6n01euCrSn to3mTzE9tVrup5gUP+fZoDfORTk0+QimenPz/Fi5UOw2/9EEqmZ90UDdiutrTTORf1/jBEqyVnV6 0T4ke7db8syjv4kw9dZiPu1NtYgxcTJmoBe57Pnn9fQ6UDvH1zZgJJqsphte4rDg2RiPrzdXaNWn mgpFWBl8By6GxzlVJXDn6Vn7Be6cO7MxpmVKj4lBA0wnfqHwY+L7QdtBN7mvpyerdQgPnrPrE5jz 9QDXxjI0ftMrTMw/5PxyeUVy28FMSsWlPEdjZ0igQ64FvlrC+MEZuKYeYcbDLs4Qgt0ucLMCMcax QvQTjKfRC0KN0G5wNZPy9GqiTSCXDy8KuAdhabKa6YK2QEMyxQR3rfSwnZVEpWo4ku4euNcYpfdS gTFlEzTfii3nXRaVfbHhTDsjzMAPNHYR+qUMirCPGcxyP0xOmwWJaFUx7MENVAcXCzTHXvqIGKTl D8vCiE4fGX376w/VSW+UPMY8b/yMfQXoDFZ2ZbrtsVXaNSe2nBUqOLsnqcGWuN8nHfevZ0vFdlSW VolC6qnfEA8xUi+tmBWA+k0tcbQCE7+Aiy5m3qhgrnQfyvQc9AUDigqUJpx4mkis6drGYGOcd/oi eWRdmQF9z+tx3VTihMlIXhmY4LLtt7VIuoX+7JXZS0DNEmX5lpUU9F92SE95U1IyDCIXiyxkYhyl 5i3NfGnsn3hKmBF3Ontp60MDDtcDm3KAsMoJOd7R3l+W8514NeQCWdgF40AV8jonbj/WjKc996Fr 2a6vWzeCnC6kOjk4ruBlT3BG1afYAHRwZewOV/koyn219BLAN5MlsWtsCU0GaL8wvSFfeJ0VKlfB Nz2MQvtmbnwK+AEFP4WNVuuyl9TjYKfq/viMxEolnEM3YJm+O09Ge0HMZ8ZpN8cH38oyAr6Gj7VO vBEpjWeO7i8UIaFfFhGH82fgVAeq0nNN0h0/+4Fc5kaQ7W/cYjb7Jo7gw/r/sIxifZ3vk8GsTA+w 0/6ufC1er1w5oUXFo/BSyWxmiCcWskfffYejogKHsDSQleWfAB19WbzgzZgFGt+nZ7fqnBcM/Mgo sELV0QdN2NSDmW2v9Ivvag/19x9IHRYdySiC5zxtR7mS1R5Vr/+96xk9qBx741fs1/6upmCtlG2H nZvDyvf3zs1AWFkfFRA44gXyFrmTE6nP57NUbuBDeIQpyaCsqgxuszcYwuTV4lTFcsklp1SH5qRj a2kek4kxqQz/HX21zUToE0B28aVXoyX7dSYSQqPnPgRTpmZu7HNXRZy4Jja+pb2LdsLM1xmsCo2u +wr3xdnZPE2M1Wz+s0Huqo0EKEmmHrHyKM3yi5PWA7VtWet+S4+1xKAbX3zIfT/Qml0dNRbcbVdo lbAil+KADH1DVkNE+o7B7yrAik0wmr9Z3IKLqq2ZjqbwDKSeXKdZt7NNNnU/jK3WxXw9U9u9sVY1 4jrETItA5j+w2jKTYJqr63dkj+6VUD/SBpkxSO0pOGsNsU+eh3yGFHAftJBLEN7BrAI2zNt1oYeF CQuWnsheJ+yvge0Fxexch1pnFJfQ7WStbISHCGcH2WvOC3VcUPySoXhFbEsTX95SVYF6Wul3ZLof gwdjcX12bX1NxLAUjiE+UGLEwoU2Ig+YQuRgKDmzyEeBbUVGFE6sVoZp8G/h/3B26cAPlZhb3Gi3 Le0flLRreDpAIQt+BwG4anoDTALlaauQ0P/BaKcVNW9jOb2kO5Bl5PKUHgkYfpqBNCDuQnurtmMM UOHLNsL32oFBkNM8iNr3CjihBsyXkaUVuCUkjZ6oW1hpPcHx/oUKb3mfCbvvaa/4Q4O6ZCKeuc/v Dcu45YmFZW7mnWFZgOpmtGsWESTu1XLnLbl1KjTz951RYxSzoypwV3o98/tj7ohCRoj5A9z2DnX7 oWKXu0v5eGt3m7stVc5bFfn26ppp7+TZNRW4fCFmzqp9h7nzjrH+nQJ3ulJNXN3mlsBczhNg6PqF HGE8CrNtkGKp4ZBv30dcRV/mgKOfPd/kZbMS21/h7Qmnl+wujVCkKatzqR5esdYX49TzYm1ryrdV g2eZ3z3pQfIWMv4UZcosDeqGEZL+qq9PdVtU4iktJ68s+yXfB99hogt+SkBlCmyp5lEDAG2M/eGq bRC5wmv1AuzmHwmPoMZtipGHzw7ypvT7Gmoe+zGS+WRtjDE1wQyXxEi7Ka4UJmdxd0fZS9NHvmWl AGxPAZSkMnFCNhif2jrRmyc0d6ZVaFH6SmeQyEHAazlIqd3XxkKuRl6+eXm0nPI8t2j10wCPaxAj n8Yb0vn3VwXcO/KUBz5hEkrfLRI+2toS+wNk8dcTyleLfVNgruo2eT4E1tI1DZ0dMWloYKvoR2T+ jz4E+avuYOkG3ftS4S52bGcrueNg596CHbOLZiokDfk8Zckc7MMlmkTdR+l5W0ItAlHp1qrLjodM IyupiCRzy1ZLVAI4kxPQLB8DDbUIhV+wXpOpAp+dxh4ts8j8fz0IkI59GlSRlPvqSL1UOhbLJGjD Z0/4c8ZX8BgfKXDa4KT33JmDR42V6CgRMKkdmDNJL/SDIOD5Eut5Ky/LwLsmzjuiGDIZkxSu/PLt X6uAcJbeC1NShy8Y5BnJcO4s+fVG2R+mvWlbEtnZuNsGa7MbEmauCMf9bvLyQLdWTzRmaN6R45rI 5Nmpl7HTFwW3EhmqsutH3E5IHDQ68rdQ9zGJLu65kBz6FFCxXFvB0sfL1+mmut1SM8YULfYsTEep SuatCpwokQ3JISG3JturcYAbuxFBIkkf0oheCTXEGX4PN7osWzKSy8Ar/OEfgefr3+QR9RTcf4W+ 5B6HkS7KakZPDKHRCW7CSLaGpT8odtxyue+Xonu9qeI3KSY82ckbLY75E4Bvwlxh66im21I0REOo NAZtuVtDRrzWtHctEnJuXXHEg9WEVn4PgM4KSRxrI3H4RhXEaDQba+1myLoPl/FDqpVBuFP6wAga n9wdYtBmkCnh5T8mHEk2H7MeAMxqgnrAJ35sRKSQsvHkRkeKCdMQbwOFzygAtdrGEgjgO00fFcpD wHSPJlHQ5rgNWCHbVertnAn0J+/wycaE1lzpksPsTqsEL+vWWh1Z+jvAb0Ph8UzjXojRjQ5ezHI4 7Emej8zAtFVGotElVxABv8aVV/MbLk12kmw97Bj20IJa0KghlTIDTsnDevnekOHLnFkOxOOJ0A9j X9f7XE9K9AF3RtloanAD80z4DCp04NBpaTMjeHd8ba4cmYo4E7bHZhIwJjOolUwt6PWhjKHQE83G QSC6iTpsGbMJhdA1muvx93PzK+MV9zJ0uPvV/NP8o/GOwSxu0Y7Ga+UAWnLuvccpOO8KwePBXUky KJzlvj/gGseAS/DFHk/0K7kMOWH+/9FhxTtDOjBVBXVpXldmbHnY0PSYThwLhjXkE2+f9wp2CZaF Q758tJcfVdTLoi5m3+mk5PbI+AjUBDp+0iyiBQ5Sclyg6PdXeNJKvN6W6ujYQLYl/wTiQ3fEdix+ mWgcp4+peXn8nVJGTA/KCoQn5sD/5/EVhX8tfMYndxp9xi4kGCEgXEereadEbFRBpd8DnokZb5oJ wDvtIN9o51s+XD313UdO2314d+WFeZIDxsIoOa/FadRTW0q5r/tuSB+BVHh/udpgwzOpVDjuONV2 eC7C4pD/0pJZBQYebQBpIGk0H5ufV1E5hYOp+vKXP5LQJN3BdAn2mVfjyK9YHLDuz6Ttev6J0Y1s sfyzmXqvdzhWwUCNzdxYvKbLYta2oDSZBxwx/a7U2nnGDDQ7zvIg3zsVYseX8MCRCHWNJe3uYUak 9A8COmN+ZVsg57wr185rWyh9sfmwvbeW7aO0bfn7oX/Bi9DHcGNepSdK8ccLe/pAFpMnYC9oYSTj e4uqDr7zJ3FBxsOGsE+5BweXlErBd8whizzNP/Go7gcMJxbXu03ONnQ/EIfAmYdGHJkbtnhCziP0 CcxjMAnsabceCoSEw9e/98nXSgLypKiVILZN4J05sk1Y5lJ5I7U/tBIt6nJ2KmpEWgaNrnYXyyt2 +gG9/N93uSlP8tU4cIL7wVu3oEzgnVfjyYyzjhShWpOWuP2zTNyZ4GD5IiRIQ+MttRXnmBXwI1eg M2Os+oB4BNRVLSV1EHMoyj3d53vhkPO9a6HWmESGuDutNOtDZhOYrrO/IVMC2RZoteRk0KDWswjf OJ7AA2ZyhmSXIlOUmJBaNr0zqBxVdKXdBo3PrVdr/6zAHcJGHdlDADBDrRcKNHsqJmheXhRJ4zEB BJ8JVjKffNvh86XmZ3iN27o8hRY2q8v2lrdNVxORr+E0Wo84ODwhpCdTn6qkLLVHMYtJf3mgrfRW 3vELUxHG3sKacLqGpFnVcAN4D6LCt2KG9LWOO5KVnxGfbodkS220B0eWvRIM/+Wd+WQlbvOWM3lC GGoHjnSpkzOc+5EVqK9BKxmkb0jzY5Qx+ovku/kIe/D27D/1ysP2vw4I876MyiwiWAwGmf4niT3u SU/6VrsI8+B8qUS58ZZOmWTE+dnsOE6isFDvJaGrX+DOyhylP05033zamgzNVYqg5Q9oafPJMqpW hiQ/RQ5n9UmyjjpickNIgZwwh2Csanecg8CBG7JAbMIBrPbsy7HdMVcTIuXhvCIZWnGgkR8elqYn ZQ0DNYtU50iOlk3fPx0IEjuW9FpXwSRml/i7y04O+zqLAh+9u8Wb8cZuy1O9R1QFTvE25AfN05QM Wj22vL8NAAS1pKAqkJrvAw/B8n2MhkDGlpp5U8Np/icmYtyRtLYM4OuK7+PEB8WcC7oyjqtIrRtE 89BO/ikOo+usuK5KwGIAc2wxrf2YPmvk4VD6ZGueaquMIbxuTHiTpst2DrkskLoqgp3m54VftY7L nrL+VnXiT5KEK+/eFZDnbouVb2/mwRMu5W0qV37XXkDGupEJ4o/RxDdzXEWQt2+HEclg6sEI3loV 3C+uv0CgMArs+xkQtabhhCgzQc9kqhs4e6RCmmNTb8WGNWyb5TYsCQW+ZrrGe+NWcQ+2vNXl0mPN S/o531bGintgiBCGKztNEnFKjllx0tzvcB83MuNjI6sZ/bCDgz1rjGt44L4ujts3XK6RRLRd02bg 8UdPWZeqNRsDq/UPM5nynnecsWZjuWf+PzW+HQgfG21Fx5Dtz7ya3Q2JUIYq9G59wyb2rp9eFgaV 9epIXGinWY8ygPjUnMjuKUwOwBUNxDeD8h4iGLhmFw6IdGocuyJSIEm2HxZu6E+UZnAZbea0b4TF +09ZgLvtB78CO3UZFNcgGwPKPC74fOcokU4PbvxkiJ4M5VTajFlVfiROzMmr68Ym2VUwipONtfN/ oWMvaDdSI1nEKMreZ8kmVZ0n4m30sdDTEX6IGSMmZLkCdNIwOvEi6U5Wg+R3ozMGU/6M4fWj9GAm MlbFKwXKjBzeo0amDsC4HSwRANtk1bOyqrj65ZaXkZiRF3hNwAANbVtv1jGbIRnQy8il9Aw5/tDz GhwyRR4Qynv+NL04hiOfH5rb49QnO4p//SGlzNblhGK5ytqM7ntZC/nhyk0oXCkWArTFheBM1xtH Sc4tc8coH+LBLFXy3JK90f5G9S77CyPGGa/z6RDtrIPlLrcnQlEYcUidu4Honua+UkLUlMh3O8MV PlVDQ8eU6qba9/gqINNw/JB9O01tjYLGmtppTanxqMd5XeGw3R5BWRCq4ly0b0r586NiUWqulNd9 Dt2DNI85Nd9A1Leh3LjLut5+XwyyxSDkOHMAPD9FpETnyWA+LogBEK8ezR9tYwu/+XRKNt+DX6i8 mt6fGP+C1Yc2d3XZyaUgva0yg5BxysgBKUY1CYOgvsHs/cDR5iBzrSHlrxmQPiIofeuu4Hm7KIgR PNl+pjag/eBfYel2vucVi5VWOZGu2hFzaub9shMxQFIBZWyMOTJcViObPGkuYxA2QJSzE8/fVyQj 3jqieEkXZIzpIhnqLU8fqNkdF+gJLzYW4fp9L6tlCfzAO7oZaSUJhGTxlP7ME1bq7Z4F0LW0t2Hg zlSuCNLb76xER1ttM3RQFlQRR0XdZNAWLf9KvTZLMhhFoLVF8YS2MGwDvpSxAJewwlSRGkzqDxSy cSU9O9mV+5X34gS2vfPY+KZj6NfYLuxRNJZ3+bI7LGPqgH9qyeUGTS+fMR2lx6boH92mQtOX26Li w+ZGwuNKxbFsYnsy7nZybkTR37fTEZEZIRBHTpX9zOOM0bt/m8SHfawEnqmYeJ6/tZzUNvkvEHL+ wADv2aNkJSp+HcwIpLeE/tMPEo+C4xMJ9TrQ8uIOnulpIKOFCdDApsHleYRB8dcQ3SjFISn/N92h g9PghsGQbFtAzUImU5owUtGGfKE6lRVhSsnDUeZnFVmzgHCfpjKNIOBU9hWPJlBsZ/YVs6CQUp7E lC1rg/qMfcvCgUMzgA3s2MTV/HAe1Wtfx1NhMaAdYyv7DX5ld5CiS+UfXD5PRZcWA586zMlaLnto as/WnggD1oDvrThcRPt82EtpW7M2UhaqG4KaH8AKVItmGmPZE5Bjla19eSFEeM1MpzSb+gslyca8 rTeUCLv6zCsgQk75747H6L/j0D/2csDzyDhc5wadHyNPWEehbYSStu4Fuad7rXhvgIpa9g9iKmXI 6ElTfV2N/Zd9jN2GtPdH11IeI+xbwruPHIwWVBPcLnHOIA5FJcEqiew/rdNYciF4jBRbV7VsCXJt adwIfP1h4iQuX7qZZ0y7Aq7Yptadj07aCgdnwmYxDiSPxEQ13cxSnxuvtBUIP5mFQML0UKZmb94L 5xMjczoBm9o7avHH/2h7vrtfQhLA1tNFJOSvv12ke3wKHzrwSO15IrAJ+JE3rj/bW/c9agpXlE1c 5+aW98oSlcwQ3v1j9y7vwM50V0Uqoyg3Ri2zbUeuQwPZQAe2duBeCvO6TBBXAGQ/zvXZunKb5ed6 qtOXvfWe6Gp+lwaQpk4A/jCgF+mz1R8wM9cU8w5wAvcpbDrAg4njwutrt9ifuhMPe2GUGsLfJm2x Z/qjyl2ovpji7FB6gOVwrzpQqnsKXi8qz6YPC/RMvYZLXIwNhU6A2c+oNypnmy2kbwAb0gFf53wG CuYGUD7POJxNL+46/xlG0Q5hAW5IX4uTBeUGY/4BXB4pJ3v4RBkH+uwSLOwqU+FHAfWHzg0qnYL0 bQqDU1VXD4Iw50lSs1BokkFiAH15PHIDZLTPzntf0u8x24+OXpaN0F1ucoF8nIvDUwQhOjZ2ouDr 2NzOY4W6qn3IafNKEEOXYWXaGldnaR1PzzDUiq8ai+PghsP7/TScunkrFPohR8iOUYPgs7LiV17g xsjWVRxjkoyJzdwXysFtMaWUroCIn7/WrBix+f1SYixOSpLUIm8BeZF1bhME3eAgveDOqNr0FBGU 85vb+ClD/KnP5WoDaqKPiaJSdnheN4S5dU15G4HmS2CsD1ZQ8ZoMsCHRrX14K5/YG3wpzYs8zo63 dG9AqAUtdcI2XqkawS1sUeXSMzclCDqlV4tgmo7Sh3SrlwQmI6tZjDDYWDTT0AF7mH3syapa9/4i kFuzxHAz4IvTvGm5SY/lGHub97Wc5hRf7MoqGAqIUMWhPF51dq17DL0ze60xwhAN4xJCB6YRGaak jBHPDVqv9khunSNsAUUKULbOj/Q8/frjKiqJSkTWFkEX8qeSPNJa8KuPuYoiOq+0Buw67tr2YJ/M qSa12poNpSXuB6NrQRHb265VHSm47fBQyJd9l54KgRvHdWyesHKo8F2JavPZVaUohZkUDam/f180 f2FyqQXan1yumoZfGc5qo06ALHJEDwbdlH96IWU1SVLoYBsVNVulVDVNZCQKNU8H/JnbonQUzHRQ 9ChO47F2vrh+7orDhwm19iQCv25Q+qk0rceQ3mgR+c0onkxY1/1NhzWO8Zxrf7tV/8IpLZtWKr0M HR9mC2ggIRQcVqXp/gwb3THIx+BG92upgLONXbs1Yi4L/GQ9pA8pEQIaf+6e5ce6htPnvzxxsqU6 rJNpUg2ugTH6Z/HZZCWlEuDvQf6nuGHFUbFP1fefNVbXpNQtyJI7X8BP2kGuKviVgFOftCw7ZXjr jd9JdIHM5zy2vl7MC7WZN1LOrOMUBinOl6pBJvk3NP8yW9J7eItlg+3ckvU4xVSpyOu9w0w9UW18 3hTL95NcGHDzGealaEZpuSnu0A38izHc0CYnyVgt2BbpQSGQ5uRCM6n2Y4iZfRGsaEEZKQfY4whC emr+8yvgpOH6hM2bQxtuK3TLrJ1tU+5vnK0NwQVdrh4PCX0/36RtoST+VvE9pIahys945SB+1T+3 p7Mw3zw82QQp7EZgVZtxdFXF+wbiC56JCRZY2sFRN+rGRrT/UhjggscpSwA1pjccutAkia1jc+kO pfd8W7ytLJlFJUrPIyXAYqDbedQ/m69qvuhU+brCx/G9Xk41hOKbxQr6UwyKAFn5JtDcwC52cUlq ix4N7/gkgm8bN7NcNIR4nG4rMoMee5RsM4zaP4HySGApXrgM7FPtT8Jx+vJtWxsoicV4ojari7vq JVaehRuGDuE0XSov5Y2qj/rwYl9fm3INZGd9yKeJAmev1MFfo/CUm4fZmOBMQYJqXh7GM4x2c/iK MV/V/MO2Hxr4bpRUQ2ireqgZLy72rqWUI9nlCbA7mEpyX1G2tudyE3eHfcQhIBg9/rF2WCCCUzt7 swLAIztZCv2t+HLkyR+/Bby66gpUR6v/jd0McHO+EjHE32S9Lr87ti6pnF+WAyw8r340DJ23XrwS Z0nsqDqRnqOYAF8hM/2ZAU9Iguo9ko3I2DxKhm6kS2wG1Hrv6LCUOwvYgT3EL1xresYos+dS+58F UYjlSBLkva36YaVwriXqconYh7+MwsgWd+bt+DT65+lFQC7TK+szAB9cRETSZvnCOKDfcZvULi60 UUXY8k49mClz/PMbhqE+6P0f+hk3H8SWUHasBXWGaBFsgoMn4Vl+sF2WINTAQR3BjacAqSevKR+6 7jeyPiy38OMb+XRk+MAQJBdhSnJ0pljDEGDDW2WoYRBNp3Crj68wpK9mi3jEaDGBzqiWw6Rsj3qz tsebXv/QmvWShJXEz9siYI/18Sk12Hy1tBc+8iv+mgSAMrrQS2LUjdIxo89OEWGiYeGV7Q8W/nMc d6DaBEPeTv9goSFCbGI7GqUavWi1JfPiLICaeTQPFueO0ZpCAjLh8akz7BXZGFbUiwlAevzYYCcP y7nfj/anVEFe30PuT6WQN6YoEkqN949gP5BNaP5m0UPZ9g8FipRwrN+XojgXtubpxOsloOgRf4RA dO+ZifbqiQlCucmjaPVoU7PvDifh7oGM1YdpJTkiddTu9bnYlb/4/Qz05cGzZFTWpOFoRKJVz41X kd6hc21BqTGcyyiWq986/O2w+m2v7qb97GrW9KYO1EsWY6yyQcFiWEing6uHKfQZGGoJUAAFldEr czKT03t6hn+6lshkI/ovtJ5vfEb5DFB2ZFf5qjKUzZsg9CYTuzaqF3JloLbSjcWshz/zA4XxSsRc 0Ysf/cmxxDpDxqwKgGmGjDPWvavEIZOJcevIGjgeCus/hYse7perncBO/uCIW21EIHQlLfp1Y6ke xvNNoeFBqWG1piYgjwu8ELOeex2oapuzEh+hNydFEjNu3UnMyWc2geSbU2db88JSmUaIfkqgwKJX m0VeFrcikF717v2lXE+jHfE0pbZUPVQr0gjgOrH/6KhiSy042w5cKOqoeUtT4ROpOOvAmdwh3zKX wXECpxyPytp3MNmpfb9FnbAy754R0isVHtcJR9RIMgHtktf8OVz3Bld9e0vPpREBDxmlQJfb55DF Z7diFmvoeo+fJbmy6bFz+4UIe51un/kpQ1xcK8KP/484mSWYRiQjadzBaYC/W4ceH6LQuMB81sSd j62etNQNvMVLsSMkKfPbfr54qKHAxaKUkZgGAOpv0DxbdVMSurI6cZOwzosmr8fsh1BBv6aFEjwf H4FuoTnIhmgmR9kwA3ZbAt6SdLK16nf6QkNTD3N5VDcWO3b6EnHT/fB8Wloe5tRvowxo/3ExhgiP uHX/vYYU8HQz4Xd5M/xlLcrddHgIs7LCbsKS/mGMjAsA9/ojjDFH0HXIluMTJpKO42d/ouR0Vu9K X9o5ddqhN3l7KCWqpZrurtYoZCTArM/FPOCT3vZhgTWk4whNAmdSkRe4ppzoVHckfHS6XIR4R6gj BYuOisFJK2R/VMHTap66vGUa+9dJMtQZi+ZRM2UT0PSE2fZ2ChLfmByeteipEuUTVsgjQM49l+It +qjFrGk66kNDcC/w6C0y0Dy0trlgLvwBHKpj8nzZRqtrzCrMZgzOxMZRQ3sAl3PNukiRANeNluJ1 QDyXJhFg3YYrBdgPaLH1QQUjEeq7aVkQdkV5Pl1A+sVXHrBKFquXZ62Xe5FAcTjU+B+oCEuLrBlU tEuh32tRHWTBcdbS6w6AzH9GrnH/PBiWYXL8KxenCr90wipGqXs5xMjeqEIn1bVjlQP5oQ7ZnzjC LjKH89G5rujgNJZ4GLpFl0nkhLj7p0sY4sP3gsWtztmQEhYYzTMMwsJg2GhdpmELFz/cFj1qlf03 ZzVttW+McpOdyi2WS7pEIjuzBhyqE2GvYsqq3HCuK1uqdyBVTfbYz7MQNBg0bigamsMEMFb9OYyK g/oywrVCxQQ1TCcxnx4ddVFS5z1srhXZ523yBDsR9TJObKHl9sHbTc9LjbLbLsq2/mU7/UDrpF33 T+XTXQeoFhj4VyZGlmomqKBR3G+MuzAh/7WBVRHN10wiNEbdVx5TOdJ1u7jSjGMhshM00tLU9fcA BdiViFBPAUN0CxVPI+VNlyPqqfiVszQZFshaVkCBebv6jk2i2sCMtFx5uu5Je+YFkjUeNz5wr+ry l+bHVy1BkJIBdIp6SD1rT5HWeuNsFkTGAHFLwrC+AzbWKJK6apw4svDwEY89Z/59PyHvZPowV/pG uVFeyL5jS0F0Xbhw8/+jUDiZDpa0RtgE4dwKPSdq9vDmgNS+JYV1WpL6b/5igx8US4qeqEFLTfF5 oGVaWbeziLaKsdF7MQjatM9DMa6oe7YpjXV7obDStOQ+RSRU/fRMq4gFxOOHZaJ9k8a7K8Ui8hGm Hbfb5AsR4An5ckKIrX/MMyZf9x3efclU+aX/OKrryPAuTjPKQSS7mXTPVx+nTcOxfBC4N5Q2ZwN/ dyMLuppmEQoE7CYLwX6Mfb/t6epTWb7wRB24CkxRCFEFMKlR4SZZP1GVV5S+xt8h8nu2EK8YOLPc q8Q947GZx4X+bOSi/cZ2pHa8R9pbiDqrFiiZQcYg6+LcjOujm2WlgjDnijG3bJ0LRfDVyeovM4cE 6YUfFoLH2sNiVgretIHuGPdHbuPyNsA42ZuinfSUq8H6jj3W2GnLZ34/oeWwhopSyu/LmWBbW5FG USiuALXcHg95SAEWIXOd1wyZsjPTOMBlEx+q0iB0GZLbAOMbhcidazbWDfLOdFoPTvdwKyMaWJUb osCcfVG7KJL/VbNjcT3C9+fwl8fLNYfMdRkyz2+PLNemAwOBZjemku5m/exJ0OV/aZIky+Nm+kk6 NqsKpYyhVkD/4YWbAg3E6gJxwdWCcGJ7waU6/JKnp/q5GkCP4nbD/6jMeXq1PrQJAvPrEd5VC6mP RFa03d623lxcV9zB5Ld4Uir9ObQKk+GUhBQSd8CU6OlM02TWDb9Fh+05RMQwgzRktxKbxyrdYOrb MX1w+OUWgVd/QA/crxgIHY0pcY9WLP5c60D+C6bWnjQwRuvol+EfuzctBq/H8d+c8hkLvimWQzy2 WxjwdYUNZZk2cKsVv1Hi3D30zsjs/sG7tqyNhE+A7l4kYs6Ywd+veqh/n7PNOJpWu3FL/yuwNrgu HgDe1KCRCXZs1X0nDKSLg9WGUlVxdHcWg41zIpsjLs6DD7e9vZC71XPe9Isj4O9R6+R0GUngaKpA JqsMgOnekjFjU6Uf31N64ao1/H8it3je0QJwVWXBYCtNTipkL6VZD9x1kNAP5zuMYOFXC4lU2tPn oBwp/FOMrlacuAdaet5i7QkfsuSXiDymQXZoVHgKb2ZP12vKzPy4c2pc96lbeasZ2n2UjnQVsRpA vRRwgHYcLFqVWVSCFQg4bKq0wRa9FY/yM31efaD4f2QvFJeMEmuQsdAZhyraoJyA23droxSg9t3B 1YUYanWHFpCXbSIs/DzsOsl/tgtg3gsyTigOcCqP/Jah030D1JCDTASPwrGcXUsYvA0w0mXvK1f9 m8Wnyv90ZrpA1NizTsft6csLi7DE+mB94JfqvcZqMEfNHZlO/Q1mLnzVLW4dkomxZVWNO+3z6itA XMYQNhZI0D20TERIIf97BgBIsBAEdEzCcUEHPlRjIZrKviO8/04ulwYITKJ5ngvCyrhxihp7SyN3 fKRRsF61QTtCHhPRkRrY5wV2FVsLK8rmr2+/BImg8u0WjPSBgo7BVrkdhMcAW7xcAihACSBvUW/T /9d+5xXgFDUu/vQg6zZW8CvtI5NDx2BVbCu2QDQH2I4PbFwZHEgtS0lfuYPWq864RQLKFe7Dv+w9 6SNqgP34Q4/SVYQZWeuOvro+q9Ktyk8Hd8P7x/sLGIyct9Mhmmy4S0+kMUy7J7O8Qwi/TIM1mBIb Ba+ehl14P5eIU5UlwVGwHPgW5k6c1bB3eory7MNDgAF4+SDTmxl/+yAXhjNFDtGE7eJZ1AGCf25A kzLLOh0wzjBrNbtE4H3CubHqKiZb1LUiIYcWuSUfOAqs8JjpU6UTLCr17FrSIWWfrRpzDzjjFDB6 R9lNnImcFeTPcMxQxfxV37qaOc50SElxHIIsns5GxX7CyWhaNkMd1Kd0mRoaNjWM0yMCaqpz23Nl gsuwC9//rijuulx77KCHFoGoX1hZwNR89m70yIGWreEVGXa3nB7NzNiTtgut6RxPsuiVF6mA67Z/ UN7izcZiP6ie7Rn69m+G7xBoeRm43SAgtSjaIs3gexaA7VeSxEFaPlOfwY5696qrxDqz/fGhzBhg A8JGk1ObdA9mV77EVjeQUIkq7IxCPvq4s5oTQKm19G5sm9K0OfUTQ6AJJ2SkrLGaVjQVa0efejNo 9gwA5QCndSPzzmlzS6gk0qO/fkY68CMD/sHkZ8Am9nH5PlALZVJ3n9jCHWWvLMGtqFmGnSBkEb/k UOfkb+nHfPuBFWQyqHYYnoCMUTaqtRPNxKQcYbcWi6W5TN04XyCdqtBL76M7RhJTYVgmkcy/aMqD 4H+TNDP0DzjdjXvoyav6c74c/ap5xBFfqtH/6A/mI9LP9dBOqXT7hpYRbbIEfh+fHqOYFKMaoj5w Jv4zjzbebXPUxN1zRgxyCeRkvWwnozmx9HIU+bvc4YxYGgKITYwMQlt0yCgGqXl6+ndAJ6QeOphO Oxn71q6ZnN4ZEhLDeqezNQe7z4lUTovLbzRTk7Q5xiltG1sbX4Ulkg6nnp8qjK9Hn2ra6ouyJnW2 tckWKSoXHk/sAratNR655yVNC6CgRIVZ0TZKv3edBzfFKWP5HlfRLk9XLBmLY8J2vNHtBdlKfMjA aS/sctgscEmb92tnr5ZYa3Jmgl6+xkJ9ESlyQIaZx2gSQc2j8ylusv7seAWOIG5K6qdfEuEs0dDK W+ick6ORSLf8CFsoO4rYWfcsVZXVeG0xL5Y4DnwZCFLhbrj4gjU9ug50+NLAu+HeqiJeXiMnNxo5 f3GO2zBkAHL9oItl7m92cGdgus4NO7YwGW7bDh31NHipJhXEtknfd7crvFRkOPP5huY5+Fvm14pD EmiJIs1NE08gMoE6TAMmWMhGV2mPoU1r7T3QJppqw4ITiuJ1z+OZiGVt3sa/kPs9he0JaJL7dx9t HEXP4YKlcgWR4fEbkBezKmd2yg/C/YKhmvX9UqHztJ6VQGemSA5sVEbAXca4RcfTsS+r3/ypBoAI qd4HHglEa1JMOIEUHzxxfGf2J3xOJDvGJS3BjU51mLWuXre/gcX393naW056EGQk3tLuxuV4fiAF p7+RlMHNf8GTQgB/CDXl534SUiuVvyejnt7UkeQxm14Aq8EQbanaImehuxWmH8Vh50ormWbPiapK EeBXQSzdtkgYPUHZr0zS4GGtdfyQa8vn/WQgBThI/KtrRxL5qfjRKENKkdAu0LjhnI/vVqZfi/tP DqnJTnspUe4cEtMKbBM6Xcx8e4SpBhfjqsmx7b/wJRTDn0OvJaF1t/7RaxWwIF1qK61PHTpGP3dX DSIfOwcGNUtPJ0k5u0DUMXCyn4/tn5YVpHR7WWhmlYZV1uRTKLjSvLoj29EAVz4gs3JC8VF3sJ9L uZKCiYPdf9q29POnT/NVv/g0t/WHrU/F+pVCbTzhan2wjStkCIJRzASU+2bFMHCjzDzYJ0ad1+48 d9VoF9TOADielij9eR574a7UAZCBTK/1yQDGTE2njrPRWtpZixuqRurC3S29H/fyNwO9gswbqZvm Mm6Kq6+lSyWQlSFL+B24vaallYfke5jQLRJ04MgdVTue2oiKlsiP11zUENDQ2a8N8GknTAwXrOXy s17lI9aKcrTkYvawaZa3TzgbXoWhjpE7v8nWL8uAKdKTX3oCONES5DlGPS0u4j7OUwPEMZ3QNAqx 4x6rFh2uaTcSxvSS86OVz17APqFgL+pzO7aIy1PZJJF++HE0ggc93JbZNabLkrquC+BPa6j6MKiC mSat4Dsh48zGHX2yufGzCSgoF1Dcd4eCPp9KARYjDuRn8KJXbQChYXSdc4tP/9/LZDnVSNlH7zfO AOZzqQ+6y17AJJzDE3KYUg9wcAYJc6EvpsM72CieIvGwMygA226D76UrFOkjCXmwp9lxWLW5f4Zh YtyzSVNGMxVOSJI3JFhBfRpYDXV2BRPP59XRMguSgCKiqcFhqf/OryEzb288wS+/CpvPENz7+38h CMSTMNxpYRSJl0qwsTstqhEpH+mjJdTN2X6cHScSku4p8pkO8U/dcel+b0fv1wobRqXWOXoH+m9v Gy+Zi1iYZtL5fGAbMwx4mAugrD3RloNkR1XiZeYCmyPNjCf5onFLvF/Ribx/yB/q9/EyNajiMpiM DQUWGD2hgAhxUGxzTwJfVkl2qXEps95J2kXlySYPchX9Vdim60GTDTJu3Iu91crsI5W2NVP+PSag xVz07cTYCiHsnidhRNzt/OnKSxnT57HXzlJqvJTL1tAOVYNZr085JT9U6vIGJwZwucBK9Gb2TDGx iqQBY5maXm78Z5n1gz8pCVVxImaRgsMSC0BHOsvrw6UORd2syNouQH1zUFZuPLqZD3mq6jGLD53g tVEmElZx+UAI6c9Krdpjw8WJh1c9YnsY9Lgyj1M5v+aB57zCbVqmVlTYI+Tc9kFVkK83qQCfSerO KcqD1bJR18XSEBbFSqB7Pq3GBbzoIhqjT1o72yRK5qkrZR3hRBJfPtAlIg3QSwPPSj18PlQVNYW7 2Z8xcPkKV0PFujTEFchs7upGglRI3O3EfFLYr62eocrxAFxBgd3HSWQURa1yJDUBC+pxLEZRDlGY Af9pUaFYImbTa9u3OZUIJrTuOcwZ5Z0AKJi0tltNV/rAuO97rGRKebke9OSd98MIm6Q0L8PQyQfq 1oZA1+mdrHSQnZ/cD7XfTJaTxt2s4mwSmvoU7GKfLJnXhVi7OGQpbCEVrXwtW1ddLreVbQzAIn5J 9gH95GaIhL0stwEg0JlV+6MUPGD9p/m8xmoy37qHi3WOGTnUowXCYYEVDZwt/RUvJ+DOQkrOhlLy ceUbTCCrYY2VDSXMDGN0m/oRHLndfU6en5X8kFe1NJZeeo5Fnq1c7qordHtTpM1PwiWtJOLMS4MH FVdisHSui17go2I2/90pjkyxI3fBiOFTXRp18K15Hk3UUA8N5FNt0X2THCW2gzcVyomvHSOl5kpc Z5s/n4/1NKhtzpw98GL1P6rpZtcQEbFahwx/82vtM/JKccdUkipHohDV4WVsq2TNicuYzr4NBr2a n6jIeGC/F3OlNtRWd8whQ6h1cWURZfhGK4yDbuayH2qj2r9plzrmusa2KGqp+Kgz/M02jyZHxYIU x/Pbg7CwOzEG5qG5Q1RFs5nMsxQW8AvxK+BxV+2/RT5XCkyLjnPhpZEUffVvvQ5DulriTCkr/q4Z aSXhUCQxEvPJBA4PYnGEtH6P/eux/koCJ0siEuG2srS3GnZVTP65ehVSZRd5NKh3wBotu8l1b2Yf G22a/ASLijhDCpUrU+TpNpPpLpREjnUfOIrgnEouyqDcsTSR06NQ2rg3+c27Rfo8zzI7uFd0CfEf 1XVmou8kpJukeS7GqXtKnVOLQ1gGhOx8PVwI2blWpPbehMTyn9Ec7+cuPQShrfhnovOLisbBMxlP 0dpia1gouqcxulJ+lBPMQxg1ND1C+IG6XOKPnb/GIthqc7PsNsWUjgMMygd2zzrvU/2Z/Ci43kOS 34pUPoJZsPZUMDvUJ4oTJvFVeD7jzPXSzmQnhFalGHnFjOssfgugoHUMV+Avsh0/uUF/wn/X9BQ7 Zdt0uGMzD9EUI18SmlSJwFD1SxKqkYTBgOxTEsbzGyOQZQMcgPbuWjSXQxxdj4qCoE4vqINk5Igq mbYls1ixD++p0ZTTz6LYvtXpvqAeeWVxs6TTXsZFlRdhFLMXZV8SqsZhu1YGYzkYgXejiEMxzIuP WKHPn2/5Oj3t4G9WJzb66YjRQrGXOtY7ZOqggRk2cZztKShm0roQQm/pK6yJuAK1jiQEQhstjW18 rCQnhZxYyIAhjd9diahylr0wCjPwWKn2VP+Sg3piEIZI3S1lcFjUJbyg6y4ZTMktAUKhENRkRJpS l4HZbiyMu2oV+DPkK0ir4SpGfDldmR7mnZIjsf/8+YCwPaiivJzNPXKossE6Z4+Qw3qvJn+dAzqi nxHVbubbEfuKTlYRB07cdoWxYMbMuLrgSt8WdgNHHc58N8DQVUU1OJNq7Y9H0v/9rWmW1izwgSau VBPHdgJ+Dsz3OTYMsCNlLhzpsgg4S/ttjVtjKgvcurvuO4hjlE61fu1rfocwJ48mGI/kw61f47UR SnYBBbTye4sEDh9KBPD9UwOn+BqpnObhc2foLKMp9+53Ub45FTX323dHMp2xRZiecx6keDD+TALE 0G967LeDI3CDJnYsBxlVbd+3QlvihJcBwDs5nk1aq2YyZCrnMr1kxnOFkFtjB2zg8dNbHlkt3jvM +2xD3sJPbkxvleduY5Ms8H6UBJ/oBudsih0obduGuMe+cz8krn8a1UsXewHFyYcPkcilgnNEbRWF yxrbLebXefQy2KUbwbmqEk6VtZXyGuZTq5kVC637099qxpbyBB77GnrUPEauSuTVrvHwHe1ZDnRR LTcNMB7UG5g8ljiZq0tYMxoieAmNCF9rS1/agdbdZtA0kXq6Z9oIl99Wm0JaY0VhjGRoYrM6UAgC OsXA8L+YPZm9z/lBHmSPjASFEC/zmKkux0hSFf6hiIEev8P10M+HhVJe0W/NCkrZrvvy8o6zqrsi tahPkVtuO2TU2EetHg7/1liPdlrBGkAme4MakQtgdBV6XRZSqACogwnlg0ZQ890gXWDFk+XSMd0x HOQ9B2hgArkk4yp4jK5dTOuDlzqeS854/IicOy+f8dxktSeRRrIEweUSmPi1bYzC76WOxOP6eF0t +siZLMJVvDnzQLNPUOOqrDRZ7rUJfkZbX6WrD+UH6ptT2saf04iIj/A9LDpfbTViNNIysN56sEyn 47fL3T3HDFl0ye1r1PMSti6EE4rmN7BWpeF0G0P6WfWc0wcJUP/SFQKp0y7bGk8hzWJNhBlA4e6m rK4l+45ZW1sUo3BL3Hv8It3yNC/6Wjgyq90Zry3lwtcKmzduRqCYdkJft/9bW/QH5vtZjflTTOA0 NZTKTvL9RBd38rgAYD8DO7qI4rukDdkTXXs7BTZye0yykltEIKnuQSu6TSWaHhFU0CCwum346sSI UT4nddQoNOiDqIIE8E29p0oDsdoXSXKLHXwJWfeGPRZ7x2FOvBdgsHBDDlnU/BW6dm/FK9ZIwrBH 7rXStUUvcQMaCjHieuPo+UbEEBFCI0fGT74X8Hz6FzoBaBKq/kihjjuHagq1oBSlGUoQCm2tQt8L bKbLQ9gnTNHwZ0pgccwW+3EaNEJLcd0JzecFzyELNtB0jaAsp4sdQ8vOsQ8dTDCZCo7jwFsy9S8j w/A929VVNj28yaOoBncZp7pY/y2lw84ocnH/DJkEfeoPoXgrMqAEKpq7QcByuQqsJAP2cf9WpuGI CltQgAJkwNsBzBtZmZfMgWX5ABK6oWZPZaB4JeMkvtq27wy+sC3naVrlU8DyN7OuwUdIgzbCVN8W WP/2UMGrFjfS0ownqO92JzeRtSmKuKA90owbExxic4iZEyNqd9yjgmDgMZahEpU9fc/n8bXjNLko ljYJCHd7OBsR64ZQIE/Rs/5ryjxkeUTUzNFoAeCm2dqwYMoSDpHK61A9V+wwuDeDcP9VaIL2Zi/d BnqA4JVt3Ok99ePRnpGGv0IJP27nQvI8yClkQjVdvVf9R0ZQ0o3j/YNauSEYhznhInQNnz8gx+cR QT85dK0DLi0zWvLj26eiP1yc8qP+npzuRIpzOffIwMvmf2X8t6qQ4UZAyzND/lSh5BkLE5rScEUH rQttPL1/qYxEmZF3oW2c9hlV4kAKVcYcSlr9O/8L/AvawBgG0QAb1wKQt1o03AFqJIdr2jY5TuFn 1STpX4la7LSgPfkAiskUbpnFyHnlRx91gKopUqu1KzTjQBsUSQ+wOyS5kQY6GYMA7Y58RoYspUse tdG47h/4SeUjom3L2I/aY0wyD6ZfYRswLC/SBfysIBCSczgo+JwASM+Of42VEHM4dS2wYMlT2Iha cVSweU1THh/RBxEeSFCWb6arb1AbG0RgAps3u+Huo1ncKykt/sYsiGo/PJCZ/G0wyWG8iHLUaUCA LG4NFaAaFNBTQVnxn4AQTZTcYL4nsGtLZGydl404NfkNdU0yNykCaT6A1/az51+FuWdNPILowSS/ UzS4MBItw60vk97xGrAj0ULmQgsBE6dPYzR0O/GTg97fZKEFtM+SeU3wkGbMgPWfQYbqTNwj1Mq9 bXA9SbKmoYlMsX+n8jzypcXj0zCnijz2urGb6htWR+7/EF99Jw5W3G/H1joaz8xUwlJb9KACVqxy pwJeNLgwlgOq9QuruxRBvukeV1HEdVMH0/ku/Z0dM4oVmac+pcD82kIdCAZCRwfM9aa0qYtb/6BU YxuYtc0SZcIoKdhmBwf8c0z8wgKVrYukCCcF4FQ86yVrFJ5qxphn8Gvkw9861xeMTfglHceMbTYS omyzWvBNfryYs5Qo8kiJH1kUPoZvhxLDB2MEi2suUGn28bCvRgw1AcCupQHMA0w0XloDdI3ITrkr NuUjeVkBhHJh6Ukoe5l2j+etdnlpcfza8Uh9/6mvJriqbilHEEeYsdMs1qXRpn4BLOmIpcYtCFD+ QgcSj3xfur+Wd1riIbrIHPJo9P7w/E1PQYX8Zuttobw1+xeOT6EEMnuedPVzUe/evtZ83X0xWDZJ ccY9Xm7MK9r1vmZngcv3m+fq1s0Hthz/O4E6X1z2nMJaEcfzzVDZXF2qCMvQ5/TIFeBJPdnwnvOh gbUXCoiDMy1T1bzJSe2Dc17RQfifHqSoB1C1u7JORz2Uffc5GM5tMvvadDh1HjQVdvCB2IX9qY8H hhpvYD2eZn95nWp2yQkMfFGlowYPhO8YXrQRUFKsCTOOiyfc2vGMWS/0KH7gAfZJWLcmyenGWrxj ZMPlhbJhxMMo2civs08vXbBH4kbxzAuf5aMJAJK7FFW/o1xoN7bSEt8O69j6Atk5Kgb3iCBbgB2A b5SaREl0zLSN5KonxG+mgjNEcD1Sl2zLsqgiafeFDb4qiP/F3BAyFfZ9Ho/z7KLi3j5HxLaffPVr qUKSswiBKFhDPqBofzpwajGkA0OxAfF7qe3Aeg1m21u6rwYNydTtZCeb2DPQ0T/wbFSokd8LU7Zn 6cN/+IfKJfvTudqAKCyCPbg0LF6yEOWjXnmkNMQXfhVFMKlXAPaBIa7IYqZytzF+5q6+6OGkqKKC yTn7gd4GabtijUgX0vEMyrDGHaQ5JgxvrthTiElTzPkgbUxZFgO3FjHz9Fh0Hee/KLaSxHwjW4g3 LQ/Bq592/5yTqe90OHm+VMu5dxIDdozaRaQL5e6SHDmuei1QDy+bXHZc6n0fJoxKCzNZBSCxBrV1 KsAis/VyXwrE8USK7hi0SSnsJjOXpnmNfkAPujvdTMjP2EFfsC4tN95XrnFizIU7uJaVyZuLNfTb 4oqtGrRdx7pbBt00bTOlDmi0cozKrY2HRdnhcgUvkE0ErYKBTk5RnCyuQFpQVTaGQrm4K7UF1KgR RsliaXX9OnhAfYdTbt9OgE9mWW+Ty0OgSJl7zN+uZ6hjvltp1GEl6ccLuc4nGfDqJSD1NiK1XO6Z AXYQQtEE5LZpw6pynKx5iSg6KvOw0mwGYuCY/TfiyXcx4DiXiY6NvrnJRmvDxgww1GXVxb5iOFgq B/mHuSXTheqYlaAcBwLdfMAi1QnCYzaHLnrZ5eHOteg0GvFm0rw9sJ8DMeg6DqO2TR1ReyIgoO5+ OUR+Wk0HQJZ54UdQkTQGieFRQliAefWmwflcHD2dBUT8aJZ+YfpaO8Ur6v1o6LKO6uuFIiuWKGzg SEGfVYD7GMMDEAzKTe3hxbrAJFKSzWsLYonhez+cdW0w0Q+OuMnQMmVrj4TB4YDHs0COn4seGkgx jJAoaXE8IRMGYbZ/mv5mmgAxtGJxKmwPCjnc6CeuARO5wBB3Fmkz2mA+DEUYE0PLu4NRUNuofb8q pjVhK5GUmqt21bHc/v2Rdm0K4YhXPXPq7zrn/TuZxACskRnk37rMLc9/sd72q0WcViPyASm0KOn+ KOa7udV0BRvNWuyq8Tz44bfArFswv0KzVJWH5CjsdBdjzYKTpSVUrvf6W0hf1rVFNmgmLpD8AGxm 0tTJLztURDHs4yRsJHZ1KkYFcmeTdmMO/I5Fii5s3UyWXR4YOiSMGETPGOl1XRgzjQnRqUrAawgc 1J2FWU7xNBhpkVJ2BtjRU0vknT/0Bcd93GcVVo90THCFPVy0ALXyxwuxGY+qnScpQgBxYfgwRGk+ Hhpx/pmQRgmFpYxVEM7t3GETrugftWJ2Rf9CT1d0VxAEij4rb+FUToEo0zWg4ructMOeboTX1j5j e3DwXhc1EJbltRKSTsb2p3zE3oDliczkCFC6B2iuqend/Stq2tV2kjwFteNJHndWLWSeBC22YACn OwIwZiY+meV3QK1TgLs+yJXL+sOprAOokOoB1CWjqJ6ogGZQbhcK/wZw1fnI+fb+Tt2rwsVUnrHd MTE1uqwQ0TdbrEfhq+dePPdC0CPR3pHma0tgUWaGU3PupHlO/DLTU35reQfuymADKQrZVZLXGumM iwm19zG4ZcVw346zqEy0EAspklevLgFmPRsmBncbmKkfbp+y1g9ujVWSiLwEhRJfF3L/dE2wad3O XjYkDMVGcEPxj0bj5KqQcUcCWuwmK3zA+BOAsTcE2CIdBgpU3ewf9OCZv5O6nHl1MpfkPseE9quX sDyzuupBh4ig2HpMX0ltdblhk66GfG0s6kTnzkTH7a3AdWGiKu+jIeOtET/OGMiJEzeA88SoB+c8 PCa5/nm2RbUsFvbVTIt2ZN4RJUBzuTT8JiKkirhcG9irT8mVKeXcxrcgMKtZjb9rQ+iX7bg28lUU Fd/SKky+xLbB58zT/vf6sCv37i5r+b+Q1CbDHirsj1omo52Wep869o2Dlwz00LLX+NqfwUU0MYNp rU6M0MT8xweohoVuDETzMqncDNwEzsLFyUOjWBxb7f/u5A6nkylSw/tAXG29luFVoGpyOKR4cjNR 5UXd29nIyPtBCA/58ITkPb8zJ0z/6FOzhXAt0BTcr78JIpuPfkSQeN41/N9LrRPIAkYQLIYbeF7N 3V3rM+mIsK0jwNENhEHbdjWwpiHk0BpHs/tv9x9IIcbrj5bo6m8yBbPHIEPuJ2ca96L94Sy+Z6vw 8m4r+CuLnyvVRib1cVB5aNW3ZlrgkxYqsGuHXc+8NKjfSWNHMU4GnOwCFTIwWZFcPhWMNF05lwju vX29/7ZlV+DUyzrwp33gSEyAn0Wil2DafZXFe+3lIMRpDh2qvwL0pYhpZd8oEibCTtmgM57fCnbS rswxk/TmCV4WHNRekDGrbxd38bZZR0HHyZuKlYBA1rBcTTWs+lHJEGBr99M9E98fenYBGzFklSnI ekSw4kEmHJ73rpIVf8y32QIq9JIk1t3LQv911cdsyj65sVO7sZVjXssxOyOTZIFlAyrGc6WEeIoN axQ0sFo2r5TuyNzMJyDX+vyxzuxUn3K3AHkG51DWMfM8pI1ponfhZknzKwBdRYdSNkunQ/5wlHJy J8YvHCo0SY8BBamdjj8SZbaPmrFYfuMdCd6917kg1e40GfSOdUk3nL2qMKNeUuaUGrdSeQah0eDm ueQ6y77/yT9yM7ydMDF9egLXtg3gwf6xY246MsTaO3rH8gB4wzixVGGDebYZflmpo1fpnjndt90n oktEQVlFw+5nmmHzTGMBZ5ll5EOoRQ5lXnAGQeqyF4zG7WnFUd2dBrhFwetUm9mN6CgP8zaK7zvr fAiOnRcBHUFa+8aklG40vBRofN0EJ58VSy6ZSjAKECjNn4YkBAYGT6p3wcf03INIvuDM5bxd81OU yvLaBcBlS5blMnt2cQmdUPK+q5biBYu38IdaWQaglAkD/+0XJYOt8A8krLdtuh0ETZjUAAvVoJGa oALIsN/dEZQiLnhA+DmozQWRXH7FHhSfUC55i5cqsLrKTLMEEMjH9rvMXmK6RYqa3Yeab7vwKiRZ XEU7HGoIiuRig5oFijYAyNwm6Lpz31LrXJhMZbLRFuz5nDfvE/T2/8GirBs/MJAAdsrH4DKTp0wt BKSUAJ5ot6psRsRTfynWGnSOWg7C1rBfLZnCUbW/utxLF+BIEuh5xApKy4VoB3yMth1VWR2y7ctB SkhNINzpOza4fC7CEluGp+P/628oikQ4BYi4ZL7MtM6qGi9jM4WMTe1DW+Zmwi0nA6/GUgod+y0x SNS/81IGnb7Pe3Wl9mWinNDte4aWCyYovujbKht7vVNWJ7N71vB0cG5LVvpVHLqNYFdzw3plGKMm hSl4gJ/HFDvbLMvS6u2z1WfPDCCXqG36NvcZbRzPcmqEcELK/BtvNe2Y+8qah84EhmcPMp2ac1Qj c8npn9YnaYOXPAWpYEEKy/Sf2y45JENNTOT+U76BMWW7F6nVv65Cl0jcu+tLmbArQN49s/S/hJQf yLZN8HeuY1JsD7DzbWubYAFNz8QUDj8/aXUb2EhwKHPV/YqOPj2N7fWr3YxQtGT1/09TaYBUHrgp vibSfYQLS2ITyInIGkvLLWrdbJln4dhtNnCDULjR+t7qLPtu6B6OJByxlWpJJa76jNsJOHS44ysS Os3P97eqTIz5NC+T2LfMXmgPnB7d13mzNXjXvR6tjhSQjnX0zfZ+DTperUmuB2HjjxsBewj35KPO ds7nmunJvalog9eb9z6n1CLEfsFCrvoXFbOZL/7hCXV4sWEWnbLPHnUlDGXQhQaJIjQhUuLlkVBD /0rFCU74XlqVcJnVPcpub4fQCory8o58u1b2EtTWu2C+0a/0WW0JB1tLzxfRn5vmP7m1MoDy4FKD yy7We11ZA1hRN1maazFUB+Zjga8FJumccuftEkcAhqPYIMZVF+QqIGJl0FrjvDucPbXXzu97z4UX qn+LfHloR8LQySlviw4NHgA+1bHHhTv6Jx3EI6vLco7VwXG9fI0MZRzRiBpjK1DJ4kKaTmavfo8n QBDQJLtDw4c30Vps/eOwY+rohQRYu8Z68fthnM3XbVUA1ZrINGxlDYn/eumPxZrz17qp6YWaz59o 78cvgikpAJ7dwVcpQUNwVtTajMbs0JfFSpEuiK20AIWfSjtM5pGyH/5UTxFg/v/X+0egZOUjWQJ4 P8pBk4F/Fy7ap9sqJucBLnqHfS1+Y+wkv7Km4YvfYDovce30ro6sGFDnfjIsT6ghoIjvm1KmkbDi HVU9iFVrQ8XI8VxbgHhz2W8fG/XsPnMnyJA/RjYar+HJovlWb5dXXa9ipzOJj/KplU6+lkQNKeA6 JodtFVR3DxjqcGJq+vq6GIiY4Iew7HznIW+adVw224rgl7j8yQzLDDckR5Hj3Mf8G9r4a5lQyomq 5LJ1Pjp0b7KpvMGcehOM1s+16ACcmerGXNVbdXrn1+lBTNrXkk+JL17kORcDJ1HptEFf0mu/so+9 3pRDqwt03r755Wu2A2EcN1QkbPoJuB0KXCoQTxBDxZtXNRrDGK1sPsj9vQkDOroEkQMbqUFRL/K0 4OY9L0OXfYZhc0SDDBc1R1Gd4NcGc1VJY6SD64yWDeMaxfyDiB+2w3URYnen65iuCDM96zPiq6v4 egBUdtQ7TZQ33osrXZgujsaJXwfOMudAZnR6+KIesqGbY2x1aTnKFxkwkY1dd2muh4JvUF4tN/Q1 6khMUv/z4A0H61eFXSkDtgsi1oap+WNuq/+uYbyBucDtTbhLwcBg/KrJOd4fmA9Sr5xjN62fOWMm 7KchCXc4mwaZObNrjvdzySLujNHydq/oso/apnZmKI+4pNDEjBL0NHyFAMzmShPMwYmDi+0myVSG 3EazWgyJP9ryyP0CzP2+dRkdzjf01SlbPGXX6AkiqtKEmQK8NoiIT8TA7rgI6MtIcRBvLXqnUXa9 aH8AGat+EdQb9gTuSDY5pCM+lfa6PN00faw0az2OwFyD/YHM2rMEitizxkOd0j+aCo90Aa4Ds1Wa Gcevk43c2OsGxZCB4JyKukJObpI8SbgKEDkkjnDWJcwVAv0uJYk3OHAKagOC57BMDZ+8TP01/SJn xFV1o/KyiAIras614Hh9vBH6Z+JPo4bc8NbFN/EvoXkxWI3xQEDW1lhXOXU+RMyKWTiDdAwfvONX JwJF6QHKmbIS9+KN/O+kUjmdpm9JAUYnk4E96+Q96KlXmc/Vr7y7lZAiXdMeCWw7QTuXQdLnTUSr xRyI9eQiJea60bNm1lCfVocgvUaLKlm5EOCfcLH97h8dMNBKCRbbl2fTqFP0E+SXjPU7M715iit5 2eWQ+bQ4XEX+5OylpyHuLLPkWYUJbSHSYETpERuTz5bU1BhFhlfABkAxW/7LqLDsalaGrWeemOz/ N6lZDXFJDBvTvJTy9I1j9p9E63IYCEAPFAeOEQH0tw5qGHQY2Juc7uhq3l1Ccyut1xR8TJqWI/7q XGYyt+aFjUBWSTRfVZLrAU6wySuwDj76sW2QzCQf6Z3eDsJhxFZFtfSAwwR0pu0JQENgbdGSmbF/ fJ9VlxYC3NCgsKTEyygMyYdYy3rlmwssOPPH5kaLYUUmd5evCHLW9BQfFmZTX/CQ4C05NZ8z0857 E0rY9pPEK/KtliCAXgAhJQ4F/U7qaArmQQ0eUh0bvOadAYZdgrRTyIJh6a5TC7JXNz1agK5pUC0n SAnqFoU0aNIvI8/Wb7/3Z1L46u6bw+/nQfBWcuY7wJOSVvDe5uyd7BRacw4MefPg8s7IkkWpsnas EjOOOneW8c26KktGHqE9QRMV+y1XRXT+0sQaWP9A8mu64/g9TRnQ+oYhcod41+pmtcLrruJUT1rf 2EXrCbexhhQqYKgNltvKBYbmBsjX8dB3Eof1o48yYuCV39WVoOpCObPUV8ZHjFm1L6LcsCGgtIQ+ 6mSLfJxUqgmMTiaPMQ2Z+xphTi6vgtz8KEc4rOid+xae1FiRzL2YeXbv+TQLmzAK3sRSOyb+BoEV qIZRO3vx+RnOZyP5qqsH/2A4JhEYWWTW7p4sfAobiOISraxSCbgeriuGYy1gxfIHLgYrTjh8xfnp /Zu6/SuptUPQ8aymuXpxVTUlB5KKAIzhdZsuaX7Zp8T5KQKH3D0rK3DVz7tM6xLoUnm7c8kTGSZY cpIhLf971R6l9MdmnMRg0SX/9rpzD4MRewvP5tqGzDpkYxOl2gt3iMmBhBbJtkGzC1Io+pYCwvuM 8xE8DHVHhf5YkUd+e+2YUiFajH/tvfXsJVRhPfnS7R8LC+BOlzpXbvWp5aMlvitFKOXJrD2UdFnZ oG3+mQfOyQZmB4wzgJwFYpKnwtrR5e8jl9j4UvO0OdIDsBHLYCPcBa826jXNJ26DEIbu/t3OVDzr Z7UTGc50Aj5uPJZ0bNPn2iJ7BPLNmF1vzoUYYCAgY3k78pPZJtlutqg86EM7LGfuKFOOrvjputTP of7DM4SksDCyTHPwEamgj6XuN+0VHHl5rnaLyyY77k3fMU+vJlFBCY9WAqiz0rrt9FNXtO89vmai X18WYYvWSnfT1VNi1JaYGydFfUmZg5VczOhe8ln0VdDfZTjdBv0ZAaw8U1TtfxM/ByWGKhO4efWd XXxAx5yftzegS02KzfBaGRvgTiPVYmokC3HW1nsQ3Pq5gdVYOOVN3/eb945y0MVYVQDEMD9bYemN 9btt0xVEG4Ad6XV1W9Qr3QKwcjdBrYXPH2gV2mNTKJYrQgWTmErcr25rcXJVCzxgSMHioolbTgIG BapI01987i6vYR8neOKERHI70fDByPxaCsz7LrtTXdP1/qHpVafDQ14V+NFkvqPaBxQaGuyg+oII siCzOY4ba+IVNkdKE2DWObz8gV8mq+yBiekdJmy3vSj3gHtEpAzuQuvGSOgTVOBAV2Svew6fPUwD 4rEvNzntk1hr72lWyzfrlpNCoIW5BrGlArdiH3LLulAzGW+m13FAefM4GUmPQFvTu2WLmOvnlQQA s3qOpCDEt4R2peefyXkBeZoGmzUZMBq/6slp6uJUAbH9dfdZpjZHvneMSZiOwOHLLywhPs8m2HD+ uaSFHzP/62ciJkpECcZuKIDt24rzjFz19Asdhoyqr4lidMbh344P36RbprA3z2W3/kwUcpXpwAcq 9bm6/Cp2320xFbNML+k54Ar3AdrXuqyKsTySIkAw+C1z7seWXpZIT97vDfDX3fK9UfB2/ufX8lWu e//yj+IhqgZY/hgGZldWy6cWWtT+N/kB9htTvA1sdL/8jdzOK7PObFqCE3WRy9CxUBGdbXUkWUwG qnvy8Tjjvd0jyuxsjnc5ezmTv+qcELuXScpuU2O7N4MPjwkqgcUYo+dcrA5rYXL8yJVW6oH+IhkY SOk6VrXA28Eq4oCj/10xMReDdEnXYiBjkzBCwdp76wbT/tH5zK/RymBXXN/tu7WattlYgB+k5N6D KRXXIESvdC1WCrZAypxwvHLSg7POiHL9tHA3PouNvS7yPGn1QDHgsBB7k5B8oFDGtlXlfu4n6jrT bZIPQ/RL6QHCbv48RZdgHwM9drVnh3vZ+cubM8aqPZUJQcUyJl8laHiuNG4O8m1P0imHnA8gBc3x SPqiO9KqTwRrLgn3OBYLqf/g62wjxNibtOYuDgefrzsGEgZOp4osqVUrR9SLdpPF5PMrU5vygGqw s9wptmF6BiMj+B1qs/heiOXfsYY0d4clhyHJXkbsGiX0nLI/HH/7raW4LD5deKIDMB0mH3EFF2Gb sWklJud7c72JqMJQ1YBnZsPZQRonKCVrF2CZmuw6Mx+gOhYgD84NaD6GEU0vQlh4EzXnbDAr7QjX 1wZ9rURafWAhxHBxqhkSaM5qq1KV1ekZJ3Hj3DY/hfEq8e+ZnpCIhhwoDuFEfjVDlvVF4samCJGZ u4VwKRWH2L3tB4piMqn7Dj+r0EHFjBn66pX+/B2Rww9vVhUHCJ3UITq26z4ayzS1MeQ/pDC3LTh9 zQxfVosCdCbq3zqJUYRxvxY/FZEaPpJyG91SB01eGCh6Hvs2L8mlClOeSNDL4VnrvJnt++vuwF6b rE9BF1yAouDjRpuB5puoPnJH4uxm300OMYOwjgWVTXTtn4JmfqDLzGaRCfwfV2pO2vxA/aC4JJ6G QWNOB9MsaXC9G75T8S1Y24tYGarDZkR7f9umh+17py67mEHwl70SPfxg9gxCbtCheCxRXN0d96Hs kkg5qVYZL/kxUQ6JmRKqoKDWDuSJ1FD63lK2p2HiMn4I/F1W5pM+JrOcEw15uQ1C1+i/rC4rJkMO pYehsClF+D9WWtE9jZmYZe1r8V4QAVlfvGAPoY34SLfTWjwu8kzOnyXFah0ceh9daX1AFMoPFPH+ JcJJUSBcKR6/xoFHoSpUy/0AlVT1wIF8OzpVMiffBDLkMPHCUu8MAxNyzik8+/7EIH8hBm//NwaP +1jlAhtqjkxIUzKCEAXlA+bz3QaWbmnX6iohOagz47vRRoZbBgDw0hDr+11M0fM7A2wm+qQtspc6 ngcuOz0Wg/GHRlpYpS4Dx+ujLYzE7SxaeOnVR4PvdwQYHJ80TXl60kzmcuybF+oVv4z+atyjxQer 4W7+Tp5q+7e3MLot1wALxKXgzt6CJ99s6sW542U50waxViYDFEBGS1lnXXfz6RAP6Z+xHcUNVYsh zwNN9LaPstmn84Ds9IzN+2MK4AKZjYixJpcZSgAYvLYqPRVMZ53cD4qA0mjOJ8umLwOQNgdtLfOS xmokiQ2n08yMqC/TVKi/j79b+IHN7J3ndqSYmx4qgqgJdinffPQ8LZVOxtcON2rA94WD8mJWo4FU tO2n7mBOIO059htLEc+ioPiCeHHq/8FXFcIlCN7Cs8wLgSAKsXJb7VXOl6XC3mOkvobQgQ8aeqtt iHgrS31zjKniWgVFQRSSR3Ihe4gogceL/vHad8feUGpxIHD8XASOTTEZFP54yEkMzErlF0VV3kO7 jxDDNrCocUgKkFsxkMYdeF6DIe4d8w+7pbPeALMMjbnQjclYYyXYqR6ulIsnVpc+2xbnohdRngD9 6TCQNwma2R0vwPEN1yB+lyc7kp+gzx5kkQOU1vDaEmU7Sw+tUdAlWFHXIb7CTL5HBSE6mWE8FX1Y a1yhnTJQUhhJPAUJICoi4rI9kT+SxMc3sDw/85Mhzv/sNI3ngn3JC1LtVV0JSC6ITlYMmA1qjhqt MC6SwoK7OLtZZBfFnUIi/+0Vk5qXPxSDB7QojxVb6amtQswvWhV1rHduiFaICPuXdXVulWXDPbxk 8RS84N7QuOC/XOrhAW9qvGH8ZsVqsIcQ2NG8WxFFrXVaWDjYddFuX5H/KUGi87O9vSOu6z4Xt9Na 6fNaUsOy+2hGBcddove+csG5PjJ7QIDEJPhUR/foxhvA97ZaiEXqnHEOTYxblxeq8WY5F0K1RcD0 d8M5d4NYhpdewBC+nau97WIduZmw/Bw20EQfWYatl9AvdDbceCtnFxXgGRowydtK8VGmgIM8JCJH 2c7Y9sGCV6kelnQaQNQR9aMpcxXqEUBjBZ7fUCYm1Gi5nMycwbYmIeL0mlbru8RgFd9JeLQdLBQ3 vFI8AohLgau83p8pSHRzbshQNNXdJgi6rKewGgG1+MqlxPI4eqo4My+t3MWdmQXm0GNmqXz7DtET limhkMjWIY0nq7kXMUvwMbCuo4XHyi5r2BL3BmwOF8ZPaJkd18cTeQlmcIrECVYS5IbURIBnIYj6 eBLluvNGtJlnA9Qa0k3FMgXf0PVZ7qmoF0fl/rG2gEEhZaQEhkyif0mmm92TbI15QaLTG85eFHun TNhsydrkUEiy6+CVIhLhwPQrOSUjNqECTLOuhdPstuR9OkQM0YwzvIWhfufRrqRDN4DULbpQYz8E yuMUUZnBUSB80lBSKVjtAwfMcC+R3DAhhjL0RelA9JZgMRXKDWspVxjh3OGdrsw2Oont08bnCoRj wI2+r5zhAHi7jkUmBoIZCyfUsb2oOvnJc/+4RmhNIljDfqi3JBIU3AfS2Kp1u19wNF1OWL3C61As rS5zBqY7qmQmkpCoZmosn7CAbgEps6144MXmwCa0rxpDvBP3F6vKLlCQKcSXnEcsJi4ebWqxOP4f dU5BP73Dy0GEPgue5Rh4VMhyINDzTchIvsueahwhwpDWagYG7FCunCSndOErK8HWkzVIp0CdAHmk 5D6uN7rIqUSHe1lKEC0m1gQeybOM39v1VeuEXhNQG9XjLlAUfIYJi6NcickqPUMaGHNjPB2hNnJG w6K+Nf49BMf6j/sTILBz6YzqPKysedfIYAxYXfi+yg2JYNrBVmDJBZHVNrj39lQ7uSrYF2jdvhHN iyv1P2vMeWuqEzo1BmciNDERSn1xgsAWrD3Wo87sUTyrKZPYw4Cb+KpWF2/XNjIQaeFm/6OrA9wx IuIZpzGnobMjcfjZIS3RdsOyK/n4wKvgOXEheIVwRjOlqT9wHly047wCrDV6NwDSLVBKLKZISgXi W7D8Svf/b7uWteYdUQ6uDlT8ZzC4TqZAl0Zj+WlybVsM/pBcLJrx3jQDKPnJfAChm+3byl9jZ6GD yJlXNXl/TltCbHsXGd0SojYHvLuBmW918DBbA1PtXcQ4aHWu0SdiDrMSyMmimNpqUh6sHQHHxJuX pBZnctrjWmuWXlKOYFkKNg3SzS5p+Oz9NRcHrYuBP4m4blR9f3S8e1JnqwSeCw8tLg9WE0RQdsTT 8gsvWlMB2LIgSywt7hZH/N+RAyWN3Of4xvWa/3THY1HDeuaGBX1fNgvjK6QqIVgiq2ikckDVaKlW uRTjEwWLZ3J/L6LV7qoBfH8Ff2rSOjXml7eoispczd+FQvUCM25qJduOUzsdYjt6vckdbi8E9Jrg IhuZXBIi3qnl7G0+w809Bpjf6HGBJUKQOiXwPjzsQc5pQTPutl9sG3wA89ykN3IjNxgKjUpOiZDS n8+F2PfSbUc/G5xsk3elrnRNeB5NE57Iq0rTJMsAyJvUqsiphdgDmnTEOXGY3QHkwSTTEeqfFiPb Hw1EZMLnOQgGr6TEh7yGKakil3nHIRGCef73qk8fqyygQboVjUXeQkAbPvM/BQPUILZwvkzWWg+3 n6y9rutK1zj8kr2XWotMvrEdUvJGFFuCO1tAfh3LY9O0dDRp3iT/fQgJPwmdLt7Pswe9UcTSJHVA cqCN4i0lhxSbk8OzIou42yLhYn4vTWbmBEmuLRY08BwwCDQHl7PC9Q0zhB/79tqtu/SrxVXj0Rdf uhv2ADEqBqdL1KrCljyVRyEb7xWfEMsN63nOM/QlomVqS/c4zDQGIB8esmIH1G3H7aZ07zLMf1ps vhSCP9VV0rOwn1/EYDoI/+LvvxL3RIXJoiJM8WN5KOhAcqgLa20ixo2W52XG3LsVLXwILDfAFMda 44tkh51Jy8+jltdbSkchWAQykeJWAYJjXMgZY//4PlhOyZCGT+QTyCMa8IoX1Rmk/Ts8XWlwRSwN VHEIYotTrCNyq/PL5HNbqyC+SO8oK1rhEDQlKyOF87E88e7Ykbn9oJLDV+AzuctTkC+78zTsYtzj +05bSBApzLmn/9fcmL5PpgdEGkfcWSCVsDZnxnxDPELcpMK2YDrpfWJiGl0vY4keLB40IHyBI7Vm il8aiz4rOLo6wz6yIzVvd1NmoN0U9EtMQbC2yTehmIYUXP+clGs5qlEEFTpi9ltyzvcRIwNezSsO L0Xz1VTnfkfnWaGUZYt6DdmV/CI4LBNVWLlhvFHhxW1rRP4AWCkVoaHKP1C6VFrTbhT068HH+zUj gv0vYjhdK4+rl08xpY0OOfALPB3rDWJRdW4Obo99NJt/AFJrHIvHH5pTj+JqlzVxrssO0xwRx9o+ fyWwxqOZ9Cav6fdjJigREX7xhyWr/n4d6SrPkcYFx7auX1gZUzUgI5k4Jd/PERLThDLFeeB2BJUs BTGuLR3ObeoYBh35lvK9WAVxGaSQKBLiUhW2NBfYvvqCxjN/eB0htuvbkE96n8Rz7Uob5AUFhOZ/ JR+sUOtKBsBQUj+TK/5AgVH1hiqC1RRn217h0s7zloMTdH+vA67ysVZhYpP3oZdxQQ+cANuNrXvh O/g8zJ7TMZKLXmdlHLVokRLJTcmDnf/KmCfA/qpfWso1/2ia1ERj9tOIycf7B4lYPCWUOtsO/nlj vgAkY2Nqjqj93u1cTRwX1qq6tfGaA/T88lFPpGLJnYgUpqP7bKB3b8N/58/yJFJF2Djn98SIqIw9 dUt4Jy8S9amESOYqCJVEJmn4atcU5MUJebak0kax5Zui0aXuIXsCtqOf3cSSp3whLJIpwL8I/C8R Dg+lBTRPp/x3BMFa73YjF/boDJdnQBEWTzqjUkDXJYjK0Lqn2MhUghVOOMUqWeb2rBVY+/WZKwz+ lPjEvXBQi3uiZTqwAUK8ohgujZ4uzZoanH5kCuW9mUiZ41r3i733m+bBSCL2oBlnMRjPA7GtgX3w zwwSXQpgKZx9dFcVRwJYzusad0KN1Wg0tEEfIQ/V4EXWd/vkkOvGYFx1zkkRkyO/wJ2lx9XErvLS P0sjgDp48NRjDhxicz3qkISLW/6G5wWBAc5Hh/omqefzd+dl4navTzQNi6T6kJpMNixCKoq0JhaR e46OrojfGUJzVSOTWFi/IC1ef7YaxwfbSaXBkA06igVO7fu373vy6jfwN2ycy/cX+PNPAWzzbB71 OlNC4e+weAoOvTUeUp7BEZ7CICWYLKDEa8gpmZDNHRkKtXcWiybC86vQ8JFRiLMhksoYK2ETayCQ dkgcFRDI9x5228AeRkaiOr5JlE1j/7Y5GCIfptpG+pHn7AdxqntO1I6kv4QlJQ6A70+XiWWYIEYN ep9rkBQpcpHaEuS8TQdQ6KOXax6DlfHvJ+HqLMrSKRLR3yF7IltbXc3DqmkYpBCKvmm52+m87xfx LXYIf4+odghMTmPl549j77MWdpV11YX5rW9QjxOQs6UWo6SipDWPyMEYy0/arU1TGqjyRYcLICX0 WoBMJ/ZmP9jj1brakZf7+PxYvFonOozZRV5KWUnXq5DRsoz/fQnaGSM4LSGbVUqWQ8hS1eb1rR7d Q1yN+6VlSel95yrhRhyCfVDc2JvaL/8VJ+nES606Y3hVMUpJP0W+U+la1Ip/sAXt/WMmil/gB6WT NlTqsimT+Cy9HYGNg2/XbhZ11dV6kSfaB5vLwmFq76RUpKPewNs0rwiuamKXGJibmRhONDQgHkqH S+9Ad25Qu0ZB9QkE9RvFsJdTfxqIcx1LUkjrWHt1D7MZMOYnbMUbv4k0ViCTJ+u76kkq143yw+yk VedTnAAXt2XN/KCYv5oEPJDCnQPqldqAXKqk5erhRB2EvcOCoIxI5LHatZ0UApuaZILrCWUkuxZ6 DCs6LamEQ3G0rYsLOCC9gAlG+yDs9ipOvHohY9tdaf7/Fa8ESSSNpSo4OMpmZ8K+cxrIyHLn5mrz ntOnV+oFP/P7xZOcPZL8c3vgeIAR73xn1JI7A3+aKx8j6tGVBkV7G9DFWdqOpdogtln4ybWWy6GC ZKuTmShDJhJtMgzT0N/tzOGicjoSrns+Aj8U/mkIvcX8f7nO8KH0SFxw3CMN2gFiMt15u7WyCfng Df/AVkR1LpxubrXk9pFQZsnzWm3Z3YctT+kXmODeWPnQwYhNM7MoBIF2U77llk/iyI1UXq3D3/R8 9k3849AGJ+mTHKEpff+LigNDlqvRgPahxqxWVQc2uQxSjaLbM7TVO63GLtMUKGpd5o7lXPAxCx2s HM1g1UoE1wQA/n+UJlGCOcR6g3Sarr+CWQ/hhR4aHdb9RATru1VjzpKPppEY73wyiBcdKa5FB/sB Yvo9xhybG0sqLm73CFd7rJd3JqAIqk5iAtNa4ayOo39EB6RTdSSUONlc7dgmdXDQqQzaRWDNbf1N e7L8MxRnAmupm4weqn6ZVlUkx+PtV7AjqcM/j78AjS5k0Nhq0bKMu6ZWNDnANp35scj/r0GbAPgU 0lBXh7bEgMZBMOS+fdb44jMIoQxGmKX0x10lHhPmx55f3S+u0MEJD3XWdZQNjuSg8t1/5YI7RfjI f7zqIfQXZYEungfKMxyJDWdNu/Mgc8SIIlRb61CZIBamoBZpoxZD1NYFaC63TK/8zV9AT1pnEOJW htB6p6ujP0UYpK0Fj6MSijcNgs2KqJQHZdpQQavC8YAzxsXPa1CQJSZbfPj3pmUZfGcEf9xGGIz7 yqg/6M/4wGtVVxV3MROIaLOrKyuxuFCvgXPaxBrSYCqj8qniCWwMpcnEYHn8QiKeBW2aoi1dThgY chRZ0p1cSMYRxfLcxoR1KHbbzhnQ/Cs+LeG/5cv0yC6k8PbfPpvH0EW1kYggCgpHGuHyWbFjDYuN pPniOP8PVGXyphCpGUSe4siUo5l5/swJ913RSrSGC/O2XoDp1XjvHqUmFlx8PY0KY3pix6zAfPjz JYqt+I05vpiOg6bvZXFjmF9uqWBoyVXrAyxRCwaiIYvem1ahjtoCrl4ZAd0afKVx144Uuzg9tZBX wh6kp4GgUZqCv65vGmACAhPjV/+tgtMSqZJkE/4w9rIvzuaVEC5hgXMwaAbdxBn6H5xhxz7D/kmV plbVxaD4SdI1p4DBMHk3WVFRN14UWzAviuk/VcNtS2UyHFUIcWwcpBbdaekxYoqyUzgxFza7obiN YIbF7C6fS6uSEoOxfZf/Pd+G7bLuFBsX2Z9Z1wCjd2cdbH9BbfgUpbcaEexw7gRy6ZjBhBvO5kuu 1AAl1I7rk1332+a6/jdiLmrvCeE5IdYLuxV4DFBd4OecialMsCZmfBFHCtUv7fN/WcygeV93+vpT jkcFk5Xf8DqI1k7cG6CkhGRTB3IT6WWpVvqJytKadeYDK8DJ3HNdAGriLfqHo29fg8b0tUq0k8jJ NXzcTHMesWLXXb06OEQtMtwnYrXmINdQPtV6BA/C4XhfQEHikK8uQrsuscCG+pORTKfh3NrGJCDF EmlWopv+klBlY/+BoXKFascMyGhKgBj0VN8E4WDKX77ZmK8YlVyEDgCHMytXb3ybZVkdCFarYTJS zwHOM1wqNRJNRRUQ+fj1nU7NUePHtR8Oi7BFrA1UhFomw89D+rXa63ETdsrILJO3fbpZDG5ce40K 98yVC6QeHlTHijFAeOIDVBt5QBsuDJJGEWfFf9/umg4zE92jxGP73hcKfQMqQHUUDYMNJ9dYD3KF IEb5zR3H/eHPPNMNJE6o6+/8bSWq6YnZvMjHgaqK8kxlUIqfxXi2OLXkrSaOm1RJib7EF85+K5kW w0VmyPZOiOmTvlmCUtBYpwTmntd8dOq42TTgk6OBcfD0o2Ta4KOHfRlxs8IX2idp4RO/fNPGteO/ Eowf61c0s6+Q7p2iQ4Xl8XXld2UTpXvqdS+VLDF75qhvVWKyPITTKZ+0f1fZWwFjF7L9ZXTU/BUK zVPUAebgLOrLBJx3NsCL6kMTPMksX284yVNpqIl6ajxl3NYPuV4mbrrZOFTPrqTGc4bUk730pP/f hhdMLkQIwnQ6mKa/ddgd9aRdGI/9hkATrlexd4g9FIl6Rhm01z554HuqHNNE5Ts3NR+7M3zfiMJk olBvE6GcKkJt4Ha0aTbPtRk67D+ugCs2+3dHJF5fnm9YM9zPFwbK3dZ+rFPmwJCEVPLb/Q3MF7Sb pmN+ziX/uA0qaaDUEimoPDQ6s/zEkKxgwj8vHFT1q1fNom/E0dEF89JgazR6PtfO7d7cPbBfrKk3 ViiyOM9P4an8l9rGlFo0YLpidImDLIMmbeCOCFfYBLnqNtDjpPvTR4C6RG9zyIEiuQH5V1tUC0+8 b6rhJvXfyKlg33C7oVblZlxg+Jv7Kn4YxW5C5E7aa+qkjvnvq0lx1xzGAbm5LQaMwqt+cYdMR3N7 8tWUByjwiEF+HN4356rG4kV/9qZLpVgn4jQQUYajAQufqz6wYD3R3t8faUe6s+ZCHZ9XbbR6xdax ENjqr5JjC9U8MgViq+YUyX9sQhk1X6XJxmKEVBezVhGrq/YxUY5MhUwWppw7U7OWtvNUYNewsrhU rW2X+r+sdSNXEtq8qizZrmywiDRkMZ+dwm1gCWG3ZjEG+QprTpPRGjKndE53JXs3IuJVFlnzAqUW rFTy8z5WS0h6pqjJGu/DWdY8GCPTfOEQ0nbfnJGGq3NBEkf9z6tZT/ow/VLKwIQxmw1mo5VE2Ddc a3eDL4mOwJxe/fhjs9Ar2oBqvfplXgBaHjZZUYTp6aijuNCLHtp8nu4Rr4m6+Rql2Xe7kZOz0Fqb fZGVeoKma4bY3nmVmjpO4df2KNS2Xhgfgmj3SHgxl7xNm1FoBewM+sZ9yZ9kSYj1fOVddK0iJKc3 YRVCFzbi+0lmVGETL4q5sbJ4dKSgHLKCCHRSVJPcEAtS5P5NCtLn8akxhyDP25xtjd0sAcMaXHB4 GxoLSccCKvp4JouHgs4/cDD5nNQj5GQixR8mY8c939KTVHJObS1WNvgWHvx2KVJHIHHPCJ71CtRn AXxY4JKJyEa7r8akrMnIOgVFS+kuawSHivS38PSIgIZ/mjKZ0CsJrROPszbUIQR+Iz8BJTChm6j/ dSVXV2X8s3Xl61VvzFq6dL2+eN+6avEWv1Ir/Zn0t80OaslJ3kLgQVEJNsnL6coNNAwZn9mpY1K/ 6LEA+idX/xaP9FB8XM71FPg4y3vk+TRNTzoqtwRZQA/9DISPGKqWxpyXUoklL4Ryjo//jAHRLaWM bVrBRGtH8vF8s3JvEvJkBOPr1v/hMsvc5loytmFfuOJLnr18CRNx7f1DThCblOUyCIfkxASvAE0n fUutzb01q1sXJ9FGfn10r/1Dc0d1po+Vwg5Lru0erpkob/NL1WVkTD+OzMg6yqo7t/zfBrGm8SSM wSyEvk4WBR+9iRueqvz8X2aUiRQjG4ERkGaC3DG/0YFgneEawzy08Vpi05ascQjAUvZgEtgby3Bh MmYnoFEsE7zo7wN1eiK2ssPrUhtTrIZvMVX3AaA5q5VK2+qIp7pBII1PvAnKIi/vEh6tW32TwPeT UZoSuPipfqqJarpAFOwEZWTdYYsTee0JVSdtn+Dg/OOdieEJ/8ZFB9jTrBIYEEsOhuB6Sjlfl3IF wC8ujU8Qj584DMykvcOMbDaUukOQlXjr5YhiqevJ7EaZf7F4W+WoDiDtKfAe3fXNYW74thDCzeIp oz/5FPkqWaPXd+U1pRCC2emNqHb9PASgZwDFUb7mDiKNLumTd85FKSCPWsEb60spsvZLsjQtSLWz 3FruZQOVEuIirZ7Nb6Nc45iWtpHaXsjJOwXv+b/OtGWx0J7oCe4kT1jolfR7k8RQn4vd4iR87yr8 II3M0srGyRGM4pSiOf4kvWa7RTP08UgC4heIyFelKcoGqY2VAELEjeyxIqWZfxME8lRTAGyqI5p2 hn03EqOj7idpKZ9h61TuDqm3mL9xY7emKP4qec42sOWQ4ShGl9cUeZLlZslG81PQANNSLUMtx2Vm 1QMs/EMky227zfQiwE3VoVm8R50RcqQMU2h0lZOSkrl52y6vmflejZShjrbkOq6NYwzSoqSDbrGI Wst4q9dhslUutkmjdsZivyB+iKr9SKvQPFqK6wfvU4ko246i1jyaTwMMbA7rPBuY/CWz8XXBy2nu atFPEsPAEdbPT7ObSlVHIOmjrTXsGVaLMGVoeAocixT/leDNK5aHCIOxMD3Aultzch3VZ3y5wyEM nhMqjBP/gCvcLtgsPg7DpTVQHSbMYxLS5CkG/ZnraBpconXFBLX3GOFzBMqP2jiysk520HHogQ11 OxIEG029r3qCyu93D1hK4OIUAq8l/jXBxsYVUFmBWuEoM7I0jtYjpaTzBsIqVf9u6p95h5LBi78o cub6r+t7WmZeSOFapaVa6Bx2F4sXhFZxpTOdtk/q1k7MjJxyIFhLx7U9cyYIqKQwXitN6gDGj2uv kRkzFCP/cBLVaBACWMCPC3EY09N+v0qTnCLMgr7OPvMgEr8QbYEguS3Pbtq9MP8KUAfk/DVkTmGt zeN47X6RnWijSPR0oTbPzpi/N68ABr9EQGfFzK/ZLMyJXaICTLXbdViJgQaHsPxUJf2Dx33COvsh bmiIA6dAdtWpXp7Zs+Ohiy7DfPHVLUEAPDPsjFhE7+xAd7oAix2l/mmmo1X0ctahPCHC53nmp8f1 DK/8gb5IAU9n2t41CARMwOoTDJbu78oxgZwYW9vGGhck5fk5Bz6HjLKGqMpQq0UyXQ28KxopoB9N Wt51U5xZLMTd/KQyh+Oa2sL0PpgdkC7tm/PfpCAE+i66GZPNLZQmmnxOxjLjsstz87UaURUq5k5x ZOJlEAHBCuVEqHKdLdFsP+yfxlAzWfsPIV2IOtXsMVHHTSjDVWwLUnIQHVbFtCU137tW60vaqNHg SOB+Bd8NWtyHLBo3ytAwJCPcQ+6leISE6/dCjnvMq8Xv5ZbgtqbNgldseGlF7iJ8bkIdb0igVn3t mBvcWMak/zqC45nqgk2FP2B/jsSZNej5oyGyuqsDvMeCxKLqIo+jOOWzy+g+cJDiWUwQevK7m5x6 +pIKe65Xc3N5LfyUnVGzzEaDf4pRuLTIQxwX53SdbZ4ZHqh4bKrDHsgvXKgZVXu5rMnHBbR/MIZ4 NJvNgMOkQRx9OFupq2PmRu3SzRr/26lyEP3AS9fp9InZVQ6m857b/r5GsFqxU0Aa49e4apV+sh4a KJPwsgQGlJwnmNaU/UnWmYCqHR0ID7HpIVd6UvSEYqKdd05etfDt+4XvAZImwfPYM3eup4WtOp9s ZpWKugn80tNzgTv1A7z5FgeZQ/b01SACjRlCnuU+CdKKJ9ROdCxgrnR9u2yr1c1wSibAbzXZxapq PWxa1JvV4ADMj8hPgqSDkL1DnIRIT3NDQ9R/SrYorvjRT7a3mks8gxp2Te8P5i3DLZqB9vYsNg0y oUoTUDsdrMAmp3Su35dW4uRgBHs218H/Qe8vmbjcBTN6pnoUzJakQ1zSNYBmQqFc4D8NLO6xd9R5 W80MEbL+3o/J06HijLDI6BAWnmwneX0OcHgDRnl+2fMji3/MsCLZTBwJQkDPy5qLz7UPmV7m+mqi AF2HSKq2dKjz+JUvDV5xs6v50CfW2ZZEzn2BAEZOrx4CCE1cyoBKKtbu8bn1Z2WkPfjPhjNYoU0u y1+KTa33kSIUc6dJ17HEt6iB/g30gPEWDqGOUcGsJigFAHAMchxDVymaNidDGj/JCpTlnT6Ka9eV LptmuqkVBPumdysJhPwbxtzetoARUiN+kh+FUN4fAw9wr7hln/oqCMf/yaX6ika0WglV+Sxkw4Ac 4vgkCbntjdVjSEv6pTxYrzctxtwrFiDigN6Hrt59Xa/Is7NZF8NeDrseSHTdhjQjsA6C3H1PUdnQ v9Y6Sb7j3yuK3cq1T38kNCkjhLJnOT/rTgjqGJkm/WJxvFzIUmpd+uOOIPTXz9xL7Ir+u794Ggkc gcDFzmMQyQQd5sGYQpIVj8CQEPbAf9Yg26w68DfMtOM1+VxdFuUBMqS/S1Xm9sPNfTczmYjXiQyO elM4ldnyr0CvpLnT8AjxwsInoF09ANROv/UIJhjlsv6RRWxxrWjnU7/5HfWNTP6xfouOr0M6gpIG QOucwNUozlsQ3UTiSxxRfhnmrYdYD0mW/WwASQ+K18q7SY+kck+fFpwDv/ISMSywlToVuax7qe+E 8MzaVGfMxYtQA+b3+rOJmVO7Zrz7NTORqOZgC+gnRrOFAwPjj62wgZQUVAbl/0o7GdQV1/2peXwf uKTppbOeBDH5jDoXvlXxgC5mNaLlISHNH/g1+XsNDcMihqW8b1vj4wRBhvFNzO0oR/bmLNY2Vvjb xubFsm+rONCSS1b+hfT5i4iItsWyFkNd4EypR7gYBsvLTQAPooctgvMDJRu9EYUiYnZ+QLVwfcAM jIghZPAWOSyE2uXc2xP1cMWzuyuUmz2VEcbQRV4bdADFMG9rU+3Mt+LlJmR3D7xMFKTdehM5/bMF 5ae3C9nutRXFzDvFA2flPglVZVf1fXE9JXCQKpTtes13IojW4nmuIQSijZW+iOXIzl6RRFvxn5iT L0tqzQJOce5KtwPnzVbR8UULahznd7SVuVm1+MuCoEIy/9pX8dimP2WNuDrPUmKm2VhKEicIDvpi MnjycKFv/TDCEi3JgRuligHXNetFSLGHPBv/j6Yz+FceOOjfrS02zkKeAkrVkMcq1Uj41UjQf9WE IdX3HPCVp7bQErFDIXdqpRG3LCL5xAeAjxya3YQs5WxSJUSyom8tMPkTW1sSCQqCP0yxAOzj9VlM Q0Vu+j8Pvye3S2ZAnFMwONFl0yAxl1WZLJ4/jl66Y4jrz9/uTOB4QEdi/QeREBtRrOqzrDegwabO y9qrId+jW3HFsUiBjx3tvqODkycmLf96Tn2k3i44ShOAg5MU81wgdZagp3pDVSs2PFsRAocXvGxC WPayBBVK0T+NKPibgTT5DlBbCjYTYT7kiMHwsQVneJP92t2zY1n6wI8q/nPPA6HgwyE9C93hX6/W mtsHVeZq4ln4rtS5WsKjLtawGNBiUOErbW7Aj5cN+gsbIKiTujJoEDga/uJKJtZhRLWzh+8Y7Xw6 wspOK1G1aNcBylgD++zKRBaLPodfTz/Dt1AUUWD5Z6f/fuiqknVI0W4EN71PhBQeNpMZpREVkXLc j5eL4BRb+OpRSuy+bJjoWDJmOhbeSlZllai5LjXYtcHN9JwwLi9cvgoEKylWjoUWU9NkutHlmRsT Aq8AjKthhy1Tt2QJgazYZMxlYjVAwZnrwrf1aVw09cCk0UF2bYeB1O3MOTLZNtW401rt7fIWwtT8 KSZ0neYMQkB7SJ5LEa97BD4BjxxTKGaAifthzyLB8yLYdPl9Q4g6/DBk0PZxfPxG8ECGwglkz71M 6gKtZcLSUiVsidvP1SJMsplaT8WWjR8iPRgWwf3gCeDRvrpVxw8J9iTSb0GSPk5s0qCwUvgPEdOl Zm29eD1mHBWSpdd3sl9502tpG5ShevDdwro/1Xliq1VPaUw9rj+lvaFa0xVn9b6f/S5BcrAAcsNB gmSF+lWiRToT483eXNmrtOJ+7PkjeMZ/iWxPyaNbpnPifLk5jt0Q3Ew+kP4WNnkG58itEbzH5a50 jiSooLGvmLOJpB1OVN7ek0+rkiT14d6coTlX8Sef9f20lfygoOvZZ0QFHGwHJ9LWJEfXG5Igoxy3 826BJPhlLKi6uLytVzXMGtjbZEMKAX4lSOkWqzUXptMPfGGAhyoTiK5fRgxY1cwg89HEtFvHNAww mer6YVzSryUjO+Tofi9PQYsJ8c78ssQC3y0Kilx6NXTjkPeyUGDPTvfinsMzsFPTU+y4912o2V83 xCoHNWUf0/mlbEQHTUorgf4lZ8uNjmCgUVm8B5q0gAjx5sGM/yZtDsRq7cYJWpUdIyXVFVR619JY vzm28S/QbJYjht/w5xnijxImEChBPU0D0pHtBmRoNAC9ltpR9cNfsJcM5NyDGtXWsN0KWXM8NJBz 8e0otx9ODsZjP7iprrE55cTnWOYvHA70u2KHhY3l+vKqCqzKBG/oFMIkCE0SKPFyYHL3vhhmSUmH m0yh3u5tdqNgUy9GBlokwUDljauNmSNaGdH44RuTJOf7WucKQdgiMQJG2DQGv4SHU9dqUrYuCK8D fUYeyjcBP/hGJO5O8HS4oftiE+Oy0My2J+3Pp+mBxm+UASlaaskwbw0heZJeo1+Qyve0cbIdOP+g Zq3sb0iy59VuXKuGw0d8p5G5ba6Q4gmm8HFHmIbVZD5UAC7pOK3tthPGc8zYDIkBWQKNW+VOtsSc xsN0i2gQVG64vPPlU77s7SFgWsMJlHTwIClPCVxjH+IvHO+6Uy9cDeX1WXptDJ8IPiqg7VRcyXHY KUNZb3LI8PwuEd1da0RUWa16+jh3RupXBNXLNbFVEZ71ewBkfqLNxMct0rUyw16CWrSJVxTcpADG Nm1+F1iihdFosGI6uw8dTW349d/suwhufOw/AL+hjvETBsux90iY8Vwq/AAq0r4n6CEQpoDpe8lr Zagmsn5oz1Fo28Lmm+7oUoh0RD6QCklp/DMnd41rZbFkBv7cdPNR6pZN3zuYToYTL2Nx+O+pDfGp 7xFqIU3WLiFqBl8K7qCxMyFYI+yMOC2mB+gF52x96ltO0Cd8Rwpyx2/5yMdZ0VbFikSSQq6YY4iV tRWyZ5E981c5INnMNGrnGD6cytkD+EgKNzAlt/QlIAFXkHU3bdB+PIrMTfTJMI+kXLvvFabLaPVc cYF2VyJj4rIukg64qH1P1b8vAZ5zWebquJdDTxtHi9iCnQzYmFgd+A4nF3HOftx2cgIC+GJ0I6h4 FppM2elLf7VuSwiX1Fwk9UBnVWakXPUmJGkoEwhmJ3afvzNN0TDGDXUpkQuAIn25A4iDGY48VQXG kSRFDqcpjvsTL2CMe++88rAHwwPc9Y98Nadps2D3czmo/gUT531ram77cTdFxkteLMRzLJB1eTG7 hNH922l3tyd88/UIAlXaLDafAc6QDRTw/PgHUUTOaaKm2QWEVkiL9+YgGVFHHSEb9Xc5k7qj37lT 3cLqqGwRTVSw7yiHeUT9rOJy5/kKlWQL3+xzl24EZUrX+Rc7JVkdokXIqY8Mo++bBXouJXVnLijh CNxspH8CrjbMdZkPwPvJWPAFsOXHgvVZjeiGjYJgNkGMSWmLYp9Ru1yOIIDWTjoFFrN96T1/6Qff xqDyxT41of6LanMZfHtCp3F5J42M0lYdaRCGrASomIk9n+VF7/xw0lSug8A8Uar3C9SqYvLtXPjq 2ee2vwv+t24QKOr3RulN6KGB3XKwjiRIX1iLcRutzdiBFfPzxW+WYO9ePUYKsix460sT9+TOUogP BrVuDOBnAaG8dlYiZvyTDIPdsX+QRGYNLpqypSVzQYG/WeeaM0CbGyTifKJpoCDbGOXpPGMlmxwT poEWA9My1GXZ5SYM4Hi30PUb9Ep7UXHhA8hnWYPdiFQR1kwmcUsNrlMvwvEe/8N/9k9T8kv1yYLR +nRTSVWuL15K98nk3c1m+BaK11OesvfKI7QLlXfY0dxJDmg5a+XSU937B35zW2auOySgpyGdmpLs NORrYvZ8Q4/a95OZZ/DHfBngjl212RJ+mymgt4LE3J5Nrc7stL1Ke+kAB9SmpyfvwIEvM+4xZd1J Hh4YtdkBb1CIhgl710QdCcCbIgS547p8pntrbjxqJ5rIWGdKKOynB5EywBiOb/eC8P9JiL4stQUQ FTlccaJKJCsVhDXeW8gGlniYLCIR8fJVJ6O/QSRunbU8Jo0H2E2bDKcUoNkTvI/pYLfQT6TiS6w+ Gv1Z6nzdyOt4jiDUKg+qmpT6F43AfF58zN5/pp8mhCAjGxe9+Bt4KF5qqC6VxQ6ngPPTBg0nhgDU IyRCvfXXLThsEg8mZXcETnIA0zOgax4B9ad3tt4iHqeNDalsWbvFIIW54gX1qzPyOCr74vDaFT22 RXC4AbAU7ijyBa0kmk8lQyMKjOI8ywXuDqmGJJ0HMJGC812SIBqCFDI9OZCKjaj3KxyWcNtSoDDg 7gM1rBXp7KejXAzRT4RYH+KyASVTh6H5jYnizFQgt+t5urXnXyf787UxuvJgsgYMUtPf8h+2UsAX TalxMStjEc8kcHDe0kCbXGZqrIslK3YLJg977C7QtCWmiciuK0WzR3yYxpXCUA99oTqtBdGuXfbB aAXrx7xKV5Fr3zmR+wJrdejO9DpMb2cqY9JKKs7oKRErjwf28nxS+HTafUYg4QerXEuJb+UygTDB JfAAwka/lm0kdLE6QQRIokAT50iuIGRaN9eLKIIAp/UyHN0gCxgM79nBNUSHl12rbce2DvCZs0X2 ZQWZ18Jjy4j9MN2z96XlH4yfLbZUijhM20H4+oQpVHX0tjpobAv6AoCZYY4Qkx/9vRBLCQAC8Up9 DVaIcB6EVfxB1reU1AyOKzfULMT+XKHQL6cugxedMYjrDBqSBaas8dsByOglUU/gSADFKioBb6BU 44o80MHqQBLTeqF9HeBmH1iHD5mcS/JPWjtdiaapK6rVkR1CGetU8oTcrjsqxIh3dLGrFbeYDy/X CjhAHLwSiZCALM1ez7sgtI2wQLMH0q+C5j12MszV6GN0p1BGb6id0Cb3NO16aOopBouJrNg2Ey/r IXv4UwJAvqL1Ks0GPyZCJqQeIBNkl3jMUBbF36uOPdk/JaARN84oB6RNpEJP+CgXfSkV0oPMakQq PEi2l4JhyolWPTlE+J1vPhWIN5ey6WsJSVLRGlwk1Yvxq71NxqYsbFUpbTQvsuo5h/MW1yQQ2Gly djX9MoNoy+JJBMGxDTLLC00IXSzS+LVagZeTqkEl0NRqRwrZjwG4Zbr0WOnf1L4AlWaVURbN+gHz r4bLYO70F3IQyQIR7xzG6eBEAe1uZcAycz0gh7qZerwcgVhrsl+QGem1Sx/+IZJEPPgkDAoHgJPu 0JPzxfuLxW6moRgUJleap1aqpPxbJa20k+p+qAHlP+HhcDHnHbkec0n2V1vf68mM82hFTx0BsP6h MxSVKTNv9sHI0+q7gU/X+a6TAHX2sNU40uZUpq7rgatdE4TgosuaJNDmI7R0kpw4yNmSLGwymxAU lXEUtOW8wNzjIXOiXFa6lc6QfMmHJO1XnfQoKN0rhV8QZzy5TaDL1LAvjXrC8RHFHAnMkoVXTggd IFxEsb+VkXV1K4eiassJ1WpUJGR9VyUIQhsSFmacxKATWC9NSveAjgHRv61q5ZOf0ZxQuSWgX6Uh +d5/UaX/+wZATPdqkxuRpH5hdPwESexcjhn1Q2Cy565mwXXKEUpoWbLSvSIQrqbwcNZP5mzMKVdH 3tPBj5IPY7P3S/no4Pi0bsJo/gcl+F5Hk6VAtkG9aw+oKD5hd+hg4Mu2v/kitmQvQQXxDjTrl+UW 8wPSdZuZ+1Cly0BooqXjy7xaCZLR0HJe+iiQ57d0WjzsMxhHIQZpphoJrFmNIW3X0J0KiHGY/gAg VI4X/btb4fYAuCRh8FUeAw9CHiCl3RzL/MFCqBe16I82aLWDJ5t3bpevYkXz0oXwDOWiboLjboev trCkAyOn4J/uXidvzoxtFTQ1dKrRmw86oVbEGkmbGuafmUuYLkz3+bS72JiKzqKbUnPvaKfpNFTm kfrq3aSBMzhjhKbXb+UyCqajc339iJ94FtCafyVvkw/90QL8v3KqrVjk7ur1xyYm+MFKsRiYfNTA gu6CFJuJLKLHuwlX `protect end_protected
gpl-2.0
e19ccec5604e348542bdeec7b6f4aca7
0.95031
1.823932
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_fma/flt_fma_add.vhd
2
9,221
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Kv+OwtB7FXBSQwvyME+jgr7gzoSctmdNE9E6EvTrO17tXHSGYYaZNVKFuABJEvp8gmFBCXfqrz14 FgI273D5PA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block f4oy5EdBn4W1WMhzKYUd7PmDN9QTSGSaN3QMrmq1Q1s8t80pHII116qZvkd6Kuelr/ppeQ2IG6af VRCGMQB8jR1b4fuX4aAfXUV6BmdZtMAnu+syOZWD92v+MIA4hckd3eK4HfLeRA/d1pOXkJ0qZGfg WltANVDrKOhy2J9WA3U= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block V1J+4seL/NGn7u4h9XBx+15rQMl4s/BvUm1hSZBZ6kKDBXZFmBVVrnpCtDIPWGpIJRieKbqeNiAB EmgKBmNxoS7OPPHixScg0vOSXLiNW+X/OjMImB1w4Er5JBUw1mQOCngjkXceK9QlHKZw3cnbekYu EBmw53liBHYK9G1Aer4Du+KWKzUVx2Jmil2B0JDM+06TUDiRBXWkCbEk062rTWOqkULisVWPbCI9 kFqykr73A9RWH9PWv7NX8L6qdc/BkZTN5DB0eC8oYUgGZ203qXoMdmoLdz9fFtflzU4XjiJc3/W1 kcYd+W31eTkCfXyLIhH+FAi4rGWfMznHXEjLkg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CP9HwWYd1JUK1BfkvJPvwdhW4VYxpO1MgbiopN9aFJpHpnxcDzgU57iN54kp08XBGUCL7iMB2kek mP1SEpO9/itm01GkP1jYIdduFhdj8RA2i8451mow1kmc9axB/+eBWH/9Qw/y1/tSwn9n2Ny6eU8B QFLVWCDIKxsDAIRS2ZE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TkouGNzh0uMqpnq5kuTPtn6dFLbdAtUrZ3hXD+EYDG13SyLo6lMa1CgJXR/pnU0jZyv0DprEbuRl 9JsJ8lNN502he9Z+nncAXXB3WJCvwQ+0kkvqpv7IbbvdLXoM43wHnC28Ng7JcggjjDfDY82DwOUA z4HA3LW6dkeWOCzjXp0IQ8p8AfObcLPNzMyqRCEgtbwUU6cRQC5ZI2kgP6zZoLN7j6c5osIWD7Ma O3HIlRWMUqqijNcxjqmg+qnTcTt8aIT1fPvlweqSeWYe6bXMImZuBrGqtIHeEcvy0T/ULw3z79dI 1pPQ1Acc/LjjE0Pb5iJ0RphO+LqDtZ0S/wA+GQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5088) `protect data_block HwitCIxZSF7DCFC9bYk7snq9O46dE1/6M/b913MpjaPwI41yW2e82+sRq9oa+gujVNNItO1oZ4uU Yv0nWmYhbNg/AG1VHtKKDnKdZONvqaxuzrdfkH15cS/8X4UJHazxueH7/Gzs5ZKd63j+yZw3+o1D IU9/8kHVooVt3LSwF5ZfCzSslU3VrHFuVxaHFP+qeKxvmQ+fcYjXxv1sMhGkVK3bHmZsqR1Kqn1c hm6tgEOxA9SWkd9bNgDJg4RduNJUoxeuC5Ihf2zWgOS+IIjFwuVZJriSzgvinvo21f4iprDCyEum CkopfDiFCSRjCcOfQIe1sVaue8f/HvPMMTULff0+GMhAiueYGPRlfPY9c8Ik1qEIryXXL2GSiOTh /b2NwOI4Hcjf2qdAVEH5V1VzJyu9eocb3RwLShT6Nps6xb9YKl9db7l7vEerrSqfEapxNqmi5yBK a7muqVrqClouiZAdxWF1qQDop7nFPLxdwdViAcDYf6SMc/CauFsYFe2FMm02Mzr9Y45KLf8mZkRj ZQwDSWseXNUui7oKico5og+Ss/JfbPZdfLhbpkUbFenwhbcqRgQzg7G/JQoIC07Q0X+tPkh//hXI vuq+lfLs9bD4BnM9o9kMGuffN2s+ATrw0f+vHtIl31pQm4ciunSlnczSfVT5bDBlCfgHAr/WQiDS f6/6ZzMwthyi8IV9r+xNVMUbHCFDNVf0sEfinYBPjfLFNBigTZriY3HwEV0QNWq1i1Vy6YtgkjJH Gj0IgLBn4XLjyYkvQ31KLN7EkH9YPpSUKswiSWbgtV8IkUnCMqmWrOs2X3J6WkhY3fOjX4W7yEim DRaOWZDlV5un/+j/FABhLi++/YNM7yRqK59QXFUhtZgCSemrjP8BkG7O4IsR7KOBw36y3AWVJxLL j4x92NufUt7oCL0P8oZrb5kbf6f3hKbcDTnMXEfdxPSJG2s2s5OIU+CEHiNnwFkE/0bj4wy8uHVc kjKvmS4OmHxN/0N3ZhLr9LiAWP6gfEOifqvZohNhJGn+4qlvGAN2oHdwdcEZGpHTJiG/9bceUuuQ 2IyncKad3ACGVNeFZmYP2PhhNzv1Oxkj8ij20AUCmrQKWVQdGgS3wY2VgGJeuZZL7yO7JcG9bkX0 avdmjXVepIcGAPPW68c5KoQEK056k0GThjlScLHJKL9tyLezSU5egE+2zmbXLipS23N0CurFnQT9 hj+tgDUiPXMr9Vj6fqRZCtMK1xp/5UUi+Cp5ZiqZY06lTfHoV7KOinPGHFJD1oY1AhR0oePniID3 uqOMO0llZdG+c4nnoW+XsgZZH6POxqZ7rqud9Mr9wujltuYtXI9i0Eyi44lxBHRp/eIsLDGF9pyD Q57scq9SkrrwSy63z5nV1CUCR00zQ/EJJ+kfU8CZPJEnQri2m+74dFSuq/if8Y8257iM48QzNACx NrVo58Qc9mKQYZzS6aK/LeRjBA12UH/jlDLJsge2XS8jXbUS5nlXLoAPeTvI05uKol0F6COubH2t h0J0prux+cvj67vOEbLZVNXPLnzbukepTlSNgYXyQC+DZeOhq+XvNjMyUuy3fbrj677D97Q0Euzv JWnoxOLDIRHJb/O1Us9w5rUj0bcfb/InsKcI6SpV3nEfp2NFE4YvFujY/lPToER9F9O1Vz3mxUAl 8BW24/hkCskvHf/tUda9L1UiO01A9H6JTIFm7u8jhEMXjdNm/+6os+GgN2PJ6My9Cw2B4gypLJxT hqkgK7t5p2XfgFparODpo7QfbJ3YaLGPKMVuYMkEhXbWc73IODMprEhQvnJZcaDSPF8AlDXJpaLN UN2LvkP5flzfbWhZR/bzycbucG1/lwUkdOEq7E9ONEvaLaE/nCRiCs31+pHGJbLZ8Kxjr2v2NzA2 kTQmwrAAw+9xiYkHmEUceGNOLQKDcuTpTTgo7+z5OZpeiIEWTblrQWrv6nLO50+7wMVs5+NXyFkQ 7BCZ9nu+ZrZ2n8sJMjLNelJwRrJj6sT1LVgmIYyQ/TC+tCwbG5qlTMKZxB8HAhZbBwhznX6Bvg3v hKtCkuoK3hxaVsusWBN7zoWnbRGIU/8PSjczmBvSm9ztgy8IHO5YU7q6dXZseXDooqOSWstFwSEW ohpIvdIo8YZZwRe1s2ZHgHBdBfQBWqi1dH01buJ3aFXochkEi1o9pUjEQZJRwdpHORKgvEFgcVAh u/CZrlh1/CcFH0Rq55Ry1Feu8XUnDONpx3VdtvIGzMZHObAJlqus1AiAI+KYZF+LphifDR6a3cPZ lZCRh1/NOcMyMDu5vfr8/b6ibE5L/1BvMYAQvM2WjO8Uo/csZ+VnWWLfaEIVQ7MOzW5/kedQxywW mB1rX/Dp6p46midrFjp9Q6bbHoB9Q/86jC18rjEN8hcttmTxAV9JJiqdlgmltjab/YGIfmMSBVvI We9BMXjEzhhnn94R37nZ910NLSH+df9PjF8OzNGlpgrRTR3vFH8Xp4f4VurwpMJmJRlPGo/Xim89 0J8VrWtL/pW1qdxiKYq/tGy2DvBJcasa/xIPzy5CMxtj1UDa+phCeB1uIFYidqF57UCIB53FabSX wAKxs0agyEZa9SXoGI7QnhUc64Kv7PPfBYF6V5H4tcb4Gr9mGhst8aDGswqigxcINnwWFapz2447 zsRiS6snhxzc5Xr4rB8xvZulCED8zP4jHGFDKt/E8ScG41Tnl934djkGzYRYUE6TNJUqJshPyjy/ W467p41Mp7m3/1hv7LMeD+NUu6WzHtB2cR204brfmVyh1zfOww1Qvbvs/k8i32xy6m/hJMOk1HpB zS5gFLl5ZikN7zHzZQ4I+oKtQPIHFo3b+kJPoly+gAAM7PbPs189aABIOtsdvFcyLd6+ZiWg6oCN i63Twif+O3qc87RQHKogZZ8QN0NlWpwot7hbZIGQbE2sf/su7TN0pF6Zjly3yAon4gfb1OphygRX owFlIXbHz+d9x0kihaR4EbHLyQXzrN8s42sKO7BplqmMQF107bZxOqCDSsTmX2BdNqcLCrh4lgpB V3nrrV3GYb8lXNWSIZUt+57afX2eZjpQLaHOOmbWIFp3I9+CO0MUnXV6R4pOINB20CMDMdaUKkxM lpHjH1C9vOVC2brDXOBxWpuGjqW3X6cplpK5gElM94augpUt0g4XKvfIQkGbxhv9KFz8Bj0xLy/I ZRJjzme3P6CmDhHUeja64xx1zinyUs7xfIC4do6JtwhHG6nKdHb4FHuHjkCZnBCyLBJxzxqWVVfh CQCnN8uoNULmDZiJLpfZTJ4nLUpjDbV2XX3h/3Ec7UqfAECjMzvtgZA7w4ynKYQXkqnc4oolrQfY msA175Sn4938WCXGARMEZyW4Bna7jlNX2wlAy62PcMW3SjQIqHvXiRRArtxbq6iHFcxJw6QfNdmw XfVjKVNnQbU794jeVlb3pamX2LATHfDW3s6s9lD5UlGY8JCi1cclXAoIue1rs1X7ZIaMnlLUG8K2 Q5zSQsKZGHEnuOWbhlPAja9zS+Qs12lIza/cMT32Hg0+wT/OGwA9bgzkfdSpTD1+GzSUhuyU71pY LlYRsoWahHU0z3kb4egDi+4otYRYUwpsC39mD74BU7djfNgowsOztJO54eFpfr2HqVHZto283kgZ oO9YyKCbH+DS4B8c4dblfyruT76zpV+Ez6sjhCGf0kqMledoZHTnuNXIMSU1ZiceQwjgyS3TXOBp AenyEyULbGblCwUXnnRl1GvC1xqLzqMblex7DPbbqC8HhGg92M+QpBu1Hf307UTge0VKBh035sW0 iW+AGIhZ5Eo+kEwcOJJmpfn7dnJ3SSH9owXj5JaucZps6+zefxPt2Mt/5pWQq2tgKdwqa0vvlFIT SklTj/ZBZDrUUnRT5yYPUSJi3XktSgFxFAYJGMdwpG1fjnmtijKUcauU07Sxe/EFFjNm2JC6B9Ds KRi2ljpo2NDIND2+pWlIIR4PsuaYoiaEA5/vQ8SZOa75xEsi7BPE56GZjdq4xaiBKIoIDjqUR9IK fQLXRiprozT3kUQVNzBYf/KgHdm9dJV3w/ltBFJWXnH/wOAFy0Xu4V/KqZes86csHrRzfT/qU9FF Mp1qpDlIktsDogc9A4zf0u7Xa/I4zV5aH+//tLlrHZiAn1z/CcTzY4eNdNMseGoGVyKaEO4j23/A VtUJMG1vlUY3spCh4RQK/uILLzPsCW8vCxquz0a/LUxb7UkGWVZMtnj9/QWykQA3OQ1uGKRZa5Cm O45UsbpkutQIG2cIJjrWqkcAD5wIhwr40+iQcpeJDROc0xIeHhygJB8sgsbxQ3SfevkRAswbeRpr fkuwee51gPpKyaMOIzycyjvIM0Krya7iFuyeeMKMxworTjctoa7NMNO0aTOKQqt2BchCdTya36D6 axulD9jQe1Nq9jxnykdHtzYxJh5ZteBiuwCeI2tXiUoTdmLfMJebsbr80EAmgVAQRtZ08OXtiG+z dR+iulKLpHcwlkPnmK8vxhkwiMCPX5Jli4YDZ+9nUNZH8KlaK0g8Wstt09pYeNcak9uiSaeQsFv0 LHAPFtBhMCBMMSJU7hSqfu0yaaFW7TDpP/kId/81/PdqyTbcb9izJ10orbw2XOH/7b7VDk0zqm9k xWksNtvtMJ56/QYXWT1GompwtNZ2FEqy6KVOj0PVb77cIeR7P/saMVCYTpSlD62+M1miOrRWRP1G 1OUuiDy8zKSZtB9uDo/e47KPhb1dnozoWki/xwxOgywTbCqn79uLwhLmWwLLD6v7b619cmD8pPL3 62lba1wYNbWSkCVhn84sM3Qtm2bAlHPgtATTHfifcAis9aAKLr6Z4enAAUKJrjKTmNbebds74rVY peDelwaah8FTLoiyDGRuChVREPuRIO8BeHG6vRsCyOAI8sMkWIJ9UrvAucW27wsMEA2SgXThrRBW +wr1BJZjBhdYG/8UnUliWtjQntYvhmHeTju8k21G16vqatvdzGRe8RuD8zrZAVnuVd6jMguBJVgm 3Lg+Ic9wIbwKuN/Ft07/8CnWRGDdNdCYV0So1tdUnd9uMtsoKqP5EcdlboFP508vLMtwh+gJepNu 9qSVX+YmGeVV7JDPkoTLZXWt2wIviZO70S6TTLpA8Ve9AEbUn65aqfhwXi2/KIkuF6CWmuiE1du5 PzLUuqW1tcdsloU6/o3kBgUwQjWjBCCw6W40nWhS13g6jI5EdvNQc01GENAv2wUp8cbvm3LTswwa ioWFmJZzmpOEBeewuneqabqzEEgajgtC4NZiBb+qR/2byyuUysO939NXWPBmPGiaIrtH/oagBEvL Qxrx8My+zS4Ne1lZQUCiRBaPqShD6+yrVbYwxXDm0yidcIA+bwIaJ/Pb+B3DsthJY+8LjVX2Vza0 jys8CGH5+uKPNqevW+/KoR5tmoHK+GCVOZEiguMyLUHQFHHvV6F7BqGu43bCYvu5XYeH0miWeqXA Rp3FLi6UNQKawTfnTwuCgdz+EiLZaYszCVKfgMjVcSwXFKPab8xsST/mb6XNzVaOBrQEAv4E/UJc kiyMnDalEoSwRwCPVwPccvpvEIvUWU6sL9Pp6HPKnAZkkBtJikXr/XLzh+B80zHAkB31dCWLdkYS gHymCUeC42wg5iYlG91jIHGQ/p9EspGyjK0DjMawDtsoWgRpf+pWbt0yZfkOGHmKBkLoKSv7g/gB xliCA4Oj5rQBCiR2VBorNkMOGU2k1T5SPE029AsIGqLXw5UUsVz3JWGzWdphROr7+rTUTTmOiIrN n2pURP/jenZGEabpXc0YjppneYo+zS6cr0XpGUmu7oDpQSWEVIUUvZ85knloq5FKqLQ9gQwwmgMC 7a+F/2DVKTHDuBC1AQ24PF3AlSjTRXfx6foegSRm+/P+YaezxiC0PdUhD8P99lsAPMSk2EjE0FLy SZBP/6W/gU4Tcj/UGJwf1I0Fw87/Oty9z8+blCgBJvqT5eV774P/85cPL7/ZAD4xKLJXtSeyXtNV 0RcaMhKiJtQVClmeicIXaXo5v4vdOCHfP3ltRoE/iNjWa8aCtsQfpFKDRCf6G8sM5nR9FI/eiS20 /uvjuO6ED+GIYgzdVMMFInDKLQzK+r2cFXVFGRC8s+2ghZ4gI2olCHo0kGLyDxhSLqht9TnKpHfy MUGpclPganiwx5Cff5MWjJaThNGpzQfBHR03o8+OikwnsJMI/DyQSfb1YpLh7fCF2G7QXvTnq/FJ 9fEUVsDM4tu2uRp7iyBD0+C623zJU8BGCJq/xOXVVwrUel7pGZTeu9JVA/2arSVFOZXYcY85qkwH UuMZFkEOWQ6vAFyM1yek96wjrk7gZQV3cr6kHd2SN+wnVuMYsBvTyHbsVe9fNS6+fHnaSFiiKCD3 uF6eGlzaBad6t8v2aW64BlHVBF1v3/emgSLfo4L0Gr5X/CY38YHBasre88EXEwdiWYcBFrrCZkk/ jRXgNVAzbG14LyV8hT+Qr6ZyJIo1gIsZog499xf5qgRpUSrEDoCT5mj9kiIAg14Tk8etjP+Q8Ur8 Lf7W5G1R0IHcN9tKN7QPIc5JkRRlh2w13UwNJPgATVe9LaVLPcN9u6KM9kCltvO7cWT/FMtMFIsf lWv14sJk0POMR6cPYm4eUJfwet6BVk6Ts6KNgmEu8cW/dlQ4KFOeadWxqoe1UF2FARXaGEmA9WDG 5l+NbwApqd8eKbTR+yT6mf/AeD0hFB/CTxdocjO0ibbs/utwaRDcbZJGKRSe5wtyBCvYaNdD0MH+ t7sC1/aSQQTI8XG5TbbE `protect end_protected
gpl-2.0
de520f51e336f82d33ab2233cc9ba572
0.920291
1.923847
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/DATA_CTL.vhd
9
1,373
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:29:49 03/30/2016 -- Design Name: -- Module Name: DATA_CTL - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity DATA_CTL is Port ( CLK : in STD_LOGIC; EN : in STD_LOGIC; OP : in STD_LOGIC_VECTOR (3 downto 0); RD_EN : out STD_LOGIC; WR_EN : out STD_LOGIC); end DATA_CTL; architecture Behavioral of DATA_CTL is signal RD, WR : STD_LOGIC := '0'; begin RD_EN <= RD; WR_EN <= WR; process(CLK) begin if(rising_edge(CLK)) then case OP is when "1001" => RD <= '1'; WR <= '0'; when "1010" => RD <= '0'; WR <= '1'; when OTHERS => RD <= '0'; WR <= '0'; end case; end if; end process; end Behavioral;
gpl-3.0
3c0de9d60be8c206cdb96419224e6d40
0.543336
3.373464
false
false
false
false
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA
System Design Source FIle/ipshared/xilinx.com/xbip_pipe_v3_0/06034905/hdl/xbip_pipe_v3_0_vh_rfs.vhd
2
24,071
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block hIBbF+kq3oVaBXwt2/thZmZVCkqEUsrobKuL7n/Is+v4/IAZD+ZxnU18s3JR+GBgEFSO05ZDR/P/ xVqnh5V4xw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mFrbrNN2Iy2aMgLbmjyLagVGv1BRA/A/dAXtgEZvBXH1JsdW0tbqE7CcgD/B2t/bWf/vphzdyEfE Qtz1a+CCHs4ZBStfoFAa0Kk2/N4AElHqndo2m2qkwB10dpRxHYBYIM8TsnGzjHv+Gc3MRRv5nDTU c0fluXo+oor8ssR25QE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DijfY6YIFcLzBTBsFWeeH4jYfY8NXvmEK/hZQ9aT0hDSd3Je/Yj21LmilPHhv70y1trouG4tZlkQ XpgM4Go6KW0FBpBt0/S2a5XkCoVlqKCnoc//o4WuX1mp91+H+1sSGdHdOtSMW4j5N7yi8fdf3Mma iaJg/1V/iPoZ7fsaYSHM1whghXW3BgAg+uVNwDgKp0Xvr0+v0TXEnhMcvfJGCk7ZxZWk2u7a5iEO OrSkH1tRBHn9Qs31Uoph/IE2TzjfOAWzb9vhqVCkYjlawx+iuHQsXxJdeZzjNlXERo16SR5o2JLI GgcU9rsEUp+Hcxd2JjfSbszpWRHEU/3MaVNDkA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XNCwdX+l36YznBeQn7kiJlUVYGKrBB2zjt1UpGWFaIdIOQWhZL6zxzyLVbRsejTTuYPFOE64n48E kMQtjnzPGZyr2W3R3SGB6KeHHUx9pNxyeNUyrOXfxd3APJdAnl9DigbPc/K+n0zkIbqWEydLVfIg khTPlA/ncexRV1pXoq4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block P4vVw8BLyUspYO28urZnMeeAl1C8jySXctEPFVvNqtg6Ihava9NSbOfscJ+47pmoPKhiyUWcqNJe xZD0jdlH7PDpmGu9W5rxevvgidGt7SMfg0iUPqEmLLxZG6dlkSkZQKHeBdCxVW1l5LVS2iogdVV9 APcLIH1Hv8ySZWwT7HFfcdud0XUs4SZwhceaZ8TiRT3yp086kneb0uW/2QIlfzI1uwVMn4U5QPIP rt59lNQNLmXYEhGyXeiqJ4sjMbP+3IG4yIeOFeDMhWUf6qgDysc+rBTvTouOsEPHvQarXNA7RtYJ afWxcLBokJDKrgHjACFrQmNY1MmJtQUikTUFnA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16080) `protect data_block Ck/D874wGfceJTuclchiTN8DCku7PrahNRvBB7WGESgmsbCdfp48i93IUKjGs2OU4HHi+DT7IU0/ fbd2fnHcWHBhMrHBz2xqK5TOfBJfBg8R+cpNISvO9FCdhoupHpm2Q9nOLJSetVn5XZx6Vh9xaIDt 576ked2PtkLVjTnJgFETrwfhv1bADF/MJ6jp1L1V8yZExHxGWS6IFlyDI0oLM8T8TeGs32VAJSk+ NU/k6Shq2Hkf3oOvj9UbiawPRf0X09mTUm8OqYB2i9pXOGV6QMoiTRFhNdKWPy7Uwne7+xlJm0FI dndf0miBriGgt8J1+CW+V6g+CCAfDY3bvefyMz1M3z979QS8icKn21nrNBROOU8ecZsJhZs1uSs2 VCyCI7aHt5uGeFTEJs9l/SrkfUMNkE0h9F5uZhT+1OGgTDF8VHpyIB2B3A1oqsFTNJXuWwUGzXfY ztR/FuuxDs/TAWZTgP2Y61BNhEa4e5Hx43y1JoD1qJP8pIr77CFQQhbYCkcOhROLmsv+T3ESHaSn wlWQbIHxgAUta2oPhQMOrlQsCgh5TZHQzInQikD4/6fXvFAmNC2+nS+VId3SlWNK17qb5kAdWblp qxkWhra2akavV0bO4zjo+yflx7Ityb81c+lAxPN8spdJJftMxvacIlTbUqSjdCHnIuMX8AtCJ1vg Sb1aCSRNa+qQ5wRVwdFREP+d02jM2B6qMrhxcueYHudh2gncpZbcclf9YeriPZ/OUaqx05RI4l0N WH8antTk6Z4hhujX6A5GNO9i21MxebcqLr+BRtwbag2PRSCDtRi51PXWVxxvFn0moOaTIQplKbvt c5Hg43E6eW5OUDJNCVlU3KV0C5BxAfnEKuCFhHQ3zmhPs7vuGl868gybq51F1sMWNeQlNt5pm3zA MJGQpcBvXuyCWV+HobggQxve1GVzpzzaqOQGj2SsGDc56asAYF+HAXau50ii6PJJB4jMWDh/Rdeg 36WwPJumuFeR5qCIATtSMdymCc8wfzdh1Nac3zUDOZJSGqJlLt1HZg8fgFQNn3GAcjO+fGjTm+6c B14uN0JsM8K1GX895GvtAJrSGMJ/zd1O6usWD0TgSpiGqlwdRHUpx8S/HPy9DgP8ewQ73XhStCVm jiHoD4yHXyF2CWHkM1kbZN9+Ik43jJetsDKtrHr/ce42O923uvJHraF/xVSP1kjRH+M9tlSmd/0d hUCbHDqYzWSshoYGADDi/GJiss3aHasrKmQqEkUFu7lUSFBZyBICuDOH3Nerg0XdbMq+Tz6EFpgD HzgwoNC15JrnH+eXwVMXO2bzsQSFU+sk4qfRWwBdUk7XTWNnPKNX75n5ePdbW7Ho3nCq2RPTpXLC b5fcF4vUr6GnkQ3+JpgM/UkrpvdYsqDU6yNlHdNPL6AJ1U6Xxo7UKV+mCG1C4XQ636yLBZoSQGYf 7bZ5PlKY85UqQFLh45Yl0t3XXybtMt+JtxorLZQVQD4D7NMT3L7urmg+XT3wHepGxV3tVxXywEQt eFtIBLvaiF5te/yStpYURaqMBypwizkpDIACnLNYYnOOO1MmotuP+Qb4bDghG9VrrpSq7lfUzzR1 o76WXy9klpt3X0SR96Fl5/sw/uC+Z0C1kriLACtssxNn2GkCD2FEDewvz/YFNbGy42bSXoiqsMRw mH/lpc9dqEURxS9ZjRKiubk0wvjGLK6cMo4w0ZUQQCkIpgbTS5ZongiJ12VzZ6781SzBQi3pY7rY W5Jrd4o3jTcjLQoNVpU+SAc63xNapZ5s48CdI2JF+Yw5uTTDh28nZF3EfzJZVWUqaYc7e9ZwZWkw 3Rz7wll3rZBphx11MX2D12BFw4Jm5ZbAB29eH7S4SuKGGq7dnZs9/3eNApz8WH/2Ekf1oqnHSGED Y6rd02gRSGbB61epShkElJySLbiYrCTYQr9pl4BraYY+t5kFDw+hSIQK5tVp2VKToSa4aUcVR85r PNOI4NQq8ew4u0ylnGNLJZREGMrREBl4E+fDc5ew7MVQEY6XVorbq6g1ufIQDc2L1E6V8TJ9BWvT c3U7ILqzUtXloLV7BB6gbmCKV8paTGWB4IE6FPev0UTdacf3vM7Nvm2Qagl1NhQws29xZKFRHNR4 5jpIUFdcskAcQ//vQHClwASiWX+LemFuCTiqqYoSo2BM2QcJGGAkhsMLK1WhMNCWTtLqcOJRKsI9 N+NvSe+GmxKyhIwDN6YV5Qwb80JOXQl89fL+7A9q34yvNBEK+qNT5NtJITzXyTXtyafbKZqRg9GZ +KCSqc7Pw8E5wpvrUuAFja3wFK8wQEKjsQhudSw67v/uycUqAncNyHSFny+lnyNMUDzCONus4hxs qkkE5dm95FfDAkhfLpBYIVfBaZBzPaDfj6RfNlA00Z+VppxZ9WguCqYDn5ro9ccHw3EmX+qLYww5 iFMx/+nglpZnlIvEzov5RCSi90Hs64+VQi3Twvk2RrtqBZJZgFROIdg0ywHm3kwqF9LK4PzaJDem PceJva7MWKrczCS2RmPIGUZ51dFlzDNmJXbJNx39OE1U6WKaNxpUGvePg+HsWoOpro+lpqPG4KEG d778hfnCxlPjtq2gR2OAXtPCXbwj5DI1D86091Lew2ZCS3BmDAcqwkS4TmUWO/0V+5v1gcLFL0py FqmA7iIojb24JIhSY/o4PvLLsD5nCLbp2QXvJRO2CX1U8oaMg6MZmEjzfcuYDpBhRxW9SQP05sib QNYIhZ8h1sGxhpmlsXW3ZPCKQ7Cs0ZWBVPv2mrhv/uP/qlFka+GMhP1jmHzD2Xzt1RGIw5oqy/Xl sA5w6+bGAQBjLoXPU+cbzFRG91Su4Ek+vvM0gm0I3tM202CwZlZKmPzQvHxvkXLCR2udLzgaPPG8 FhtAakoeeAekBjgNdizzfNV2hDKp8esVovRnNJ2XAzovIoQUcn2QZPVJCBrndZOq/A7CjFb7Luzn md4q9SSGm/f/0LXVS9Y9qAEArX1qdu1hfEZjTkKr1gcneifoN0l15TWT31dqQ1ICHkQacw1pSUCd HKaX60D88783W777lh3MIZ7yWRWcrNMOX5FeYRWcTN0hn3vs7+ZEieLknjd1urdd+yKq7jJuwnYk 42l92eBL+vczIVr4agSFiDgjhyd819BtFfg2qv9Yjb6PSSJ8AcHrDrkaQPyn0DL2UFMz5Q29G1xf up1Vd/6RDYTADoBGqR/ri221kv7EZo8R6EHPiL79wHpJiYsuH/ZpA6As/K1h9DLv4JKIr8DIQWz9 fY49J+jdMTb5jCDQA+SHFDKYnoKi+g4hIQLnlJeN526r5h6BSJ6Ye9lNLWZWulGRLrjXpqquxcTq 4LADWHLlQsAFirNDZaCX8CGXG7e9IIrnvdiV+3N2PjS94NQYTUMjCv4xjOy3/JpadfOql1NprheV ccRATvfwE3x4HiZzgRoDnOywutcdGrYeWFDobsI/l0l7JkgCRsSnRTSkOauXIK+iz6T8Zqg12YJo m3n+g7KQqsgAAsNR2WFJg7C+i47xn3nGwFTMocXhcme74eE44iEjdiVKHEXzNFiYxHsXw27evxHb NCNVkBMjpQKmeJRfl42M+51PryAY89mL7fVFvdqRD9mu5fOeEDilThlHCzktQoa4ppzzGA7o98W3 u5v5czGlGnpZ+cX3pS65v3VjctrN0mCc/7zNlMtxe7uxbIL9m2lIki7XYl9Zv7empOnsSIvts/yG djsbQW9fEwpCX3jM1ZVb/ikElsNv9rZmL8t2YVyL4+gCPPP0q/vyWgiCsVW7WdcEXsCJ1sN/jI8p 2cViKg275mbUB18zRV6d6hVq+wJ/IPXs1KN32JySvwer44Ib/QzlEoqiC3DlhlxodKX4jPWwQUa/ bSjMEdM5Y+NDrAoTcPQVa9PPqgj8amFRL+wSvGT8FEMI7+CT2wHPzfeU3ihp6panKze7+sqm1p8G eyDEZVG2Tohc0BhUKlBfyBOFIhIXav1i6Me0IqRg/pmSW6OMUzr5aPPcPj+39n1LTVFJ3LJ56VRt 6fFYui99RE64/9rLwrMvl5oh8sMeNvWupg3rBy/zH7ytyCPMP5RO5koFnK1enRi4AJ6+MbnrYmrL uvtjejNOu2qoNMAdX7sfx5x8wI36cRx6ibfmDus41cozThMWxuGJqzIovCAfmHliYiiilFkMSSpv yOyHJg/L+WDOZcaK/Xb7C2xIwQIgeWaMDdtKKJRsEtZdi5in2NBi8HdebN8ssvOgJ39ym7wAsyUd 0O5+AWk8S2t0lbvWTE1f3VmQJi3tI0CcOWTvbaRPNbcxcq36h4qXRvLre6I8WwIIaj6tOfsf6FkS ACW92FHFyWEkzGyeXDItBzcL+GqT6YzQyCHAhHmaqpA9h020JjUb4E7n/Gj9GocUMqcvfFSZy3VJ lLLY3VOAIUu7enydy4Ga2r0XpTSi9PnUzpJQoqWYnYm4LGCTHGtvCPp4Ri5G5vzgCY0xcmZ9Ncl8 O1dYMQZS/ubTRLBwQ1UBfBPqwqjIIO1AAPQy5+KnhfYZzeNq1Y+KxFvR5I5bRjy1Jq5iXs3Oa0gv ETZf6VkskoVieksMKt4lEEzceR+PM8YzU9Stu0jl07Mqi/1G4WLnTFyqOYUZs8YZRYki/t/e8id9 x8KxnINkM/MUbq8YDK5K7YYdFfVEG3euXa3nE6Kwnl/nBs+JPeny+loyCWezCIyrGi3moa8uQrVq KnVkQc2J6L7XwgvccpV/sIgqVxMlmRSux417HVZwdxncvhI7h/3JneC4OXw98ArFCk1NI5ueN0qP tB3Z3ejum6RJw0NYfqaTjIQ+9wr6K3AbnoPPV21y3TOcosvp/YGwMY2lfF0hG+Neqwzh2OJK5j1T MlgN4Kiw73Q304vpRO/suz1nKeulaaG4+JZC+LYXPdH+2J2EQBmXqezrwDC0P43/g/f7eSLy3OFL 97zzj4ONjYtKQvd9V0xkRsQrGHkbpnmwWXO5e+Nr+ZoonXfEIz1XqqvQdabkRnnh+HZaJePTd/Se 5n/req1jupdcWMekmtzpewWRsJlNwJdEYEaEenQOMojxu2evWpnFwT+TpOu/lDn5GWj1KXknlCoT Pl4nA6EOVJRBZQZQK0qe6LmZnk3s/U75P/EYjRynDtzKin9U5zA0lZIcdXGbgnudIpgtgxjrnd/P X6RWcK3heCAvzyxvJsBWEwQeleyWGIYYbLcWE7HQw9B6yVDNDPVmbijCdxrDcQGAGwpVqibj+Tjw waLwP+yTURTXdcrQdZvUuQmHcVRVRMm3Cl6H5uC5vLoCDOsSKOe+yrY38swun5xRB57mJCAhXVCZ oW8YYRF6QnyEccKCZCN7eGAvREOa9YlDJSSOmOnYFoDI1IM7GdOr4Bgh/+y+DrFpWKLmWU015zh5 X6OsxjMTn3asPzb+TEt1nRqEhA77l0dZ3RFY9abQLbAvSY3QTRoXVPQl1pBjh5ETO6/2JRmp6inS mD8QnDizt/5slYFRfmuMRtj3rJUXn5O5mSrXGW7YG0OebCuX1rGfMNVPGdmHlvxHxKnQ7FiDhDYz g3xgxewz40hCssuFud7eo19xGSem07xDjZJx8xx35gBY9xpj7/xf7HNN9347eZgoXRoGarzlskZe pM1jY5DGZlYZhX0oexYc/hmrcpaQ7wSeY+tT4mqcMR4zYFgoEGJnuXZ4dP2ObOSB3pAMoaWQedjZ 1EANS0K9CMSWcph9AFXWeKE7qO4PiTcdq4Qkl744keuhVbLcjdIp6MlBkauvam885vfdgF853+wO iLpJ8Vv1SuijN/s4Yt/42fBVxjg3IMAohV7zMwqPj5I1L8iBFlVf4d/07P17753/I2tvbD+AdGfs NScjz11bYWQ1i/PlPfTHnVq/bZ5kGs6VrZBoDHy8s8+PP5L9Sxao3IeoqmnrJAkHZmTzelxjuZbn ioxt1t6uqlA0wQMwlPUpAvBNxOIPi07/gLhGIALOUqzzp+BWZu+W2Uvu/IJA7Hh401jrUgZ7KIhk HOSufCOc5B+nQwkIDOFdGrnnqLka+xmVP2o85afk7agZVuaBKsY4U04/zI5HJSOMuayCeIjiSyXp xMV9DkYR0Qw94Ebfpu0TCsbeNCXsAS4UVBy45qP4k5HbU/MSP2Bw6sI3iNz8lRR7JeZBx4B/Ng7/ oIbU8CA62f2e41WgKuPv/w3ZofvcUymmjfdCv9PiZF21ESWafbx4eYpTbbqMCcnAeKODFMKJGa2O 5OoAe4nEVTBgopSNOyhvtCzeedgpvItSiOTHDHieS3kr04v0ifQorGBwLiyXVFRYO5XVq9iv0zQ3 O0JRylVar0W1+8krwjbNAPBg51FwhWNWiojRwFv0hrEhAreAjX1qcBvEIo/oEahRyTB399nFKmPt 7uCS5DTPlZ6lMpQY0EYbenJKK9G+5ZJvqc1RX9+cWaaDNYO5DbFJqg5gzDfUGJTrbq+pKQb1QiYn SIrttW/yipe0CIj9YO01xEQvjJBgeoYa/EFHrmP0dAZPe21IckDKE4PPvXzkqWDkLACsN1uVtDKI 6K/7boYgZvsBgn820UJxmcCY4REU1frC4bFBJeUko0RmSz/YrvWKbzG99V6OOWCOH01B0STh78M2 9acWyFlBx+00cKj0Re4oFlqc9jUe7FbUj8FAn7THiGuU6aQpuuNZaVp6D4JyswmlDOtYTVnmXnjS e6d2hZ2LUfiXw0HYAno8KbCLS5qYH7R3/3WUcdjCEWHken7V1nSr/RVGAqDMAPqmApV4GSWSOkkz 7cB0kCrukGiRrpghnSqomKONWPLE9GIEZv8HYXH2P+x1lXkQb9YC3RLhTDCTl2Oo7iqE1qXi1pQL /ipyL2gphjaAjTix3e0+NzH4XL4UURBFtCnythLvAWESkG2wV1ND6/5GiK9sipoXWM18VTUgIYHP wSR4deaFAm8T3OZ9GN+ehwG1dvOA28cqI2mhmh1zjfajdXZY1MnlY9eWv+x92FFGr9iEWURXrzDr 0DyDPesoBnGDiCWwEF6QlWsk6uwr/yjmWQEN1Dxf4H6cpRzPVNAS8vEwvoHdbF3kT3xSggkc/hgX u1ONGLuMRXbmL125PPf6b47dC8HO8PwNcZrTDukXF2xSA1SxqdNwV2ib7+8PNYB+QxEwYJLtx8om vi21RxY06gTTeSWM8BjL6t1kMMtBHmPz+pyhpDWaVo1I7uP3+KxB9OcWkc8/xIyCblaNY80VSiT6 DH4e7u4kN8ub6VATfGnSrNvA121J5Ac0fm84m5MWmXYLFmy+USsH/8xiNwYpXGsc5fotVB9G+Ivm 5ChkIoXOD3CiUOT/iWKCiHwqf9UVRdBCfrw2xeBetLJ+lt71hjqshmsxZjrEMHyd0KiWrwZ2wTPn BTn3ntKGN0QfEA+/skT/7/dRLvmJMs6451HYU1D/RRrHDeJlodmi5VBUK/3iI2i4fb8aDQL/1tEa CX0/2VAzuDh6GSgYS3a7+Rg3UZ3isr4xBJ8dEGCZy+FIvfLZU+Tc2qJHkUIxUnelCaP+4ZHPQHpG ZS589vSSc50JY8JqLAOA0OoTYzQAq7B38UZQAobeLVluGHZG88y9nFaGTF7n4gvgj71HT4BKpZfR RlVmxn6Iw0HF4lQ4myJ3tPvB7T3Ab9eFTuRUm/dsLXgJVhhU0KOeIfJjiFHRrrwOXRnyaB2A4o/s fbdQtLHU6fTjtKhPFeMewEu07bBVxuDRtyEP31TT7XxIYwPReiArfANP3fOBft47UzSYZ8fzxicz lF3SU8bDvPo9Efp3RRnK3VI+HrsvZQoeu5ApI/2+x20hCao6Gonkt/aga4IzvD5pk0F6D5+Gka8x DprWdAU+QrpPs5FnxRw6ogHENbt2Sio68tyRyJ5jdhaQeLAIQTcoNGlE8BgW3A3nmBw0hObQl1kl y/MEfolLd2o3Ev1ATkNssgjT1lCaFZbEbMdL+OLsT79djm84CKBIGSyO/Tbd0+Sj+9UvFAKyOTq1 aBQsY3QIV9iPU6ehFqsiz+/+lbyALcQFMgoApVNCXT6Wzh086qR7knPWKA1LHEn35GQ7yuFd9yq4 SwQiGJf/zahEP0Lc8ntxWd9sS0a64ldm3eIU43/vNYFu15gtzFhJENg3ZFRc+39TR7cbOLVugNRk mChMFOqmXSTpRr3UGvaL6ZhWpXyrvHo1cFVFa1kBa0o4sCxC1Z0FXJxVOuBdL2KSDoixoGDtifWl 58qQb2kd1zEpRJwJeM+P0EfnfN0gYe5U0CMSGuvmLkBRROdUwuNG+trNQpVWCMOzj0uSlfwzKEm+ fSvjn/s+sdd0jVVmV7qRc5uDAWNQrW5WyxhTzOJCv05NZW+4S+uX8U5pQ1vxGVC01IPzK91BtXVr vxDPmOAjCVgpDdEdC08xiEW6ALWpgDHB5wx3D1d8stALAXAPzYX5r3qWwyECNPAr4ETYfjh4HPJL SR8Rp2qICKrMv+8MbMnNJA4M7Kf4Cn4JmL2NJppTBVeH8ZWaTBTVz/Vwx/4mOHpoof7EOe+48Npr TEk/kNgDsoS2hw7p/VT81JMY4BhgbXHnv3jc99iIrvAxgN9jgRqFviJdGG94TcrA5CyKJgqu+WCT W45aorbdoYjGJMlHr75YM4E76JpDmxp5lP5C/JlLU4lLCW3BZ3yiUTb+PvPlCg8MdqRqPAy0ZV59 qM26sOJqhCZbcin5187DhwUGKkNfgO/U/9u0nSEZa+d2zNavqq7qxxv8DcAYXJAqRawyp1XG/Imx 3AN6mAkTtwLYM0jygGDB1DzAH6GKXkHzcS/PGFVUCMolTTkvh2VDHdX93OlQFnQMvogSkoAJw1cY X3oHI9BpgUXavfeNqmtodXB81LKpjJ9i+lPbwTckXA2d7Bxtsyd1U6R0c6zfiN8gY5jif4FhTZbj thB7H4T1RawAYugYaFDtP41nqqrb6G0+grUNXTkVkhriBFetsXOpHKYJsrSusV+/OzQs1gFOiBbJ sq1fNm+idHGgK2BojOXrp/SBIaLyNidxG94yiVYA9BRnXrgW7jWQnhdOkiXGNd8IvkCMtWbuloQW iiy7oCO2gxH1hPhB/ZQQF7AsR9f2dzPRzsvcbtTzR/rphXe7LqCr0qfQ2C6QihB+HdzAyGrPaexO j7HnfKXn7fqZviEaeM+K8/p1jkQ4vqJjt2eMsxcSM5IbzRO9+Kv0QCmLqSQqzQr9+gqDkY+QXyCb 00fjdZfYmXTGLB3PaCw8esoi2qzgE9uSSBKZAd88HO7rhQn84CciEuGdwDOGR4IZTLtgKcyukc49 wnyiuBsUd+uiFoZ6KW2M2DbOkF3Aak5Z81tNLBlFW+R82RqxvhQA7yKuAL+Uk7si79ADOoAicDbq lPFCTKbGjFml9Qpkqzbtdgf148nnvAHCvaepi0Fr208z4y6vBdJGFK/VksMZvIzLAypdoOe517aV EWD5YhgyMGu5D8X6BhxUJ00g/bqIEJ1OQtihjEyTKPy6B+BJ+57/kCPMn9sJwqwfVRBVPX8gAVRr z1TVVWBOlQcNhv8UB3DVW6HlI5JstohZ+fSB1fE3JmvITW5VGiNghVNEQkMIT0N1XoESDv/PQTtC cS+TpAcKQ9RKGGtzkSWfOPIL6h02KoVZaAOKq0hd8V1v3C7ZxEGYJcRgGdBEUZLBzUmgUDUEc7rl IuOebDWlpRS0cPSV5D2ZmdrCy9YqvY2H0gqzQ6ldR8uO15S35HItyGoZVLxWiVVF3F3GY8+97u/Z Lq6hDRI9PVMUl2GMP4Izw2yDBXU42zbT5O+jx+ECZxcoZ8ZP36Umyc7ZDUuOhV+nOO+7ilL7hgNt oTwcOuqgUPvlGPn1oTgShZ6fBQBhfE8W6OyDqnf5extKpyx/3jLRMq/8EURw077+8OGdq1KUEVp1 RbQq2Xegsamwls0ocSNoL6LEn79XRXVCQ3oX28VJs8EHNb5UiWYO69fxTEeJAcmnl2ik7PhwAfE4 wnwmIrd59hJjbofOjhkRUnV2zf+WOQA/DSi4JBZtwuxFnuW5x3DmGVR3kum6TLd1XxdL8rstUgJx i2gFa+WENJ2ljn1+/Licl1vrZK3VfTE8k4FmPKtUApDh03jEwda3Biibq7W3Cwtpg+vn3mmtCNHk 0UkeXeA1nS5CQ0TWfUshq77fkL+3FIhM/kjGlf1D9TPL/KcGniQwjuBsXun/HgfTOJIKdn2PqvSW etMj/CFH2c4ML9jtJCGE+g7Gel/fDesfi//TxaIF4vjZbEfiKOYOZc/FkZbh2TvKel6lf0UBY2x+ 1117pdki2Is9BHjtx2IZLUm0TjiH7W7WZyfuZrWfPfIlwSXwbMJekQ4flUbXmeR5Ta/PYAQO2SeH tc69vSRD1CBBvWlCxaySmlVEuQYs+mVZQWgJ6HON0F1lvdKz067YWADnCB4Ki9sKuBQEHCDlJSO5 372lMQZLoiN6QretIASwxV8K/D+83ve9QYXCnpln7t+c2hOIf3LRnHZS3KNOzM68/ylEzcvgmDHz /TtJAUim09PMYcvw8FA6Q6zaO3M34GMeIohvU4HOfLu8PAXrTDHlmzrl/pv/kMrAioesUL+dwBRr T3RmRyGl9xYR2T9zNoab/2E5/aVkxFzQaPzByDtwUtai7c6R7WL6ckkdVgdLSxL+x1uQ2uTaPTJj ujIwraqT9nNaQnVY5fH9XBJPePq/UGyKsWqVRaWpithwVbUKNPJVGt7VlCRMxjvKU9fsl9na5Kan 9f2o/bXOO3pPWF+V6jN9KRTnWTDuBBvrJU1pgjVZo9hi0f6Dr5camwkQTlgPGuUFAs5U4nTE0UeP CIG6tL3uYAvJJdDehsfiJSGD22BGKQVqBSyr5Ekgslyrbi3TFs6+4vNbSjGRTyKodePsdpx4aNzc Pkx/ZPlgKo+snv4c0yRiMIygLbxQjeNkxPoCh0ER0ID1wNY/Q1nfQyqDO+jdv98W93AxiConQkJZ 0Kh2lw/U2Ti6zb2AbzTHHxO8yHHhFvZcARuRZqQPodw1sn4QqRlSeoXVrCDZpcXNqS+s+c4XoJsy +4ybWTdHAJtsHPYLM1BIQEoq/vZoJcCBXcddAd1WgHX6gupmZuNkrf5kOv6LKjwS9jJdFGECZJk9 7XJjqZNZhP/iBaR5mmTORt4yLpK0Ox8MeRvcTy0TUA1jd8fugFqVOB84JJGM3cqScgtNKWBYsu6F tY5jqb+0RPJhHOWYiG+f4isSq8zM88+Y5rBRMjry4qta4NGaDFyyn7nFLLfOIQWSB0/phyjyLrc4 UfsMUYLH6n5+5kXbJ5Kqj5J0uXErrdPWyUNsZFl3Pa45z9glkXB1hnq6WTa69yn6AgJae5WM1mtF 3HlpxHB8DbGgiGd8kdh9CHDBhXw3bcgPjZd+syhIpgoQK8A1o9EMfE1PJjr0siruMReh4+Upu1ra ixqhdr65eNGYhNJMbFi7YvjRrcWt+1ycw6hJH+XBQV4UwO4y8QYAfn8Cu4JQdEZqDvzGfjUikyt8 wGGeVe9kYgIdVpjas8ag1NOp0AHf4hBUC3+RVI0w2Eneb39511fu6+oDyQWTD63yTxzGObN6hFCl FZ7KScYq2VWCcX9d85b3gvcjtmhehVV7h6HCiEbQfbntLEv4RbkCwXtbU4V8aJmVqWwE5SZbQMpc tz7roSmoM/DascwV8a2COrwclE3Znc1BT1a67VNbyYXcT9ztCx6KcveZljGWmA1KBs8Hx+5w9RiL vw3wR0CvmN014Wj0HfeH+fwXKZRRLBwVKnuCpqmO5NH3uLRcIcDNX/RnWtzhoDkcyRLZEZZJaciM b6X/FWOZEWWg5D9rLEFbT5KVY9DcQSZP0repqTQzfQ89K0POSoqIjIqzQJLQ50zbe77k7uuIIlBy CuQtjo8syiMGLuNK+RbbOyL0MBjIrS6llx8IEaBwO/eIFblIVdhwirZtBlMa4yyOy9vYg/3EieCU Oxdq9ow20d92PpQEkVFLJTt4oKqJpEJLg/gCclV/DFaEhUsweuL0WH4uPdlXLk4miERMTh9bgmLu CeBDfeKVmc5t99L4QuI0RMgEQFDivH2vYm/5F0FglmZI9c9WVDDevdOl4MDy6Ttin0cuNN8kNP1L +B8EHMcGEhd4mk2OCmkKPFsY/cbcVY2PrufrQZBqNHVlP2COHh47uu0fd+miDvyPWU2pEPdJegKG afLhwW6LEEjdvDLypIrqcdnYDCpLibMEzRmfASl3+4weAuinriGXgrEEKkcE4h5X8pwNbnzFcj8g 3/HXKRkmD7YqTXIga6B8AIVsmXh093avw3iSZqEqEg7PKh/B8PJY1zaNbCZDuzxHAlxBtTByS9sJ 5Fx/3r8MExwOVV3o7QM0dzY7QVjA+5Jc9R53afWSCEJSt94dZr7jb8AqEarsvGp0WR6uWcUHYD+o S/5ppItKctl/FqYAq/LWWec7V+HMidQvFcNpVOeGu29D1yczqxmm+1jOcc+3WGe2nPrUImPeiBwR 8GLbr2atplLNvgq5oMMEqqRnWuBscJUF4Ln7gogXeIXeiDUsfdXZUFiNXUI+94PozYd7+mIcH+Jm qwMCyXUmpMT7FTU2h+ufxNP2wp2lNG8O6Bp4nEAaXdAiaqZyFdmjkQY99Ohwkv8o7/N044qAKXeL MDoDVmOwcPsF3CYSIMN0sgXeGADqhTD9vpz7F/3jawR0mScZF88ezAWfyeYjt5WkXEMq+CMXrhG3 xROcZZE+0vFppmWUe1cxFk7ZhXteNszAWqOs1fAsf0C4yndN4GTbfScgnRfQgiGQh0JzLhhR6Elk MYPZ1fgIBoidXTzfiPM9fhN8PhnizHiU02QEXFyObzo0G5/7B9HDxSNdf8CsM7cj4Fj1eO8nwcG1 Dg10LOd3C/OY7n6mPvMEznpCkpMWugON8It6fR65/2En7R2SUz7WDvzvuGkdAntlKgjFkv87hpEU QB4lCwiZQoM/2ei2Q5MiNmk48iPFTAwEFRKprRaQo0Pv2HBVgtDxFzUAbdbguNle3VD6nqFHu3vQ oxPTTy8LcOAtU0BG4Ke9Wr5Z3+xxIjRZGr0/NYmQv9IkezjSjdFdTOvyI5fGJgY7oEyjHLiVqdjS 9By2Z2Z7NFkyZvtZclytsgkcipL6BnG2Kp0oX/UA8bj80KWLpt4k2J5KzqFWDFWrcNuk+8oeJXEM p7fLlCL3aJEWrUeTCAVz0mN3P5yHLJdgeOEtxfGuz1eTsrNZi0M1KWsCk0LLl6bxHHcFbx96w3oC eRt1vfHPlTQu9Hh4eRVxrr2pSzqpuJx9T1m8eGQ9AqjBO2w514q2cVxsB4QmBNI7Dn5lWToncRGg YGcE0plI13j3GGZnW92o4+XjMiEBkvCOlqHa8HiUAxwz5HHzIANDhr9MXMCri5EnoV8LUSS9M+0W cbYH7YVg0u0W4I1yrgzvmfeOYDtFuuw45quTJ0CbkSdNVc88TS9GETgABk5Y6jmC17MUoxFvyNG+ 3x8YtONTrehLP5eVGIZ30tfUaxWSF9jIOX+EvoH1OCmjaalEmoy2B7UWDdvGSEvOrPb/yFaGUqzt LcQOOdPAqJCUSeHjcx8E7R2ZIhF+hQPMhWq197d/iI80Rh9VuLS5auasPdirzbUublyYFYr7+lUe w2YjS4gi2qrfpl2OglmJV/oesQ/o2atfI9nrYV74yGUKpptZTarTVzsOXo6RFeP9LVsdhygPk60f Onv1IOFIaA3C97u4JRvI8o7qqOlJQn0Z8kbD+3xhS3eJ3vWb5EzTdtKS1P3+gBPAsnWwQIq+UEfj SiXVZP1BnDgDEmDnL61XW7FbKfpHQ+JDhfUhPRuxbekQbYKBIZehualadolBgFWjrtd4i0doR4ir Woy9nMubTokz+aAE+YTGvLi65h1I2bl7SIMsAwepM7M3N5mi5kvKShuDAfc5OTz16KbYdp4HEYMv QyEAsLAToXJUOib6RMxhFtMfCi8OAnTuA2Iqye/9BVS1KSgysHnRFTVGSL97p8LIXov7S8lNpN4W N5+/MLrOgodpgBgeP8fgT/Ifm8B6EAGMXonCfXV0l/Datu4VoxtXLVoYMm8AJV9LITVu8C5fu9QH OOqnunoBDQoqV6eN+QOIwAegZw8rX9vF180L8Ud8zP1HsRKXYeER4A9LwSbW3NChKGVBeY7MuRmn YaY2Vu4gzmrFnMOgz+Djw7CG0eNVusHvmLxk6THLPbt2UojONMMbUD62DJ3Gju9zql9Z2r0HnLGG zpim4R8CwSk0NwCI+SsgCBjz3jS8xKVrB/dCsIcpbDp6RgaLrE0uXbxUCV9If2hI6kUXpbcLhtd4 pck2LbKOs9P5WGuzo6PDXwiCXOoy1AY/vesQmnPxWI+z9YoeviXmx/87kBuOeTcSZsrokkEZAXV9 BVTQxKCWIq+QBHj82F82zxWOd7NyDGoomTN6iV0sRi7BYDvRSYwgE3+l1m+Rt6tdzXEE/75/ADt9 sKo/kVXBN32YUxVxwA/piJ6T1CEFQZEafmxVB8bh6RrqdRq/6lh7qHMP9qV8O7jjNT+wOnTOnhtQ 2a3xK6wqjPUNkoIxCaM5OOndIDiGy4KVrGlrHFLhk/kRYMWXlFwuTZZXGYYIUQ4pNZDBdDoBNG80 GfHPDoQ5G+gjCyEliWqEYPnndj0BSPlbHStK9W+9utKgJtRyf1h5zib5J//EWdTwUuBZyQ8P3VKt zZ8SIWUqYz7wY8DyqqrIA/FXIDIC20Ro3a7yYdAsr4FahyaWG0R1UXGfP3IcSRAaD5azpxYOPgug bxyK0tc8sSS0PJuu+1fSwQvuJBOb37AyPcHYJ/o54QhUl5bzlFMUVnCVyZLcZo8MlQt8iF2TK5kA AXnjqKnEfzBY726MvMW635GUrcqArpcEfDUbXhLwaLm6NU0Y+AVmB3nYG1c/28Nc3sEYAmaWVkmc CZHDorKHt6YyZ2BUoIqQ/p3e5p+ALcLfwaJ4Nl6fTjufRONELopeoxD+IeeSt3m4kTFk1uSCb+52 kRasQ4cyjVEX/l5AFinRvLSlMJAvxIh34KHqh7xDlsGulbI9ctmSvcEej6yZeLhsCxHc590LKVVt Nv1RaA81NVPILyKzNjMPiqzFwA2CMU/OAoPpWRDme1s8C25hf01hcjBh/MQ8H5rmBhQxhi23w1e8 0p7wK1uNnJrCaKqDQBzUcMSFXdxpHgQMeY5NfQNFic79PxKQrqY6SIB+rTITAjVTkDx/W6Ajpwov ueiO5SpXASMHfEimlihaDNJmHPYJ/22H6xi5EPQNIqg8od+vI6EKTKYPPYyYk1ixMQhMKFTjcW9r E72fuFc5yfLbxvNag2ZupNLhwX4rf8AmVuTeJrvUKPg1119PArb2B7HkbHEzNuxeiNgvCAjAft+k 0Xut22rbOdA+VJgrGDdQblFoxcR6HMgVPAW+rmwgSNKRGafu9aM4AejATfx73Qzi22uEc3uB25jL 9VAfhAqjFjOWC89dMow8/uWxKg5DNdDCajOkfgBaAPfGqm40Oy5R7QU0TOIuZdgAjX0HigU1gtxp JSCoxkjCebwydMkbP4QABtpUAVrUpjrjCJmezcBQWW9pF8rf954izOPuHhwxxB9YuZULA0W6Huqx LHfaOawwfd2aGh0vYuABIzfsxHBTpjAnFMAQ5+kEzDs+fc79/Z3s2wiyFaus6eGw7JGCV8I8KjhN hko5D7vlX0ajhDrjjKgX4km4XsystQEoJyykw4rzGeDpGEswvPeepNgabC46xvkNKJDUeCwuf5i/ l9wBkGLiM+h57znbhaSOuqTbNn7Y9/PeZ+bul/KP79IhvpN1P2INWtwlfCics8UMuvyriuTNc4NB 0JOKC47e/ovdTyxiKZs34er+h0OMn+jhnpVI8CCPG6lnuRs7d5K8ohKGlO+6UGusLqBhga9PKsee aEZ4u4J2aK9tJKBu59j+vKZDr1PeerKhKigTXj+8kAoTeCXD2pTjvVXXDohTA1gj1GMYIymcsk+S zD9JoN7MemnZ3cWQQzaP9Qm+d245WvEUVld7ArPmzdhyfrP3xktorT/a4GnfxRTfPgZpZ8tqDOMz sEbnvmo/vPLHoF+xR9kF83M/Q0n+iiSGja4cBpVnoV/LHwptrEwcd/K6KVlV1CClxQl36H9ur0In c8eYTK+eDkPjG4rL3dLV0ucK6YNcMbpM4tZ/5iut0KOfqC+iVpmB2QeqQOeIjZDTswG72qBIYhzc Wed5+hgpMIo6L0VHqxTSEQMUGOKKssTgQVtqzzISDbLEn506qd26e2ZCwpT/GXT8kVaae5RUjaVG ABp4iKK/81o44VR8CwusWvkZG9strzhjrs97gQ6kJG1fiisjKtxJKInLxJXWS6h5/wWxrTxhFlBP 5SkxUl4bYRh2WDJ6jh7nQxMBfefrOz5GnOWV/LuUEznS2nAQhvOUOu8FX/WccinENn+sI69r2ZRl t+UcK/o2BBL4g567l3snpHGIpA39NwROXnBcY64pP30Vh68/cIr29L/JBuNeiwF3dY2ZVRKf+btm ga5NZwc7dNaCr3jS0CvwR6xXUKdjwUkbsJ/3H53iMy+cFP924PC1w4gbVqMYETcJvdce1vuF+VmI xW1dcD3X/qsOPElpI3r9Z18p8MWCLBCBBaR2LYva9x5aeIZUX8olcbNkun9VQa4XnNjaPprcLO8N F7/5q1YdmK73yMRhddpEB5JQv17ahSakq/ngg5iR3/KekG4OF2bQ2/zUzQho5BX3lTHd9SrAS2gn P21QklBHehI6SaGIkZ0dI0iut4UH+ZQMzJGxihQS3IbFm/1Yt6JX/7nUgbm5c50ubNWvINNJ4CUI 8irY4yl6lJ9qr8ZWAIXVtJuQVSTvja7h1UlJffnnF6HjSEoPa9Xmdsdi83vJ1IOAVy4pJ7GlAWri LEHHwyiraCpFkOflrzSwFVT9xMA5toN6JFUHTwrrYofd4mAVBgL93h1WuQ5qX1VzQKKCkE2FfLVS hecxkJ5yfgpNkgHTJ+BG0txgloYh+kFTTovg/jQv8MDn95FiXo+jxZESfPJBpYj2rBGwiMW+pthr zkFdfJO0+BTggpNFI7ei5J/2HbIhCjva9YuXUFhaP9XwN4XtQ5vsv7y7KZ2tmx3roQvLfZW14sBW W6WebrpUidnPjyvlharID/Tm86+4Fnl0wn3XNM1hTsuqYfE+jpIUkwHB14UMCk4qdf96uJ/Mc0vn 3a1yRnz0VNWCFouc1ONQ2MSfheoKU1gQcvgLJjqIS/KKrDqhZ46fNxCo4+BsQSLABpcTbx8cS1av F2ZTdOV5xSlHlDJi1By35S5Szxp7Me69PY6P1d6DBZwnFJ9Q6CosDmFnGofE3M1E7etsqFlOwNNh iPQZcQYLcSiJFJD+xQkhleXmaec+QniNPsuWnd3QU9p01L1G28PWSSMVCc1vJrM0poWFZbnUdIYt RjDaWfvA0osxVZ1RjJTawvLKy9Se7R+ATw60CydwF4oWLIRM1ULiV7SkF5tnekmj97SYk83ZMRht FT4RyY41C5/UTO/e9YoVGNjF1R4qb52nUwcLBOVBqhq5nCM/ote41E9L4BCxEWcu+K2Oi1+VHubz KK7QIsxreS/b2BX5m74EwJu/ETYgYMJaBCHKMpKMu6dQT1gN3MHnWLafxiXc4N4i/1Rfq33Q5kAp s9HiDgW+O6+IetjuPQJ2IpofdEPYt3AUQcHXrDxsnHRs0Sa4iLQC1UKxSdTWIccjgS7lm9sss/jY I81DUDhMLYbFwXGdMWMC8eKeWxhGMSfgtQlZpQp5j6j/Ovu5wtbkyxDwgdjHTpGjzem9eeZXDJO2 3op5LotNx1stgmBEGUo1QnEHdi28FhBolaCNglh26o4Tgg5BFKZgfS4U21rSCj3kbsBObu/7GSdI kzyoNkzChI3O1ne5794RBtC7XB1g2cawHTm21Hlup9JgxTJQSd1HKeMWgqXHqDZ9GFqa2tFFeUlT zVlknQbC7yPQJvr4YzsAVmAE4XskOJsIRU0KWPdHpvU4zl2vQSmV6j3Ysdg4Imwrjz9eX3Qr8lg1 JMuGNakdJ+PKh7nWrNuYLNZCusQ1XBN0v/8b92ohIUghVOQSjWjzNWWr2jvAVqOWag7+QFuKORUX jYzRKhxIottzRVRwivJLmS8y/jtMXtvuzEKGHiN31kjY57jyus/dUMX6P0nWE/OABgPYJMeBCTLp K+AWNYyB7mQ5uxrShHFqARc3U/Isbwsu+siztHfhkYoqYvotXQ5OZojbM6PLCCSAUNdEJnIYyCsg /mPUbNxbAS0slwm+zq4n9M6TC1LWYSRFAgvDOB5rcGKMn9aXvUq4qQ8Ns50D9NAZoBp7xRBjPJcK DhsqSlsoOGDU6/PVzmVImSFiPFVg6u0CkcdrvQCU++WlkIOmZxXVDbELh7b6+KeYnmtq5OyfAyTc PwsMzYtOZh9T3w4vuRqz+DHlUam9STsLmjIeXwo13P2xJ6V3z3c7CIJFdcbdevgbyZrRZeOCj+Ty Xv76QpsY428bK3AhK44fcIGvEnJkWAE7tTC82KQrWkgQBnGYPwhQJgJ9Z76gdLDekvCD6AoFBiIm Csq1VvDD8ZSYV6PK+aetd1ld4VU8ZVq8DzdCxEKOKGcothNQxZvZ9JW/MyAYd34pWrEJAsX+jxXE EDCaCeYiBJOmf3UbW9RjVvLBTLgxLNc0Ev+dMvBj6jZyniHyJuw2TUTKRGcbGjZj+99Ho6rnV0WH 7GpMLUfDOVYRDFbyA74VWA+J8yCk+23hcxaZXlOFKOic4DEvrWWnmn7UzbEEW6+h1nY8sHcmeuzc sBNhBf+LFDwDFTv9Gv7y63WOfqA547J/ZXEP2auC7NXgLQQFOUfb/M746PaMwKVKcKrZqRYouhMH WbeKn8cfv89mh9eCf9pLpxtOEe+7VkfFSVkD2Wx18AP5wqfQx6D85ekb4D0VkpjILEOlDq9Nwfnq qCp8LXAnUju8ngMXYCSqlddjaU9898s4+pWYcj6q0r85r/jGiqAISv5QhReLWn1Lubb4s1/c2Q8h OG+e/F8Whjdl9GUeWYmRaOQuHSTPmya9gW7IG3v48Sf45VQPv0CK1Gqrvq5PMtq/SxkmB22O/L3C xapwIe1oF6C9pYMJQuop+zQRvyrXIFSDpclXTQl2bFs3WRw/A2ODgoGlQyHDWJGXlgGyziCu+pBN asx/4uHwyvWQlelhdulIW2WxzUhXyRDyZ1wlJfz6hV8dlj/PKSzQEsEbp3WNTRsbMarFwAYcCahs 38hRYdy69ozjGwJ8wuz2aEAKqnf+qVL9sNNE5sD7NrB8C4XMocSc7lLyW6v8Jl4UOYLNeHLyKZCZ 0hLDp2arjtOS2QhQbU0mCAReZ524cFfGDH/WbqipyuKymUD8SD4aJh7TiQnj01nWKOkWJVwZeiWo 4AZ2/un8bi9fmrZhL29cfsXE/r9GZghMM2lh2h/kRLlVn1JJmNsJ2ZUuAceMxKh/L4CYJuhl0Xsh YIJCGQlXbIKuizsnQ8LvZZWOslKdoiXHMARs3RNYT+rM7hvGD2slNj9fprnVNeO5JDNRMg6I59Bo 7pvDA75KOukpuBHafZSVRYOeX5vnkeidlwP7hKi5CZJQhc+tYsC47yxr2E6LZ6pwgqw/jbYxvBPw BeSQA1T5EutpxdhAJ1cMn7+OILN/PPcfCf39A9DIftTv9V1VAdujRpMOshBDQsQGzBchyWFjaZ+A vfWeQan6ohI3JmEN0MCgSgtgcWVUeHP4XJwpJ1gCJZLh3kjU3YjZvXQPZ0vh6FFeZX8y7a6uLrvw X7CrjpdWRNtoWn7HhFnED7z3+JeMDrRQgg9u9FVDRNZmNnWb+XF3vFFpJFk64AIhnzrjFtZbaDIa QNHQl4cHXg9S5XGeZRyJvYRBOFw6tyhCka+hwNxc8AxCKgFMPdlAkw/MHPRjynerKYcoHsKp/LQG XuzouN0LgQtHwlTcRm2ZlX8EqaFUOO2H0TuTw07EfhBFQIO2cm3v6F9ZZEoJx6xFyHqe07mYcqWR P/PAslkU1jyVjmBJWwULkeWxDDshGs0Lsen/4cS6eBcYAmnCsNR3N8z5TEuJcX0e3baWTMb7YRPe VJtJjNctZC7bSbd2WyAA44b8wr57vguL53vV7hmp2Sm92Y2VxLxwkcn7M1qV9fmsfjK7MzwhRho5 oprTSkTE3xAV9PPs5WKke+0yzCVUXUtBGhsM15YtLSVYqglccHIaFdtAyuWaMmIKREJ5a+/2AcUA rvEEReAtdSRB8Bf8SlKon1W98k74dnH4OLg/q05Kl0ASKB+wqoZ5lB22dbRjUWoNG206lx+Fld7a Iup7QO87HQVKwA8cSDjBi9grOPaSJ7EQuaczSveoO/zEPdjddYgAHJUAhcMZWQ/4bR4ScS2D4dGq xSBFDKZj6m6qizVtfKxmWHyHcr2tegio7+ghvZ2a1whZU1Ct2B+2emiNWwiA7ESRw9acOAicQk1q e6vyJ7iDjmig6f+ktyOL4fbCO2fM9SBIRiqNoN7eXNYAjb+/AXCoDZrjc7aYRLCRzmlZs1UHsmS7 pr97zC0LyaeFVr5UXafdXps1ge6deyPB+dgHvcdJY1ReyGazWgIlgKxVBDbwEYtHHlj8/E8nBQ8x HqBbe3xzLIGEkX4BZnKG5cJT4T4f2GDFR+OR1Wymb0dhKpDq19h+OvA8X9LR1X6eDuXssW4U3Gac KXGj5E5NB+U2fJseYFcfoqBKzDY1oRbL0rWtNZPrQkO5Qk7ZhW9DExXyFTwLU7MYWIrwI0SPF5bP g/vzTdd4ZlrBIJLAfWvfBPK60jvRYHwFeJtSwLcUeWXbV8tALvECc5bRJZGnsH+/fPB7NS4/Z2Hz iag+kdPKcMofidrw0vMQkM1xJVhU2GG24lJFohTv3wSnqckLSyTG35Iltj0aGrpLR/Dxn2ZkhAOR 0wXnehkYrAgTcLIXmjz1S+2gYmtv9+E13nwr3ww3GH7Afy+CoXowfSe5J+U591QSdO/5kgK8nLQF ibIXJPrpk2pTCrXaKkWuyCQqeRCHgW+C6cWfVy3IlhfcMK+vYZzsHf1L56QqAYFp63ThvfLbFkdQ mrx+RptA5PWPlijspYy/14ZgM6l4VK9daw49Eeq0nONQ/GgqeRvBpdxn0qP3inIWEoZmaOW8Of6y EBhY5Pa12cJMblGRzGY58l4AKL610vHhlL0u8FsQotGR5wocFTWTMq3QmqAeW9Vgchp10dLG0PeO dCa50lWT8ZHWHsVZo3MYfm5RgLOPqF9NB26bjdLfTEoChMqPR5CAHtpfUH9nTY7gwyStmyzDNZUi YcmV2M9DPDoWWT8Sj1rmgdQK0Q7DH66oLXn7dgYnxQu4vxQ3AlxOCh5G7ezXI9SNWHQ04eLsObH4 izz/qC9+Rykfp5UccRgetulYWjAPYFbZjFkmoeKyguLwRHpjS5+y7iwhKwRN9GlXrGdWdSTCWRMG RzadMa7A `protect end_protected
mit
67144bd9b5799a5760cdea9b984e1ad9
0.943791
1.847494
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/xfft_v9_0_viv_comp.vhd
2
16,464
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SaIWyjva/co9bRUSmYAfIKCgxws6MT8pkOO2swHirc0i27P//C3pVBhhDY8IBidnDbsGJrlXitZs FIvI0trB/Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Q+zCnGWE4oZnejyJVZdj9qCiFfpjvlN7gx8X0h+Q7Cp4afTQVc1xpgGbJC8fOc0qxSYo5wvBUW+i Zg1eCjLz28P/80PjXFU1eLuHWz0lGzaSlpmtfGPrc4+1t6rP85mTal3hLPhuiyNpmgsU8wS+4Oj7 X48Fl5q3jElUyRdqElM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sln7P4SZ07HWKHqLV34NiSh1qikOGLNi8Bjo0/6JOTjx+193WT74LFNJLFn6lcNIqzq/NPooLB2n tRCWeE9HfR35zQpM1LcaLI+0/Ly2oFrDI6TN9MHXBGuVIB7rG+2eY7RXSiCgIzfNf6MA4Me/4Nj4 24JGzO7tO4sfeKySs36B73DYOKTslfzJBt4e79Kl7+taPEfz3Buo+IXlcxkM12vGGzZ0U4yJRjME MFM8uObjRftS8yQEaNJ+5PsYTsW75xPB1th7+4j7p86cr1E4dTJta9TSgN9nQqeRipAAfs+ed7GI jybbaFpYKTuSXz6+976B/PEisqiHGKQdzwt3Gg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CI7a8yBLwpN1im0ppAOyai1p0xAYYTnBeHPjtbBWbzPDNmqrEcTFBijfa/HWVchuSMFKJ8khUpzq 9V7I8RZ1IzkswEYzo3UKL/f9BQjN6XFHT/0/SbqVDDDYwUgFHSvgOONUCPOD6X2pIFPC56JsnqiP zZwDoC47ECjP9WXSq58= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IPj/x0jDA7DgeZRq8wUwE4ZyZMAa6LxI/BFfiIaJzeOcKnR5VdxaL/9KhwgF+sl4t8V37u8hphQ1 NEx55VcGYSLDNodqCFLfCUCZKgZJxj4FZ92Uz7+lBZ6r6WaXnbBldEcYPUPDQpLCLjpBizYl/0B8 PpUi8dCGUuzhQJ7iwU7BZzaBHO4mxQ3Yiltej8VbpzktLjZZL1zD+GfL/GP9FGcK/g5c5HokBEFq /eufHE10gh7egiSkZo1ZXYrRb7Zx4cTpO2KLaMFEReiyLOadpXzxACI7lwkeCK/PbfAcI0UEnqYh CLEKyqCb4zTuJue5RqqvZyU+GYY1jD+1bH1+vg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10448) `protect data_block nsTfPpDkEwW+flo4mICwVJDQsCXV61Fe4Ez8jbZ9blzG46AV6zG+Sk/54YpV9veQvSu9yVKhvr6h TveI8l2sASS1WiiGNFMllbOIYm5kOSt3FOyeQtXR/YHjzJqKD/62M9sfHFR9WLhyWQU54ThRWHJ8 IalPED0YtUiPADelWrcI5ImBtHU71j6xabcJBKsQCwiHxj/VOrAbHtnkJB83RZo7b5HcKDvkj7w0 RrQq2zdApy31WlqSSNAYY6pQhFKoh8KLbKPOfm7A2ZWehkkFcuJDU1cUMXr8l4lWGxbGRZuAFmck MoP1s7w7GcxOFjZdgSFj8rQB1QimYwmU6/g+77UuGb5AvTMqIsvxG4L1FbnKiff+5vxXbQYqqa/E +cTS+DiBY/GnjQ3aFK2lfqhVc+Ntu0JaVvNfwLnA6EUmrWemH8RYTlqGDe3948x334XCSztkt7oY 4IKEvDClo+sM6UQJldC+3GeJXTUgW2AHJbY/l03C8P5rquX/Bne3jVQ6QM3+uVm62X9Uah5M3yFM mqz7rPpOcdKPKCmIB1vv4eX4aKesu47w5Rt27WTAIuEU5hby75TtTT7uvwHa1IbAYcuI7nyw+rFr zvyw0oJN2ew9Gs7zA8sRAvarlSza/4SujQJyIy2sje+/j6/NMvQ+1W/Wavlk2LSs5qW7v9Q6qIKn IJh99wMw69Wm3n8Qr2OOijjzomo50GCgovR3GVr0egj/7ttdq/R1lRqYPXoac105gqNM9EfghMTu E406EFqiS2t0h2vg0GrGPhbyfw/YPDC1qciDM+Vf7XbRleFgYu9rEl6xQ/t36qBWriRxgljEsuER Mwx21XnZPvOMJHMSFiZAHdP0mGJUOhysbNrJNmwkQbfhJDuDDwJJXFN4i7RX1oxcXulVMzPKaORp phi7ajTyQnDubrA0PAsXgmuKO5cX3Q9IuONDmMC+OuyWasKmHYPNcbYNm/uKEsnTqFW7cGuS3OY/ +9N5qOeSCex7n9vQMF+Xl5QuWLlIZwckY9ioI6okPMfJtzNeFsqxMUEJ3V1fT7Fn7Bvs3NZIc5kY V7vWfZ/Ev/DWvG7Rjkdh8GNwRZJbvygBr/bX70Op7YH8t5gatNeUev0GCh5Bol+6n7Q8qWBiXHvw R1mDBPFWJlCuxNNnLG5vo1l5Y9990+E/prjn946ujZ4VTu07eUMLMAew4BtFhnoGQtqzq+O4l+T/ jJHxIyJVIxo7ghq+v2CVLtcpZ5a3b+Y4R1r1vminfuR9Zmk9QSWPvMAx/6zmSPv8Fq69mFdJkr4o 00Yknb8IHggxFDkYdtFmwtWsdBVyiFDqiKrE0GkC6M3bx2FXXbvA5e6kZfMaNgNfYnXI4UB05Hek ci/14neDWw0Y02UBFHPiOCtK4LrO3kNHu9ybY7p+ycCrrcocs2nlaC39e8OZFTNJMmX2xAd/dRaX hK8fD4J6wwFToxYztjbTmpVRmJsAh1O23hF2KZUiuQTxnzTqangMHCZj+BU775XMHNoCKaXfCbA2 IMjy/EMbMVurIibcg7YO0kxc9hAEOgojcWqi0c+cqvbecv5tLNd/7GdrgXavHk7yy4EykvzzrHjb Z1a2dH9qvN1uDv7cSl9Z7d54PTecWjrln1fjub7chDs7DsCfwSud/kQWs02kTrMQfgzcNoOdaht0 fWGqdssMXH8I6LYjk2heyFNC7gWHUrUZEHVuxHWK5NdCC2RSFwlsAEOO/00pLueAO/RgCUqthpgB EQD3j1rdFEhDrB2NT4TEAF6oyjPjSGG6ObEwus5Je6Y1P++wPBM1nvmfWZ7NJwJC7cmGHXFBiJGs hxRnY/cTRIvLbIVviXeq2FO+SeneV+QagjVNuKiyt7sodY/qgMOmrWAI77brNRBeMYjdF7oG+8O0 tFkxBKk54uqFC4MYZbpR44Dlk3s6l9qwy+G/tkfiL9gMNZ9oxU+9q5ZDiN6eBKtkbQaA68IvzR/m 8fexl21dE3NZ9PGut3AzHm7TUNGAivq3Kqvy+p7xxnNgRslXtk4jWqIedc4FTqxjsYdQCOhsMnCZ +8a6MO6/6kEgoz01PSpCh5qolmi3FTrmTeKtSnjkAFl/BOFWNpjffRPujwSSqIAYhVSogTpS04eF cI8gQ0ObLExqvjt54hBDInKfO+FkeD8CMXaLB+5hz4XRH6bJDrBLLVO74lbGSJyRK5kW/xhJyN+V 8bJfpFJ6T0B7q0ip4SHUkGD3+FFbX2qs3d3t6sX1X0ES7cA4KY4bU1GnJ9nPzn+f8DECFuVwqfkk bMkEMw8AmAslHF+0MLKcE/gz9owSC210MVxk88cax1qKJHSmV2krlzbEgE/di4R/HhtU+OaEVN92 xlhlvauq1uYhhSUsE1or7Mmtoppml4QLf9qXLrkSJ3hCKOy3eCEOyE0SgS1JtaiONxQSinEjhcx9 bjoex7tWmUYdaCNYvSKw6OV1MNjcYgFnoiZJ7gYQABr7eDRlMMrOlSQIHlV/KY7dnGKlwxmBox5G jZ+Q83nYX07AJg8q9xRFrsHW8Xr9nOciL/OA1Qia5VdYabx7m17B1GfEa7/ca3/GQYpFTwOAY9dA hYz1MOlL+lqsL1Rd/JJlnc19aSZ48mrePdw9cKMtEAP8wxAxiuRiULpzHKrk5Ag2s5qreNQ29L8g fQ38IwkFnnlxINC6AOCDGRWm2G9nIQo2GrNNkgqswqCuJ/M7qmbYKnADK1Zpgurt3WO8wMq3NSiC uG7DYst2oiOjWD1Qy5suXiN4YzarDasyOEp2pzueZicVSi/yiembNhmta+6/oL5uY//G0WBu1Qat MTd9gSBrFFZTL5hCaIrYOc6RqjdlwgHSRGy20PfaIEFMA7Sl/t2BEGt+xiqpoZ5l/wvPK3CraLef j9mjgCtGrqE1K6J3gNPOVfNfrTTdj2mZcNKYA7LIyYhOb0ta844rKsBA7wfaKKNwLlOE9coSrYRA LK/3h0bsExfKoM2kyFvKrgYgSN67cufR5kEUJXLza6KCJ7585Rt6GVGrZeVpLh1wVqduIt+JsnXT Fri0I2I4L/t17xEMXpEh/DESVScy9iQo69RH3q5st1FEdhidecXJXnmO0VvK2OVv1KZAAfHpVgqz ANmcXa3If3YQvk6ey142HdJM3I8FXbCqsag+m23pu7CuWfXqyl3dHu6NBHzMb9sX9cOfF4oF2Q4R xhAgmUirPPlq5xpxcsYkHEQHfIR9YwSrerNBfiXi6RXLXQypKqt/tSR3YAytMIczLttT3nb9ZZr8 atjo9+83L0ZrbOFquJJgl+OeeA+0bITRujzVjvC7Noeaei3L1EROshqDCwtFdt5uek0vSsd0KYfl 48BLMUXdvmLcw1Lx9mrHge9C1CtkZCF9lRUE7Lu9Dbl+VjuCnUXlubiqniwUfshh9xN3YILdg07v lsk2LOWQez+bXyUvxfAsvnG6gjrCnzt4VrrOCdW7ZMd/+kviHahL0iBbWNYF/qCcdgWTh3UaJxLZ QUYdVcJkFykGVFd/YexF1kqL9TEHeyxiyCX2D2QdaF+eT1Idkcg1h1qh/GfKZJbn3b2EUt5OLA+U d8UMj+QuxECnXK3Iyy2X7sqXo8qEld7AtSeRGhvbWKI5DMwyfytrVrR8NZmcPousamzW+7WfRyqg IRWFi40NaxVJjnbCxRmj0eHit8veoAy7JjiAlswgxOCgb6mEXPwBjxvGSLzbKN/1ynMkSL9eOi25 WM93avnFuEWCkbU94QsMtJ10rgHPHbNMbnyBwRX54tYS0g1N8AIlLlo/FJ+jCIoSqQ7fuQ1LFgme 73WmqMP2n+a4aB9eGUlc3xcjz78gkXnw0hdyP0DlmJ2aSBLOa9VSaUSyMiuGqJ+eez+sYvPoRCiY oZXHsvjHTiMIB3sP3O1HcKCzhMrhnoZGtm8plgYmYgVUjN9R26JelhmqFIYuYrUnsoBxxnr/bcVk o2mBsHdK+rdNvjLl63KGwoQsPwizQIUVJrGS/XaUsmAaOMP+oxmyxWVx0EtL71RBgxQiirq8phWS DuvqsBXgNz+ya6vga3CBrQH2Lqo+uUTOdJZjXwu96OHeiZHucf0jgvEptX5sgJgVy3/X84Eymxff kAmbXKU0uZerokjRj70SbmK1BLHmLgOCplUVTLQ07ySWnKt7eqhkhD3BEHHx7skvzeLbA0IIjjlu j9u9D5i5s3eSCyHjn2OxXq5SHN17TBXPUzQy08m5f7u5J05DREVZawPcbzujnG3kCg+Ubc/BwovI ha1re6nGy2gXOwssuNtxBGvIHndIw/8SdRpB+HPCPE7RbfevB8WWYDdKADC/4NwhRjljM3fuaYu5 9qNrN3J0I7O64XfJ8ISHxPKrqWjemNYAd7+q9G7+16aa7D1AKV9ELNmPeflaKZK1UFZMpygRbY9q LeIGeF6Y06zsfTV4pnw/C1hlbX69Zduzh7ggOUKIkYq/xlauFd62b7Q6xFJVauktPOE/zCQqsrPI BJxT/YQEZHpHLHs0gSql18XiOsgVG4YFCn6xn8CHZeTCb8Zay9yxgX1OYvIdGyvTW1aH1mg8lJMh JX9HABKwBfJ7xTG6+ZlOrIoY7rdtsTOnyHJz3gPrCftnM70uRou8Y6dauA+NkkhYhyktmJhVzNmz svmpa632YEfFU25XUgtX3TrOSaCRsaFoF5X5PAavch7FTBqjI+Uw330ee8Zs8HsNT7qvjlsitnb8 65bjyxfdNV7tyu/H1okvXBS6aWmDZkljlzAPzLd3sSK/NpF3R34oprsEDlvN/AP5UTpAPGmkIoLG XC3L+RWJC9tT3dwmwYkj26lV9lNFshkiP8VIe6bIiTqW3TI8DIWlVEuILUqE7KnRUHbiCzHILXfU cdbW24dthTeGrv6FxnpJFLjhmV8unue+Zg3RLd2dth3imX3WULwD1VtmX27FrZaZ13Tk7zLpWHsE 5MTI1DJnbh9mAoFGa1XDXj7UbZOHeho+btN0KO89a5ZAUK9SSU9P4AdOKlNMTTtKtMLo/WRXWPCD yvyuDQ+4cglHrAGqTgUX/eHwkqjXV433kKVH91ZWCG395EEmmMwRlcVml+1gh1FBCzXt06RJ6fI5 LDQqYBVo8EijzRCifXfDkKPq0+Nl5NMFBlu03sDZDi0dYLLyOrIdD60lIzbmI+8EcW1YWG8D9VCv 1sFv58awwQnEOJj+98tQMTW3pKuskKBV0jjmEuw8vQ8CfAvRaG+NgaeOSmBHFUv6HeutpyeuS58c 1ka+Tx3E7/NBJfw7l2V+P5u6tzR3H5DnojJ4eFvVERpzXRTtbGiyNgRXXmdIHVD0DNFff2ezfNVt MU6r9GPxtZZXsv0vO/McJbdlmDKYh/XL9VySrMjT5ysDkeqOUmcUS2ujV9nKU6zcRCAThVhLRy/H Ef8DhnFgATNJU9YSpNWmAGFhYus/lM8dhE1IXoo9c86BgHz8NUGCIpSzRGvYsPW+Wa5l1d8CwB4d gSdhZFC8VhydHiHn3zVYlsraPYqmiZlQk8Nl+CmSWWwnD99cL/feW7DggQGy6i0FgMP8PKoVBvkn d8xxmT655n4ygeEiGSr4i9nolR34E/hGzGaV0y21eMeHRUoKg2rSD4It/uAiVQ2QA3ZVxEDNY/HP vpfHkGW6Ghy1vSe9cLALAlcrxNejwOkSB23QEs8dHIEh9vY82NAivk0lnm6bpXlyUhSSOMXnr7Xt stoX/8Nnh8TTp+V0zs7eEVmOEDvoGsN16Ajp2F7hCWi2FCWFfWKNP3I2yGdzSHqStAB03btxM+rM ko3ECKm/q7HqnuOx1vPBX1beGFrpnpwu80rnmpdxeky2UM1gysAA9k455rOeku0AiacXqsd2GGRV KKJnchFlIkh4uZhJ4h2WK/FpUXkyl+lHd84BCkxQofrxDus3dmxGyb+fM6BWtaQAjD9THKgpF7dG rhZozPfXjfyluhvkKWJVSgbZppxFfIwtaa4WlosRgU9lw5QsZCsOxdoNN5i0jjw+bFNa8xrFCex0 c6c5n5M6X+CzqPtE9KzRetdMy7bVlAba6l44AHPwdIEL+ccSeDwnqR8P7XkqtUJtKzu0RGbToeHe hXpZYAzKfxtbFsQIjHigFuVSgMF+7FWC+aHqTrB8wsJ78K1tm4wMnBt+xrS+l9fNwdeGo86c5rQc 50iZsneyG7604vwAIY9rDiHlR1ComcYf6LqVtF3DOnDvzS5HURVgegXSFRIY+fR6Fj1XuE6Hyl2U SY841VgSm4axAotYMVXGGtBjH1Z7fEXkMhaA81ItEqcSmB2Njao7tMz6Em0yjNeNQk7efhx/rZUg tBblhjk4tj8ZeSwNna+BsymfgaYcJXa34EbOTnWKP0OKXudzq5SVJ8kRSBvBrFguOdM+dltjvBDt knhYTyudK18QOlP0DS5uk109Zs/7Y+XBBHT9jcHEPNkYYba3kE9ckT3XSPRNokez06yeofMGCKK6 3BGzdUGRu6BJ4pRHDcqSqbTKaPAuCD+VWN7vyaU2tZjFlbmdKiEGguNVJRZROinvhDO1hhwwP7tX pAI5yFTFx6JPBRCmSw9wfFzAIU0SbPQMu8MojnX00+SFaP9R11BoyJoQ1Zp4niwQvmcONjxHnk9c MdpfaCxC//ahjcX1vRNHwdc9ztMcxetK9RRlkvh0lTKzDmvKLgdW1tRsBROWEBIkCBoOOg5tfP7u k7TnmQ2MNKLNIE7n/ESO3D2ytXzbgHVpSbq/Z83eWMAhOQle/PPxv9XF5+R/1DTR1TfQYkNBlRcN sICxdD86mgmLUCikQqI/LPryL7e0F3Yj2ZU64I3YFKnwkUxtVY96HKxHz2xrQVDgTnrZwlNGr3t1 3qXJTMgTxyeu7CUDYeX+amrx2fs1CtOyP8IgTXvhmVHsEbErxRV24xO7rfVl2i/RJL+5CvYT3nTv bt8w/M8su6rjRe6J/+5DyEpLgFRFf1qmJ0LjTvlS1xG1Ay6g8EWGple4YVc5ARxasyj20V1U/hJc ixRySb+h+Anfbxy0apmQ6Gq0RZT3KHQGrrafFFqcjnRxnH3fmE/IuPpGBv5rxM7yQ7xDNUlUqxjy UK1MUgTGrKyXRX7qu9OV0Q8Hz6NSHtYpThe9FSeau0+H0/1ow+LtbtNJO4oAUA81onc92WWNnnsY 0m8STJmZbSfdqxt4Da2VwVws/h5ecufxFVpdGzap9Sh0jl/Li92EYxS7WpZx12MGkVm4a5mwRb0v MYhPVcuUk0RIBaMNJBBQCKoZMFDwaLS6ESxycZdXB0ePJrxRPnxW7pCMb8v0111E0tvlx18DNeZo eibcgyKH95S0Y0mtp4iqSjxC1GJqI3S5eTmfspiQ/RLePE3zQVNpm/k7jMfkpKOjf0TjEVaq87U2 MZPfbFaKpwUP1zKIaI9drQrduhIzGFenlcq3iZ+clIoT2NWVtXQhrRwxVKz9vAURrgEFsedZNIwA ZJh36TQFQjbv7iPyXnSc1kLY4e+PpdoG/DWr9VIjgk8u0zlChqHPx4FZ0VbgbEfJnmIUuvWLu8XW rhxbuo2v4+Cs7wSjT9eXee/A60e1TZTHdrK9AmyLwNdVKWg6q480rR/i6+xqfBI3crHeWrg5tJQZ h6urIbzRQy/CP5cGCpWpE/AiyyDog7au9dcsk63JebivyYsarSVoD6iUxjLHgcmEl4lJBaQ2U0Tz 7wY3n6K/nTwO/MRzrg0bi8KJTPSttf7gTJNHufy7tGWKEoZpOEHJgpKTB4+drtUnRm3hZZ8UpynP B9SSC7rEsMjxx/3KEDfW6eTxqps2tO+8h6CYOYPtojigDeYIcdMjWLO/Ba52HHJkIRTlbu76bUE2 1qFeNIiEI38gAc0UVG004kK5/S7s7+ya+Yge7pvCje04Objkeb3s6RtwV6b/5xxKNDm1z2FgfNAA 0wxWQ9VVVB5t8aZRkf4Vd3CmOWk71Q8ZpJJ3YievHcquCgLW5hj5OPHbA8nWU4Ig1+GKiWbcfUT/ IQgWPdbJ1rLW4hfa5tNbQG2l1gBvhxv7cri5GmF6d9CCMxBdgtd2OMuZGow6LVl9v7FmObImum3a fpQB/hAry6zx0fyhvB0YWk8AAIhLw+950ntlUcGoUFFicPnhcgAmXKVZrrxh5pqQwN2M9cynJWcW X3Hcw7UsqQb9YKCLJzR6lQ4QHFkmeZqTO9ZiDOPYK4p97YySLSUFpXNzLljmOZfcrduWnv4dSJkm fWA5sOBpjk25OuJXOP0oiwEFJNI1TI8kWGfi9zMhU3rhFOKrPIZH9U3ot4l0reDoGa9Y90LP5Oa+ iADrDFUOqCT4BnUgVrKCYtNpiCyrUmCyVyZMrI2DManKYBs2oxlqGPtPZKc8Y7ce9ZYzb+J+akMb XCC+F+4QPhU8LAAwxZzrBN8A9W8+pxs452QXs+jAnRM0IQwLhQdBIZ/oqtm+qTapo7ID8fdjp8fM mRSrsYfTUGp19RxvW4WBlF173IPiYA6QQH9TYQdhJJ4k0eLAf6PgAM4WeQ6mUasfuM5OGXGWaRPl PDQWLdBWijiaxvEZ9vDzDTntjSS77S5PX9Aycm8y5sGW+fsfx9lwej+bpYAFYVg7F6KJv/l/KsJz spfTFZ8P38j1gDiTK3Chcjkef3PAQHKE+SisPqIp4sSEdvaTyyMs1+DxADUZf/ytqGREpizitfAy cbgNjBIMwE4UYqxKO31e/XpnvNcidyOqtCwJuLDjZwR+fTiEGHq4tVVJkStGiR8DJryC86fS+Gjh TBqKOHNU3pUdd2gl479pM7M/MHDT5++FRpTzh5PYDsdiumVUreUj00L9fSBe5updlIGxkvUPeQ6d RjOZR1eda5Ea3xSG/h62vugNI6tgmq3br2LMcJcl5xlHfeQ5flvq35NpAANCD301AlUsL353pkz4 +QL6Dz9NPuDVfGrNU/sDavEMM4nUDWegy+XxeRa2cpEUvlFsG+OqyUhIBOJHw8ESD4I85HLfs15j LXX7eKLM4mJJrgFiLP21+nsUpQ6EDXoYefnwhlskV4Ph9FU3pt50a7EOnYjJ3s3SgwN2d2JcIBMx WVnKNAfV76qSUYLuKm8/REUKlj+brMvl70jX6ls+4LW+s4J4JqZ+m/5B7s8Q8TdF71Q3TlGoYNUu nJKpsrTBt27w+vJHQI1/Bp9n9vSbZTGVacFabbsXHPyWYSHtWwe5k1lQHwR7GsXD3km3NMZ7Z8Ok hLY0PeH+L1HEFDSv4ZLVyTa8eQkN4Fr39k3RyJUr9O187Q/H7t5zu7rjJb+Pvudh258Vw47r1YPA 8BjvUXVIj6CkFInnLFgmX+JVkq7NlDIdUEwbzwAHd2B3knlqK56pRh9r4sNYYsqHBsfP7vAfLSm8 iBRjRkeUG9tA3YkR+IN9jtVpb90PYd6jzhNWiGC0DE74v4tLuRYyuTo3WPTg60rV4rB764Ow2RgS JCX3SWSj9CPDiC/4j+ityAmODqCDHVN7mstOiHhZlA1Kt0WkTnSHD0BMwHIgmQgLPIQt5X3mCK8x dhE823wqIAq4S1zPYmt7HfAMVCQOwulaIVpJX75XCn73/RLP2UdzFUu7zPOueirGU1pOHFBpEgrt pGkrpViV6T94YtlVcG/DPfdD349Kij7z6ogBt6vYgTuzRTWW/CZj7miXMxd739/VKrC7hu023u3Z a4MP3ArqOMCzvdebQhkj2utDwrP9JBp9CsdquvkYcR+nl8OVL8SkKDDyD1Zz/J4xpq3uBJv5Pmwa OA/GYDB/cTTqO+qkrjmzaEQPrBcvpRkPPLtvnfIRVUO9Cdq3FOdauuk5xZfwNATNSV+vGje0vaQx uZzZprPjuggDcNnTpMEO+4cpett/OoSDmBt7fYSEPWgcbHZDxdM7HkVJCrMUXUQg3Q6KDwlwA0VH 9f16CXwyFi7wofyEm28reRW+vwIJ36036W114EzCKG2HGCewvD1BbakoVVpTQrKQY4jCeDg3OkYB A41crRMxd31vNoGOGSHi+jXn3nd5nM75ywWGMqggkNqJyF45Wj12iWByGMsohF6Z5i0x0qdYN5Ni zsDp1u9AEAP0mkaF0VJiaiGwHPr+zmVRK77xu3TruiRU+QkwiZW2fnKh0xO7tf88J0sx40JEK9ni UM0r8yQDwdvbUhYf0oeZR/B8kE9RcMVKIVE2s8uJBaJQVdyWLaD9sI3M8LWsp6FY43N/x4yE1STJ cxnwYFlv5zXCLSGsiwt8SCEeqaZkQNo/RaimbD6lZOulJx5bFEuaEcmxeoSEOPJFQ3G5pN90ysTZ ZhYuMUoovo562HZ8hTwbNpjCiKZfCmEQj+QcRYcTMY1n+/JsbjZP/vHufKBAHvEf3uT6rCqHsVba XHIo3Tnf0tubUo8LWLB5qpwdeKHrJdl/nXR9yqnakwr3zrcTWXBXpuDbvCSKqek0eFHsyBAw5+HJ ngqYjp86jEQKDBF5O42lLeh5LtKucaNWwYxUxWpZ5yJt+tYlvGsTL7KdMhJbQIexgnB/2QaxpgSz 0DLHthzu2c0gLX0riw5B2cy1vAIht3WBcvRQwFW1KWf+h0rNSPL8t7dU4PAsZi6Dld5j9NQUHisv T4Ak+ACDlaV2wSOV5zdGRRVqKLaMwcgMKUpNCDhPDZPF1DTOzfQpxYBLpukD3JBqsThu+0DrlfE5 RIv8mr7pMvKk+rSOoZ4RCXsdFFZaPXw1H2rYeOR/3+/d/U1xtr2T6WlvTMrx7aeNFUlPjlzpyMzc LVmh0271ZPfQH8h6hyumEU/KRy0t2xo7boPw8FVlUqWlkVZVbcT9ZkSfiwHZPwUOU+7IgF9Nhd0z onOB2A01GiM/gb+7CkLenqShNpE5jE4YeA5cZ3eLa1tyKSbz5mv+/S7//BEWfHBmdZSQ3K+WUWw+ 9sGve/pY/UDT9ZbrRH91bDtzKDMfGQMRw1xQ1Ux/FYBeQO8PlPRw4nnS3EoWWPRMjEJTnnqwyv3p SQXRW3io2JqNajlcA0BGOddY5cPbAnxq6C0yXRV2CBP7O3mzdlHAqIO8edTr5Z5gCTLCQhPKVbyP cZL3XiwdM9Kd70eNLR1I1gxVAz1SBwS6OE2pEiHVfAUrT7DEryC1D3+G35pmdiPoIY2u6jyRXrAw MtvFZtBrzVyv+DMn4M4Tjpy3XoSgGwCE21QGz9uXbDSumUrUdm96fXUHtAuaRNvEwoUh3XHSPHG0 F944f6SY8DaLm3M4FofgbLzpGF5dWFQSyykdJ4CbWhD80uJlx/a4jCsRMHQcSP79aqhjVGl8R1X7 m3pivamnWx8wl1gUiccWRnFJgqccSPxwcyRZ0+C8wOX2L+jc1OH25FaT50QU2734UQNKOzsBmOgj AFB7v4uTvyviojPhVcwnzachW4zrRh3edhgmYWzt7uYiMCQ+kYKO1HCSd28p1DFJ08Tm1a9x2SiX o3XBBmeuFzmy2rn+q57SO5cDADJEfHnya88Yu6jcUUO5UWfbeyV81KXaD4c3hfy4kMuzFBCo8Arm cMkg+bjJJcavRbsN2Of1IHl39piiLmO57rNkldJP5MHj6u2rNk8B+UexA7LGcYlLNSpuZQz/Z5aG Sy1IdWfMr8J6Vke43RaINEEyVym2D10nbik+qneCPiFRpWwjkjpI5ndvpgfKXzvmsEcx2/p6+DKp csd8k9EBJr5wl1vWz83SyuIpv7dYepbooTwVviAAS1Zm6K1+HkolawC8Dj3D0g4P7ptXpsvAsSXR xJgAptXY5Pr0kg8oIZ83SL7PBDtjJC6sIpN75qtObxvmLV+7iSzP0ffxIwKiyN6AhrNZpWJTmFpA GG4F8MYuNad6ki/BMkMJYhM+DuGAd8HJdx+i9xVY5j6cnKRiEpIGmUsHCtbhkuxos5U0ilNqA3uF NOG8di3Lg+qlEAwQtL8l7FDbLDAyKiDMA7BsxC2BkaWjkYvO/se6499TXIaf2FC/QeZruD4RuADN 4+Wpbyx1pdpTLVc9bzDfu92R12w9yk5ktsZ6f4CZwXyNEHmDmI+rvXrpv7hY+8B90GGIAptLBxM0 NGyy9C+0jM2rcINwLeHjkt0Hs4tvm5nXa5i8ApcsGGLFu1ui7FlcUNEZJoyCwNL9kImygLD0tJsz Xk0UIZ8zXRIyVZihjtbq0lXz+QYVt1Q0XNye3YPS21sA9C7VBTzho4yQvWhRTViJurYAw26ETbIe NMnwb3WpsMsjqL2FmcJ+eZ/FRMqoh9QwdrCummmk3Dh5kSbHqQ9QBYG39fSR0v5sc+dyeXgrkwjr nl2V15RBIiTfXeFJF2rRkTHVUmJS7+h7bTqznjBZLJhl/9QCYYGrfP+9d8gKe8dNRwLwQyzSVqp7 PZKEMBxasPT5mPyqSeH3hrR39gD4v6O6oR/dxjQ92tsphlMh3oFAb92lAI3mzDKBNiDiDlSAwrOM aavwFopumRT9vEZTTTTujScrpS8ubPwXQEZVxcuYpsz8T5zeks9vQpqtphIVjsZmLSIRBsTAeLut cVU3MAzoD+wCHCfKTdu34zkw3BVQ6/Z+N5xHq75hqwKD1+BjarF77S0SIKERELQzGgiEqTO2WLFk iKGmptY34/74xL2KSUqjB/k6RliSV9vSsIYzGei0y1nIvj7dKmf9/Ub76EfaNYyp0jRsJYP8waR8 qLdIsPrcfhoCtvQHgqFGSyzWnFBytozUQ5lq9ekPm57zmg0zUro/EmVOZORmj8CvDxNIEf8CmazD 4YeV5NGL6Ebe+g8hLk1UiPZkYSCkn1WGtLvVcHEGpafspKZb8X8FoLinmrFw5MMDb649QFA7cJNg LEBYIRg8C+NAGQvxzklQiZF9xQzHTfsQy11rK+sOCvIR4BgXpNrihMj0Uicd9p6uq4Y0XklujzH0 YTWKgFp5DiSA4t/I268nQgXUHJmV45yBBCazJ/xfSCG40EIsQ9OHeaFscaQohw0d9UxnRCtP27Ya AbAL6EbDb2o8WS3kgjrD/x287GrkoG+zP6RIy7cQoW4AOZkgkLBtB4MsWOvsszqhUcaHDtktVCdi gi5BFGfmK0oGZISOwFout4HOTKGAABTVvIYDChqBICEH0oi02WPKsbumA1CaX5ctY2igyJXglOkT /kP+d0hSaEANGEN2WPaodnavbJrCSjqO4F5/i6s2CP0uGjt/PMlqdAWuHiIcFWh3vWQn6a9HjZ5c tVhBjxyeEJTJzNPsRwn8Qskf0rAI4LAQKHgEZiqUyOPuth3bwXEj7ddOqDamoWFI01fEhgLtKGAS cYrOoxJUZhDuGXscEkSxmmdyXJRYFhHCWRqSAFY5sn9WistXcaUkp7D+yLcy7aTxtJJT1QjxsN1L UkpTpoqYiIWOPDzMkE3K5MVMm+t9gyR/oCCXapXIEUqPRkFTHqo+UA1A6kFkJgRjUFjDplfm6v3L uYAkuDM7WtACXg1Bn1fidSDv4J8YLMMZB3V5teOpOYU1/KnFir0q9HkEVIzbvrH+RfgsZ2Lz8nTg sA+aHGiuP9ve//fZoYZHrGA/rjLNLY2Znti6eWtVK0g2OVOuCDOpcRM97pXJ1br4SS0Ww6P5ZOKK 6j+h2S/4AoTyWNI2K7LeDQt2+vA3wGrOO2S3lrlSUc0VWaDZnxmuEVnHKwC9aLYaIPdz5XzhDI1L vbPSyMuxgKokDES2qVTror/qcF+lP6V4OcJJcq2TeINRWv0+XypaGnh8WzERkjTZHIFczbTTAJEL eZCwVpdu/UG6De1NPlEXYqXswjKdkpDD3JTE+5TT51StLUHM9XLGr+2dZTvuEAhoCBsTuPt+xGDk w+bXDp+MvlVEERCsQQqxS130nZeTOWut+vhlsN66gdj1KuvtQOxNumw9zZJcf4JKsEPCRl3el5j1 IpVVTxqcuXuwHeY2KE8Lzib7tRLgQPJHR49vDIDpoKhia4v5HA+82JuRrVr44T8Sdv0lg0OPhStc aL5bPeZv5IaXREeqLn8bqjI= `protect end_protected
gpl-2.0
ae994b0e8d15734a77e3d82a3930cc84
0.938047
1.86118
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_mult/flt_mult_round/flt_round_dsp_opt_part.vhd
3
24,289
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Qk1+dk0SxlsYMbLxtSYFB89S4dR03Ktohh+MjH1KuybVuXd24qVvaSWoyMBJzVgtpguT95MODZU9 6nOHOr9zqg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PnwqaCvh6J9ZOxv1i+Yq0LxPfr+MsJdN4M9WBJSL5iBHbWP2MentI+Jptwlu6j7aLkK8G4e/BX+E CcHwwBsj+xenNZJeuMF2Hc26iLnV3Xue4OQbkK/4EpMHhfWUU38ZvF2nPMTm3ngHovvwKy7A+x0I B4C9lUstEGalPOec0do= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IGGr4GqcU26NQ3nFhrPtd5Wyr34234FvpfTUJhNz0nGCGkWWE32GQpecgPam8aJoNIa2BM6Hxxdm eHXroBrEWrmAY46uXeB0qf/TThGCY3F+X+J+AnSJyAJKHLtXX8g8ZbgtR1Qqslj7+UuyDWzo7Nl3 DcGux4hbFy3/L6eV+nV4tJx/DBTZMPhaCJi8BDucBHDXwucbYkKD0EsL4OQiIveSrcHvzCTd2Pa5 sDblAtqny1lKpLYBAxdCC6Vl85kY0DKb+wlFaB9QpJOYsO3LAcOjCRCZ0nTnco3eGlXDz9wCFEaw lpBopv7pDWTQqyXDMcE0WwVqjRxZmroCGET3qA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QHkyCruxyUUegutNzoXAKakYWf/MWpybUE9qun9H/L2EImY34qXAsCkcfnlctVpCvCACoYmmtQZa 9X8Mk8OjCThrSbpbZZO//dXGn1Tf9JGM1mRWZAXBXL9wv04fbV0IwZcmCitVFHarbmb6PYgT7AXE oL1MVQXNG4/1n/bQDBU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bZm0RseSCPF3k7a5RjuQnFnLj3Uo+8WftwLpzLCUR1Nf6zYcSdDQVBo7y2T6an2KvUSMlozfLv8m Oj2X9fLMJfIDAHGqZAIoYhTkR5YXdlZ0htX77C7glIPrpcHK3mkLgBRmmZ9Nj9ZbG2BXwngbojsq MvgHrcVwBBmxtDnZ8JhJUQtcCzXcMJrV+ECfeCnVkeqwsGOlShebwpVHFu5Xr+6cwh0hHP3V0htm iGK+B2aldCGrKFI176DiiQoQaF4UM6a7ZPVZdVzdkbcRW82EVw7MM+qBdR4GzXLWyXCwkA6Y9TkX zcUAnJML8a0LITtExNxSrqeFQiSxZ7fYCSNVkw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16240) `protect data_block +5u8id8dlSH8wH6ElzewSdPCNLn9CRVIpA54IOPr89CZSxFHlspv/O8nc2K/v8oPg+MosfKC8KfZ WGCwemK/G8eQjS5jKSEZJnP3BRx28N0RJN/v6asyS26LrdcfdcgWpGSzdk64gfx2++pgVK8cMOSs ZeGxPUedlSTVvdk0lvRZYHPOiWyIFlufeor3lYTV5NI8+jediXMLXABE0yT/FMlG3hpLEJaOo6Mk lP9zluUOV/HqGXePibLgzX5ooxP4m3YAPWq7xl4tqx4UBIjDiSUQU3bgTcvVls/8G6yDgf8sBVSH ZaUabw5dw75xCnddrQMqyXqDbJRL62hBiPNPqktxEWb666lij7+dUPENQv3GD4RT6nmL6XNracKc 9RJ/bSyhwT1pXzSX5rxZyFHkWRrd9cV1POMWE4ZdNzOK5HyDg0fCQd7rswYGkNRvsB0zTOrfFimY uDn7ZtkCCJy+349n86OPBlFim7xkEJ7H0UwJolsKGxhYAaykXu7N8HW53yKr4XAP8B8RgLy7ab7C 2m67e5JSvGJCy6NeMUrHV+MmMlM5L4G9Xfddo+EEwMzcfn/ML7/yjOymNoyRJuUwuHHhdeV1hgyI sdoFmorh85jp+aJme2viiHl9l3LhXV8QGsdlewAN0xn6/Cd2lDK8S6muLg/yxezSEm1lak3EL2lj TGi324K3P5rph5eOR2SRmm7sHuLh9u/II0HyBagwvyaIx12YF03pwejjkp/R3IhN+ffQTrpjWWT/ A0pUH4URudB4ageBmzuHU4HXvolAFlpmAIRWT0RqSyjUcX4EjaOaX/LG7D0oCT92MWMJtx1vm20a CI1pkD6wjcOhrU1xe5yngnn/FTXzSRCFcn7pRzEb+fTdHmQ9VEsGdnuH3octOvvLGVF52Io021ps 0F9IThyJITu09c45/Pn8ilgwKyUA1MHwMjFr2W+AZ6iNyjyXINqHV84zWV8GXB+U/tbl9q/JNx9a t9T/c3WdG2/njKN8HRtZM6Jgk5ogk9EsE+rx+t0WRAw1YIO1BlxQeFZHy4L3u1aXzWBCeGDJmhxM tlLjjFVtu5Ie25RxwC1HjDc4chC+fXpuFpN4U0/wkkt97gewjRAbQZ8LmcOE9+7QDGILL0/35ACg txt3CnKnsfTYmNEJc3CWuwNcd0cfy9qdOdKhpHhtxQaZOJSL2c2HQaR45ZWIYMlR3cWCPmxpTvbk lgfCLZuon+yX1fUNQfp3n0wDnoY5gb2ePqrhg7EG5BiKFyv87thNYDukAjHL3x82BiRVnNhv3ova hN0ki5dNIOlf71xAfg7zZCbegpOPssoR+1Idq/xZ8M5J+HZwYJlhuoWlVpWjwkgLfKt647EcZlsp iOFDyekHhjJEx8wdMKy9WugKsmS/o5EEeNpY+vE0LjM8kGujuO4GFQXB0yrWAjmbF2miz2MKXx60 tYxbiyABIlwpcppfBZJ1ZcxrRn6TF2ehnYecBR73gpN1ABXVZsukYsATct5a3VGIxHoQM28l1mto Vgcr+EYu8Yp0Vsn0+oxHUyiUVUs3d1zSABsRZKl6O5OjTi7jTXUicHvy7f+YBbeA3tBDmxwqHCOG BikpjVtTz1LbDomuzMNO3+annYeOSSLabebCgpDi8hx9njJF5WIJAqLLCYlYQfn+eaKy26stpc9K YFS1bcuvwgjadTzMT/lWvxgceC9OLg2gyc5+dS/VSZ/Ei/uJN3NsPycSr9yR+OQkzq0Kzs8+zEmt u90+Z/9+z5Zc77wm8gQXjbJNXqFAOh7pyFIKYhGZ9NH2YJZF0DAcRpx7Sadxq2GwSEdGOrx2ZUA6 A8fvlUuxmVN8QpFnrH6X0UItrGjeaPqJ12UGl7yQO2ImuCjaCx8SpjHoVRVFxWg+eXRKClxLnlbQ Xjy8OrxjTh9nxTlVQZwJfGT8hpig+GOwlML/rlxdXoEfiuHyhMS0WxDVPJi0Uw9xJk+QHWcDJXQE pMDj3xBUriBl3wTFJC0fbUlsi6sVy29MaMN2Q0TaE14AUIUwn2qKZ86K+Sv+WdnSOEIDNVCwb+mc VLDe/2weIT3VyXeXIWJSTWnI/dx16s+saCS56bgYUIg+kk19mzhTMPe+/Q6qyQ91jcHJ1RJ2RNgO 0IrsSMiK3m6EYxAz49QXXzDkZdl9C+2VzXirXYiD3yylhyElolX5whG9geg3mgrxv2SxPmQqeDVi d1S3FkOl+Gp2mVXpu/QGECagmfvwrxrb7OCqr6XDJbTmVfxBurh7gD3FbnVRkd63VJQUBMveUQsQ P0yEjGUID6cWqqkm61sRDOP8eq3ECxK2fTioq4FWRYvrkVTOmA0qxgGmRrRWDsH558yO3v6axW3A YfQ85xrz9A/AxtF4mLN39BBK58OYgUS725pYWIKOsoUJItIlk50cWBhCQQB6nWQ72s+SXICYB4It Ux0eEfeDoPHfEpRQp5K0PjvLWS1zA/H7AJ456z2jdARIitLx4S7puoA0FLandBV+wEZrCxmFlH4X yqVEBe9YVbBQ6vKT8PbBmcDihFDJPJnGBfslHSP0J6BBOrZnsREEJzgqgXxYbzJFVDzQRopNbUK6 /KeuBzTqIezJr3iZ+vAY7EPYqN2oFi7RxPrSv+VUtfYaly/CcX/74tYEfkFK9Knf10dTa7kZN9Og 51hucmjrFVOqHYIfkzgp8nhbvnwOh8Y9LvqmDcM8/H2etc84MjWTVMsbpVndjzstGqh/qx/feKN2 4/0VnTeskb/AgrJBOH8Y4bmn9xJnwucMxxJmVAfMJiv85WGxdrrboMqPxLSmLYakh7G3DpcnAMeP dXCPYF0I456zpKuqFAekJsDfkwLyBCQFamq4nxT+dfoq7BAuOicqDTN3gCV4r9jPqKAsi+8d7odf QM2VbVELMwKD67eV5H0BpTsq7zM64bMxQSWaLojctNElpARg9cFu2ncLu7dG74YxuG1fXO58vHie TXaz7yuFq0Hl7qO4QCsfvVSjeN3JZVlB4kFGtuvCrksjzrnEgla4p/gSNacVFbr5kOtd6IQd/M09 NwC6dXN3dbIi2tlABpsQfuhbtkswzlGTLdKxah2ISJBNI4maIH4yJ819pZ2fVIRf2aHyPChxIrMm sYacR3Chmv27i1qKfK40OvtWR6IMttNOW4sFg3AzbgWPvMATsDW8laPI0yEY1AH2EFuYaRJr4cW/ kAZj2yw/Kkw3l49vgTzWfqWR2xyvP4rculbkqNIJfhO4ZEnDlJs5IhtFoslU3MxodWi+YZTz1ot2 ZRap2XfHxEq7kkhMZA73xBwRmo1ZzxHaN31t69/5yAVBJJhwJxF5aLM3IRq6tsQ32bxgrB3aKIGH D4HmasxE2rfbxMxH1ptSlpqW7dCj69VpHiUuDnY63r5wNyIWmoaf/8OzBdFYyCVX6ZT1pD6bNjX+ GIWEblrZ9ZnhijWJZlA2kkIMfXmfh0w4mo6ddgUxK7X7R8Zfktr/Xj7FYHqRta0eZICLfB3M0okS NAy9f2NMoK0tJLw0n8zIBa7qYb8Znu9asN8K2QUFsTK3b/Oq3aKe8k0XzXRcDE4RoB77SdnVl8J6 BJ+WJcKOX/pHKSHGsvgri7nlfCRNY293ShRa3K/bJG9iwLGNjqIvqxkXAwok7xzw+2fbpLxoycX/ gbnxxg1PQrP9SRZ2j+a5COzA/7DWrpjKCrX1/y6IFmD/H/NBCUHFFGp/8D80PlfJrXCHXyPMoYBO w/ZEO9jA7FR7F5U86O2zeeuq5EkTFsZIoMjTdtQV1EN7wpigtAqx8yueqcAlGyAB5uIFMBOgzegu AqzBKmNdmdZ+TGMo1JS6sDv69BTWsmCRvj675n57nOSKPxi8TKCfSjXEpvMxQEnGHya+AVJbTc2j 5qRKerfsZqevBtSS5rAiqzkZbUEW2LBBy/SXGiAsvL9/tDdxUUnZpF1TtPVkx6P3GYAZ/Rev2yc2 HziJBsn258wghgO3bRiuffUQT/sczJ3VEzXVzk22taIV4fyD06KTLiyBz/Ef5xfVhFV9ctBfM6m3 9l8/htoVY3B/GpJtccXS/NI+SthXgU7Vhfpb/cTbyjAf8EOLZr+nFpjAv5eJAfYr+hDrfmmoybAb 2g6EJvVpB63MJOh5SSSXGa4fM5T8WDl1Epo8Vkc9HZU/pFlmTYj9cG7rhewFAbRLLjBMFu24jTws H/Mj8xvZy1MaISG4Z0AN8zZ/hieuqq+iDlWGrJhuSNcbW+TNElaT+MyijlHZLl8L1RsilmuidoO6 GpYr8jQGCfw/+vx79ERX2/6RG0gbKtzdWgduUXGF+ImQRYIEPmZZh7LwVOBb5Zp15tpt//zQl9BY SIE30iqzL63lTOuWM/BK1VSfdR33c1KFE5mdvvSuMc0ez3cIp73nDktOiNRPhsLjPN6NWTWLgI3B Ojl9Kt56sywdGscQl4OilvBi0BTvjMLf19jNaw4my9Rc768U9Hu2nLj6/7eW0h6Qc8MwlBiIprv1 Ois8lirjho/+unyK1s2g2wOZlYUu4GWHpUM7xjK0yV5EQtPbKO42PbwSqTVlY/7GzSnypq0lYGCW wbGDwq3GQX83yseqD21btYEkI3OWKWEABES1eAiqte4enXj0o2IR64rjVtmWHS+8BKGbRH9vYKYm qq+LYCUHyx8R3pqwmYflLeZTVyjVUJpyA4DgIrYmSbbUZrtE14jawPMDRyyg1hwBcwAm5Tx94TE8 tzpYCaRnB96hfDvocDYgQvBcuzfyc+0xlGf83xO4ch9Ah0+2qjPZYFof6MyMpTKnzqjVxmqpQsx5 V/pCedxR53RUfJRvRkMxzTb4lMWaPy6F7GD5ICPMDx43mo5XFds0LF4sQ362oa5b0U5BCpPyNKtl 6PL2e7DBnuDHj+TSpkyoDIL6JQ36tDre5xSXF33ms4a9ZYiWMAC+PYs5V548VJ0NVRWP4/jCZFN0 616kqRs+NnLDM+GUjN7uBfmt7y7+GiF8u8hhypLGluFp5p9SvLgCBFU3IsxNuTnzE4OSTTwijcVD JMW8c+WkL49SRJbW5X11DPfnPSUS7vZkRj4sIMG3p4g8u0TNSu7qc9qn9nremUvgZxJHj+D5LqAF bxONx3Y+M1hV0XC6WlRhXSF/jzlmTcNZosQWQQGUDChy6II+fHs5fuCKUFh1XjcVjVl6jMc9rpmP zcHIqb2O+B7PgDaFVcv0d3uNosgXAvz6kw6URpaUlmp/W3v9dW4Z8y/keDyuPkHqWqseNOrnx1u0 a8Mv7DK+vrpL7/OIIyqp4VcjGGy6j24hOAXoyCNOcDdHP+vY68GPYh0fR8P1JvQCnoeBzXod4K+w GLwcHKY8GrwRxlKeK06vxA3j5GNs9LE1IC6wpDm6/8NjFMEce+FhDOADgGjHjSVpLm9vhctr1BbJ 658YK05WrxE41qxPbQ6RYGuCAjWw981MgKb3cxVsvjVJjqi1i+DiqATBmLsXDrQpyYIe1MTXrUS0 2QuDGCHFHGDFYBrJEcD6bEysKc5DwjsTv4Ei3/LPOB/CPmfMKjrK49pt1YuJ7FHzym4YCa4nPfTq 6ojZUCrzUumIvwf/v/AwVuTf6HGn5AnoKoC222bm71D9WVvUCVhNszvacq/9xvdL4hTLMsWlVoMn vstOUG8SZisy3sZOQfDPvAQLwy5aCyGqRQEHYWhScaQW73NjFEBHcXaFM6D9ZJjI3esWdOGGYUQP gEsDRriDWN+KpFb6OPf0vZ87zo+wAYj18bj1DCCt3L2MWxSaAtHPUxyDPSzWQB5dW05g3KgaHjHi 6x0P3ZQAv3uwX8cSVJYsVVVrj1o6EE0pEjb9YD3LByZT7p5v16x+G6UygD/gX5O5x431JAnaASre TdhKMxN3W/mG4X78+PRWIsd1bIsk6/Bsq7QAKM4niYXFhreHYYmYS7guqA4768wbdzN2NtXL/2JE 0Ww0j073s6eJ/qit7KiKjBlro55SrUSoSXEGy8dvTSGdYlZlJbFY+Vg56Q8ds91C0AMW23pmLpGo aMyR2uJ8o8bPG+fH9qL4pSSZI0dFl+rHyh75wVtMusZrwrCO0tHI8sZE0PdWiRCUXoHg7VP/EBzf fUjdCURryhwii1Vu2IKGt6V2Z/VYZFcSDI61/dkujZmq6DuU6XPflmDNTvuGud8WRG+9DVvwF8Sk C4ff3jEmTJE80K4VZBWVcsIyrz3sZWYDHbY9WsFqN6f3q0nOgai8E3dLXTncrmgoXO/2b5M2bmzk fFIDbV8NBc8ruEVTNwmeFNQr08NWhNKkvCJI5SuapKMHi7L6NSOvgattEXLHah9FWmAjcXUL3K4E u6CrxN0GaN9bAcBL8uQM4FjqLx1kGwzEYWBG8euwoc8DDOeBAuiTBEn0cDRGpQLYRVFbcaVReHCt LqjQsjojLUGhVpNwhFQ+1Lljly96QlxLliRBHCKBCs2lXArT1vH7k4WsBKMDA78Vg2LkHF98Sbzy HEaXpJZOQgVIOccQjSzlnA79fgopg1lNAVQkrH6uTK7yT9iW49MV6NcmkVXHkgf1Hh2PytJopti3 n5L2QMa0fxHObE5VwEVF82+6XWFB6Ubi2cyPvySeN6GKgiRQlqnxWIsfxufQ/5ZRPsW2lbNtxmJM WvjHd/RWAoDDb/Muxp8MblXCytBQLloYq8I6OlGyLZWtc4BSOCKJaeEKZ5VLzcEb5wHLgl5831ab 8jikOIPbbU1KiuHN02aDQC73JT+GNH4dODzmBkux7sNx3wzAkDX+o+6xb1TqNTAZjEQCkRbFM29e L4JMjHscZBIvBceMkdMeOCWswqZIGtrOHAF/vz7xRCNwOl0yZW+rhiUc1uTnTayeF1vtufJBE4c2 Q/DD1pUkOnRU2lyq8BRO7skeKIVIkk5RMA8K6qKrMVTeXZE+gCqKj/XezgVzmYYzr+azY3guFXXw kZL6eN0W5IUBPfsAu4DPJL4m32CWjVpg/pjkNksIQ0Vs6yQUUNHl1WOSPCY1IVnPWP1SiIEi4Y0E ypQ2VRaWWf8oRknB4cGB3v3EtppD0MPSIePvb2X0WK73eNkbVAT306Ia/fc5bqPxDbcG0G3s0Y2r NJwKMGHAj0As4B7LISTaKiBps42RnIZ6oL9pGGWWu/DUPkAp8lE5osBlbNLgW1NS5zL2ybJfxPL5 EL4xr3y+2BCl2zb4H44RF7dSUxUaIz4kbo+i1qZ5mnRIp4yb7GluZbnMp005RgJ+CrbcmjTGP39J GlyCpW7U4igjWNgLajvb6nrP5Qt+QyTuCvY4KXH6LP6Msmx2vMWpP9kisqjeYkKMjiU/PHPVOxJA vx36QSuA1cLsMZhK6ELX1/jmtsmhQHBrMhPJ+ArCLFO9lfSZSvfz96rwrrcj72VzIl0XH9VBVQl6 NhuIPam/qU4NH8pEN9KkXhjjuTg8gB3vr/ClKfxW+GJJKzWjugDZwqG5c3ewx+g4xVcYt8megcGi mwA/+lhnFO3b9FcCp58FOd+xpqcS2OywVjbQYwhjim6HIya7sesF8pKThcY+8vMiWZsz04smQ+jh MvxrfYAm2cMlMo6u+NcrG7whnUl8VMgaoJJXwzTvPsqZMUieIfNFXUSNBzOcwjxA52mtLtUI9IBb 9SmmiXfMGFCcx0tF478d9H6dNGEuh6+N98e+KHBn1+JqfRpYr19Bm4PAvG9zf+CeCz6xbCqXX8RK qVdedCop04a+EtK0lyd4iM7JU5goOt+31FncjxYC560V070EP9IgU18/4P/aDtmpBOJcT1kz2FxO 17m4XbP1o0533g2wH+sgZ18IQjT7N0iBwVXlaARHwSe5RhA34L4yRv3FlDwXE23xJljjezNnqBeR LDkCa6RwDe0VlkY40GhGkfRxbioHIb0WjpX2XlarCV8LFKR3dAx1emcetiz753n5ywZ+TRTVG270 lkWNBlPcOrqZKwIx4Jy2JqnB3U9L3XqfTkXiRy+N655uNHgHOeLJm0UWZeMpV8EYR1ZLFH0Vhpc0 mCnhV2SP0UqIaknMg65kou1M7B8oA4Px5b3ePNy6CzHTSJLAwYjfj+1IIDP1fqrbVBRD+vd4xMZQ xQHs5eePUoCC8ogWQOySLcN9G42nOYv1qPX+FYp7TB2Wv6l/gXonGxgG3SuKqbwM7k71y+4RLs+6 sfxrLVduHx38zhuaowU+aIiv7Obq/Wpd2pm+58EuJ5aVLg2FCZv1h9sKd3MtKeispjZ5e3eV+RC2 Sc8hEBcPhMZECwQq+zp/JFugFzKrPTcXPANYbrifsto9OlR8IggrFfw8CdLDIDhsCX/mvKijrJti DGDNqDYhfJikOvJZ1FaV+rjv5N1+lty6HOUkmUAVcyqFWAs7oSVxSA/SEu1MfxEfjxhoJ5JYqBBD iGNIkvOdMxnfE/HAjDD+KrKtNfT+UknomxTlRBCKCVNf+4oXWlnuRjBcvmgs5091wjSZTldMvQhO 3qiVqUpRW9/YAgbhijgu6999cZcSJPoZQ+MPQrekKdWkvt7WhFuBR+hoWfGTo5y3UlSuOsKBV1am sk7PF5zqewh/I0/9MYEAvax0Y3Hwbh5ePBMQUxqj4YCxFW09+ZuSSlwMrJIu+S+qAWeJoa2SQ5rT pYuA0+7Qi7bWcGHTmEEQeB3gYpmW1TDnJpcsxQHXc7UcVPFqPWjQ85tFII1G0LhxwlEttH+xpt5O d52XYNPw8IpI4hOIJ0KFe8y4UgyWUFt9SCBlAHEfv77TyH0bX0+ozbaWtqwhDaVjzzqXzSnpWdip DuIAMWzfodMXv5TaILv8aRq8I8k6BsWK5pTDlXKZxRkDx5W85R8vd1v+hdoVH28qamLRp3klKs4o zrLA0GWj3AL2am5vIHGPfBGfd7to2rJB8sN+G2byJVmRVRDtxO5nThXGsH5LyZYZWMzyGzpPTxVx 8QMRaBfwvGtGscCgl5+go2yhTMlBkpBrH4qT/V0aOhm/I+yj4FY07AB7o899BywkdkmCtsYhH5Ql B/ZANCx0v5sprbujMq0sX2m2JbqxTUHT32kuhH9MfHI3WBa4ZGuoyU9KnKAJ8gAboFEw85zdYrqK is2j7WPlWn/FRI0JwcSqj/9MjL4UdHigwNh6iV2Bg22fA8JQyJ+R2QBkpUzLFH7gvB4tftnukZZ4 Rz3kmRqoZja99ym+AJIlgL3ba3nLzY/S5vzby86axBZWtbEmSg31fmTFNODTNgval2FxuPqsDDKC cblTbcVMMGXQfc6zeEV4CjmBMgFE88lvyz/QSHloVcYnnY18cTWHMWNw/ZvCfKtOaIXNRkyGnbKM oL+HnuEAhb53hqVQblofcG7V5oUtcMtRhPdOxt7xHKyZ+sRTsoC6JZsA7KDm8ZSpZAzEuk45m3y/ VY1KAjUScro3FNiXtrfVFAt4LcwZbwg0Q6bfpiayRKvRAlXYbdRGdejuSJ2i8CVVt9wWj8BDF2mC quQistLpSlRsRunqo/z9mkrWZdHpn1X66yLoeUnNLEBvj/7zPN7r5fSEUyB5+0XBrGFMVL9CJ/BI CiaBg6kJYFJkZqOp74ycr03DKnDrtfDXedrwSe9rYc9jwFyej40zvDG+7YQM6SuuomBMsMyuQy0G o7ie2pgUnqqlcPFGLDRqFSd8S1o1h2S/dTx7a0cPFBUZscOU5biEXEFUPcGqs2nDCH4Wkcy7M1rR m68cf/6d7OFDXYTOWscGmDO68k4KUs3jKUKGAMA8xG4za4k/8DGLJ1NR4KB9WhlM24Ii0KTEWzIL ZoA16Tm8uUzw0/8b1sfwgkYfaIkuRC6WSFhN477eD95PPiWPRSHg/ekOTAwafYJTEKFnEplfWd6X I0U4vQD4Ldrm7WV94n7Ck4i/KWJkoNN7s+t3yrF6YochbRb3bnYwdjebnwYrsJx5Zscbrx9UYU3g CB1slqNxC+8ZL1rBFxzUFJTlv5Ksbeagqbu3DlGBbJiTyLYXrsahfzTfuF1zxiROn4DALxkR12Km PDKiEr+9lEEMXYV+tfuC/V0kaBqhh5DKn8N5ex2U4WrCXJm+JN3tU4/rpQmG4okNuInbEDsio8QG jYyp9cceuYVB+Ua+ccmCITDPitzZ8EhsPE1pOAxDPqW4rnqYXoKxa2KT458MeHzOjzclXV6v+STB pLcjI5EE3MzS/eZ/STTqSTXAoicwp+wcPZccKFQWtqbHdU25Y0RwnP66L02xtfVvPrNHYOOUPA+l KGzAJ/7qZ2F/yV/wAcOM/GyOvnHGPtu3VCD6nra8o6MGgbGMvMGKU4PD8a4g63Xhm3KOPHwRS7vb XrLbFM7xpBi7eUigRNvBWQ4VsDHlnE/mWDYJjouru8qQO6Q2/HpzOkEYfTiM+qGozWtJj1Rsn9Ry D8M3lgas+GIMGiKiv2iOAdkqXy2ey+XQ5Bjj9TWOd/w41YJkeeac5JmyJJC8PDi+3d2SivxcSsBb nF9Jcv5fZLeuSSbrhOCMchhE9XwYOI3FN+g6wdt6CPMech4stz1EXj/h167nCwdW6VGl5uxEhb9L 0hNnhAiCGwI92RVP54OI3nAWDTbLXp/b37Bx0n18ASQpBgX75wB9ZPQ7Xoml5kM5QsMYyYLnSIqg SdEPYwX9N2cjL1gq4GjbRHnHw5ugHgkGrrWDC8dGCDhCViwwxYi8K6oDhR/ohdQ/1xSluqw6+oSA L0wtzDF7zjZy/044v0EmtyFj/cd2y6m+U1l4wDtLz9L/uAI3ccCg9goZ0BZqUdSX2Rn8kuumWj+p +SuM22S0ZsZq+qFALQiXhLssjFOb8BmULNXdqVeqnm4fkx867L50rVniUBjoAzDztRczRoaVw6BX uWwhpzGvWy4NwUaEQfSX5pVF92+1NNwrG9F8Fp9lU/0n2E80a6uiECmMNelUh3/k2NW9QSp8dvPs d+oMFZwcg0e+zuY7Mprz4a/WYGyXovRXBhdG08vKkvTd6sfEyjJ7/z7Sj/SyTy17E+qvsmCvhub2 K56zWwcPhFGVFHppxRFYuEf6Npq1xWUId9Ta+mO7WNrdB1DMrd7X27iBc1nTK17R9TfiMP96BQHo hBDgw5dBgDzuo3BP++CQ5xFSJlQ4LWpSe9gQ3wzt+BqiL/r0l0m8Pp2Ionokqzcj095DPoLr2Ugx 7gsGzyUjEFp7DzEWHd+JlPWwqwFjSwfLVkObIFKCcBxkZo27WiS6FY0pD0BcGS9M66kTbb2E/po+ s03vMB89Bx/arEh4+Y9yTZgIMFZEWHsxYNybsZl39CtiDRLV5qZzpD6Jm9I3wRlULjwNgK2n17JN 7tfocvVcJ+e8KdEnfoSJc5UUmhgCtDqXn0XsmlCqkA8w16d8sElMDgC3ZqAFUnC2T5ylnxmMOS1P +WUVG9WQ0Hg8VnyhjrEAQxEXf6RH1T037lXKcW+rnK3T6RSMiHJXbiaUmuX9S9dUQXQw66eSRbJw tldYQ7Qj0f/Qu2v8KOrnjNvMMa5HPnWuoptiH1pI0yBcnA5Aa+Fd9L4TKOgyUKflpMLMbPhDokw5 h1+ZKd605OQye+iiX4bRdCI42uzhQlLXSsxuj3S/7mM7ogSpx+GArn5UskcQk2EtgqnkxH1mwglW qJMg1JZZbt081YFQOtXzrdUlqVNkUPgvh+RtHD2b8rVQSYeWrRoyK5g20u8R3h8aynn5A4soiMyT jvUHM1aGFTozRFXyQqS89gB4iL5Idxizc1pTWYUFsan2gpnNp/CeNUGwZQrxpOo2TRcoFFyX5Khn I7ZB3SgKCrEdSmEPO84B1wsdc5n6wFrbBNnsfP7sb2yRGSlZQfjoaWTWOw67Ni4ohNuQki/IBeZi ABaZ1ZnFs5abZCxui8IVAVW2DX2P4elr0CoW4rAPaFvin2rVHX9wHrwAjLDwpOE/BYWfvxeAqCXe 6L8Tbj+z79pSMzld2YpJKXMGrMHFA6kqroDoWbsjer4Vn2fn0kgD18saAvtjqXr1wrU42kRntb/z 1//bEFJoZbq2akkdZLcVLfr44NF6eoZXUOT1wykjCWkk+zuXB6YIySX6IvTGAZYAk/i3cuIF9CoS +Akte2DdZ1uduUArH/yWjaTIWer5CNlqqo3tw0THSuxj2VQ7YPLkZzI+fC6Xee6h4z5cYw8G897F vBPrcNuNsjtbw8ITIjvxsotwuruHnQhGLGUpfdAMjdup+k78L/MugFkvL0S02bAsC1sIUegTu1mE AZkhi838p3X720XnAz7yc3MNzfcF3z2RrVa02NriYHKVkw320RfL7AG+OuWt49hdFg80qxpePFc+ T2goOgSeUfBgL/1qdkv3TJgWi4KQw9HPT+PD0/GE2PT5Nt1qdQNSySG0SYVQepN/LbSH/lpyOu4z NgkRJLMEgd9rF1d6N/AZ4T5GtEpPoHGF8bEvsmqdZA4qa4SCSgN43TPWI4acnRVME9Sx0Fhm2LP8 eEKUiuLYFer/ZBL/ufcSDS70h0+0wTihQzVj/K0prF1KehGawCyzKkdMsAzi2nndgZnQIvSg2oVA rM9rsYzG4AoAp9rEdddO5riIGPPcYJiZGADngat/q+GukxBdqygHy3DfXILBwtq3AtZOY/wZTx20 o/u9IKCgFuQqn6S8CTfwmnl4D7dcnJ9juoYuGKa2iMQ4kn8076IceJ4gmsHa1Ft6uz/XPIg0hqh2 KfR3juM1qhKNWrBRd4qMaXB2OSmR9fCYN7sTSo9vu6fC9DEpHKqgIROuP6yD+G75qeM0R8ukDjgj LT85l5shKRh2ydxyRzo0trlfjPVMIuKPnhOtDAbR5oseRgS10oKWp9/RdS5bwxdx41R4f8R/fuJW p9mIZnIzA8uIB8rf9NTVASAAuMq6amtoyk+h4pAlgTaI5eJqQfzZBCOtEeEivMFsBQrP5PL08q87 C/7mF5W3wSKjK1Y3hhRdGqnz6sLG2wt3ZSl07MezUCBunE5iOwYvjSjahaonlRL1cn6jJjpj91Z0 i3cuwsXhN8BzyM51Rv5BCt1DudlLMOzd/TcGIRSxYpUdbJSc2j491ChQfXfI72pmZPoHqTiMVOMb DtadcKjBTY7TstPJBzv7NEebFvmOhl5uZ6x4VF8fV88KBDLQy3h3U8/6essaY9ZUI3dtxPbPuwer 5TT+StZjKK1xOV0tWijz2LsMFkPBi8B15nkV+vhieER2crXAkyHXwosWHg1WXRX32+hd6CWUmC85 RC0VZvkjEDYlG3cEqNWoiR+2BxuBAI4PN18+e1ns4WhI1JMImKlco3aVPE4qZh6FkWaE8ohOn0+r +aEZ/NJW9uuCBLZQ099oZjARTYllVGDOpbRl5XfH61JD77zwua12/jiQAQ5EgXp+sKgPZRBTs+y2 AJxDu1bi57OHcPid1peaR3UXezMirI/+yrGJYuBk/YQIrZx0nnML8XhmY0tgHnhwRxKLaVb2Ne9U M/yhDnU4nzKtQl+lzHsOhTLvD6ih5bNniN7PKpuIG+LhAuBfb8UvPHFnAwi/ry1uF1+UeIAblVPJ bKEJdhPmo+oCG663UIsIDJDASD+CaF3AdFzp338b1Tf2lRMp/6bSM4w8vtIuJeMImjXtku6Rr5JD pyuE1juiSQuF+PG7sQ4sSkL25KXqwKY86tnbj2Veio1nWslmgDJj84skUczuI6z9K3VkwbVKsv3W odn90IqT5Td3w3V8Z/YF7TF3AkZMXWaFLp2daWccQ/wb9txFDRknjWnnUBm1JvGPt4QOQMc9/7py 4/O3FXDafETMgE8Y/iJ1VD2sHW2rEgBAB+Dlr8+rNwp3Z2Ayl46mo6HZk9RX+GR2gnqNCSSwK4hv sogZdpvvrAqbOaDwx5WR2L1sRVfy/W2QISYFrfVJWBgz+f53tNPjhppu2i4WNjLO58Sp5A+hch1S y/LrRzgOYWt+lIapNZ3qK868b3MMsRLmPEA9ZeO72LbA1H68GegEEfjqwKPKJW6otjy/znFbRK2m 83e5AqgriIbSwok/tu8Nm+MvyEkAxnqMROfOzW5FaV6KIMTC7tPY4j/dFB4ls8QuJSgruGA2fqAk yDkK3xmehtO6qIlRwgfGhpstmnUHIlcVm1QrwvSw0ciWWuf4joSX3k1GtujletoO5+qVEi0onoms MZfHaE/ElaXzj3cQcE3UnS/1ARtTLZpyJWQvWXbszA/xSJrT7XTmORiC+NKFnJJo0VVYOCF1q0jG UatMSztqTmYegExov/fe4YvSO7k80aH3/nMuJoMo3KX/OuEij09erIB5eZch+WVvbaaaTa8JFah/ uELMsGi0UUK2goqs+epifEJlR1f5qz6Wo4RYC9ln22Fi2FzuaJ5EgZ2TI/hiU/m8/2MIhpRfrlSG WRiWvJ959+sYzVN9Z3ZElaPGRx+/IboUbmDozy3MnL17HAuJ6kXPHDbZHjSm55arh8+GoC9/Jfhr vRqprvht/mJiCjAmrjUN9jy6kA2vbDS1fgmFNsr72MTN0mo4UraDpJGI/qD64V59mIWKhbu8Yo6O I2oJ0c1akbEpS8rrWRpEFwLROPttYcU0HLNj61cuNQejDlVAM9+KgU5SJDmABzYU4DN75Syzuwg1 w3yC8tr8xXWEAueurAdOsAeb//YpDAAesl7IkEc+ZIWcZS3tW9EPqiXVmegKeR7pReaiapnuWV6M UEYiMb0aTpazMCcPh9DKJLiDPSPswzv+ADE0zIB6o13S/ScNq94fCJRk5Wd5fctPjugN4ZWRprVc JSuN0XTxsMwKV8ftfZ6R2JXmClUa1msUulofuPghwnt8nKho1EIvDH8OAgBLXnra0Ni9y+LhUw39 boguvg0Tlvs3NseqGJ46NqoiWXcn1reQoK2PJBfOdhOmnHSe0ULt7t85PPv6REjUszjSUdrAt6Ey /s+kYwd70UJ3BOzYVocUS+XgVXPY2WU0G8Rif9NcPiYVqR5ue6ghkQXdVSwKERQxYQozYIOk5qLC x2WM3ij+hU4VG/ACawdtN+HhZyqWix0arhAgZHPXyCkOQAy5211VEUXgBBqTxOQYkq5ZrCf6Znuj JQAvhiaEFsEGYpmRLbZ5d1BKEOCdrrhEo7r+ka9FfUh+HskeJMUl8F5jEbpoTGRZbUPmoL2+9qp+ DXADF4owdLPRJi1GDgt++T9KO4PS99PYFgDWiy6hZexZVu2Xa5jx9zC2pzYvXx0VLzRPBxovpQ0+ BNwooOiMjunvE8q6YG49hJhbourGtN4L6I1lhO/C3ql6oCHUmgYQ0zxe/AtrDYGdH8P2QdYTv+YH xlMS9zG0vhg4OGILcPptbTirN0AwrEV3ebhqrxAh8nW5eI30Aom5H9zNUVMpWcpMJOCHUIzku2OA +t2qVGsoiZM4WW9vZ/XNtzJ0LtMqdUNxwg4pRHqlD04AolXn1uyjhYXtPohTvPVpcSbQbHYA/+Nr SjF2NNECFi4MdauC1P8EpWWtioYAIUaQza0najG8KDO1Q0xnUYj61+dTcPfALYIdxMGrHbCv0Jr4 nEx20MPqsN+2zZ2nMXwZyaKBSt7StRCzRXsG4nbE79z3jmEhkJiVIu83eJsNN+/EwLCyhSW+ih+r scD0txngHR5cZbU+st73z6ZbRM9Je5vQ50HAT6kTaMHDM9CsHMZo3yuL4DPAIC3xDORp9brZ55/Q 2YvSVnuGP5ZpCuDdNw9t2LYw3Bdd9X+sAq93HNXu987j7BNW7wxmnwp8bjFdQmQPLDD01qjwb0w2 IEzY5T35E7FFxFeRPmWCmhMES9lcxmN5ytnaMTaTvZV/Uv4wyO1LvBcUErnz7EsbCAz7PgvVivuB 9f7gzOuTUcsrFBW7cRrrXnVdEegwkWZDD8Qik6TmhYRuQ7ZPA1kInVO9erZlbSdSHQkuHs0ZVE9F D2XomG0kOwbSraXNfgSXShiEbS1X2nR7SUtgffMg+BnZ147eHC2eGDiqu013+kF8w+0lZHR5R39g MLsLYgcHewU4pA/PBtYXjs2ussZa+jmgbYRQI1OBMbHWo6LPxZFUvUI67bNOwj+M6ORw8qM/7LSv uc6jZQCfQT+ucTFUXcmLG1Uqg9+royjWqQGUR30VBssEVVzzlayitlINNKumF0tj6wSbMhqbD62w y4jG4z7DAZLepq5M3+U+Tu1n+vnTf8rU/F0zrQYoABF8O7PKXIes+l5P0ppqowMbhyFpd9nKPexU fJrjzAczvTrVwXMJ3J+CQHNBW/nXK/SP+iVodAJ83yqNLhPWVmLVg03xqfChUC5C0KD3DjZzqYIr h80+O22U3sdDZfajQNWffd+QdTQLMu8v8X/sB8LCrNnZYTVghv7eYd9wDjNNPSNQJh1x8x+L2m59 huesYExK081r3Ymtatpx5U7k2W97wS7g8rBVRn4VPyhfyKHs04zqAA+PCOoOcn1ibqS1BwgaXo2A gsgEC+ohep0bockSJ1tuOjAj9Qv6ktweax5xVNqAkIqvPonFrN4fro1c66JfWh66SOi3QPrhMcry MZtb22G0kH9AdHbKdn/BgEXhfoxGWAdqROA38CqASwJ1d37to76cWk6lOz36wsJhTC0Izz50TiYl 1FPfN5wKZ+mCvtZISxWCGpnH2De7uFz+z5aBGXUzznv7fHvr/wN63PHtE+Il3qDI+WZGIPrsEJhS GZA4uuKd9Nup4g8lvHlYhHglAg+HHof1pVXyhXT8Hc4j5V4rYxGjnpdmxczcxXZqPS8kkuPgR9Ou EwREjTtEhfH5xuQfLjoL6Bw7SMm/ZkFizUGE/fwyuSlWQwfeaHQwEsEneNHAjKKFH/Oc34P+WoFN 3w/RUPijEAT0MqLVxLY1vNjrdLg2tbzqdkX5I6M4q+i0BkJJNgVx83n8QQH52zczFKdZZNd5CSIK boMqQEyWN4vkvgZORjudgBT0Wx5axu8jiYu0tf8ZTBY41lrg5gzQlvCsaNTXqPgvxOhy8VsoNu1V u9sqpCxrKuigqBf//JJ3PHJJlHi2NvAF/cod3PtnGmhKjVj+Z6S/lHqFD59gAo8ssOAcnMG9zvvs +4vFuaYb5YqU4JqfT2M83XuZvxNuoRaa3125RHX2Lc+2OusFw3oc1B77mmCvrximDqjsOQ0kf2SP xi6gVX0ox+SV09kWtYg8UC3lwv51B2cdJtghd+fo/WAsjFdr+C3KcrEx0u7Y3/Es1lMa+BKMIQWT LCALLSsw9+IzwJuNTbMU4wV8qV3JDzPYHtToenpMjg5po3LUwxQKl6DQqngNw4MwKb+BwYEVNmUH cMqHU/8zeG8nRdut+EWEXDrWyY9an1Yn0fMMgO0oQ/qEUo3qvBARu+L4kBBEThWw0/7HZjeIY11q YFxCHzqZ4JI+ARbOK9Str9Zg0r67S74OcFSEpQWEgxDSFhsKvsL5muPG35yVM8Ix59DioONIxKqM v3HnjlNjgeNJyqJRalVJCx0B4Ggdh8ySUPQuCr44v5yoTR77EOCWOJ/3ibEo0qS9VjzVjm8t6ovL IwQd0Ao4wzaIY1qewfm8szfz9cFl7RuhrZepcddfDNM9F+ceZoUMWarEaBakrWs/A+TcALq1i4rI 6M0kHOKr9OuLwd4mpAE8IErB4M7hYPrxpG9FtXNtdUuahbBHEmmXmWa6u7bIh0i14Uh4J3SasrtL Oe8AVPQnRe6i2WEc7eXZHlOBVEw5zW3wLMciS6sbCCtL0CuVptvuSWUp2qwxFrJ9VsxNA7ROOGli 0/4CTtJfcnI/G95+UGodjccOJiZ0/9rq/egisJ6RySwFbaht1jUtTqJkMWMDayr/RVXU8p3FD8FL WRobM85GMwEEEy8iEMOskGOnIdeEANKMHLt9nRTKA+Mm625Cf8AKqvPH6ISn/TR7GWRFzBPdUNJA 8TCDkKFA6L+z+Yq9FSubM/8/p6QiNsqDDHgyu+rf9Gkc9acz/zj6+xjtAqvcfyYT0ksmhL2I5JB9 W/3a535zAvBbM+lWNM0T4c5JGsjZLTPcSbWOfGSpfIiVVLVcV2/V6X4D7gLAnpZ93RkoBDtExjrs 71mHYbaH48kfrMXDoQVC7xybcHavvQHD0nOazklq5UJ/mXfpxpI+iyhnMr0GpOz2+z3zE5adO9CW 8gIMd3p3hBCpQi7CMmWPTOtafAvgY99i5EGJLEMo3aTTsHkvEQzRRPVoWkgn2qJQ2yuAOUUbk/hk oQ2iYwiqs21vuCIp0wrHCYMpippjao1jUWQFtksNPi78yy+srhf29MPa8N0UjQkq9WFJMrPaaM1w cRTsf8gDEyXZJAfTCeOAkCGYvQxPXCRhw+NLNE2jP2CU2cSPFdSVbSmkzXpbdzzbvxJsdBa4D17M zFNdQqCFlIWNkXi6K01zvUmi2169BmGi69IMaqP77ZgCdp1oVsloxnoXdR5Ac5Ju0oe+2ESFgFSL s76YSOp60f1u1hNQbKQyanycQ5Xnp7DyxNWSF16WWVwthuvclxU5MPkS9MqLSzRV1r921xhpAU6H LjLidoGY3pF/Dsphg8gqwwr+vqIovDxZ9KvFXag/1WOq+hal2zNqXltQLI84/kmCI5KV3/Vqy7mw uA3VUE5xkxOi8VOkPEf3BZcl8kQGkWynqElX2z40e8OsG09l9o3Ih2kAA83DOQgROc1tvzkqQbqm exooLZd0tplqDhObr9BBEilFNevN8dwOS2wvlj0lkXslqMb9PiraI1nkGs8XDbpi8h2hQNUqhE1A KQIYsex7aGKGBWpdlcZiG2s56cxRrh6v1nKF1EckQWCU8BRxSFDgrma7XrqYopUCMFf3N+79kWt2 Z92cNcGU1a44qU1Dp1oHziXBRcMx8VataRaXxixtPfennw1s8qNMNDDY6Hk33mLXgGMzBC/Y19+K dJjavwGwKuTHAuPWKOTPfe3xqOTdg5LReJV0VWVrELDAZe8awQanDcAM2rbHUV8QLMm5EcgklgE1 IvqsA3ktC/iJlxWXAdvHWPYpGJZR3M/yuwyS5RJv8plNmEyREX/r5HSV0hRXrf6t8xRMlP/96CyT RvjKR+6gfJByIg2DG1d81JlS9FoZ8DY76WwpIEJGpnQdKgeBPFfy3LkESnP13LcWBp/NumxgC9cp 8mwFyVVOrE9GI6bHoltxqOP0sC3OrlrFtXr5f8Jj2BxtDaFZ+MLCTOtNFBQlG6yfq1IAuQ9/kCdv kNGVzr17JIbNxKxFPc/6WzPk47s9yLpFepXX3/eVt3N2UWSJv8h0gqEdYTM3mUkwdHOYHTBvH8jw 9jzMl9qr5azvpNRABCEnSM+vO2EDGMPyNbw8PNFTjUPqsZWcjBRLw0K+qIlAYeKiJv6UE76j9NTM +F27iztO/5vrEjR8UXrZuQnj34vSOBIU87i4qJyo2TqUneGhhTHvoGixCSk1SpF0jbUsiQ4UhKjo EOLMHfc0w6d0A7hAnnyJpcBrPEZq1vzXG8SRQ8rCa+pwKp8K7Ovbv7AkbHxq3pvsDjlgV8G2rfto 2ZIql9l9aS2yZ7YH65ZM6B3rwOf8Q4D6pnLLt+5g+oura+60Y++5VT2miyogLuR/WCgIx+XxPeYi shzg52+ifWw/J44Z6hhFUYWh/tFuo+rbibga9i/TLzkHgHyKE7Sxom/9c+oMIP5DlLayCwIU3mXI L/hv+X/+dp4iyQ03Q4ioqb6VsfHMvZN1OXWdloW+6J9cMLBnxEm36J7XsbGjvyE96wB1/xPtNbUc FuZRHULZeLJ6e1i+joiN94ydHmk4fYIN7jh9DeSUw5nQKHki3+UBS7KZouUVvv9YNZRcj617yVZx Fh24Oo7nEwBjKi6lu1HFaZgRX1bQQNkqypODU/autQ3up+auw6jHsuVnkCksgaScxkektBraIjGJ 54iIHQwYEFs5YL8qdFjzzRgqzOi58neySSd28vth2uihuHKhK0ibV2ahQNIi+1uCK3IzHg3ZEVH1 3VrqWrFQEcE47rztEpe5svQVhlZDlkcTZIpNAOhy3toY4ZOFKHB2MgPxJ9hW7qw9odDAtrc32ASK dKBjkM5AsFNGpRmbEe1tZmrgcZlITnUE6oiJDWflOCVccd6cnMuCDbL8Q2HdIEbq+VHixDQ7NM9I LmqZIdX6dMkKecsQwB+mM0N5BDs0oXc1zJD6sffCWLbF7xgyz4t98ZOjfwYWGKho9+Kl88CuWjr8 hpd4uwbcf5HHA0VqGjqfY6Hgz/mUYmNtIb0McE5os6+K7UYjqbxrV2WkLo4PsD5BMHjN85KqGXOG eVZtwi29nil5QcWeLc0+9rBkYh+YIyNusEpYbjRE0CpvBrIeNElnJBFZuKml3bLq4nPXWsLaeIVe m2U7H5vju1o/dUCEtZxKhtOWamBR6QzlOhN5gyTGOiyKF4czyHfU/9Veuap/TKaV4/KvkXB8DnZe bRowuyd5BmAkX/1W7hkbiQK66nGHl5PZRjS0b7jhvERLr2b8jk/r1pbVgbgB97m2A1Gu2ten5qUh y7QWGGBKWlL5mV5oeL1x5RHFNAErYx43hFJVRIyM7G6oId1sKHb1ksTKwLq4EgQn44IeY2/QgYO8 l6dwcjuk3FSLitWz1Q//uLjpw+a97MOzJEdIY1cQXymQMZXR9CUZdihiKD/gLoqJM3Uyen3n5725 bWaY8FjopYzXixcRBmcXxKhjxntHLyb9h8fc8/+zF9i+I9T3q8PgYYWatV7DhYT4AwgSCvLEJjkc JBKFdpm7d+oiXS0Jlzj7tof8rz+izsdoNcwHQR0xN9RTfxAG785VFFx9GTZxLD3yDzml6j9MYJRX KISI7fpPOmb3Zkv2VBg//Aw7/tnNhvgOq7lGMgQozl2HudgZlezwuzxN6sXh1YkH2VQZn1Ki3R3s ztH/Jl341/EO23snXRM3ula0Vi+4Xr23MKfC30aKS2F1850r2pPsk+EnJfnMx86eOdiVjwTuuhg0 W8YbkdkVbM69TtFAJf+48UuNO10YJzRhkw6a7P9Ig8bsAV9o+inp1qqLAFrE5DjyRha64iJiQGX9 etcYsBRgmzmv++PZITaBh8eBINMsEKQaX7Z/bdOc1FBWOIo/nBzFWZiLr7rnvWONtUgn0U7q0r+W stHY3zvmWOdNDplbnccOUyPRXiDfrb6bZ/uNFhopnKyJ6xL2AiDpNrrrLjJDzaKBTpQMUBUtg4l+ i3bXsTP4kePbwaWKCeqKLucpCpcj0hOyaxPu+R+PaeMawvtrRm1eABXai44IZImvqQArAY+sg7h/ HZahFxFvFfzmxPcB9zZLnM73pibBII8IfLfWY4Vk0wsxBmIf+ElwCt1Z7MEtJQXBXlZoWuDI/TId y5VRAvWp5auvkeWX1lpwssjhTgoZRfcS4EXRrd6mcTUbH4r6trv18adOvOvg6wmftHDYEEvF2sqh 4nDPTCHgUy/Qat9JwYQYfvFXBZEOamVZKBwDwkZNymPyu8Z7V7P6oQVG7nVzCdc+A4rPyEGFa6EC cOHnGRbqVh18FWSZCkKi4NostKLl5fmWLnbRfmw5LlyLefgiVMXSlWedibb2lBL/kScOXp3nyTCx 9dqxAohhSMTvQpntAEcwqeFuB405AGnxBRv5c5aDfkof7YR2cxbViwJUhiLMFpkpt1P1NVZKz6k6 yNrcqBCuk81FcxS0ghu76o8vauXAur0ItsaHHbT+iJr6NLLm1KgoNrRQWVZ70FWFCagWXHZQBp6Y f9fu36EzNYd6RvkA8hN3Dr2+CBI87TAJwWZE8gvOaP1LZpua23n6kYJDsEkWP1E222ZrJA== `protect end_protected
gpl-2.0
f5c55f3541a65e2adf7dad9a90a85686
0.942855
1.841331
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/cmpy_v6_0/hdl/three_input_adder.vhd
3
61,768
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VAUpKL7npBRSPi0AXIH6KifIXyNHYPf+7gWe8W7HDCVA3VFbyLFOCSNsNoWg27nifdfbAVAt8TBY km7NVzxlFw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block clZwPLr/Jyh6r+kVE24X+5vrQuqkKap7fKDkOxO6lHjcNRNq5KRkDZYQLQrZKRxiiU4TXex16pI1 PF7pFzQzLeCyS+RqKxyez5rtWpFfAFpUwG6JKcRPXnSoaaSTNZQRvgWlVT/2kZYyIvIh3nAlh9yu 016L1oME/WlZe3nxu6Q= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dlvc0IdDXO+LHjgTcIKUnO5A7tboUgRE4KLttT5qMuUKIaP3RAwPFhUWyuqWJURwgwzDRz2AB6uK umwyxrxpxi5e5FINGw38B01QZHKf6EpZklHvUlg+ahX4u77IRjzVIcBKSJ+TXJ/aee2x+erdG8sl b9Og075WWZn3B7XGqrby4iRXXE72DFhebF8if6/Zk/ZOsPFyG0k4MuD+BQujrwTLpUJJ6PoPjbm3 1+63qe2rthPDvb+oA2gQcKQCdT77iWn9K2LAgDLD6mwnhdDnBQdU7K5mK/BR6lHDXEGdm0k1e7ab SOT4oBQ4KLH1gNsf0nOSWjkISs7ZxrUC1v3mWg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4KTHSSDnKDUFuK4A0XJv2gaz++jVqnTyKGumAVZsUo+DqkSkVJ1dUTCJY9HTns7DhuZ2JC6Nc3vv tJiAo/JlK5cELo3mKPpckGPMjUc/Zu8OQ6aFZxwApbYaKWtrvh/hZaQxMqr2MWxGr/7ELZfJH6Hx oejJXUaXJzISbdStn58= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LHi9pEucR63cTC2tKBGpNpp20nDoiz6n0NhIx1LWRK3C1Hwzc9I7vHnaxgOq5mmpI2Wo1Di1140D IGdAyp1pS9MtEWae9G0KAF6VW63j2NfsSQxZhOEm+eeibSYgVEQ42HY+AcsFKdc+PYF4ow+7sNkg ACiFPqDI5XxRayHONFRQfaKFVJ6eruaoeOIR5V617akW9XqhQvtvP5sqy1xdw1aOVDLAx7CzD5M7 kGEdlkfrEMK+bM0tgl7ENxdk9/7w6hzyzmBoYmr/PyDZMhBQqiFqJwjtSSDX87ubkxNswS2KP09s 0jaWSq6HsW6mz3aVts7zANnFmoIpGRBQJO/hTg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 43984) `protect data_block PCxy+0zwLQhJPWLpAP3cFmmUMoJq6R2DPiF8wnUzVA5xLLDxfbZOEK5TXGZfTKnSZblqUmCk9JPQ Ow3Xs3JwzbONPwd30i4q3KNJi4TOQElGUFUGwCFqcxK+A8HVlad13N/W1ga2t/XtD762HG39DC/+ w1N2OfNq7fWRhN/2nr4FOeWk5Q1+sIS3lusErs0hJH/KXwrCcgd3DZUyn1jnZCv3XefZC/9nK53R DZ7yfMly9ETFUhOrvneCIhGLURPDAnNgZNscfZQWdA8pIb2EymfbIfxa74an6ojZu0wpJgJA7pY+ LMFjNC148fhySkCKpCpupapz91ECssuiY/IzfW7+qRl2Lhdha57Ct+JHtI9XuS8YGXJkvgzRMzA6 TY3eeQHoVbT37jQ1y4Fyw4vykNi1funewSiLJncSo+SraCg2v1hl3DcJq62UylA1/MgH4XufxIEc 37ptkTh9R/nirT5hEZk/71Uo9ZfIKYyjpmYTf2/l5ssfh1ub5nH3WcMc9RyVH3fv40NEXNcKaGvw ccCz2kbRH7dkqQUNs/skbwU7eHUNN3hyyENGM7UqcCiIFOCWgVN+AFqxv6UxVBSX8bOl83PulXT/ +z9Ao5m2hMxXgrX42zbBkS9hjIj2mEgP6LMH9FxU4USkh3kG9w59qb9jPZDLCYhvhMPUtxTCKIEk oC47p9aVQ1maWtkJkdxB1ylGnSrKhiolo4cbBQK68JH2bgbxFYUAQca/aoUxFiJRCLS2uyL3obIS AQCOsgkEX0j9eC3mOiHY7JZpP4Ox0qAazOANn+dW5n7FitCTW1+LFx4dtPoFpnz+oztDvmijDak+ j88lXGaQrHce5eAUQTQXhJ+A0sPscMllEueZOfUH7YdZu8i+F665LSqb7+rFmSXPfyT/g47yXpCQ 52aae58R2YUg324qQZeV74/rPfkuwWUwpJjaupz0Bx8RSQgfpH9idqKyIgqq4FZOWlnCP6i3tzyC DqUTiE9gZZ4xz5MGPjAFywAy5TuYPDZxUEuDpMjk7VQNnaUCZx/1GuUpbyuiZrz/D/BV3pXg1OLZ EZB8s31fMcAdo2i9DG0RnzPUFDXd6rZfKNo7r7DY8NyPdqBHjfvQQnKofRTOk8M9+OQE2zyIUiSq xGBYbpS8sZULwkLZlDa0IpKLcFL9RPHrP7u204YvZFhNy+LQbAKaDp/XKrdOkTYDTM2SrFdBTRA7 PmATRZDT3SGtZBuMJg27Lfla0d1HxGQdJnkl5oY4ZSRvPAh/Jw2LMrtFsJ9Fc0cU+3GVWq06bZMZ BmwJG+txzdl4aSWS+a+MICx5uByrNWQOcgpS3JSL1gZ18Cu0kJpOyY+JbOaYIetxTn9oIDs0lO5a IKuES8GXikiB+cTnOn2XGov7U5aTFgoCZNeDXhimO1gDNnHjzCkyQVBx5kocWXwwYpsd0MpBljRB OILtaXb/vE6igb22F7VN7fSe4bePs8+rDKC91pa0f719oCQYbon9LPDMPYaAfIrNr9o3+KuaOP/y UOu9FQV07PlhBNMD4oQj53rAQoW7WZ372/ZkDykQlb+sD/2xLdXjYKMfom2iIxYx17qWy/dmUs7W ctAUyMlpwkakn97WbPBLsNTUMUL6m8QBzb/biC12XDA9pmQQ+HkA/9xosYyeieesDk9+CJOtXgXM Qsb1IJ53DYjS0vf0dTu5LFAz/hGT6wCeHRR9lQnUnb97SyxjXp61uFOsedYFXTqsdCAiOoQIXWhB cfqv85nY1K6CaGgUuEQpNL3IV8JS0cWZh0wMITFtmeqLZ4QX7LvFzxUejZ4cz+Nrle0SL8J0EHQX naYn3I1hYrYJPuLUjdO3TiE3rM6441m9fNZiWv8sryeaXqZRgkaLzJiDlIX1hOUCEqqCByig63jz vEB1aac68SO0RaVskD/7Gg18pSc3nbDwkgobcMQTii/oJQy9RtX7IlfMbOTKrJg2SNMWKZkpxtF/ BLhA0rOiWUlyCuAJw1fdpUBLK8L4DJy0DSQedsaIZLzGntjpDm751uooSE27eMHqoWWe1h8G/PZD a6M7sa9rCiWHSvPPx0bgfjlDDXcmbZNIs2kcELwIOa/Zr8hayQM4vCORZOVKSSQZb3g8oIwWCT78 ejRthzTF9XhH+WRO5txdlhMT95616NdGhYqxH+XcH/jpJBI1T6ZeY1dNRcnz3OPz9oNFvmaW9ure WRL+CymxKmS4Rfag2h0AtjXJHq4vR9F7CPrwBthfWOg83npD+chNLi0JFAYQJiMO3r//mz8f7h55 4OWLQG9+28HubFttLL1qv2c1ka7WB3RyUacO2DlxQInZAzHS5p09Rx6tjz0qWNJhB8Hc4DCt77/d d2kSZt21fLJwELmROOQBoPUGlU61RDi6rNRvdQ6ZEkKeirti/67UHjTLR9oJ5KCPLsrKq8giA74j DBl16ikO9GmSyTmVZEvISikRt1T/RDbq1XraaZGCrjA5vDLk2YGBy2Aicz62028F4pY7mhl3h+hi uZzt+a7nyvoaxw/IS0WjaSXTOc19k4kxVF4DRctlGLcbUxStn+YaqKfxg21VIe6ypmLuiswHKPOh 8zHmL1iEsk7KE00VeMOk+2CfunB9hemgCw8HucHl3sGZB28R4WiY93T+evaJ5Dux7X1lu2UeVv2p QVJesVmxX9KAt5+dy99tYnXm9A0+L/kXWmW7+hG0t53Y7/IbKos/xLfZbgzLkCwSkmrsoaveGzCz PrgsrjAC+3C57aFZcsq0vUYOrJeQ5niGlITeHlxSzmA8v6fzRveqDBDtCdyF6dLTvLse0N7lRB9X Uy1JR9EAHfnUjYCiSsKW10gIhEc+C0tn5ezMie8hPfpndkQrMJU5JDLL8xS7pm8CKkMx0n2oQDEO HHdRZeSuVxCuVWjTj3ca8Eyc/AW4nJCD4iFM0SCJ04F8VAOK6u84yYX0xfrXBWbGXxLrPw95c5oI Srwokd+YOApfuvY+hhb/1W5xSBHafACNX31UP/3DBrFh+FAhXqac0+ZV7fvZl3AqvsJy4pFyJ7TK d5AgeXHENkzKVPs6Zvzyjh7MgJ5+k5wBa1M8IOvAUzyus+W3RiL3ZlsyfLbk0G0X59ECWGAoalgj FcDNn5ALFkspcPNhNaT9pGnrh5VimCOlbUOwDe1AsfkTD1dN9uYHjpf4XGlbl65p+6tk/0NNnC1E xRmO5ZIr4VpTvcoW2145hHDMKqeTBfWq8ANYLV3CBkUvCrKEWtQsFzlTa5+kTSEOwg3n0b00vie/ P1Aqk31YPX9RwFhZfm9O+dLHR/AEFa/qNu2i2KpteQC7TN+qkCd+Y4gE89UQkBEUl9bQWkX58/Bl HDjrg7EAC32fiOTEbENrnv8m39AN9F26QxB6ti4LDY/QTt+zJ9VPRe/KAC2cMhpW7SUCscLEq6Gj 6PijceBwQ/l/KWwlXuv61d596H76RV5ErLWPzCqQL/0AVA5oWkefe07OXhxsZVwyPp9OXwZccRsS okeJqGfhmxezx8eZCawa3Y3FHwvwCpiLQfC/2rWcemE8Jan7FEPZ9nOzoa8v+uBRlRcDw+eQbkHS ZHOzdkLh4pdMOBt0JZHkjiibXVkeB/cK4gup5rfJ55OziBWXb0DmFLbmMRyqqx0MJOtj8OYtmCDt 9zXZYCFtww8KVBE7QnwbWO8tmOoaAjBxo68AO17xpIJGxVrgBM4pERLNkGT5cid9/5KNwiS7q1zq QnFWqCMwNyPoYvdpn8R3fC2tvHb/MbtxMl3cLtk0H5LWlyWy7n8Ciw+XP24jKYMr/wOcvaYyAxHX 5WD6lsTTB9y3L7AiNsIcIucezbTcmxoPm1NS0WOR/PLsiNmGrjrKfiHnxwy1lXzW3oOqGPDAkHum qHZqGWfaYErNc4/fyWnJOXhtAjWbbxPx7CKHIVZzLggBMfIDgD0LNi1zOP+quuFeXqb4pwn7+BAq LukW9W39gi5pO3ZsnNXiTEBXD4bvduOm7M4iHcFPe0WuxbgeTCwuPgaXtLdayo8pYWjYV0bv4x5M SoJ4hLTDkxFT8FxGy9y0WSO43wnmjYetcIGj1I0uD6yMFoAT1Ea8pCS1gwlmSjgOLPSK5eM43+YI l7yOCenxoCT/gi7QK1HxtIv0x37h+qhXDW9mxjsFi/mNpQMjBuA5JvGqZM6hFikbDbjg/9Q4Hepk 4LTI6MYAr/CllyIlyAMNTt3Zo24IYnN9/Mk+KQI5g6aj0OUz7MK8ZdFKduPmwX4PpIlDKlCV3VV1 t5JsveRNGgizpGNAl3161bxjAhi+4vTLsqvlpcWuXks9Nrkwr6FUTnjXlQHtyNPwGARaPiARzMoU ld8lRfU6DaQ6GPXCZhvLyfMRx1Jcw9r4b3Ms3YNzfHr5jhWUwrXgL6Y9ED6DKGnoUv4vsZaePZ16 OweLHTS0I3/2Lqkj8DlzO0qbUO/tV5dSS8gJ+Ke+Sp7t/LpVpnTitzxxPxvXajWKgL2aaGUDoQDW y9/ZFO/LuEa9RVYKOlq1CFaCpmBTMYT0049+8lPhUveCIczram2EdDoQY/yWgktQ5r2QUwrYyGle 5nyaZL9+XO9/oVh+LD34NqQ7/9EH674atMEoO9D0sQFRKQtyUQpss4HqRvDogEYMb1YxcE2X3tN1 b0Bep8ogBgX8HLecKJ1RyVUgWsur/uVNO3oJVC9qjBkB9aILYVSwqMNbW6BBQTn5qyyVU+w6y0WJ Px8TtRoPjiGqLdTnybuYTjV6gpH2eu/Yay3C5vqrKFzJxh+ufUaJ65jY3EtJOrFIiRyHuY3O041C smHom9b8V1iHUQlO5kD1Gtip3pWv+O2f6JJZoQRExtFdQOO4OmUBOPYym5FOCERRea65vOIhHb68 8g5RLT0Ew0jMYcilU5X2oBWRyzfx7ArwEi+DBFKG1WD1wFQvPHQvXeRkozwtFsRJkDq6tdOFC8fb Ii/C8CVPt0WXOvbImXAwG3+cZNE0yKeCRyuZrEeAediTnsFqrTFFW4w72XnzR473W68e1OIs40zT 9yDgudfFEPUyTOmWVa5TUD4eMO3saLiThvvov+h2YX9p/0o95q0wrOX8Cil6WgTrYpRKHPMdM5x7 +KwXGLU48Iu3v5nRUyZgt6k0x6Kotteqej6/yfS7qmYAidBX9L5YISEGNKrH1DsczikyMk5YMnwi pBwuZb/K2ZJupa8lGaqqyj9IKG3LpPUE7VRXi8QoI0e36xrIl8w58UJZUU68WL2NJgSVNJ20EObD htu/S1NRgV7pQLNasQR/CJ5SlSkiQGL+ZwDFiriRd+pFSsfO59b+ZTnJhj1S7z35Qwz+9Gtkiy/t umwk2leKr4vkAnJ7UTzHR13rUtokJVTB5l0ezzJdY8sN7dPMP+NARCvVoAeHCwJu85HFwEZRu9kl THp/4uYIBwPx1KzFi2uDjr1Djqr49Flft5FQ3mY4jzG0bzJPTEfGa7Bhcmm5zgRTlJ8xUunefYMM 2DwAUDLKQuF1INg6IPeuPVe9br70++QmoUfqmzBPyLLnKbBj+zFAf1liskp7lmaH5yKrPKgQLMgQ P18vCUHmOM92UlNkDhDmakLRyb8ULfvz8/VfHoI8w46+R7TUrydKwvelUJeFqmtU4lpHBW8pVb7u uBotw2y9fsITuKK3NyshFX9FcibFYYasUgseZQNq33NqXotdEkxKoIfGtujZMPUHai5cvW+sV+T2 rJc7vMhQLNm7IUsbECoy9NtoQl2z+Eqgdz9tHu8Nvpi54ZY7stmVy65T+zrya3lnoICps8+5QCBS HIi1cJCTht1pgmqvKUT9HmrV4wjmSnnk9NEsm9xpw2MDefVXFjBkaik7pA3mz4HxDubsJIGEnQVg xP0vaof2tQ+KH0WUuHYZGkmLrC72ucpqGcTEpPv90bJ7cN9yEw1DvhovzPE8lqaA9+OFiZuq7d5F Dpq4/BlbfHYbhfgA2+bgKRG54F83qyro1Isx0+qcx03pepIsCEGIwPieqJHyv0kMv4TNWpPoiKjR ozPOzPskvD93LRw3M++gKuuIKiX+ORLDrYrDzZEkSR4ITHsKoHAP26ReuZ4QGT8JErPPn3URQ6NT 6ZT7pPo3jaWmozT19FXUUCm/BQ1LY9RcsZjmxzoZf1X01/8c138BC2IpuodeQiakDC7kYTo0fzwo VZ3atdhkV3yyFRAF+uPkr4VN4g52XW3wruZjB63Y/x1tVODlKb4fhxHBHCLODGSQ7987oFUAWCpG Kokq8yUTblfGY6MuFQyGdF08F7PgSpccymfYECX8cHBlVSOaKAm6i6WIG05fp0PizSjVK18SEfCw PVc0WLihkYVA8kpjUvhbx8o4OcbnICNX989OS68cAaqSxHGf4rH/rPBii1AveAYzuoyqtX+GYVAg bbpsjsz5ru4gO10cN5HQvG97b7TbBWeKO/CCxd/LtXSzVCaiXQ8IfvvA58aEOHxhfL/l7anOnKke g72mHBuhhWmOhK6rxKXPtV9ebJKSzoB5gpph2KxfRF0srnG+EJRJRdCgrVdzMcmi+OOvlLtua1UU BXji1D7h+sOcMQd5P1KkidrHt3thdbtnfnIJHNcRxrwklFn1u/5ndMF0RVDQTdi1ECr4Jm0LWKEA A0KLFqdVrVkaBw2DySHYdv2/odCYa8Wrz2aCEYX24eGaJQnR8HXCkCo+d6eAJF/K702SW2upr17n Geqiihvbvm0LvEHu+091ZN6zKCF6IopXL1L1mx4rdw4feORDxJjs5OUkocnwXkOCfdUMnAuBQbbc AHwaJWQ9Q/P/ZF1SLWUrLd2NsBHSJ/lzDBko6A6WHs7WeGWoU9BE3oC9XkPsddw00Cix5DdQwFWf 7QxwaVUzxIr1/5OQq7aLxzXCyxxdbr4b5dCotTmlPtVMbCiHnaWaAcBLAlRBoFId4b2sktDpAq7t uLUboAj7zDtRqYmJdwNCHdtp8bwiDp3AQ6+3tsOM97zBXkUQV0AzQyNHqNlqKlk7rZa1rWqXfEAf p5Roh0AzDq3okJEo+DnY9MFozAe4qam4gH1jpCR40W1J8gzdRPadNQh7QID2E+jKnzbAmB+e+/bz pjRPL8J82M3zba9IuQMvSitczDuG21IZHQtBhBUmhgwue2Nlrq1CIY4ETRoVgOVm6S8mNmcM+ZNv 6zPIjIkr6PgdS95d9JsWLjAD6/nvSE2t78/sSS2XAYXke05Z65PJ0nJZzLptPEky9YExwA/yAJfT 24aQ/83o4PeRjXsAXiMCQiNyzbNoOe9eVCjs/122TmLVtFoPLf5noIs1MmMTroEJJxvexvaeY+U1 umSMTTjCOmAdYV2sIdWOvnrp4NR61UcCnJwTzoRWl+7sLY9A2VcCcmoukejG50boHl7YXaCxzY/h /9i3roS5Ws4Su0cSXD5x9WMMNwmopHdlXkWpQ4qPZCBUPkiuWZCZ3+voOFKs7+//g4nlrdOHeSil a7nUvBZDIRwxWeTYihLpb5eaaHLoytZIiZcRxE7qN9v1DZ4J33JRjoNqFC+D70g+akryMPtLEul1 PV8uQmnMDMPl6ZtpxoMJUUqRH2izeVdbaRPWLn6IeMzQJ5Eoe4ObS9OLNIe41GtpnLeuvloqURSt dykTom4B8HGey6O0/q0lmGKybXTE7lryuk5Wkr91rebVg8hXT3H3VEmsnlaElOencYzbNY0Jamdp Yn0jx5uNp7A0BWwKLCIMOt0qxCBNFR/Z4IZPe8/lhwUA1M7UQMGNGpRD8WVMXuwLZuW+twXgBw4U FbpYMhm9UVt9CiGIVmWXB3c5H1Z/4+uWTN+8BnniGCOS51QOGOGKVROmH1aXdEWSsHJsYexsHa/J 2JaFOlk9x5exN478Hsqij+OGyvqMBKlOYhuLyV2lK4wyjgq2aZFmuS+KYUXIEijwqWf7uuEaJQkx IbmHSeGCroIwf/5s6AJXv5WWzfzStHMP4KCZYe0lOT4jGJ5HKwjyr5mbmWBke9NvTyH5hhpoTm+v AbZK908axLE2CgNjiqppDi6wl+vY9Tud5ZCh74nV+2TZVhuHTvyQ5xGnDI5kerPqcH0kof+r3zzD 6bTZTu2uR9Sm61yJtN27tiy/Al4F2fs3EEnU29J0Tx+9qDmwDfJfOdV4wef9mANiQog3m16GfYaZ uhc2XlsNyEK8nVlEVJFWCVEa7DsSZ+WIlnf2YNHJGRF1jr1cWT/ZIm9rDOcasrlngBgGWjcP4T8l IyPTaPXSmkpJ8uGkZFjMFGGa83fIGqV1evDEYl5ZTYNgAdVmJbX7SLzxLkaM0Oo+zjbGgB7xAWAr tHOP/0QQBdi0jX4sYzZ0lnXEuSPWDAlZOVxHYwLpqj/a7Bn3j64/nwd1V14T9+TnnpZSvPIFF865 zKLxh1qIoZoM5+bY1XxBL8+ZMKAzoUL4eBPdjz23qw1zCymRd/0/m+Mtb2+Ee3L1xrighNrrYSyF zjac5jM4dG68w3cUcoZ4DA/PuoT/eNmVcxYeSBXZn0GGydyBBBRlhgwnAIBMz6a5oGGhE4b20hFD 3HItstUYN62uMvnx4GHfy0/R3KkNIJSy+iONYPPvzZUWyGMtx+GX1grTQCj5pQae+5vCwI4Gnsf+ zw/xfl/jP00CCf1cLfTDLbKpNNwtUe3+maOkuaNTeWHMxZ8ycFLcmEHDGLB6xyTc4VL21SFzl1Vv PQUK7siaDPtBBb6/Vopvio1NBxQYNIdP2QkEnbjx7seQpawElqeqw+/laowdt/+xibHtj2uQsskd Aju6JEZFDiI9h2XZcM7IeG3TjqKOevSTD/54BsRzyfgmjsmNT62XHLsHchixJW1JHyaWHWqwAy1e ITzM5qlKAzEhayPCyakEZHs192uY+MO2gPUAkQmydtpDtik3LxJc9KwFPM9+GxblZ9+T4KR8KnwU /rmPsaeyWDev0CIg7bvUe9R7d+vhagdnWpHESMh6y3hgumwV9oEkiK2vW/YuWzJQMEKpQHivsPH6 3yqknfib6rdfBIxwMJ84UtcsLn0VYatu6twYdwHqchn6z9k7UHq9C20eku6N3EXLq5208fKr8X17 18hZLdqf3L7FAn+kz+nW04kCjvxHHF+dgnOMU2RLboMWk6hy8ZYoOQ+v7b8WOQZC+VE0iuswSVys C3d+buF/j2ZFkAQs1QQDAjSyA3IBNiM+XMgEoRheztmhaOcPfXLiM+OhVLAA607+trlEICxAfjs3 3GvbKxhDP1Wbg28WIiDDEK9tzrHEwiici8O2EdtqEpFK175TgzxKi/Mjll3S3GGWLoeNwOh2Mm1y SZI8eUkDkJArmNju5JAzc4xhsWpZy49WbP0Rx2K/KuS1T0cAvtPQfmtWgijayC/wzIokzKm4yPa8 KUi/I7/JRoGE77oYVwPYAzkP/Yh92PDqt4q9JxSPF+Ef5V/mONr4+IcbEDh8eSrad3/I1eelMFso Oj/nRa/zTCEFzsVmrsmqdXWb0+QR6QzKTFY7TFS6OmHX/65qUq3/DrC5+H9zqd4PDeWd9VErRDX7 t6osZsKUkGuU/DzS0TBNNManPh3roxoOuaV9FeLC4UOKt+qLOyeGSdJ1DbV4Sqb3K6iwZi5VkkT7 Ww2JXq7hnW8DMIOsaRs2aJUSLs1msE1O7luzmxJpd1nKMzMuj8aAyneTvG69YMf+Uk1p4wNZB7D/ KMbYlOyRW/GLweyGus5C20cbB7/Js6fNibrJ2lGa4ifkllWy6Er5ihb2/P+eEITstE6VSe/dS90O IA3fWth3gYwWlTIOvPkAJzrWbe5QS/+9m7xXEG2PvcKr82KG8bRD56cSGSc9s6LncbBPRhwnicBt XhjCha/NGW6neM65hp9KnQu0C3zUenVOkuCmcaJqrRYADaU39srCsIPD0LeWOhoeg96DBa/2Uo1C 5JZrsMIyvIs0XKl4vXFaNePFqltkwKYDTe73wfXI0Saim3qP6LpVyq5IMLW9cWNci/Ly7DL8C6tv 2baRmfBihfYonvW8mAOlHJzqNLCW0v6VIO0O7JLlkvSmbwFIC4S9SqkQQP50UR1vna0szi6CTcyg TyhDy8Gcl5vesmstHxORx6lZBPGRTVvpbPiKasDP0sJpmDVZsDKuc7I/XovRtbBa2vpIzVP/pJrc eZ3IbvxFoo4oTXTo6/n9KE+3zZQRN6pCWlIMguIt+QFSm8O/gwqsXmnaDYD8kZGploD2cXf15Nt5 YxXPo35uTemez4PT2DIoNDckyPFnb0RuWQrIZlshx2QK3ixO5bjTrmIq+XfSn995+5eLGKQlzAkX Q5O8Z1lqg7VheKmGgKXyqfONU+JLwyW6lLkvDsKNiRuAv2YXmiNSCNI/NGMuYPwQgd9gvHkA2L0Z baxOsUzwSvQxRp4yTKrOz/5279ZFg/fmhU8EiTRLtvNtjoVaZCDrYnwCLtmwFbebZ0Hl9yA0WzOk fA4HPW8SXa11tusLMndvi+/S7tVnkHmPiR5wm2ZiAeUAXoFro7KUEj5XJiBoJzHGUHg64mxONgsK eRWl6wYp3QVbpNQNCownf918/urYR7dI0l+tl/lnb5jjZasygrmAOKvTy8OX0UJvjOofL1+65UQt I2ouTqNFKuZm/s6I61bnxxjFLd1NailR99Mt8LbQ2DKmeTuC4X8lnzzxqv3Lz4i3KUsTh6qYIdN5 rzzsdktLrD/UKNci2hIoNA48Hctsr0J+PaHzYvdaDt3e+GjfNPyAgEBYlnKZJNqaD0T8j+tZikPW uu0ywfuaoA/vAAItkRuQJr9DdSqNMPwGE4/DbgLGLUIjtNkXIDSZJAcYx5mSfyFVxUQtKSH3jqaf S2GhTMOvZ+/11O23RQcUWsGuyj+1/a30GszjXkKB2jJFIGdJhU9Uev9Hr2IBDX2BXfONtaiXESHq c6yCVDOiIuTlRuYNIBRA9AqIfaZsesphUBOj75/XqTbzb8qH5bm9vjwJO9XUGOMRnq1BzW6ePKdP CSPHmXkKI29cirLh449Cd+DFIILfgnrFT3D+1lK9udm/xoNfM5ExMU6sYE1mJTXACY/Efu4BhxMB m14Mul2xGqDU7Jdt5uMnrT7ENlFJ9SDt6XVubhrxgMnds0FssjJE4ctiL92tkwu04S6TSy2tnPxn 3y6BfkIZ+Zz1VqeS98dtW61ZdOxEq4ppWaQmkTZKDxYn19YHd+ptxIffLbMVFqvYCCV2Ngif69gu rWTb38wfDH0OlsMWN4ixrKBdSFIU51sglJvuF/JUgyvul/gdXemYCicayN5q1UHHxVH7ZZGN1k0U oPYbHNfjz78y/xzHvl4l19S2Bb8nRwKJ8sHTwh9HSr8vCzU13E/ZmlTXgyo6nn4KIKCDnmtI80+X AEnc40QlGA82Abk+2RDTBfNJok2Kac1xN85EmrSB79Kiv1KqQVpITOmQ0KUpmAbPuZsqGUvpUtec RtLG8AkwBOR5TGuDITrujrUteyo1dxoqSJwxBFH5Ll8dWgGTcpSqYN9J4b1D5pmnGvWSc0+cwYoG YaKSf5uqSpyiBk7KIhvyLDp0UDaOcpBsc63sa76uhZlgmW4M5NAjPiGxopFWe5GADbyzNN3iUgW6 jCfGqPP8zPRtvYwFj8kO0Ej0KBsJoJnXc8Tf9fWJuOc8UmFqWl7wsC6R/G++C9ZnTlOiNVDAgnQP FHn/mAMOOigE96KBu34b0BS56/M/W18mleQShp6cEtHS0nNidxgm+L044Q1IqxERl5UqGvUmpECM Sxgl0zRNHuG3m+kf7lKZXKjD6NxKFAUGF04r+ioDrZESOFSgny5FhCcoLzMMaE2BjEeEqd/hTkYu tMFpfOBIr+M38cfqncH3i60YvqZauxLtQPdLATMvwVvlejkxgLNA8EqYoUEDP9ckpq47pJZ0isC1 qkiY2EAKYSBI+UZg3gUY+CbAqh6wx9Ys8wtV/wnrk29to1j7oj+bMlLk02Xlo4AFxMPNH+L6hljb PuirGYTn/p68WC+D78Abzo7hqaNv2z4il5gAXDbUBFkArn/CBhQs1dI/UwRFWCsnnfLfsbDtH393 5I6Vj3FzbjaLaXwDuPxzxhFmTvn0H/LvoBIgFD12YUZ0UzLwd7T67VD5T4eHdoRXSrrY+OPZl2w1 nf3ne6V+RtOA562fKBfRFZoWqoyT0FosSIwO7U/br1fpxnpv3X6wvaFktqQlLqnGrv2B6uHRfml7 RMaumHZEX146pQZexj/jb37b5sz9f6wiDctbJ+CqM712CJRUbWz7OfFKRcpORa3QEAJZ8eUInlBq B+6fNvDMtCvWujqORgbprhQAmKQ0gd9hgsh3+QfpsThO34V1Gs81ZKyA3vpr3Qmv68dhPNpVj9yz +OoWM16UBLlkPev4yhKBHyF6d0Y0OFyj+r+FKUW/KZPUYO4BxfG16rYEiHv9vH8DSgvb9Yz9qnfs HGPdUxHGYYljE9kvfzp05nKt4W0/YBDeYdsxjEmI6N4nWUuhOZLaskpfCGT7rKyz36Y9WnW+itE/ dQdPfDP4qaGuzHorgupUGSo8rPNG05F+2ORC/GdmFqlSZbKw+S+dCMjb9mZf3mVAHepXjh/P8hEF +3ohE86C/lRB9LrtKps/Dq7EVPyKSWFuJcWEP3gGtGEy/10FmwUeoWUjIKQQLkuV4vlRmNwi314G NHVqBbNNul7IZLDa8taFvPoEJIYw7oaXuRlxgqrb9XvMaOeH1ZCT/5RYfq3bTvoBcGCLCSE++/Y+ fu1aU3hxGPKMp33U2NGW8oA1lAJqXd2bey6/2wVxxL0dbkt5dn6TTmGwWY7WxzwXEAF0/WXFaM7v 91ECg9M0r/6RxX+izGBi3rpHUzyvUye+rRMyzBawwACHhYeMkIlw6hk0pMssU/8DLyCj55PaO+TK tgNXlWGhKfXZjitNiHYSmFq/e421XcRkmW2ZRsreRpZl9PLPQIlm8WZhwyg9arM8ekq+4f2eJ0FL cvzj9yWv4RML0b+kJMfWf0ZHAhRl3USnuKybupf0X6I2keaFKAXE8P+ST1JLegy7o52fUmAV7KzW qp7bk2FasfYETx5q+v1VVTlPcOG3sVubfWN5qhtatu05tGRMRAOU6mvmXwLWyMi7xMTPnYW+bN3+ goKnMDgt/2HOLWVF4JeC3rC6aw8EZMLBNBX+dAwLZUiW0YQHq+rFL8eszhnZwMurbEvyR01Viwr8 LYfoBT8FE9RU8rzlbXQVSCj7hnY6kz43Ev0ikTaxUzUzWWdoEmN+vt5widJo7pvDrCqwfUbcoqXL NRXZLsE7B77ZiPaT3j60hUBUG7S6BOEqUoWgpUbAO+e3BBh10RVWgZLP88NlUm/jA5gIIPuyKgvZ fl/XeI9q9ykHm1P0dqjBgL8qi5Y/ggfTfZw2Ke82yl5ZOWqpvKCFQmp8fzVvKXwEEVAQwo9Eh52T 5JOeIJtMa5irn9MwgCUZCkKRMSjTsuRdEqizgYi9f3nFQV0TzCUl46gs63TfH0zPYBIBQG1y+HYv 07AYQf9bOemglJ3UG9dW1sB0bvAklN6WC3ttwSkNmxcPKbk988sgGKHUA7XaMyKZKehFTdZj7IZe wpOsMGfIYLjLHsEnh/W97/8w3Sv0b20xYqlalorv8jxXNNDkevhwGTW6M8pF+X91hAADMsCnUzjj 4aQR9PXn8B5lXb8qoE5xQ6/n1E5WPfYm4EeFmtLBhGPs0dwbx7zD5OgBW3jTAk6xGTA84hkINDe1 /IJty8F33dVDZlunlBtnOCdkakSW8JQPBOMwoufobiT7Zff2NvE1GNmHELS2c6u/Z/EoYUPVfCLF CzP26l4Xbe8uok0goAvyUJNvWkoJ8nXRUWjumCd6WUJA4GlEg6sltpWd4+g6+VlRSX1TMjfzQWVf FJ9N9/1ch3EfREziRFWC0cgezozL2AwIhMWlk2XsD81c3tWqurtZXqeQgHO8rF+gCUhyxveNTduM 482/Alj2WFr+aQyMDo6DWc8w1EnsT/bIWPysfJZyoD0T6T0ISN+jlmgqHvyhhO5PntxSluvL3vqU 0+is3lZTB918x9ZFj1qh32brqwM55iIERZC/P5Fu/0cszQ2jNxl7MPQuyzvo6WVb7EWtYXQ4SvU3 3n+hhsdHPhrXKW9Z+I3C79FaAGUgftC7ED0W5O3m5hYcuvtOyA/rOyfByUc55XhxMjivhB7Y9B1W XQCwqEKdcnz8dgmW/8wGle0P9CrKg9x1JaWfMKhLghoKzTT7E2xEWKuDZcyxvEsWnjFZIXykZc83 7aYH0HoExufaJlA5G9PHj8ZDuZcJUYlJoqWCh6SAoHqR+Rk6eVW90+NeGAFhJDgYENoFocjRbnt5 3gfScxG7d/Z9aN4olF5A0izxMgvLei9zMn31GPPHLyIXEt7P+rFOD1QRDKf+eD0BzMhSF4QZYirW Y3f69aa0TowwULOal1J7fEwb8HU72frzGntzGAIxSXpbGYsfR7mOtGBZBJQUbNt19oUtbTjWGyFg nbKw23oKgIBwsucyU1+hpCdjH7r5gZf6blLeJkFRc/t8TEBON9vOo892GLtzaX5kVUjvb0zxEPFT TeLUj0fHSqexp7PfiYXTBAIjp9NqhCdCGUh2NKfKQHEuDk+maxbt+RmXWmp2QB+bHfLl/UPd90Wq k21ovlvgEdfzUw9ZorU9KUgR7nIWxkxH5AF45evOEpdw2ATCJA4h+wrC320+8SyEh6ZhvqASVfCU 9drz87Hu0bd2MuwwPLgSPBekfTGM3ekJWOxkszcHTdA0AT0VToju17Vlt26j9++WJsZqS6jg1DyG g8DTyydDeVSrIe+D7fHI/JhSXo0hQry2o1H69rsvWUJX27sBHWJeZesGUwQQrAQU3PK2aCiyb8Zo c4IT7NvKUTxLOrIp+45exzG19Gkkl3MLi9wSH4a/xCN9HlcgbMaqRX/NZmCqIzDTy6wrGi9syUkE etHcZnC9hJgm3fCD5f8UtrF76HepxMVpLNaU9yam4fiCmtkFASALx1Mc8DVI3edYOG61sOBzGrcO r3pKyKM+Vbq+6ITOYnDQkdEiT2imFVsfvYAciaEmhQu8wOCZ8oCU8sXM6eUL1MoohX3dFrwURef2 oaIiEzz7R/goqX+w1uTxaF4UoIkj7RA/lNzLHRhuMwBrn96wOw4aOpOTSYbf488UxcNNSz4tLtX+ BnfvKx9+dhSuw01u7bBB0bDxmR24RNK3QQzrNKhSd0Nx5wwjLcpj1HvgCt/vYZdAZd+Sg8mXs1qk TdvCVTkQ3xSRuxeprVqAfTT0yAKSpNSpzIowmn5v1uJdaso+yfEixPtM+uBGYL1VQn0v6WueZrPc rk5t5n44EmM93iAbocZx1AJjtJjET13maJXQNAyS8ACeAgSpMR65s9vwYTXNI8iwZbAhj7e9XAT5 VWt9Ddm7s33t/vwX71a0sip4AKQFADL2W5Qpu8iee7yyzr4ujm4QTLncmtMFuHAaviri9p+10bAi Rb3ILcO+ToU70Gcut7COdn+qD3ICsO/I1IuN+TIzxLCxlXg6X4MGde+QrHqIDRY5m9+8On16hfFy JSY+cXTmWT6T7sUQ24X66O2DBQSjqbwQO4gN7qlL78iCcuM0FruRJmEqDfkiTCtZPLOymrNnzihl O/nFuLTJSyXqtHQCbqhUMdCX7gZJDxNFaToZ2acDZUo5w3JfqpyN+fWDOj0wq4UcAtRjtRFd5U1y z7pa2rfn+TbFcyGcz5KSnMA29kzVpkDV0OcLyYLqJqGzNMFCOxnjyg1JyvMCfKlaJpOnMiBujl67 9/oLlFg4HZhCH6uLmiqFxrgyckjRO8cOQXlwrpTng+GmDnIYP58+msch1UloVt8ElLhexqW9DcKI KK1GqBNJefeTzuw1nxl5HXarZs1rl6IYQPZcaMXy/o9fctPk4dqNtKtcVafNJnjsgOv8PoSHYjqH /K6wPVOjvXGq3uwtQqTYPfPPofQds/mT1mjw1tHPbQ0znzcxMfdkxHKvsEgKwvQwAYZ1dVxJGzu8 1j5jhx5jb221QkN5fp8i20AWT3Cnb8063y2+qkOCyVGP84odKt5rktX2sMiaMwcl5F1h4BxHRpph vOyKQU9UnxkaH52N0DAZuWfg1pplYBlkFhW+StcT/t5hXqgs662nUmnLGTpt28BbBVzVmxeMCDkr Mcg9hV2HIgZv4Z/GdFdB/jm92nErhCkYuEdpF8Yzb5wSBVzMEJrylWZETxb3tAoOWIXyi7cxZDYA PNXvW53bvbbbbGYC/W45XED0g79sGv8YlmWXbkLSVe9KlpbGd/yyWXDknNPq0Qbaqebuf5zuwfTH yT2MlizpiiU/hP+bh0PHLQWY7DqBwzeQLh9RiI7V2cZjiDsNPYBvUWr83QxZt0s4UQzyCPBKpsq5 eFAuGIW3zxU1nhds7iV/oPDBbm8CinvcutHMDMYetAcetvxpfPCLlbGbXjZnoOYqmJEq0USu/c2F 9jZ0QY0Jj1QUn4EugXEIsrHCqU6CgtlvMOCKRJSHqSkDm1qeEo1K4F7hdZ8sUov09hfk4lerKR3v GLndYAGRu3Yom4NOVASkY5No7jnUXudFUqYAELAFW3V55gk9+H5613nFVtI/mjRbKjvI+mGFkY2W J81VVIZFWQ85ar2YCfxGR5re9/XMXe6fV1IxUcI9fShoph3LZZ/hJBn0bsRiflURRw4zXZzYtC3v mJ4pbdin6vJn034dhIaZm94Wd8sUtSmLMXyszWUHRHCyxYL7p7HQfNDdv7kcT458UsyUVDYOrkZE QGOGdnyg5E+fS1qbjMpV6gMisFuaxjR3nWsb8r0sYfs8M9heYk63Y2Uy3GFyiDMXFHKoacKqHn2R 0Pogdg/GSx1bs16QHl6dfFPnYqiMzZYzndKDW3t5IA5T6kh8JIo8hxEvtd08oaHH+auvOjPB+XmX 39G90aV136cxOVFlrXd+xGk455dxPyeVN860DeDFwV+mQ3yF5AUaYmBbNXX0ECXEzwbFAo76Lefy 2XVbPcMZBC+6ahuCoAMjTwL2yEFrO10j9V4Zb6tMygy0F3k2XFL8CL7JohyNLKoTQwiR7/q24rma CRhiwYEdWCweiGJm3iFhAO0jWm4LojpS3Rl2ST12DX/dIfZuZ39ADVRj6bM1uVQZ6ZurU5zN6Qlt RY84HkiwEvBtMdAcKm/6Ri0d7FRdn3BrfTenn4u87qpzHDr1EbDYlbkWDLSAzkGLTvux0UUj3Poc jw6OBK/8Gou0rZseDEV45lgnhgJhskDOhScvNaBpFPlzwwENKre2rXNBh0TOHkCQKtZ/vxczsUbq ifSp/2GaZRaKhvcFd8vZwP563I0sAosy10Fx5I7lY5ggSdwuhIuCIIxJ0ENzWW0N8nwsH29c8mKo 6Rdh+zG4rIw/NO4uHPZQWAjXZ8OOh5qf4PHZ84EvUjo2U/ykDp5a+6o7lUGX3OgKn/fQHYdl2lV7 iVILpsr5Onhgp6IUgwT7U48PVR1QdKZHVmKR9SFajJisj30gDq8h3WvJ7kYEHqX8J6N8BO4flw2/ NzkQZPDr96pRXbBbPhOpJ9mhtaRURtQ8sCISGkeob4nYdt46wkxdJdcV5o4jcqzBFj0EYm3rx49D KeMOmM/XeaFJmxG9TEn5t/gRdqvOZbJk2OlLqld/XNF2CglXunKdsiD2jlFrVTqRGHHTEUi1XTcd 2iRKDs1sWMnMTMsBp7g3TCI2tinuapxf2t2TttH8OdOPnmj/nZbrBPcEGM9yzAGvCJ+5pJzsSr25 LiW5kbY+syWaGANFWrAdT8ViAUzy9HaGdUSobDuxnQoRgpFmg8tafvCP/NV1bI9Oq162hMw/8+cy ZIHBR8kW3DTX36oIDNFHK8JAPiQRcweideLnK2Rc2Wu6fGpquBceeWFmKhF+otsf5IGWwUJOJHwa h7Tu7s0U1s7KAAi1Z6y3Ezca/Xa5C1aGKdZ0FsyKiqE1BA+uDJZqM0cPZMFDEy5DvHZnZ7pBs0qV mWTxzYeqydxphvj4Ve1vajh+i/XAsEKj/Z9whRMkR7a/dfmsTfp5M86jCuUwXIYQeR/+TM0Ai4Lf 8MmnI2G7hh0lw4lYS4otu+vXZthyNP7RR3OeiMYGVYGpkVq6Yz1qLrLxSuR78KiR7aGJtayls5FP Ig/9vTwfT1MqozRbP28zT7MCKe5Z4Jql2/NQpXZVMbqzuNBD6NPan4qiTmO7q8NrkcMr2UnXcDj1 jt3Op0Sax8IlBvqo5kZd9nonKnW8MQCAx2D1EZ7YQX9rPRNQdl+XVhc5saeBrbWvCgwVSoGG4BxC vvEyaUx3pV0NmHHa03s2PulYD4cS7FAQyQHJ5/iy9DIJwwgS82q78N1Z0aubTXCKJxAH6itngaPi 5ac4LYGJi1N+CtbSKc4ZIIrcZTYUvVqrKV8LSvJI2GYbT796e7J3ZUOhPRM5PX3vILqCSYTRpT9x kzMRyC2mobrbkg1G277jLz5Y60EBiqPRN1gLVno6rh4YfzEbrFrdYUdOIw5InC+9MoUbhbGQh4dT AN5RdHBQ2KvJrRhAHi2/ahuvrf/PZsz6IarybB+Ylud8E0W6Db1Za2xz18mnk7v9q2Q5MzEQS/Ca mqNnyd+npGu9M7ee2shRdn08YXHxi8WJ3wOrUIWSEnZ+CtDfzdRPPjXcZHCLChom8VJHxDgJFgeh Sv4Aw7GW5c3V9bQw2a+BbX+JO+IgNw6BG1JargTm+E1OJsKBlEc9nvU3Pias4Vax03T3nkejYixo of0bKZM/aFyAabF601MvLH/n823853O5YP+x6eY6pLlkiJS4tQSF7lghvLgoV/wv9fE4n6d+T8PY vAXulU7YAii3p6aESMMFrrXi6bc5bweIXZato6GAIPvejs88WiEleDdKttrdjAuobBlfy+hBv3vR /uQTbH5qfBtF/Vob6WvQy3AtTHkk13YSL2zwWLHg7ixMhtLvcim+pMmF6SpO6EjfL5l5Pm6P0xr6 1BLd4XG9Hs4LvNU1/gE10m6ouJwzQGpJT/6A8nUvEDAcXjzpYqZoLiELSG6BQ5QzZWcFlIKzbBGu bxYtoXwVJkQND0/3m9C6Js1nLcA9RHEHwa7pBvX3HnSM7IklY1lWlYtf1UmOFedhaPALnoMAnreB WnTfuUBOzEH3YQ8Gy5Lrx2tu89wYtfG79Zp0fjI7cqVfF/3yUNImL8q8qqHOS4GIEq4iv5CBXLOE nYDTiePPTgsjYywAIssl8nCQG51llxMt65MwZRy7ZgW0ElAXYhrwKhv/b6rrgr23tpwHpIZv4+DV yx+RbPvmki31dknPiaiH6mh38vgZxVDwBoQ/HmyN0Q6fbMtiet590GOKzoHB1O7Fv0lSfzIhJreh GsYbTRc0P3wchRiOKtBvHrgWj4A9Rk9iBMnzzRbVxt69ptf2CznHc6cUMSYfPK1rvkOsCk9Xz4rf tZnHRxFv+DJEMglS29mA8Y8XlJS/BlV5zCP5F3O9epf3KewP6m8a7Umh9LIKcsUfx0upeaoar0Gx mr6K2UAePYtGM269jjcex/R8GRq+6NUxvhodLemABgecIA1Id9JibRB/GY9Z12/U8L87sU952aug CzrVLUWsm58TJC19OFJxDN2X6V0XiVtvdqo9xtqJeLGgeznI+V8fsvBp/ct2EE8qZVIjZZazpObU VJU7Rj0HzenZwUov9T0JBHdFpC4BNh5ZHzOyvLXbEaMQwlMGiMt4A2Rhcfj7m5CriyhHIojBgMWW Nk9PzQcKNfNZfrpZjbmjj3Gp91SmuEOBV06EfcpuwEAXGCroPa2kYk/TNjvG9Ggnc+YG3V8a6v37 CCu3j8IOFdD+kZweQmlCDCLvOHioELC9V81ovbt6A864ojKPHZTriqsvWoc20S7wGjIkjh+xUlo9 6nc6AaoGLrYMzuJ1nt6n/z/vqG/sXYyOPoPCjIMKrrlMBidMx7qdP2reGsfz5DFafa6cRUcXfeVz fw8bdYSs2ynKzt84nNsqogKsVcA4y0z3I9w9FfksFhMSjpH3SZe8gr894TI3p8xvnZgsW0kXvk13 Et0WF2Q930ZRslegsMZpmZW2S/4GkWD4UOkeGHPZwhHoPSzOuTuPjhFNIUiwe+CN8ilGQbjZRWiK RCKh/dPN5liqiY/n1c9hVUzOiNXcPfjt3+iP4+M+5EjSSzrAb9QywGl+V7pmO9+8byVJavuhZW+p aISTNxy46VMY5WKR97F1F+8ASdC/LjQK4B7uH0MbKbEaYw5WnAUuozK3FzNIvYzt3JtIldQbUgKH J3PiDcdibHrsFt2a0b3snynkD1Tsiq/b7NlAyVaTwlfPyVklniQLq+XqG6hxU5sNp64PD5Iq7rv2 GWeAPFIfASErNgCFYZTUJIR6WgRDclGMvYCaiyuR7Yeg5vS1HlPZvMmPNOK2wdKSDq7TC2+jlF6p PemIdFADnYXJnWYGMbygKRm88X0nPsLZcFJH7GHGqT3A/1RCMGpKdhEEQ149wYYISD2LYT+W+4ke 2156JjnuiTz8+fYnZ7zTvQDtXcpaApAb4v//uUaNzojuFOCMAoqb5h2hFqwi6bYuzbXdABTSNBbm oPSiwraUwnHunBJFy64+RLxBkCfIcwyv49u0+QfozYY/LnEX6oX6fGJhl+Ug32J88cg1kpoglhK6 44Wv2fzu34MBaxh1ig/Tm2pru7OpdSDTfuA9cgCIK7RAABKyBe6pv8p3HHzzumFZq/qB/hqKgfqH Z7uZmGPydeSm+Rusc/ZjjDXmyd9SnyMsmDtjBzAGUTyLP4NiW0Q+w2xPABLB4KiohXbqJ1zadlvm ElsFmMXULZNFB5xaanA34joy1UGtzxpnlbq3JGup1n7u8TjxH2yjaxtBK2u3XIfntuYjlI3SwIFn wpcJblefcSPkzacZ+bmKeZ1ZMm2Pn6qMr4euiAjRhhvFLPMKnqkwUjF3bIeAQ9L8wZHs+gisAfnl t+1/qo8gf6oyPG/qH/GAJgCxFPe8T+jeTH5UgjBbLXSQ8VcBO1ubWX++dxwNbFUFCO6/fZpzfRBE 4qHw+TcrQOKjIZPDWG3En6Ehq39VXqjpNGNNMv1OzFHZPF4rM6ibkcbNe0yhTyDQy2yNPx+oscuQ QtuSjTlMjggzo939SUzbl2mfX8I7OJuDk7BAZsPntcallWMLP2LCe2T5a8DYRVrLzUKiMEAHqkUR 3VNOhLBeNKD9lQlmIUIVe6KCFXdOTD7azw+mrSdXnl9uG953TlGFJAQ1M9NbV5SKQ+vkiZX/tn79 tF/X064TxbgIWduD4xxJT3p7AxcgNibuG5ihdofZYlDItYWxXQ1VThMDihoJ7sroY/5UXS8B2QUh 2r1v66qDJSY3z+faVkMPdhLEW05YPTowA0E4DKMGAY0sXDGb7SNkq3pWy386bUo6R2oI0/NV+tVL hMa2VhHFb9jUg9EL1SW5UpQgeCuXmxb9MAZZeXDjICA+FCCZlbj5Zv9Ui0Q0RFe0bsuOgxbnoI8w gqJxlTaoS0GVacH884rZb88XADDIub87Yb7AgXGQ+Lvn0SoDyT4gSVKaB89elfg5nysMCWf+aREi T8RIxbM+Kz6QJjFnoOItOgq6y11FM6v6iJ+iSblL5x1ujkGS0MzeJtA6krDgq58NcRntGB/f4ttZ X4myv4yEV51EwtFVMdhX8M+VYLTJvaCSPZ8BcKIKmbUKsRABu2tpEHvVcm5ZHYPJUIqBGVA5jDAY OJFMR1cO9s/uHAg1F+YNUm13SWYYQdNzdyF20uO25b3hWLgMnsBhDAGyoVSlLMhB7nfvVNmmJduW 3VzQUJdam30AtRmUGjg0SdOTotxy4m5ksvZzfMYU8K5vp/e51ts12aTLEsgdNrBiKjOZH+sMfxYG 1kdVY7QlzK+D+aA7+V5+MSGH18SwhASYhjw6auh5lZRIL62QZHoczgN4aShSLH5tUgQ5nIq1il90 PwXDn4VqL1n2HXgNZgZNrl5g+gC4FoCAO/50VG5JehfOdGlmolgqrXSFW93lumBrgsKO81AjYcYK nLGi8E47zsYtRrGtlboJd7a2tsmivZQvAGwDUcv80a+OSfETWr0d3XbLsGBct+dmuRqfA7BYT5Jv JWjdcXZxtWJESMatFMSCiNe8f1jDNI8Eg2f9+O4S4usIyOqAJ60MgJ4VbRd1zM+nDLIRtMsXfaau opednpstyTOUHsaS9HJk9/A4NpBDGegDncW1QanougdNpvhmgGg8SoZBS7UmNeTcHup3Fam/Q8B6 Je5IZT7CWm6EPJFdwoUQUkTHD9p6fz9tunOACY99A1FkkQpWK/f+qmhqZg5aVSb/nz16aDK27AGW yETo8EzkZ3Gy/f57Fx/c9KSe8U0juiFpgWFRzXypeRDE1+q3ajz9uxRWS/DMxDOlVnuwlKxRWxyo VkAGXHU8ULYsRn0Clahsd90ggmDlQGjKxU+u2Feuyo34aIlkWXyCUAULPwkE3nOhkedKX7oEgvHH 0n2X/ZU+gRXyDGnFdH0ZaTQKuxPpTWyv0sIAtT2TD++I4evtnjBBziNIuw4BagvXxDbLDpRlvYq8 klSHvOz5wejGex6w6VSMu6HN3+7AeJ0G/UUqv4qWX3mF1S8gWZFVux0cQgCJCMW239kqMrkqaXWX ovHBLUlPFg4XaIVeWVNkYr1NAepUGThus0c9ZgTOq+Sr5hVWijBUm32cVAHUtb1GXPS4MckzwNp2 ByP62bZylPIP1zeJCKU0rRC4uOJbXhmExTFOX1SrVW2TKM5w2ue8cDNrlQ1G0Eon/RLovtxYh/V8 8LLMAtECzelr01ac8USzSPOECgmcFG4kxbKxEgPvwXDaXODKAv9IUkJMqST3uqcTX0xvqXktqF0K KefQIgi2c2V29uQQWorvY2CsDUp1pcdDZDrazvzrNfAhM+++KZ2/740wZZrdz5ZncELSeaBAziPx GnrsxlBNy8HYwog6GoqXgmVXj6Qz1v4MXL+u4jaBWkVAQdi43sHMqlXQ+xAVNu977PXZqk9C1aQZ 8RSCWeBnUzbg5Bdxt/pn0H+2VPbaVa0vTmfehxz0stXxAqZ38Wg16teRDFWyNsZJmdYk5eyz745S +S4kloJjlr8h6CPLlvCWPLR0oDQmnyfiuNQyEdfxRKPRg1nX29UuxcvakIY+rEY9y6rInapEhRM8 MTUFJx/SUnYUxakHwMU87Bkhp2qRypw4KVID4c+bDmiqyQurHaBFMvxi3o+NwmxdIuJXCnraGKxq rw4mxjw5j0jCYsBFiwc4RZcFlXcT6oj56ImC7pgWMQTNCycIrzpXJatWKFxX5e06gkDKGFaOGX1n CNDOXzYpa9eOsLiKx1ztyGnngNji2ynJt7vwFeHtxAqh8KBUh0LPhh8mUolAkdIQB2Y/miD8HApK Trxt3jbCQ6wk09eQeXs3b3bOtfhiDZise3Vzz8X6KbdHdK2/H/xXi1U3Ys0dV0WKOuzXcawdn+8+ h0UsloNOAgWKy52eh+Ly/TdQftGn2sC36KKzmuUnOZmf88nVM0QvRSHHxMEQsy3y6wvqIKFPnF6H vGxqPBqFrZ6/UlmcvEdqhE4BwbPfB6Qok/HulPJUw+am/eFxl2ixxH6b4JX29n5/pQfcpYkiSd5B FFc+Yw20x/f/BdHAXt+1HvtLDjZ0BVlwxGwQiSHUVWdyk/mfhgIsNk9+gmY/rX02mp74a8ln9m3V gqR5lDi6p3LWw7kKI3nhKh4U9MOhvdEg1uikoFJz1shnWq0J1Lz99eOWg29ytpUYTrMOtPI3LBtD w22+LPgdFpHxDm9ppSmQBPdLaaJy6Yug+9xpE3pfFI7IQ0zyGrLYMHTiXyCMp/sPu75mSem2ppTj n4Nxl2ND0NR/NKKM2CxBKXrw3iK+aSfN9cfBkp4iVQ40gsxp14SEerD83SXxE8rl1ZYVswlADr6J mydwSFdlW/0LBMPlBWaUjecbe1FZqgpd8Jp3P/s1ytQCRWYATsf4phip4ywvG+KbLTu5uvSefoHq cNeKq/gIyUZ8Ts5zMTt6IyheRfGqYyDOzOfjt9YPsHsYfA4mBrnSTj3ylYWenNqD/2Lpz6F2PCTP 9N8SEOyC0Aeslo5EieCbiiEb2DZut7I/qgPnoRqsfyYcQ9iYVPnkvwiMw6KonifUitQ+OZQX4uG3 geG2+HENmtUOP+A3jQeQ6v+VIxIgt+HFJUFSsax/pO+DW/7qxCGwMRIg1lnIGvzO9KS88alfy8Ae lel47dbRXlXCiJfUkeYklPRXSK9Ixu2hsTBwvmMYUcU8RxDlDC/orYHabsI2+e9RR80fZnD0D0ZV BC9HGIs4+VVrDnZCh8jupqoI3Ldfkwsf5wiT+Vrm+8bmhmsFu1lE7J9jOJ76npZxhTr5XiAg4NrJ jdpB14+PBi5eHaxg1H5uai0S3E3RbKPbO/BN69oMXbu9/96dLzWCEvjNn5jy4TWZSqs0tEcItd1N +8BR6MkuoI64T0YRBxdh8rIPD+CPiBzqzRTspK3mQYLvfr+qYI20ydDea65sbr2flpy2fzjYS5lP E5wJ7AP1xzm9Njgv2t2r/L+hxh3edyJ/gi/scxxtlC5oAtjsHceGeq0dnhI8R/NpJHh/zL9Ky3aV Y7YLjnS4/92cC61DpwJzdGDL8HERMqFiNupZlw14dnS2U2F3YNunLbo9frg91FafHaoVox6AQ3mz xyeDO4/miApxNHlmI2E7/4tAQ8mo+15eCkg8ssmKxAQ7Cj+M7Fh1UKbBNSaKRRkUVBcSY7TcpHZa sEzg0kXeTxPUpKZGJpe3jCLPYsP2cuPTbphenmqpBF3CcsPkONSHGR8v/7wHCSkDs5vP/2b5ISww KGJqlMh1UUDTi4hfh4jRtQdBPi/IBPuTb+bjVogkCJbQoD8qGxBv877u8OMRGFZKgMQ1uQF62GFx CT8BGYOq7SDJcAZ5MUR8sU/rGf0nkyMUXHZ1S4oGGXsDqgMiQLoB8nZ1f5T0polnr8FhxPveF+f8 0erSCoQ25nA/OgQpMXW1nLatF+36HbDxA2TTFDARqsCpAh1KXZDT2WHpmR2RYXKf4lrY80kXiSVs lOZs+Q6qX9fGrSfDGubl01aJ2CACxMJC2rzFr+Lg8eIRMkSG4RLgbBcC2/PGBvu8LhBUoLJt/SSo 28apcmZ7DgK4ADLgS30FzuWXnMhESVCbwTIT3WrJ1xncwONZL4pY5sezIC1h02ejbJ6omZgOqV67 P7uQ2uReZP6bKtbyE9xUu+yq//R67lcLcJ6+opuUYNMArec9yTOqCKgVWOIBEr467RsDt+l+ou5y thOsiOEGbWoGDhAajPNCckLbcKdZIYntmN52MYlcT073D3yqNsfetUdcniRJrAeXueaifZPLXM9j 5M35fJCwm6zPnXMpSgVMNhooBKxDT5RARFGdgxSarGlBIq2tgoypVHX0MOEq1hSfTw7c9jVXn/LW phw7kBKjIKnabnpM2JxIVUJXeNLfxYiFOwGz4jNE4jU/Kbq9FbydTmHtqxnVEgvt7HJUm6Tj3XBG HTyOdJWsWyP8DqJ/vVQfeYdTMKVl1Ic5LZ6/LfXjAJ3WFiZqGNGwc/ySfCcrN1VhSR6qT1HY28aD zNLCjnTHp3jBeaVoEk+OmDzWeCwOxYeNY/Qoe7q5Rojs74ZeaIBZ06BrpjwoU1TSLKQhBxAPrI3j 53MZF3mw5Cy8be7kXcqAVV5dVyPR7x+jY9/0PhifvYOoiWu84VZ7JMKIBPfEYynEDywLAtZ131GE 85iQgQAaIrWhVHf283ZQ1mkuXIBAZx4MP+oGU/dqaedADZjHGbHHLNC1CCKvneQeednxcrVsoU5X mg2TWc6w9dzTrsXN8OtTiPXW9aBufGay5zyygk/6pZIbiZU9aKHskAOk3xR88iN//iQB4zfwe6a1 YvGfPifA9o6qlT/RWrW71AoNDRJAPV8/rs29rWmOvnOF3utjWrgInaa/iwqLzaKVx/M2PwlQChqa sI55jdZunfnZgVS3n9oEww6PHY2+NwPETvistVagtJ6utecamDUuydo+HCk2kWDJPRKLWTzzb5Gy hwFNs/8ls3OBLgHVo+iSxDW2IVh+agDSUKFtKno9iNHL6rhhuMhflFqP023t6f5+LXzhuMg0lbKt ob/b3rFPsIzoFajAEWzqBpiNfuW0+mY+5dD/szq21ajPbfoRixUg/Ecw1T5nnb6iXr/zVFuR9xHG E4GV7NqviBb+hCIafGDM7Ho8ws3iNAov/OmQvzMsgdlaTEfH85agUWh4W2+7STtbhXHxRxsy233U TnRlciFhb3v/tQM85hsC0I3ro4P9cD8hEH+Q221KcbGDHUq2VoEkQPhBdcVgHm2LC4DdYOJPsNqF iGX+12VkdBm1xKOLPVMREl5NomY1k+axWFCS3kE2ZQs9Tc708Ju3ElxWpWZprVQ3qO/iRleTcRMb oaP3bTHbvbEayveha6L+yyROQurGgGfRljDqxkGPgHHbOBRGjllRzURKEfr34v6lz1w2g/D2vr68 ZbByP65YekISVvs04i/EErldM4U8ODkn4HSlX4eJsxeiWSYqp+dc0vtztGMe6tpXfZrFxQGr4g7d G66c7kTABsdYz1LIxa+BD3OiNCKh/ru2te1GR4J9TDInSlWmkqhm1eTTlZJYc0E2mIB4FxwQ+1Sx GLWiaBi1bACqKYBODrm6gWi034h6Hxn2eIE9gBEGipuH4roM+nuyCfFiXfmESfPrdLB/8VYVDm5T gkfLZJvID/1geWkn/+WLwjNfUQbAu8wu+3vy/Ir6GtJWoZccukCUFpX3keGzyrjTDlANbUrbDt1N SBroqsEsTvXSuIgy89ExgbEbH6Ct6B0JrMF74VuAE6SAGOy3JNu+F/Zai6iiGjfo2qoZC80FO8Zj e35FN/YYyhZV53oEpUNhw1pYe4qhyo/btnAheIIeUiHVUwu5XjicOy9q8MfB4rMBp7WLRtmzhCar 0kLBEizapF4k2by2qy2RyzELQylkjqIezxSeJqKGbS2IIUmgTb5BvrzQHZhWHtfjNpAD4+E3WV5W oV3bqHIRQ3jvLsJtuIE+SUYns3yrG3bKqEozjYAJe7Vx9Sscf3dQyz+SQnA1JlgIfFbx7gjfgP/t +gZHPA5ewy66/X98vOmdj2m9Nnx/yVmhAwhjtohS1iz8xMaWdNUzdL9GWOFTv2AS5h0zUA6BGMO4 emiPKbdINVqa9JT9g4o9GfwXmKsJnxZr6lV+fd03lG1Lg6s3tShlVIjCqYlbxfwZjkTmapAxLofu sOOYo0hKhRTQN+VVt2RpGBN4Mn8jdqP+ACFj/ISJTdz6RWjod8YZVwUXwYCSSwn30OPkwJ497eoz 2EBeFNH10+ZS8rDw9hxfR5UcGDF8E2HE6CSjvDyP4xWB+Nc0FkxjvnwAgKPjEiod2JO81qNOQeDo dYQidL6yUODeOVOb1GsRl6pJTK7hf6QQh5hUCtRwF4AJzrokjtBedXenM+0RWqTuSETqDO9ICkWS FkPvAtZJ0fl/KDHmoM7e8+KcuSr+y5cTO8pue6IYt/FLAIkASSRGzu+s1HaEvtxbprTlrwGyV5Uy KUtQXhVZyi9XuyxXOjPLZc2GIKxkXdjsEvW51CpXxkHdHAHzL5oGORu9fSKSmBBATz5MXCxCyRPs +zqVwkDt0piX5a+JYp7IsGGoMuY/Hhk7V4yjvi9nL/afxEejKIpgVb30r24kz+qU8nes8uNRx2vl l0ckWqIWHYmarD8UuCKKmNbg3RApvVBKZ0NFCZSpZ01c2nk6uZmGohNrQUhgwa0bxGwCwbyxFrox 06CktPvo20dDlEd8bT9IFb0CVpx3d2vJ2TBK29r/1mYbhRY8mCeQVAUeIQWngK0rBnWFoeP9+KSX vLm9FtOT+WIQJi73mJ+08kArx6vr1ritxO5WlB5h62ikeJgSfTd2mBwymnn8tnK3sKMd9L1jdVJj LRF/InN7IEi+rIpJuqpTZ3e88I9ruV595f4gmlw/DgmV8Essd1QltkOc0cGmA5vGFH3bx38AkB8a G2lO5CGj/mDMQ4EPaKUgH0bY9krPe02pSbg1ZllkRr/Ik5dEvHDi4nrN4Z00eNgrvapVe7EtVnli VZLZKhGkOmsYcEGaashBFT9B45UazyXb0WgQU4jL7qwzfSH/3X3llrRB2x5kmJFsZB0zKdbOkc8T XUEmGESNB0UqvgXslcmdcpzS3IC9R6fGS5BbtYaU0ITCAVU4J5c4d36IzPzbtEOOIluQO8vjDVv0 Jh1qftrkCMCB9fts1f4Iw466xB+h5os9f0/m0P6443IwxpXf9XCrT2X005EB7+c8JgBfY1lrWVKV 8whx6COmstAUG++j5XNcqL/gCqITgTNmPpPOM/QfwxnzdiEpPkxeSkLe/sLkl46Rm3eRh/Q3Sioe ENreuiVMSQTD+4GRBRqtdjxx09vHY8x+loiNg04irWzTTFCIbMp8LgnJWmEScCyeB28meLTG9684 SAFxVd2Z2twmCKGzAs/HU7OI/WXvZbunkI8gAL4n51TlCrENJREyBBCmMWNuc+0eRClG0wNhjTMz vjQuo58qkd3wskYgk19SAhJ94FNXw+7PlZD/yDteuNIKjQ1CrmSogiL2VzfKMxd8ckR1weRSQrOF ft2T8KXj368/guNa/yJ5PaAfL3Cr3aiGasjEFFBP9LxG1mywcooBh2vHT2BluVG7wCITNkeME5Ed CjzVmshIZHq5pkKd/n/lUhgYkB3eCLw9hDEEy9NODO+6JV9RDzDbp75LctkW53/DJJXM3GCYsfCR vxwynFyr5FlsLdqh4oluKY7DvpW4gfdL9ETxST7tl1oETMEwWKM+B3KnVNNYqAcKP9oO0GH2lsP0 /s8BWo3fmHhelXH74Zm1WEZsg6dQWyARHjcqOAeitVdFvW60nwNwRBgrsLN+MP+5Y2j979zJlEAx u/7i63HWX0T4yXYjceg8TrMN4NLsUZXZPG2OwwR/XnwE5ElZDqsJRrETTFfNlR/4X2aM3aU2GhGu YRNzOE18Qe9BGa2uAPQHbVjfa3qEbryVdfOSysZhzGSwY3uNlbT0b4x/Uc+JNOXHcjbebInE6NNL 1IY+4gzCDCOYzex68CcfHX3fBuuV/n8vNmmUG2aUgyYnCjTk1nfT4gnTGswUDTbE2vmoUSspKJgX 0yyCUc4XrAhIfW4h0XtMiYqE5g+/bHAlXv5GAh+WpUPSuYdxcPxTRuzhXnGDAeTwPR2gxc0l9v96 gKngoeDpUmYp5lZ2qpDiZaJsiFNQxFq2bL2CF467o45ga5XMpXX8vHVw5/hl7R3o8eyuHGGaU59i UVn816vTfJ5eujcSTeMxqDCIQAJ1lu1ZR54aQ6y43j9JeDMPDYkgaU1SCb3C77gX40rGdi7QOzjf BnGpm4R0nb3eaUn6lH0ZMDd0ZZl1XH7SDmmgYZAo00clPjP+53j5/N1MB9ibRoE89LDB3lB9B5Gk S79fqXKaBgwtg+DR4DXBTotGZ/QLRSVjzCnfcpOiGh9vbcEJtffWZdLYAxzjor4m8d3OU9tY52kf iDctwfxwANw4oqoeKAtFSSTkPW2VoMoOV2AyNQFckIBBgAiY2uKicsN6wVdVBql4XI1zkfNAt67k eb3F9/72Y7LRpPQKLgwVB7CVkBNbqHys9PMLEW0Um/uKtQHQD7+8mizTp58/in9gGWcZ7HbnSFeQ NHkkzC9svb388KQ20026KqXT38My3KmoZVcw2OANKjUcDjqnt8PXXZyNNyS5e8tvRLTh8+Gx/cIi 4na8LQ+dTdS8gYvZDgnoxoQh49hRZSqAY88NgIH9BaXp5fqFPHNfTmhwC7hTJGznMyfeJrrCkVM8 gapODeb5EABz6JoF7oaNs2vtO7mlamkcq+185tfKwvBKDoVmzI8V0D1yJdF1NR6NnIEXgJtrkQud VZaW3h6ASj8o4UUvPaYx3+5hhcOuOMXiyqfdLkEjsLXr5kNyhZyoPi1/4d+Sljr6In2dOhu+1Hn2 QBlpbijtA6t5DRXALsvRg7QZF/yGQGFsRZ3nEkv6P891eQgQM3E6WCY2EaH6uYC7rdkTS26cENsv rKn2REf5xVu1B9mMGAVJfDnegxLe89b2XavasnIq+wV4XWnGurOjRdQozM2XvYBGHaewqcf3KMXV gVtbJLZsUcRisLyIWWIcS70ER5mGqnQ1Butuwr0t95E2fzXIchWm/8y2e31ln+pxFILZMaSCh4QI wIe7L8etTj+mHsVSNxuE15Og/Gr6IsOMZRafbnZRun+ml2sYQ9cb+dxQ1NMPCrb52/bC0eisPIcC n8tSF2+8tMufPxiji0pHyCYCylaqgEckDJ49EsIOsiabuXx0c+IqRloKW3ZCy67gf1gZzm2MHLup EzOJ+1Cp98JSzWWc/nWSv3NNezomvroPXngRKQxWQwTBXBH1VEZuSN0hSGc7KJBNOTHMZxQajlM0 ZYhtONwaEDDkj7a4ENQ3q5j91JtScBl+BD5FGchcF3VN40pIcGlbQxtcOCRI3WUqfjeQ2Miy97Jh 536tR8Y+UJU1E9krVRU9C53RFunK6YbAGvc0KvxLcAzp2/vMnj42VkCHVaKpduwEp1uXxpRlq+an OucDH5LES1ND6YJC5kRHm9HBPrgjEvd07pROfsi3uzPi4NRRN/CNy9grt8X2Zpco7YJF2jj7Dz+J NK6UInLbsJyQqEogjRj4Wzpem2ypknbFwBPAJIVamBLv5w/iarMgJtiii4eMvy6bKyC5CpcuXtJu d4AWippX4GFH/t4PeuaKM+jH4YcvCEouPdopMFOgS1OrPEfomUfpWmGv2Kg7jYHLd/5GG3c/MAZV X1sjCz2ObUsw/2JXEI94T3s94lT5O/yXN51TNSKU4QatL3PrTwSGKATl1gWjvAsgYK0Au5bMVdYW 6+BwLEB4doBmGBpU9vq4ISp6ZChjeEGkDvtFWr/oaqpEwSzVxiI82KMqdWVkq8BTWk0MQMO11gw6 TL+GEe9sCKDL+E9550XIkFuhkTigzH2NXJRKvSpO9F+CEO+J2yPpYTSs7dXnOuxv6zUWc2UBnkx4 qYfKaSHk/wNx4SaWzHMkJea98ALF0qNx399sAPMYY1oht7ADRn3AcKRRB9hDf8ia7j+Ad1pKpLKq +3LX4zoIqNC3bZhfv8aPGG4BsZ5dhTQ/AW+iGgeABeE+Ih60h+mSI1sDzc1tjVQZGK9ZYnv1ss00 gTBi+eFBDMbrW45KZ8BgrF5G2JKuZ6CVIeomFQamfXT5JfkGKbPIBfNlgQnQh+vbcDU/HtySp/1R p4aq3OomTV4uPKuLW72FheerV4Sj1j/amXeP5VZsdr3DBGbNMd8uC2s12dDTs2giNAllA4Sa+GD6 Fy3PlkXZffF//nuQljmJIHJXkpePJo8wTZtmQudPDBe4Ofu6ZJNkgYlxC11a8EhybVDz8bH2xFWU cfvkVgltDnW2ojo7W9J264yrWo2wsLJ0uWPmSJy3ob3a9cw66DLi9MhRQvt90qFnYZ65p3oLpZ0P 27oGS1IZx8eoVI6j1QvJweAyLzqWi9XVEJ0o8aWW+KOZwNKF9zEeEVFxhi0QYDpJHIW2BMrhD0Lj qSs5L+liVo5loAOc8jqgFwClBDkTcef3Tdj26QK4sYCgbpEiKkFrIU8z6YjYcxyGyq6HNg7KPVrd YHgy7/2AN5avTA8UXVEMkdm7tGuKvbG3e/0egndIxjWiQl/JLTkPE9KrjLs9oJLL4R6T0LeKs8uo JauJYJlMSLzkyJhJXskmRxXoRNrjehqZctWe3B6GpTjjIIRav2+4Ap8sYtfy01CTbB4z6y9McBDh NNTvNiu7U66ElcX6xPGucGz1I03l6ItrQodoOBRT7ThwWMFs6czTR8W9UxoQ6b2HefZkzXyKVLqJ AhdJYV74/e41cGWEPD96ANH7HcZFAzHpBXI3DStgfSVa1/2kFJsJkP4rGzyTLORIG6aUWQ6pf5Bz 8+xyhRWtNB2NrYBOQ98Jbv5vOnI2v7hGKQzgCzn7fQYaWOsVkTS4WfOqThD+g04ZY7zeVgkJhyi/ R8BqB8PJGWYJR73S9Iw7hF+BzCoR/0qETkZBm6zKrtMt1WTXUYGowxT1S6ypt8QVihFzW/dJZAxM dL7v4+ilPmlLHxKNwdBwTgwB8G9U06B9Da1+mox4h917cOm7xD3lVuFyLOViomP2304CV17mynhw 5R7tDqdOYwT0vIz+wArN28up2BJnLamdN0kfdeOJpudTel9ruZaOtPMssoFn0OmUIIVAmFaVr8jc lATI4aWa6LHtMYpvVxXgm/Y9fJzQhcAaZ7MOynTWFxIdasBkgzwek8WJeDXeugPeKT5bLfN2TLyG qEssUkGL1P0FXhlnYPeKanKCzVBv6LefU7mxG+H6WA+nuN8FV78WxSANIs6ZY2v9etT1+tkfLvb6 ZCyTJzV14GJO53zYJ7Ut27+b7grxeWMKmYG0bda+EnEY75eBfZmCmkOs6EFFzIbbefWPZgXiSEtv 9t+HIIAzVHb691gPmvb8n0V9sCWaaGDiQ7ipeOGgbLLAoN+j5qmDQxZKFP2skCJLGUxJzO9LFEAq FLMUO5GnaxZLYw8cVs3L2ysQ+FX9JOtvXtW+aT0UHM49zCtEBKUhBwNSqyRKCtNUV4et+Rj+Yau2 eEKZN2qV9JS7qg/oXkWgIHUZwxACyvd87DH58grb0GvyPr+QLoi7E1SurxhXQg30WdozQNr/yUS/ 8I8W/MYMY2lJstfLI2GlHB5ALK913mPpLpBckETjOzLEcUiKjMYZ6m1m9oMTL5D8qQl1cgI4YT6R CV3Tq6gNXiebu2H5QiaUNCDaRy2KvIoxD12tYooHxEW41jb66UAIIUMpUvAyBM7Nl66XS7MmbrIx LWE1DkncJMyxKLQ4y0oMbSZiL5BCZPU7KukZDNusG+SnXKfbum9Zmblkqb4UEDwm6mPLQqUW6q4u ISnIzJnABdqmRrJvD8Gmi+wZmxNWnqMc+SSZ2NVx2O+lHmzgZiaVKE9BrD9oJsDp6XfEo0aDplQr D1D3U8VSJpn7Ih/uroCHH/i4lKu5yzJ1yp549Q5lw948q1OGWt1gdXKzO82smDmGDxCeXBJ6HieF ohcq2evr4qkRvP8Fll34y+Xm92jj1kNxLtR9X0N1qpqFCRAzC0W6j2Ciwb7lSVsiWIZXZjnV3wB3 l9K64tfHGiTiWp7lfakAbSvyxVRGHT8NuK0EEGR21AXQ5HhHEAr4Tmd5pAqNAFH69+CjV7BRzcIG Oc3OB3Pj+noiWs/dvV1hNDS3/r+L0rZzNx/FZ4XsWAVRvXxRUzjcBFKUQqhJwaeghUNf7XXdwhXo sWPbx/8q/PfW7EVAswjOe8AURYZuNROenEnbwtVMdi4ZFFmIWbK4Ynr6+18WJ1idnhhYRDu3SV2r IhXoWGkppWFxC0GhvDKsaca1TA+dtVWNsRS/7C+Tus2KjtAqYXhz2w2P8r/pEZGuIgP4z1r20fNu mXmUFUBVSsdflFBbiTujfpDwnUvV3eobjFwFWFmxjEpukHsjTYN0hIB9n8gMqea95J7C+GO2yScw 9/iHjUtO2flOnMWlz7eCsbj5CczdVWUYSnicmPsRyaZz+eyDecyNhVH0X+Xlqg39w00k7bg8ULHB eXDRU/bidBAHaA6advPU1pwTQjgIWssNaQrQWtQwG255HD1UilnKOdqbvxUDJRsQi6vdLNXBfg6y G+N9wcqdrd0SpKrMhpBb0Q02EgS9UAG4d3MRp0kwwv89/MPcm5SeWjno9nPSSpOmwJJdk1oV1tFb Senm2JAf3gkSQwajjKBmM2Jb3pGkdwmOscL7tzY0LXPhQCIZUMFoOtAAhNuWCe9o6eMEZteyIM8y p9KV3+LcrnWdKX+WZULTk+xvt1cYW6mNPP4sJ/6u8SPGGNzrpfCU7bRbN4srYIdcmTCE27SvnPK7 pNAQ3FYLeCw9urqHxDWHzVfNkl6sh8ZnRbglnOxAsm1oh8Y6bmY1c0Iv5KZU4+ti2aYQzolqtJ0p ggcEdCurG63B8IOcLfaMAUfgjAbv5GuUBNHYuL/NQK4BBsw/DZJtiimzscN4kLN5RXyqmfacLSYo m+RKWiOD/eh5KH1GX/qzn7wh/kVmNZxHax65nc7Xpfr3oWrG5IviEOhzi/uekh2Lb/OQmHH5avYr 2J3pZckhjlCZWyGR38zra6F1+aig6PlQHpHmZk/BVHyta3wyYy+k3YngpFg8A8SXgLddOtcE83qT eMzVbiCkncN0sPOqNjZgzIG9rdYbfC28y1ZpNTY+n5uXnAr6Yu44sFolpdnCXAlVW0vGU4Ir4C0T rB0JWItURSi2g12ibzcQ6nWvrX3khBrAk6ZMaSF1k0F3YXCQ8NUhnQmgJouUWwkz4ZrdFMR72iWV CL2WMhNwHiwY8fSXqGdTWwFC8EEsAvRpS07/euvfGL7qWzR2mQNWZ1U60gwBpUbyV9XuGolSWmj/ YIC5kbE0N1vhF45qqjskSbCGal4+s49KqbV0vzkGPNsR5o+rIUPLFSALwx05IBhx01omYqxmWsvO iZFZlnhwLejQ0GrTxTMmn9pKzOWhWRP/tfd1Pa/pvp7UVrGKBPjfBahsysSkFjBEt6QsDlVfd+U5 sZpp2nkYGfd4P6TKXztC9qZVJ0FB6nTE4KG5kKTOhOlXWESHIfb/j2MYslymZcf5R0a1PVPVs5cZ RaYrwISMRoXS8h+vpNtHQO9cHoPIfoxoC/o9V66oEqKH+VFw2dybh7Y/3jS0Rg9SjJmr/Qf6rd06 sbk2B6lAT+vESJt7LyicAbRW5rZDYwa/RqhgBiFBJ84JTKZlRPYqqZKWaAhbFZcXww4RN1pJIf2f yM2I7ER8C+yCyuJP2nsqcVKyeCKvINcGIa5xaii2DraCwey5VararIOZCJmjWg7tkgh5dKo5V5oO pLE9bfvRFtqEyJhL09qw0JGWaWvpftIqQikpBcW7/DjBRn7kXFZkEpkPl3yHd2iAcry/TFeGCTHe pg1RAgHIRacAl/t75aCFMQT/ThB/AsG6E6AuAGGbva4PWQLCq702MvXaJ4lrJEQqysfGnDqnbUpW DwKT83ej+8pKzeJVICIAtSic13LUECxHvT0cwhGuA267kAIjXPI86mvjLIB9pxOfxFkVnEziPYOh G16K63NkmcxUk/DE2+3j6gwgd8Y5yqS7EXX3UP/Ti4ZxGwsV2QUA18n1Y+ueipcHzL0LNjee1YMk vshP8ARJ5PLPW2JhYtFHq/yjC4ZfNlnKugH9Ojm8kMFI2nCID4v4+/QDiqA3p0n3VPVeTWjAuQP4 T/MSUVxYxU3cqx+auUIWew4PNiqcxKLcBdJpKdCOeyd3o4/lMxF6fGvoq/SpGSlpD3MYDxsXYox3 7//i8wxoCA+O//fAhRm1PV/tlcMK18hvLKIPcCmjSgQgz5TxIlRzEkECslV84LBhPf8rtCtbMWvf wrRAbwwUqfkOaYlYwp4uP5fFL9boEcDXNO+mdBZahe/6j0c/TGi7xiXiV01wj7vN4W4Dhgel+ak5 T429tbMWCcMcxVSYKJ5hRDP8HU5KEviUyRQaGMNceLk/Ln+TOF2DRzy65Vq50PCG5WyajZ09HVl9 7XDLP3yuj3188sbW4NUDj5wHk2KZ2ZZxCzmtHRWMm6wc0truJQjL+8FM/Z/Wo0DRMT9Ta0R+RiQG CxFb3U3z/6rzLH7EdPGqRfxtq+ZV0cslM1X2OVSUOBHfDqyw7Y4dzHeHgfvYImf0GDFdy13s3O7w HFLmZBnDpbS3t6Yoz3BO9PEqahw0FI98WP1IdSKwGRIVfUDO2PpREctaLKjBfHC/2JYOi5wc01OV i/eKIqn2t58tUFvx82ODlQb+WwN0YB4cpKfnfBCqHVfdULKKL0OKo4ianQs8xaxr+weDuH2Dtlgj N2J1/weVvhVd/RrgLJHONhLMLmK56yVT06TB+cDkJpyD9jRUCDpOs+Gh/ukSBz3lCMwxqQM3lYSQ 8MjRLWNQIJ9Nqb4G5xj+5p3XM7G52/CFAVX13dHKzYYrtBfPhzAR2pGJFQ80xMwx735xPxKHQCQP xUsFT8JQ1YcEh8yvzw9XG4E/5JcT4BE3F3CLnu3zEOh4xLpYYJsftABD91OzzQw2IbsvFyuK795w BiPK4/nvAGYIX953VgVgR2Joe1RHgrrWdKgqknYH+m00gntjowyjk0pyGD84f93pII91vofkv1kH roYTHFHdOX0UywBCYTu+Qg8dqjXg+1M4Q7ovZm0KdUMeCkaXPwdaox7V2eGX1w38To0oT6zegdz1 FWaAaS66WJKbi3Ofbr4YSDCcGOeJTVSK/yiH/kSvLmFsuKgYnaqeN11cQnnQTtG6pDpnUMwFx0aq TMWkDkTxM/JVKqJP7LV9v6ag9FHFszGF8NviosBq9rImNkGHmGeMRHnCW8BLHzIlbrCyl130ZX+W phiBLdSO7Bcqvjjy2LCwOgj2HE6YIv9mrIMlUwyR+zhmL+7rTydEEIbFkhxGOaofN+mpUUnab9MK hpNjNOZD7PusDL1KMZ9T5YdGzfLKhfQlGwN0pjfXku45xQRJYk+sjX6CkyU9SDAggS4OcNi9iEmq VxuPq/9VbhmwUygtv9QdfdOnkve9UoZdx/QqxtZdp3jEPvYMekHwzU6sTVhRk21qz3BTXYyQShex ips1Pr0ZtlT9QIMZ8B3GgS06PYU22fPCUDi6y200ZXrtfuHETiqtYGWk4wF1XylcMLyRBC7RSSHD PB1hNYLRB6MDRHdEAQiKve4ONwEufGUks8oJSwy7xqk8jCdmRrV90E0Ne2YDzyzO4ou4+5oBxKM+ ovReNxjvMHXD6Z9Dru6vP/+5gHby9ycEktmr2kHo8xuH2Sbnlx7m/+9g/XkmWzoz49jUwnW46Tno GMOTvVj1q7ei67OcB7N7cnnFbRqgHpoW5axqdSinpY5703joRS7YpxnQTuFuhHe02Ju5YXv7t2jb ZptjAAuJgRkB9+ezEHwOlFwFKYTrcUK6A+7eWBs0+/9C+nkpYqqkq/iiNtPeKnetQLR7FiyYM0gO EqYjhcIwIDA9AFy3rZOd5JOwL7PThf8nJ5eI9mc6Vy91F4u6oOWRwvP0SlKFRTZcCjgdyl/NmMKP mFqs1dwUCYlwrVz2xzEpY1WR5vxD7irBNMGwMBP7BQed9xXKe2P1HM2dri7Lculy02EQ1B/EjJsu G3wu2MD0fPf1tepjXdtPk0cBIoGNidiySW3HkbonrDoOxh6dPzYoP7j2WJXeb5fNzp1tXcHizc94 V08pidXvDZgIBQLmZKen5YMcwuBFwx0oZIn5eSD+sowtQaBxEtuiUlGLmuXFkynEhoLImo+qfR1l 8gN0DNIBgHMrbZ2oGHzXkTyV3RQlBg6Y5G391mNnDj6WfJWv7RNw8iEHh/bkswGJO8/xJbWCw4XW Wmj10kL6dnHmO0LDvaRYjb4ufDgxS6ZLPVHI+m96fw/suIwK2CG0RkJyV4ZWrMZcP0kaOnwff9gI Zzh0wvj9KhcBuvuS5TRF+MJQUJGwdONChbFhW+sYue2IOXERcsw/NGr6zG54HMEwJm1dHBYeHZzh jQYdMePiMo3fxHJ4fnZZ1xcZlReBwK0TNpWHS10VOe5BD9KjxaQJbZTcZuubFz9sEW8V9uIwg9S9 LJCEWqVvqPvRnq6TfpPTVYwMckrClq7T0IJgDj+UBHB4mOAGXZ2HhIFmSJr0oVjs/ljqDSNM4rON DSMlhHmNvTckVuxvBRoqsU28KpqA10LNCs2lNGGHyo4gXuaAppiEe0+QcLaZgs3Aa5QgnLR56QGD yykc4maxaAMATspK7ePXuKxIAwanpWUthAjlZoLW+Jb0/rhAKeC7Ev2W250zne+59ty+3HKtg8oI BFSgoRN40XH4I8YKdQOg6xSEnh59Z5R3ZPKp7e9VwnwYpdWxmGaPS47lUmRrC/OfdDxSOg/1Beju 5QdOLxQAFzYUFHnTa4YgFSIYeXnO2cRPjsW5B1HecyzV0U6ka0HVHFodvVxTGh+ofTwc5PKM1kD6 P3ZyC6ufLo+2iooEm0RuBvga2g52t+p+kbVLjKRfqG+HQc0oT3cOvMqCaE2hN8H1SP+kXLgOIOV/ qIMeYWXmq3ld2GGwBUK1QPZ4TIOidTZx9AlzhT+3AuZfEMHmnQNsYq/GaXQNC1Gqxhj+BziH+sRD OmlJWxa5FwlUJYqqdmFb8Lqc1N/BBBklimqYkW5q8KK5fUg59RbS5lZzjxIjYdMYVCOqd/l36ZOX JIpN/+ZUEeXs0/VT6h6UPgDZerPUeQIkgxuVLFc5630YmCUQkFAf0VKv5FWF8p4f49jVbj4K3BQq /QZNrhS7ZmPm0J85V80NjVh9QY85hyrIYSEr0DCbq8nFWkUjsIlX1G1Bxa8k+WmJ5MY2v1Fqu8k2 FueU5At5mRMmj5PVjaxLuNBUnXv96SGWQ6ACr4WyXfBp/V50CxoBOfA+GwAGLT/CP0CMz4G+Vf8n V20TZs5lItcTOgjgFDLPreikMrXw3FyqkxUPtVPolNiFNsYOIAbO4ZYN+Hm3ehuf2b35bsyaTt1d RF6IDNw7Jr6MZgW095L3YHLrv4CSVs8m9VwZMYjKllzCABNfT/wx//85rgAUAbk/pEy12Us1L02X eVpTfz/3Gjh8wnbQyLiDxyCftRfEu7AmELfkulrH/m83C1VQq8SqYfBIuZl54m6efpvva9z+3EFm i7Y6LNy44NsFElCQJemTWSl/AZSZm40MEANO35mGcmjDlzndqwM72S9QJpxMBFy2N4t7JJwkRcM8 UGUxuqiEbSKmIxTHibA9vI+cgo9K31+PYN8J3R+bubmgDC/KoD83lUU7Hd0y9655wtRD2tmyO+fp bbTox1JyJBLwf1IAtzpeV1CjbBDRqZ0JxeHFSensd5caMHnbWHamIEfla3wdyBWLUE4Vo5Nr+Q1u PTlYuX89T+gkPvauHPb+ssGmu43tHeouu0hgoLVg5K0rWi8UHo4WX+Gnsya1vSweTJ8S8gpVPdrx zamyAWO8FvHRL92hw7FY1GKB6mFVSKsWolgkpp2xgAPfFzSJ9LTPGN0pkf2NuHJpQhY71mXVHjvb mGpzgcryJvTHqLTWQgpw+SY1ItHfaiUnVxbBN+gazY1G4ncuyI9QcpIchelSNwC6QMHAqbdXEwAD EHq2sVHre3RXFs6hiDz41LDVbGPcBiEJ3S5I9FuEd7US/cXpJvBVfB02XPPs0uWAEW2K/3P79jDW 6BpWbDMxYq3ZiSsUObleaQ2lUDBYtK4v7qkVJ7jBKT8cQXIYsSSEyyhLYwoclnWnV4NVhFW/qcZp BWdX/HWxBeNr5iqpAHKv/uEzB76a9Re/Y+lsqd5cPsYAnBiTNYXaMUkRHdGpOfk2+vkCL5YsCSP6 3qeEQ6fX7D2CpRbkM54o0offcA9KgVVDHc74qCA3xk8wGUUGCthu05vtX93SMVzfj2ujYJoz7Zl+ iSuHGIxOrkZwZJ3koiRMOv+a5ICEkKi4FHazM4QHiVbNl59nRCZRjUveYNfTK1yW+qi/1wBr6FHm gFgr6I7PTLiWPc/Y8m3MwxxeuEZuIkyOBtARkJHEKTh1T9/pSJX0i5WD/YTbOva3neqd+fpiB+aI RC5RC1U2WR/HLnfL6/iSAFczaTxY4U+znTV2Gehscxpt4Y9gS0aO7OIHTAwbkG6LgnMkZZxYog+B 7xiVySMT34wU36MukJdfCaMCCP8GgBehpSZAZhHjOKLT30KThRNkCutVkwWcMCweGTyg68pv1Br0 008YTSRtaFZEDrjEvkJtwREc9QCGykCkHh68ALecLt6WRngbX8VhEA4ONVGtcXRRuWKXQviZ49XF 55uMMGje+TbvEjt0iNoLThYnZ2C/ZscPwSzJdk65G0xqHg6A6j/V//Fi5w1uICcMxarVyB2VhwMO YYWCMh/4BLe8CQbe+AOX0mYCEcdBbwidupVSjq+Ani32NWjselAsWPMhsRmQrQVsknVTNSy6TKuf Mb3bGOT81QhrqXzQwW19Q1VK9izaWeYDgggyXi8njxSJ3k1MSDWLqJCmTRlBJ9Lb90M7KHf2yvuq rXj2h3d1j1V+KP+Brh8UkSqYmH0TTqTKLJK0EOva/kbaFBDHjNON0BuZUy6ulbf7HzUZrvaVCXWg Mny5ifprbS8JggP1qJ+u1G75Hh9ljQSzo2QZBXM2wuFmyyZU4SxB6xWRUkDylo+aR/UAPu/fV7kT DI0OPBTnsGebB3uRym2mdV8ApUMAynYbAJn6KVuC7ASWvxdocJv25y7BDwUslXJG8SCI0/ZVHIzA HJCIhdYYrd59tFChgTJrWNFoaOj4eaHrS2Nzoimr3PqPMwnJm2sKeQC73QhyFiWkZkemsay9MPEa prsOfX7k8q+D3gfVKZJi7teYgyB1itk9uRZNxO7oSTbJB+8kUVnkq+FDNeIZkEKL3G/NH5Mt+jbl a/bUZD3fQGVHamtUlV+eVhg1BEd7vq5c3ZZ83YHhnZZ5SW3CYh614cVo+G3r9+ytHwaSepzdj7EH bskRN2/L7TtvrWpZqVakKrpt2QsXQ7JHZH7zeFsfODNrwb8kHAPzKAAwPyQAO1HUKUGNt0f+wLc2 Lr7+G1hA6QMmTHSXcuPjFNL3UGSOhEyS/urSEM4CW8C3yuPg2S2q6KYLhpTOS/LWvPISgTn6dqfS dYnCqZLDWfezY1zEf8jmd5dHrYUPx7yRYe7KSZEkFI+Up4HnjFqnyeNxV0SZd6rP1roIgWYPmdJZ G25U2CiN0jAgApBtS4qPF1cmXrRNqPrUhXSl3ke2EgSScYVPePKnrlR4SDls51tpqwx8Sffjs4Ls NCSNXsXKjO6xNaABwtlWZmUDbqtRZqWaGKM/hSe99bKxaKMSf7JfssmZdmY2H7AyfKxpfdL3w2M2 Xvm2VVe7MWYBfTiRIeccE9WnlHHQ71bbIgHQwEFY3UeTBAlDSlBqXv7uWcrCBINDbkmUBhoWQV9p oNb+fA4koZSSN375Kehnem0Lt3pYLKCUn6ugH0k13llYP6lZWCsGzgANyK5hbzUcez3v6GHyUOtD 6iLf1gMwHDx37Vc0ZEotdKeszuX9GtOonFuAV+1T39EFxm05D+lp9jDhGgXLsT/X/ARUo5ZEL76i weg9miIPN/CdtK11X/imYCCyKyVpMEJoOVBjDnt0hpaRMqaVGqSX11kITv+6BPfUu9zyBF9cHb/7 WsJfOfUM8YfOjR+D+7WPtJI0jbC3feOgm4Lk2qhrTL6AGILuHCxqod253IHeM8hJvg/w8YxxtYui 1EpE9wa4Ss0zjnMtvn61Na3K+6Z2IAdTtvHjo2h9fUIe3r7AMtnQepwd27LS/4LNrWhctOtDNqVG nYT/gUhxHcuLursPy8/X6F3jD/MhC/RtNrb8rcPNtWjVcb7iha7Jn9zH1YCHMduadQpYuUAFgGNB j922bghB0SxRzOzB6RqRr0vVuledl6w4T4UBlBQSGUyGS8ySP3HeLpRj6ILg+MsrXkVY5tI+66fG fnM/tv3+sW3r66DPHSmYtEwkGrt13OE/bNv53OHE5+JZypdNDo15ScQqqsmV8E7BfDi6yODKUO4q PIKfC/0BdwbkbvWqYMmnVTJCCvpw7BFyowWoPimY7RzeS2pHP458oqB73xdX+SjR6bxJbOrfvS/q VVIZXdXMlGN3DIBG9Y/K+Y9h7CjYlrPGWdzQkRBwY8/B9nhYlH5nrpWMLM9Nh1zm046D+32Ob588 ZrJykrCEKtLeSjd7GGeQxBlWhZg3qxPFEsWUa0feIc6FPRPs7IVB95zrVDcjHzBC0GHQGF7Dehcp qf7nb7dKh8s7JGeuEDdXxbLyDSFNcwWNJQDx355pJK0RzuoHgi785VKy5vC5bVU/NyNCQGhMAOpk ovS/UaDFySg3qS5MPeKbu14BSIA4k9f4hekkUyqjKQbTlD/eRnfnHgvZwTYlDJ8EmUlfxiodVdFH 7GWnUoCfZ7KUrn3xVnoFcQCX+O7NTiaKmwpag/BGcW7k0y3tJ9EQJJIFzbukam+s9baMHFkM9IMQ 5y4n/gfkKx7FGO+CGSac1Y8TnhOUjGTTiwYK0ZPrpZ0mHX0Tk+RsshqDE8kCoR72XyWKXp2qvJUE DJgW3sWNDJ+1NZVFiyvNhv7ZB8yP5DD1NuFkBawbPm/xc4pSBXguenSWeVyuVq0kI0pGko0hPoha lZRGQkpO7Or+obgdTb/HiB8FkG8mCdf+d3YbtpAkBOhqeh2zrSEqxyVyKsWf7d04L29DNtadBiXd mPyXudBK8NQbc8XB9ejqu/fJDQuH+tSlbXbrAPiZK1UAzdkc8pfO7rq9iSS0dI2I/eR4S6oBOLv3 9rbgcKz2pW5EuRs+LxWharaNWbbJ90DxXIBczWhNRbw9hVIWOcdGJ0mfC9mfJ6qe2fDYrBHTZ61r squNbgyB8nXDPH6+0Q93EfeAnhrum3c9+OYHwXXKQOjWf0vBxyWimSTOa7iLNLEokX7/Zu9uhHoZ sNAZz2r7/a/HIiJVaQHxjf3PrmmvCcvsUBtf9nS/sQDJCXG1xZpWBthVB1nNAquOj5QQ8Jy+TDgc vUFXvRNA8HNVowt9VBKSdLRqtwLkKqi1XwMIUE+iedaTjby7f+s57rZ/pBkHBRE2yi4kb5UJY17U X/tDamyG8AKN42gAVQTVFztz2mFbp0MV7jJ6tRcV6C8+Y08sXwH90GnxDTaqMC86AggmKaZgu+tf hkxqWSQoLRwGTAF8GPilhS/YSPH6kB0nZ984lvOxZfjV5CCVkwj3Gd4hXtGOaCbP9ADS9IH1KTYo mjP9JLCnG9sgdPQ4EBXQLcoJkUqw3RgWI8haz0/APtnugaurfqPeofOShmN1CwCM9g6tPgya0Vnw B4t8H1kW6a6jkboFEXkEPrDRHIjZ5yg/YGKWdnb3mmnMwse7T6Dq3rET9q0z1E7AzDNs9I76UT6v BaEEyfdc4hd6oTNp6L+abeW160On1cIB6Rvr6wLWgpgcV7nMgpJOKgs/g1djZd5DS9jlX1BSXJtX 0Gqqv7vKVyCflrC2XyLrnqsVna18fDp9oSZUigTRRpp4MisXhUPUcEso61oAXEmF/oEDUCXk3sDO z6kXm0y0W2k/U7tqRzPLGx6I91BS8zU+d7lnutPkVaB55dn3UruHH893s/nsDHwlkP1lFOkMUNic czRL0BP36qYkVlyloLPH0IRx2NYCTrLkaCmyuIKLrrb8XxUISY5PKcUd6PRIZPNKEbcQVSIP4Ibf eq/1ZHdPsHolNw7IWQdkskPNFJbtRfIT0wfsf+zho20WZRUk9/mJGqnEDqxeTiOGZ94cECsIDm7p bZIW7GpuJRe0mS1t/Grn8ubMPXPoTmpqfgKrbgDd07a28hR5q2T6ZfvR4MNqqg9zbmG+GVvjaJir f7HUX2fN/HIbEakDvbMu/1A9R56c+x6W6d2CdISXgdS+peUBFfiCtO3VJ+shBdp/XjyVV7kFICTF RXumQLpiZvObrbHpha7Pg8XBB3u7lKOQHM8qu9zj/KOC21dkR9KqIfPUmpzTzPVb1EaGQ48NK4RC fkAO4Clb5Pt/vCoGYfhlbcEtIx6mTHX7BlozSt2ZJ7WzudejMCdSh3T4mQdMlTuel+okdnNxswvg Xdw8RNX3ZVJlY83ionEHquAzYon8ytfi6nAQMW1z6Y4Byz7Nc7uOQ4tjTQZ5MzIrNm4tcxp1I5P8 B5uT7OmIbqRef3ZACwRAa81i+Svl/PpdX5HJ1COvo4gTvqt7jpIuRvujtZPRQ70YSMABCQd4cjb2 Tyr+4rlgNHDz9vxfgI02hnw+V5HTHiW3zh+r4GP2Dg55fOHx12n0avuj00v9HNuwdnJ8Z6sY3EAF 9y4Y6oSoxQ4J6uZESdUXKt5PW9gwFZsFJIdQuDFN7lYRODV0g7dnR0oejvY2RwWDIX5yruYRAx73 cGSSdPUZ5PT+0uM+lPW0K/oM3OGoNxgcXIhoUBU7VA+KvMTYtL2qR5JqXSyjken6/D72V269hIwz tllpBxhZ8Yk3E/Wr+OJXt4CfTZ1brgbhELwAxAj+89WE73osH5wJT3lnb31NCili853mV3IyA+4j JKnbp1PDHH1PpVC7FBRMi5NAavjPMK7txq9o/iGPv/hbt5r8yuGGt82bLsKqt3zkV8JKp/LnZBT+ Vpyd08e92NJ23cfi6b4i/SnPvpar81ZBUMsqh4t63Ph5azW69PKOW7XuXHlQegTQCEnup4vdJZtN tWGrdpWV4JzRl3xrW/dVIXV04foIJQLrjy9rmZiysZ4tWgYL/8RNGNopphaAniTDvM/iqCGsg4YO 30jDSmsol0QKbpudP0y1YVJ9h5x0boBDO+uIM8dTiU3cyiyKZ3GHzPal4ZKozEam51oU8jRkJ9wY miUcvnU3AhIVccred19sxfg4/EXxR2ylnbWp5+uWQQ/J8EohgrVS+T1HuGJgA8dQuz2OD2gKyPcv +I0Gg2X2Yp6r1XzXwFwVk9h5xCGveMcUPmBp5AFNxcZJtChBoOtCsp6hVbOMdYaZdKCzwb0ZxHla 4zihIUnTZooQwsOCDlkhPNoGQunhm4NWGEoU9HBL4M2krq8Mxy4DTZYoSz84uJepWWBSu9QrbFTy Xv+b4Gs+I6J4822boREcs8KIWtYPO25lhvbGVSUrNssBoPsIZT5V0YmYzqeYh7hBtgdqY0SQI3FA ykgezYBZko4L/RYluw4OqMQVcDDTLRvKOxrUbti4koTlmoRXNGtQ2sH23YF+u46i/+J0YgZPRAfR JrQ68WXts1QonR4SmX7OQ3mKZtFPNaXp2u7ntt9Kn5bQLfjEQEw0JQaXZc70lRHPuk3k8XTdQA2e Ax+NRx1ZqtQJuxnYJ/6r2LnbrT6OvCMtjuTJ2twKJJTmol84T/UtNHcrML+A1MwMaEVVb3ne+GRv CkXf/5I4p1xq/2AcpfNxwEHkZma/YFWVDsXx57DXvmL1VLYd8M7DKPehgeh6hUo48qvp3tSsbW21 zbGhPmHaQB8OruycDUShktBuvGSCymAJvynBDUuv/EpkWxa8Sr3HxV7e9e5LXNvayKMa8fROvhlh 0653S0ssYQeemla4E+ebsUTxI53ASBGW+fXxdu3OHEaGt6GnLl8gGQrktSJr+gUrt9/23ktxOAPa JLsFbGyrBSSI8zSzvYUqCnPqpDJm5yFE1wWpRdwcB899uyoPGwB2X1dkcmh6vQg078pO8bcJU+HH cpi3Fni4aByUqjKf9a0mZaB8Qpstc9dNSVtQO4Yyy5IwS5NM71s6YYVnLp+Ee3GO1hbeVl3+Fvua 2sEENBXj+U0eLBauQtu6pY4o9paqHlpcd/4ZhxTQL70eFz+EUG93QtrbwAKfQPv/yEbVopkaROCF ssgHNZsMWajQX6MjE3fZTwb1E1dK7bs763kDRb4g6AYRLawlP0NwqjR87fgLzxxITuG6QBAbjPqu 0ykT/TcQuV1O9HVs9hqiWJxaasUGUN68xk8P7dL7FOnJmqj+tzXn9x0ga8w06QuWXjpyk/3G3GyN pE40qC78yQVLtvbOf7ZvF39sLFGfYiLE1G1cqDpHmZ8qtZEoTpQkP8noE8A8+lZanGj6uPSCj+e3 JuQ0TEd6DAu20UZbDN4fb5izEkAMSjilGeqRuTdAoRrP2JUfeOOvKaJgtDM9AVgP2N+Csg7sKVjd EMqt0GxhT1FZtVZBoz08SzRegDDs/31Ocjm7ePqvCTdJ2Hc+jCW/q8bOMo/qm61WDLyBSxD0fU2n sTctWVONil9oTgeRR9KWG92mOI8/prSl/16q0b9LiPxUk9Bc1Rxx8nJ4bZ/MX6jGJWTecerx8MTL /RUL1bpiz9I3Qanqt/Sy1/gxdWpUDhNbWIQeDOuvO/nYbM9+DPcpAMPcX+KOjXAqoovUbE467s7L PwUPA1j0LIbF8JKx2o7pDSm1f+099XGP7drYXtL2r/ioWRnGlyJox5/A9od3YHlQLQEJxsVyzubk bynRzahUIzeBuvA3o+YTRJM7bF6JdyVZXC+yLQ+f4d7VhJhsDXkW1dzW+VyBhJ0jhtIMlwSPx9WB sUSY3C4vn4vMFfBkxpfflD2rDYk4vwrAwlSPZcZHXGH0r8JLrUd/kVfY0R3ZGK+mjlqDkCACxVPn oOp0D6eijdljC45ShzkuahZbJFXEFY//dp8Ux3I6ltaEwbH9v9mgD7xdiBEKkqe85xtANFcvYiHw G450gh3XxSSMW9ctpJPK82xVSjNQjT5j2MapdT2gF8KDCwT+liXBVvIljEPUEpYFerpxhTk0dzXK uUi+kCo1+scVtBXp3dKG1LWxBAEnzg0rBdf/l00k2wKsTgK8elBAzCAsVBN8sr6Qtmbt7+lQOjUB cFoVSZ5I+DmpK48tS6DFQtyfrU8QIlHA8Aof/Tl/xf/oOdLuGKoYnXyqnPJuVJWrMw+mW4q61kpI IBM2hNrcmI4DyTU3nG0iYPYlYAWzzTHjhmDEH+i+WChWAkTf8wrfSJlaP05JZD4vTyUvQbBgb6X9 3q6bkcYzkdAUerByjNqB03AWCgoZ5Zz0iBw7CcLsMsLNvcCKuDVtjHxnUpui2V2JO8tBhsvsKRSQ tsLA1+ePJyhKJAgUbSdcMtF2j513SkwjJsdISS8drZl89XNcQWfHIXtHECsV9RsRbMXCItgxcOHL s6aX9ARjEMJSQaGzSwbh41gfVR6E1JIJjzSbSm460YBC5UBmqeXe8Np35izicWnlZakBAevUCY7t Qhcukysc+kjiO4THTo9GXI69hpqJ8aOWVwozuOmA/nCzNrMnhrpC4ERNu/ASXULDhKJOTqRah4w9 mIP1H9mxNYmATx3TFnpghpiOJxAW7XMVc7lEC2ZnIYXR+AiYflUnaqyu8gbNPKNwjFm5yEMTdIlK QkmkdqDwHlmuBW6Xh1Fpc0XaeC4XhtN1LKZHDcZIYiuWMgyVxr+Pev0o3OAXxHkbIpVOJwvwFIEn EKeqERXaX5o2vUhl8eNdEfwi44C899hmb03F4DwiqAY1v6KFmQIHA569hyjocVhtKT1ArERIKBAO ixGLKHkf7cUFCwlo1dxCoL/7+WYwF4F2EuTMaqHd+eLwD/5wcjadFyZ94wVvYADroGEZAfTdpLdF IwDtt8pAuQK3odE5BiY6OeF2HxUHa5kC7rJSIIX+GtmwA6Hleo4qPypRIluBgDLTWD1tOQs9cGD9 8yD5NwSFXnVzLvnqs8V3cgvzXi3hjG/4YdU0pPGODu48H0DeWhiWKdCGCDbqiCRpiK+S1ctc8wYC nX9/1DNuMxG7ghlzq9V9M1vJs3beJW9qeu7REu+9hvViG3TNQ0J5owBWWIBBGdlA22PVmLR8E9Gx 0rokApqFH7MOQjeABzrhNqoYwty1nPfWYeZ0llLPCi87bF5TT8nJMS/TWilA0feCLHBJSgaQjay2 55wiwBvgSFRumFcmSC/2IlyijATwlslNPpcPHZwvNaQkHyjmGXlDi6m9Av/MnAN6UE/0bCwD2kA2 Hs/pzNpGkeekleTJsij8/Te+J/ORreimDwWOJ52ZmE76m4IBCW17NLDpLX25M5NX4vAzMVXrg4Ji KLmaHpjRQe33RmNOGAybGgYJpHL9bynv54pEDlzAcyo7yx6vbuteWkXliXLS4kgAnk0oqMzH/n8z 5ndSmnT8YIoNro0S+tMMHuTHb9jeQUuKmgWOcwg719ESIu/UoA+WjxJUrf2XaQC2vmPA8hwJV8VS cQ5annXNtFb6dkFT9+4ExtuWEWA6pvZOsJck5wyltGeSf9FMATZKW+DilAc7kgX41l0l4o8HkENh 6+X+aJeciISW9uQbK6Uul09vvgljE5je+l3IU948Qd3nn5DpWqs8Wmj+UDA41VxeVKkCk9txgi1m 27/H4e/mPGf9sOn3wFuuCZDx4Nez4vyJEMb+1Qop52GssxbBKs+W+9R9AFK0zk7yKlsSK7j/+bXk +S8NpjQLpJSrqhF6JgDZoNo1AOSCoyo6cU+NzIlN9PIUufPfY1JPyr6zogoouKbeGqvId1DMYZOE jFKHLgWm6PpDjyP77yqenO/l+DyyAzp+LMdbJBJyWiG/XKH3TFVHH3N0Ft6OQ3JxTJRctvHLMipG XGZUR6Y8fDYpE1KKRxMbnvsE4gddFIb0V+BMnOZNyw3L/eVQZ2BITGxCxCYH0cxLcw28KeRlQ3go ZMP9R06GuUBBOJOwBgILp2pGCGIhzF8ho4jR1d+ZL5dlEL/VeXOkjTyirooV8knmtEesQi75KCYy HS9hoI/u3YmObjlGB3lEkYCbW8MITvlWMW/nsT1Aq62w62jye6A6uGTpYkuI/7p0Zv9COYjBJ1PO VngnL5FlKFkVEo6P4jSQxND6oacjDxa2ID6/UP6QX5yUE/HIKsjhBMyChU48NrgL1cfphBUkJ+b6 kp0LCpIqpDmggssY6MzgqYZEeRDGx5I6E/QWyghFlTfVn1e2XS2FAOGKXSg2hQqJvOPePH15BH0q I9jW1U8qj2PyKbcNZGAEGHfkZRIhGnFd7yswO8rSMnaCHJpcTHWcRxdb7gaZdnkcFsMcbE2c7paU 88vCXfz60tX+rMSh/dLh40B7D7PWUeEkABjFysjgUh2tboJJHsuhNR9qi7x0JOfwP2TW4tYua2Y8 myWeNm+AaNGKXU9S5yRh52iSqdYdWkoB4TJZk1PXOXjwXTiEyumO3EsuGj8DZvVY/m8uv3+67I69 jgj+e/qAZYIBOhzYqghNJeBN5kIfFaXA0t1V/mDK8FzuxAChGxYKanwRIJg02ra0Q5O9rA4Lk+qE /5MQt1ahW8TztObX7kg1kj0DfJAP7yuoLpD9BLNyyosKBXx460OyrkNsy9sGeaKDk+jVGdyI2hYk 4IcKPMlmEJoCQpvU24PFxApOemKxRSXXBVrMmJ0b56GAtiNs9lnDDhRw5tUezQbc53ooa5K7XvKD nEqyA5lXvnVyX70nDGuEQ00ovO/Dj16TOy5uVPfbpUUdBv/j7HntBJFgYC4KNZCNBG+8WzDuRHhl NC+l0ZGHYHtu3A19rKaOmlWnFwsI9LTkQh/6AGOKOZXrpLY49CBkVHoHIqjotIN2sd7zL05fFf8l G4rMlc0WyFeejY896LThVorO52XI6y70QsAOIyXKyUJOJeKgmk4Q+Mn+iXpWx7z0iP+MyVswiV+Y GrN8x04Z0QCQs/kpes1K4pAOeZc4UVbVaB9rU0YfW7rG2TZ2m55pSxDmcRik/B6YYY3X0L/GcThv CWeTPRVwZ3JwVAp6lWVQfYH270r8oMmVz+uPfK3zmTlXXSxCMx3jcO6aHmaKOoLY13YkcID8Vwwn UuSmsS5K14h6O2Zg04OudKq8sKTNe4eINXKhH2kZFstZrWL+NrzV1w0kwoDSM5q6GFY+PEWUiw6V 8OMwjPvA1btwSc542ohLmSKkUQB3QJCCmR32699It7kB2mF++LODL4/JEW1RGt4MOmjGLsYptQm+ PxJPy5/2CXfGjZDDhcKN8XpcA0K2nnDwJqh1mwd8Kk5gTPkPiQUxCjQ2W6N3bbOLr2paK1rzolQB NzBmGWVgjLLDgBoSz0+kBI4fvvFx1B0X/x3Jl8LlHZCaOSUuel2BBsiIuVlbXDmceaKBOMb9BEQR 9u9P/yc6eRMB+reS18abtNnTltgJua8U/7LImijjsbebtlqVomQG05g2tchgae5UkcN6Tjb9sVuA B08c9o0aSsmPn06JjBAocA9sw2G4Ph3th+dSj6wtLFP4siCBkaiHPoFIhdNEjj7ziqOMbRXrHGg8 n+ix5QAKauPuI6cwLpvoM9/2qTVDKWbm5qege1/0kt1enX4IbeluH5zG9et7LDTwujaKsosUwmac /ff0Ai5ojwEKvfPhcY184W1VXGl6Jwa/6wqIbf5HnrpCZHa/ce3lhzISeXAmFnHbTNqL6J7a3nd9 9Bmmsgm+gtISOS06sGbu3OIVydRinpR+o5cv6gxjdx8er5V0q9nmMkV2Op8F6ILJjNHgn9lNncGp I6xc0+slCmpO/ZHPJ5F+5EZuzPHZnfhCG6oAWtXUwFiZocVKDy/6t+QmhE7KQ2ue+3oEkoip9gUc 4HzmnPqqTJiTbAd7NhnFV4xGw7wMI7RQc3epYWgHs1CNbGX5EpInDWBxOWLhKNY7aFD/KCM/oAUa ZZaqvNiFBDibW5wC8s1p/niX+7Q/eNw/itIKvmup3tlTzSHB6/2ocT4vZQ+/4G8XgwL9AvhMgwJM kex+z3zDydV/okK5cotnQVmFX31cop+Y194dOPXnujzI/LCRmpRWq8WuTlw7SFyy3WXESKLJcAMo dzneK7EQp1eeq9qkPzG4rz86rQcpeffm+9b1B3xEuudCH8BExpSl2HCjdXjXsy7vMAGONEPH/pNo dJwhbuoyJ5yyMtmYdLKNWQqebHVyJ0SyUFU/vhAjBXKqes8qXJbNoeyKdhC6NaUK2P0LHt7UJ6mh DTOIbN9yXevO4I8iXqV8ma+2kUvmTyghQMgPeHHQPZlYpVufAOt2z1XAGHF4X0IDM7z4JG6SQT9F JLckYChdhJzoQTEOb/Li4XMAYONGdeXmej6xvVx1GtUumAhFvspl+8eK9WSHgUiF0FW5rh4nMPKU 6Zt7ivtZ23ox8zfjwvQ12VvmK1O9Gux9t1Ati5GZblUcIOYTT4TFwsS70y8o87oRMzsj16YKna25 Sxa5pRjJdoxKER5miMIY5UNqCGoRIQz3ifE3l2iP7oWsE8IuAz0l5C9fchGTxYVBaXU2s6VB1G4Z 1MFZKNq3kVF+uVFiA9XW7zFmqLSTZM9v1KRMOUhhBr+m+TPfN6u54Rd/hoiRYubpEGcHEoby4kXT xzjDnM24ppSJa+/oq71d7SouD7CRBJ/eYE6bI1dn8JAFYcIXY5l+qzmtzlv39T4rkbvi8IbpwCPG FdBd/rDmRxwSvmeNF1i5W0WFca40aAX4zkJIc4VFZuCNYOSd1FRZBMAr6zrRhpu1AHPqjJo/EErt 6a8aDUiXVT4eyxP6oOjBnSMYmtv0su4O68Mmv1jENMnw9UHrTdEm9EHFyugJZN+9nG/wvn66lvOf PZyT9urXf6Wy4NB/1lJW9QiyCeEnpouLUe0wBx4U+fKpCXOIctCMjjAt4XhZ8Za5taXFXwseE/YE lxIH4dqX3fAhQ+AMyiYUZy/9K1GcOGn/D7rDKUakOU5dej8C6PFWloQUiqphnhhgEdIuSbFiY6rt 8+GoRKkN0cahDC0+N2aeUpLq/igNj7cO5EfT4QF8OZvyPQdzoE9AuXNuNq5zWEe1OOIyXKnvgvy/ 1ERhSiq5bqoPybC/HN8AlUJB+ZvSUYg2VwfWyKU6ssUKghBNVMgVRMNS7LO/aBkRmA1HEJLhafv5 /PPwS2LEVVa0aBLxSwykjuOjFalSfpfZqKcl0F8ahvAuK/aS4TC43SoR+odYR/gITrY7AqAkJWhp lw6ivjQ9mgYWGENpE0KZCMLFDdVB5lHGU606xcO8DkCnaAJNhtnZvHpqET5/hAhOtb7H5+ttirEZ Bnx+PC/WDlCmpGqYfpNLQ98FU1vUc4UBNr6QSAcc9wYXcrj4DO8XZ4JYcD3eq54Asz9G3gSo+Tx1 uSsy0/QcHhDEzWgOuv/cDzIlDcODm8sanpl3EYkRcCkPrDbS/OjZuIWEjT1WB/zf1+xUpfM36G+n XqqhHkjE+fiDy8OwMc5ANj8juZIH4hHwjSybUmn+v7uca0wIpgRpdGnN4TtFb/FOzxDs8Jlq3R+r 8QId+TQwHBz+leNlnusAky/iYzFTEUL1POjbeAH8qGbx7GyXqAiN6DZQXczGA0b6WWfEgixdaKyh R5r60AijczUoevOqWxGkV4cmnhJG6SuUCVVNC6w7tQgIUmykuvDlspGzlg84vKoXACJrzi78WlC0 HnpeMI0cw4niemk+qvSvkng/66h8aRlI3Pm+EjzhSF3xdF55Tr6Xh4jklNy4kKgo0WFWZluhmDOW 5G6smikohcFRExdFwq/m0znhqCtPx3PxyEqh7sszcBg/a+VxfN00RvljiIuP1Wt9l/glrXwEnJV4 6vrlUL3CzX+TTfMgVFgEMY0CY/SXpbr9hXiwt+CNn43JoOqtrh3DNk/vA1ZE+KmOGGabWbmqW9tc lEYomuhOR5FtbaRRXA6XlaqIZopxm4zYkT7ZshsPPjrKfpExqndMBHvHEFBCKxiyp8XBFq7OaoZq YmF8trf9jtiNnNI5tLEO5PvkAugCPQZRvfUSsw+LqOyKKh0AXNsHIIclmMb8ZcOOfaU1PsXR+E04 ilyi8xZDZe/R8PTiM3a4wvCf1+k6GLHqCW5CMJ4BhMxH7bHMkKGx4sAHbXn05BrML5L22GzmzXo6 l5od9UwWb28+hJ1qbQrJ2uNJG9pmw+4HF9K7caK5O3YkfiNM3oweCU7YxCqWrp01oisf1BHJ2PAL 6AsGPPKTQfOf1nvzZQmtOtZK0xVDZYGREzlgirVd8N0RvJuKrTVA9+/82Et9gzpBhFlasdFGjGtu DMOOKKVIue7MpjYo8W4uYquRGB5hmcbc1mUd7EyjNF5aKTUnk2aTrPk0sUE1RHuTMF9SQLy9V5NX 00z6S+vUTLpRRVx7eVHxP8vputYGiE+1Jblw3x0ET6RoSA8hppDUNflhzCWrzfQdRDhJg7j2GCFA 0InZQ85kua3a1sQphRlHxFOTMxhJyYPCOe5iOGcQgJeiRSokWMtqoP2iNr7JpSepUkTvcf1i+zbL OVh5dWA0HHPU/t/QPce/cXc5r8iZQ81X7RyHGC3YXcsgU8ufrPVyqTjWW6AzvVj1sM7uDB0avy46 CAL7aPLz+wG384I4pMBVApt8GtQF4NBbztN183L2pb5y7bVPlNWvLrajuY4+iBAE4xoLcOpVNWk2 yh3p8JS/DmBNBqNpkaDjdgmiIfI25kG6FJ8KQYy6C0hweNxCJR05+Tq/DeDsiRiZCHdFLIlzIIAN 2WmeRqg3xXXzceTT9c7IuW07OnOuKnlB/3M3LEqLX4s3hOfDHT361tACirJbNRbqxS8TBgF/B2MA Z4ugXb+VoP+H8l2EbT95NqFRliQbRoWBCfkvjOTZNpJxQPPDrlH61xYwGj2CphZ6SAyrRtc6X37N aQHP+ujLWuxjnHaMgyT5kj4jPJjfoK3oqXKbGtfkCYrl81zYp38Wu2T3IvofQesNtQZSrXpxVA1t V/4atVDnO62+mZ2N//Npv7FZqdCfc6UkZL3Ef/fiGzPa3hO1QXN/y6/weuKoyFyPPFvBiR9uQMg/ xGBsC0O4/hJ7Y3axkIGluLPlsJ7Sq2LMWBg/MHu0VirnwXHoEPBcP5/XmvuGrZLhKNVLID5t1cky NMCCb/XQjHF04RhpIcDGMsEeDX8DVHLocjnDaqAHJ8psAP99zcPTCj7GdRZtxLju46Tbu48L2skB aozpAe0b0k2u5hprxc98ATT4cF3j9s9A5OJQMUtiaoNg+RO69K/7IsQ3Dm+9VhwEbw8pqnl5qD9C SlgucVcj6gwCxOB4LAUbfDHNW8FvRk75cNEenvXM0/ZyRQArlXZFvFd5xGrL34fPxZbghjtkj8Pd MVkXXW4Rplzn3HZCY5b9P4w0Q0R0kG1wrEGbap3gJ94IGiI7ktWmrjUIjJ5hLZ7j6Tx24d/Pe1Oo GtmOymzZAaKFLiGJMk8XHLhePnsYEeeWiwM33r6aI0DAP1E1oVX8CbN3QhyZ8jLz9Aq6ab3v+jo3 exkSXqmHk1voodTMCWXL6GIKvkql4q9qvEq1goBShiWPe5OIyPjkqiqSw1eTuJeOGbrMI2xqjik9 lxsus9l6VDvgfV+KlLuT9pt/+dLPtFNi8frhBBvetCFuagkwkoy+jckMH/Bq72XNJdPb0aHPHSU5 D9ymntYc6eQzz+HAOy22OrnpLu5stZdEKZtjBuwQcvvgCuxXSNmhbhseBWgIui+YKGWdaldPDtYa YmPLGPd5y3iRNjOsr55cs8LCS520e8rfwjMznUwBu2XwdgHWLjowavTH2CKFjE16lHFuXkKZf1SV suPDZQiPbZOsTMEjb+KPAwWJHzMy2vGb4POP7HyZVrUatTGBLScCDFe4SEPh5Rwqa6ZF6wH9hG15 yAVrku5NObGXPbJPr6FWq/UmzKvR3jnbtNDoDvNZJRzSAkaU0ZpW4yym6SehVs+dthkJEX3IScab KnGQWrRj23KaB5ybdeDVWd69nwkBMia2aCBwaCRJ+ZKVYPzJcYNF5cHF5g1m/itPQzMYHPljQch0 qKsSMHO4gZmRB/X+5rIxF00204yAbpBLX245dczw50hzxrrklJHmewnkNxyZXD+ygny3UIe6vf2A nzwqIsP/zirez8Xx+c1ATZPpA7W5tuRwZZdm3B39QT7k5gefv/0uinUz37m/6yg946SQ1XTQoBQE GnMTP5XD4qaeD/1RZk1wRlpNHCfU4989xzx8JkqWL0XxMiMSCe6rJ8IwGezOpY+rcpmL/FbYrdKO RZ4XrxLn+K+TvD6XJxSPk2u9m9dCeUmbglkTbAAlCOrXBydAU69E9oIIgB9A2t+7y3LsK3TJa/jP tGfJ+TJ5aQBa1jin5ZO7bYS7+HCGx29WTRgP8AGqqOzmfBdu3wy7jR+86JVAtK9ldJ17npBmjCRa nhgK8rxFkSXPtTVdhrDlFBeNJjxca4gJaYnT4dr+S6QzAmr4jM5VEQZUojHuDfAL7XakI6JbW+jj ap6DLqIdrsHvxuM6un1vbXx/0hxRrEt38LbJDPUfEPExkP3zEIFiPiILL3GQ83Xh09LHDt3Zmc+8 mBjbPZLMyWex7UXGxVKHyHfIqbNSVQogB6qR2kFGwIroEV0rfYpRzIKnr0E8zNydPsaGWRioiwJg a9CUS13Q5TZr/JPNoS+/LS+1fIj6FK0miELpYz7vVo1Y9RXNllh5U5SqZUIXESIqAAxflsFv7tW6 7kzeFgeimeCYVv72OE2V4fKfvpKpLAp5vPccKvDxk2OvmmJ625EGdLPzciQ5pFch1D485gWofdTn ypCi1WMOEIQwwiQBjXgdGUIQGn3HAn0ktMFoiSYjGYKTNek25Y1RPYIG3OswMNMaFyb0kkNTLks0 tpgLy6J+mnaVCNJkV/grQwrww2pbx7fPkWNUBKK9FSqA3WquS8Jtg5OzDr9MmA4Bvi/1uX/xW8Ey TTVnELD6ZkMB8VBWfa35VdAZh2ye/HMqP4OQJePsP1Vnlqj0q3ADnxBY/r5Ms7pQd53bz4PoNGl1 cwWyeFOC8MYjmTVGOeAOEA/+wFp57T5Xmpr8HKhYbcKiDAu6fqCsPg0ccwMmr+RmF3izKFhXfh8R EWlSp4xXcuDCTKSWY/7YnGHXdH7F1Q7HQAcrbue53xNNqhm0Gkle19fb2blkabqp2+ENLnnxjEXV C0L3d+XyJJAJAd7YQ1Pamqmj4DYJK3ZaPCa3M2JwcqkgOzBH2KICSMmqumkqIRVjkg34RUWdlYgx NcJ7oPwWj/U7rQ7vgswEhjS+Eh7N2IF/OxrQE7Q8/ylpIpeqopuUcGQaA74X4B3IboJDpobi1SkR R+QgGjBq2Bzv7T+2R98whqUNNUYgcmVwxqnbNcmfhHlDub3RaMF+3iu7DsNa+JGNIMrhEcQuf0JQ IJdtiA80Ka87bJkEhTMjV6bGtBDDOtWzWbACHeUJIU44e6ltnPU3ieRlL6x3dMB328QHN28xvfEm QtabSZKaEZdp1vbLqt4r01c8lne7Bjt4aS7kWstIK/AvTV12yNtO6tCHynFqNlR3LFb4U6f6c5es hnW/1v/wPu7X97Q8LWfeeoZyAQnP9Pbn3UgayfnvKGgTvKfhSX4GpFpqYdY2P5iESW6NhZKLWcsA uaLuWwfY+3oXwTC3A1rDrk03aiW1xpzX5gtjNpKmK5mQzO8rxN9tU+3+1AEa0VG6Xb7SwjT1mzgG zxPbXWSsc5qcQ/wA1HahKee8sR4YGw31QoapjolPNmKle0lmREGMtyip6zDiLzY+NKLK5zrFBAWF ZRjk1C+FCY2eQGONZAqJ2tz7My3aAKM86y9GH2KEDZDQBKWcIVGzI2+SHu0bV6p7lFyMbltrL70d TH/aATOygcW+Ej9A7jYxU7e+zfVNpI10lvV9ZIwEVS/4b1FuYAZguI3zHPpNUp8ds7FLMVpyWNVE iwkythyneqgyH91RZn/B4eY3Q3/f7EoQKpbAVwXWzWt8aia/zAbQOve/Dua3J/1rnjb7FkBcg6TX TCpfhc7LmSv6ivKM96wgEa8k8Cz3EouKmRPS7R8Y8/zT7czKHDZPTpxZxCOxeRmxpGpZZla3WXuI Bh8SElmI+mGgW6Cpi1Hz4jEgJHcU73LijqqLLn3anWRYuhwuP2kuibeBRlto98LJX6JiZdgmqrk+ 0dhVpKV3oijPtsum5gnPrKHtYAbC497I1Lh5UdK6l+rH8FWg6DQm5WKmkKSRyzqxBxyq4qWXGmVT 3Exmdj18pik6PLJJ7fTDPxDKfQAZ8hjI+kiQTAn2guRMCsrk7NqiUj8uCEH3Hx9rMsUZ7SuNeC3B NlHElOCJOzbA6yQ+M8n5c0ypKrRY0WKxiSfPQvy3VwzKBjvKbLUo9JCbKiSn2LZ/rp+IVfzy66cc tkhFK8/zRXQfEoRSSkit6Vq944VKISklNWwwe0AgMdpWjIPSX45XQMJzn2ceHJ3rUaF5fW4D9b8P 4egyTw8DCTXHnqgpchuxVjWSnykNG8waCcKuAfdvDPqHmOXEq1/nmkT7ofIUU47uqsctc4p7ahGX 7oqRGxIms3n740m48AXp9kcwvxBnQRx9xLI0B5x5E0sreoG2ofOOGYqz5DSNj88L5ezhxoNaNmUI RsNOiMzCBWLwQuRTdsjyeIpCVPPnS2A1d7bdlDVejjCxCR1py7wlFvIGHrNb7Pw5hw9WFeGjss3p fBu4vGnB1ZJRvXMnE8vq4qJWszPwjaCxnfRwbDw+H2iYk7nxEI8gBDHUATQ3BRh2QpbfOoUwC+UA Lm0RsDsI7hxXhQtNjsATHxc6l2IguNuDlIC5jk3fgi99hsPgG8HxgHZCQajvOrw7cvVTiF0cblAj jmNLiaqj0eqGlTMZrrWOd1ZCCnKr+lDhRF3seQ3W509Ukh6Mx8E5kAakbA/n5LJDmsGisMx5CUsm nYT5pXH8kiLiSzP54ALzj8Qgwl9rtMJCanpCx9WuuXy8g1nKbG9d2C9lYym1SPgB5VQl2Y5U/Z0x AGibf0suXl7YsRZYl2/71M5CEgbKjER3V1QT/XYimWrMY695oahoySqR5cbgDquyfCmp2jdV3RP7 /tyP6hEGvilYZYRFdIoIGIbpI1JC9I4DzHjjtiwaFfwFF8f5kZjd8rtVL7k73T2ugKiGFGJdXL6o 2cRm0BRKOt2IlxpcBo7Lc27WEC5MgDWZ5Cq1+YggiJ1vXUUE0ThrQMLP/xLZLzMAUHpmlDomDpPT Nq0Coj0xPJRE6kccNCkgq5txjBnukI2Z7wvBwA34L9BMg4x0aOoZEOslHOUd4snmwCqYZKQCqrV8 YE1dwpsNfzOJqg9N105QkPzrmRLXBMK+r7s50/Ctpk9NopHF88eKr0NrIfKL/di1x7rmQh0QhSqQ /U6p4QO/DYhfVonuzLAsm2SFuCEy5tIeXlH0p5NfHSaNyw2kFlYJHYN3F5Yhyhtn0mva8URJoYdQ 1rkKH8mQqQAzfMWZuLBAgfmuhbi8Qb2XfXmU03RR0WcGmNFKym1zsJzQd2Kjh5M//FiulhLbdq2m DsAWGrK1ibq991sVvpiWQ+aXDA49ECwsUrH11BoJ8Gs/jtG6FOcMZ804DPKzxyQCSwyqpCa4p/Dl ybUb6V+qmnOavsq80F177qoSRJjPMsYcIWh9XM8CC69Dl/uKwERMq8s7KwkXGYvppH90oF/3/87o DszALphFWSp+vd7USOgZq/eVe9+RkTLfv7jVLrRn3nlz+PxlHaOGpc5wIlA2iDj2ZlW6FA/SDsGA HX9Izfmsc4nUA0T+TnP65fw8UOMEVAVvBJxSmzYcv+X/aFVCioyzPjhSY5th1pW081S23k7MbsLI 02OMDTLLNaCqJS9I8aVTKNJ86OIaf+io6cMdIwq94C2J8/gPMwQ+PGBp3RrLw6FQ623Pkkb+xIIj Tb4DUeQ/gJ6iMxl19II8KFm/eFvxMmtToaINSrUQulGHFIpzNfhchi7YljFZDo6Gnx4LDz0RQahT MulfoI5uDLuvseY/S6rsrpHcMFyuZwYB7ofWlEr6RQro9LHB52f03/dj4ltKOA0pKTaHZ17AUZo/ SKh5UnBClZ4QNWXBB1NIT0O3Dms6ySGx4ce8zofm4/3JDfp9mxIIfnBiYdzkqnh9pdfW+c+4Cqg+ FHxq5cWg+YQBh5K0SrUuCLrOdOF2bej+iQVtAsrlp7yDHtqVECQT0e9zSKkDP8rbj1JKyxc1jS7q NM0rVQs7c50AXfF0uNjb+d3Iza6Q941mW7yekbVTZIhXPBDjtESl9At54UAuCV2ZR8dHTeYqbU/F tWwCKp/fsRaq9GY1u9VHDjzUp2DNZBsnJsCvufDlgAa7V6+IF31lLJpa5k6RFLIwDvSvqNQ92JSN zmBtyOb/mZRiUl0dSIeHOSgII4XCoBgxQ3xHK0RKgiZ2eWa8jQ== `protect end_protected
gpl-2.0
b3dbf4300b9d39d6825d2591c521d76f
0.951383
1.822603
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/dds/dds_compiler_v6_0/hdl/dds_compiler_v6_0.vhd
6
25,173
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ncJNhcgd8T6vQsVGhBGXKK4x7eCD4f05mDA3QM5/g+ZE4fc0dZPW7b4/W2HcdGoLOeSw6cCY0Dvx lzitodFGew== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ki/rz9kqwDUbzjhnZYY+Wu/VOKTGB2GV7iRC2/+6f6O92c96K6eGKFFUPCN0Gfgmnv4cW/Qs9Smi 7Rs91v4n6gU6B/vrfnWr3CZ52go1SHyDI5Cvh+vFd148Hi3hn+lZ3ElUIcK4jJNgykgE4OYqOEWO xJzLLZseHNZ3AkEZy+4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block xuTD1ujlp61g+tIzMioztNxMBiCiur1YcporjI5vxnUqChNjMhb2UgB6SK4v6feJRDYnvofAC9Ml vBSAMCWrBrOJjYFrSTeaSa1AFIJjWni+9P8efx0lGp4hKunfz2EMMTlGtfVVM+UrGGkzIb6WmCk9 37qLZIlPCZy84xWm5YfYalXtVBxFQ61i6N+fYQPNbyqJE+sFe1lNwYkphihdOA/wQlReoyZVoEav DwBv2IzrF1hEJ6O21FkhHOgbrMDb5q8r4YkYqQq/ciLEw/knm0TqS9ir77AOF2hZyEJ+ElWd3HLE d53aXRCC/ehvMfUHf0sVjWWJ0llUW8hE7+BXtw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ofLus8GziZEl5NqrdWrUfsS/xYFNyqSI/Sn+7aGlzrJlJrT406/3FbYZq9g+IGNFAhRi7pncym0y kF7qiuwYb0R1fGKe6D/1LGJzWZX4pUg+2YsXEqNoFV7GQ1bo7GEzn/iCnLnbiDpuGmHij9ZjgkLl gyZbYZdSb/wQpWHdrdA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hKof0vKPvhRohoCHybMVcNodmi6JozK66NsUsb6HIkwOVkJkjAh/d3+JsQhQmgN+E8vjyZRkWape KesmxJnYqPZuiaZYMSzYe7fRmA9g4t3nYJtPnCnV4ceshbIg8JWHLLUjw3VMrRZDUHsXSQU9eE7b gSL8yBN2zSzyzjfgVwJZG4MYjKk9JmIlYz/3Ov6BFV6cS5IjhuHzvYaXYxtbTDuxhmQXvdy4CldG rwAvWKSPuJxloYanNFUqTItb/0/6bFAo+Iv7FTt9knU/XiUE12M3nuf2MyM0KUf+GO44IqNUl8k/ sUn5LLBnqa0a0G43FZ6oTxE2jczCa1cly2bptg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16896) `protect data_block aYw7trLHVI6h+cA8s6t9NldGbF4Jm1UZ3vkJc/1MdbL56Q/lNUc8we38mw/ozDgkx/0tx+odU2ww LkEp4wJ2l2vYrG3izvnsfQG8hs/3zs7qdE7v+S3iCizVHjFNeNHksFYb8Q0A1vRd93a5ivEW5pEH IV2lKRyBeEXNwVSsXfd/Zt6tLk5bbuEojr3bZKpVwP6C1NLj2G0DB5Yvmh2i3obaaCaxG01cBwh3 tv3goFf+IuLiFP+GF2LhLIU4ruYOfO3NSFnBo1mk29IeuV5nfUoz7jtd8rWnBfipcTWcxNOVlK74 SEmJN2ygAGJFSFtB63Ukgyku6iA3gMSvv5gSgoptgVoMf0qJOfw/9cBSxlxy4DoRQIqI+qjXgxEQ BbhBpaaTFEmMmdpOleU4doOKyMzCP7sfbOtVcyB1Ot1WSoU6qw1dIzIu5EgRu7MfygruBLJsppKu hqsdUWviRYlWGM/eFpWsUwhy/JMq3+61WWV1CFvE3wURcRVXbBPUjA5uz1cCSFdg4KIFPwOqQETm nKbqJquthky0g16tviM67EF7kk/bNYLSUDumAIn1IC8yqEb0xefBYC8R804tI5dmPMhBZX4N6cI5 P93ChvKm8uK4cSZlNdTGErIFg1CsKem5utUks+OFB6ORUtMQzl6qyvfplCSuLodb9qHdnFxOphIl 3Hp3sdGesLBdHTcOyLjEsnWPvap/b/wE8wZLnT7BVGENU2YsyYgqjgnsscCh97g+DZiCW7P2WwTI GcKakt3EAiARS9zYyBqXM2pncVKDMbyVV4uBtme+Aq9G+42SAlUI73Arla07Mp8PLKSYXTJSB6F4 csu8pL3qjYYmy0EjF59JKDNruDU/zYL5ALdmGgHMxBGM9CeUD+2SOIQBSoMsgFq38i3s1YB8qDJx qCEcnoEE9eUIT3pyqA1EJblxp4zUlh85EXrm/plcNjZh79TQKgUAcYv5hl2T1VSITLNOM8pO5g4s UTfbLqk70B1xOl1p0xLAa6iH9bkOp493UkW7hNXlO55UrGzVPF6u5UjT5ZW/+pGdnyQhghWCD4th KjDzwY1Tu/mOA/n6PJLPEOkTgXb27TrHqpZA0vy4qMQEgu/vS0LH1s36jKJzKlRzfHFsoop1kV31 eqNZ4hnWA/vSql5b0zBai1N7WulI1JOtZ0xJVZKJbGa/J0g5/jUve/3nbv4eKY1QnhEXRGaltzOi h8RPf3j7UQmiOezAWOm+EOLMyDzOc3l+YsnejtN4UVNwjZiZ10+isAoYV688D+mhsYnu3PXIw4bx vKzTZLdQyXvDaHBwsr4fR7YLxDYVHlm8ALfsZ9SFFQrt9YSWMM65AAyHGR5zz9dWbLcuxvWvZA0O tcb9xJw9PZvDdBmhIO13eRSMeIDuVcOpsHh3AqsH4+kiHkLyWYHJN0xJdbW/eub/YfP98cfk5VoW q4iOss7ALJer6df24y7wa9DE3m5FhsWs52ieADDGwdrDIRevUdue6BfEbWgZt+6aQVZO+3VKDyVw 24etPsdmMb8vpN+OTsMAbbmVVesp/G0UX/8hfo7e2Tfsyx5swVNAx1sG366D77VuY1822lg0QOUL YadPtiL95VH5PZw1s1Lg/m345lPf7daSRek/dj5vB25pjkKeNVdQ+fW3RHKI3u872akv1xhnlCkl tD1qAt0fA7Nu0gVunPqB8Qqb8Hq0v0NOvngNb0JwX3As+3dxGpmXoCbXnAHhojuSgpf19w6Y7qP4 lrLdwz3ITFHckCEFDIgHZfEncI1ga/1iwib48Cq4crIpG4K7Xm4bnqadq6UCF2e+OBZOaSme+28I NtsoIQRk/NvBoBnrfugxUDHzR4XcTdMAWiUyVoTjLoTM7fRy02k09Qi7WXxJrhiySjqX9nPxOIoE 6q93P3l0ZGghoiThUaL2uBLuvyYZF17WzML/RT2XUWAp2g99X4JwAqfEyBM7OvmrHpLB2ShSyNJl YxfXf5A1bxAk2R7y1ISaW+iSjGUceHGvrqPKDTvivlv02VdNBgy78dQZ2XFNmfOPuHWGDj+v5LuZ W7yfNpvoOgqq/M18r72Y7Pin6Ir/DNYE9FXpNh7xbStVYlnh9SmRtypXyfxzhmFi9cqfuCuKTvJF l5AT23pDp/Og/5/dOShDwDapzN6u9JKdGGA2adiVOMDt54WJ0d4PQM1QaeReb9w+KtaAj8S+E+jf 8/wFNT31L8EKKFXl3eFaIgj6WczCDr2EQvCvh0YNCQrNrsqejFCl+aonbP+5uFUb29nh29OKKOaj GX06LA7TW8Qq89PaYDIU1CHHdNJIov8IxnBfypW7LqycwdxMyEGv90632RkOgvxQve6KoMAYepZ4 SCECJWcq51t5FvRtheugbjhTqQJNOqkZ0HQTK07y9aaoHSDwJ5NVIuuia+fOuMhD3YYcXgfgxArc ZGvuIp4TXIPt7FfbA7GBnCLBLucg8A7SvL8fMr4cmz9QrywW4bFwBcuKFfDP5X97Yg6C1rmbHZVD VYP2M5F2sqZR0POrc6kp9qM9WfAjSF+IHh1XqOp/7DxuCP/GFH6C7nqh8QpdDhCWEv2Q//zUYn+a x6TCzS3ZuA6QzPJTdDDgKTlrzWg0vVHcGauO4j+AxquM215nJ8WOFJEBrJRNLO85VMAayIVI1Wia vwjrcIcgihE7b+XtWz85B2WzM0Cjg5TtvLMXqv0K1zzreuFYxQVNQ9xcbCxcwkuFfNIfwtD0KdKC JNphx5QXNb7vKKYUDevSYBZzTLRQmDKYfn2fcl3Fk+QeVQesAeCiQAorN94BLSrHDmHPlfqMMihP Anxczdq/rR392PfWByE1Pf7U+BRWtGBxA2mSAKE7gZqhRXDmAyvO0EogR1UaYnrJDAxCXpdI+a+u GQOsK+Szi7LLrlcoVLB4MvvT/tGTvpi4o5DbpX+WwOaxqIbK2pYumv0BCQ6TphmQv8c95DY3etih mM8q3c6jwIfMxVej//7nnj8qxSEblbH+nq+ekY+E09wDAZN4ez4PSbNmjM7Dtup3RRDcJ2OjHawC 8Kp5MPX5Nb9lJvO1DbyEjGWBxzQOBTy/pTyjj5431xdKbeosWUDvlGCpTQ2SEfv/Bj5Fbl4rs39e rcMjfXmRQUUGhyNo/YJIHe4etyVtb6NiO1zoa9yZt2cjRihKohn0ILlF2PtpWo3QfL26B7Sn6T8b tm+wgFYRLMdYNAsxqulx1bCNKTcj2XGUX8YVoNytn8zK3HenK9DYw3S/lWTN7tHMJDk725fzM5X8 KOluiKTnSioIwBU91TEsWj5H8a+PodZrvn3gP2uuaCE8fG+TEmQQYB2M4lPMj1LtI6gexTtS9c8I OVPGT3mQwOfSJ80Z+H0fbxdvCm5wRh1zKwioDIcqIczXf9uxeDC8pDdKlj7tWGBjZs1j0gCwisWd IQjPWbVE7fmFOi5Rd4FjZILfp/loI9Jos/sIV0QaHwUchCcONQIfqIcMrMWzf0SC3glQXcFqwIOf j7waK/jWyUb91nlUWDgCWZxjwRRNpUpfeWQgAEUiOLCA2hzciIvOIl3KPSMJCtaUi7DNe7ApMDvk mqvj6OiJpBpNhTI8hNNTMh9s8yzjELV76nMvbgN5ZR+kUO+3trJ58vHofKqyPyodPNTRpPeksDWE 64WtqtUnqAU/h7YSRTvjwvvfexV9ik/CK9h50bD26MxgczoiCsoqbrZzJDA+66irwqztShkMeG6v suaZrA/t3HcrxAB3/sbN+zvKMjscfGqhvXAb8+TUn9GB61YN7oI1V4FVlQr83Y83aPXLerdvIhBX TrJ+tlEuLDLmWx8pbm4HOjDA/BuEQFxTfwCShmkTxUJLe2PqPnTROwZP/w48UsbAOmELHJD3Aw7x Tw6WrJl/0oVVrPTe4+cJO0vYsiO+eKPfAdNgqXp5JyobYSrkKSnfVZ0Pe+MgbkQ71foqigW0MQ3I 7PYw3PihzUowWSIZhHXaAmaxBAw28WlW1vlrsfZBWGK/VF5lzzqvDjzjByAZx+6Kv4pGmDgEL54d B3R4WPOB30h6m9m0K71vdggPFUOBRVohrZdqumXs+HMGjrqA6oXs159wh/ark1yAAqmwo7/bm07Z Yale6TQHJcSI2lZ2oMhrqDeb0U3sEiZbUZSEbgeEYJY3A59OXkuvA1QPxJMVJ1pnBygfl/FIpn1i UnJnC/HrW1O4j4YbB8JINm7eoVghpA5XOif5EwTCfsm/R5mqVhzI9r2ChQjCWX+9eJ/GOhf9K89K e/OmHX+BO7Jf2fdONYqW1Q+k6yohFWNdZ+VKISPlS4vZGSnvp1/IztcqmVnJPVxGG2LeoGa6AZhP gPBkkSUJUbKMc0s1PHI+SFs4s1t2iV3lBldHzKNJhQJypaWM1coFhTZSyHAuw3cEFRANgIEnHC5N q5eQkX1PsALB6d8ZyNBJ37ybfcUCEPToMUcXJ9LRojfQtmY/3JwFrl3qhNzOLAjz7+a3QcEaBbq1 pQF+kKpWaa4Kh8RxgMVTnwuyp4RS5psacXCgi/jeV7kvcWfkp2IqbOI/3D9vGGSsv9xK9mmGrh8j ruPpxuHSViZcykND18/u/cD3/Wcocs9GJufWWHt0BpStxENenjvAnCrl46Wro872luPD5jwJQEKB VqFFHl0e4UZ+8laC7TG/04a7v9KeRGC83dlka7onmPJ1u/tV06QELFEwCl9WsLyGCuLKmwglApjz 9OpUb1NgNvhTnMsta+xKx1bUlG3RQDsAUt86Ub1EwipARMSwNv1R+jT6AwMfabqFDokDxAvCSCWC qRLorEeZtocFEegGZr+IFhc9BphZ1mxqI25CT0/XBclOeHoxN1VhMprbnhCo/Iodi9w2IjI/RuBF 8KDs9u6HdcIE43GszD0nrKv/bpmWO5x3+/BVUOhQy08VewWEcrP2ZOeLcDWbZV4t54YXvMuWLq3z 5q4HG4jAqsCV2wQMuh6Kl80P8CwYXX5oofAy23j16JDKXoN2chEWMAkQ+xyMvJ9wpKyjs6nWstLL uF2JHNo4OjTNHpy8wSaCuCAB2qk8dwZ0VrSC+P88PB3BPF67jPWcPytv0znIKWn5KScYz/pf6w67 Q6ePpukupPeBjtB99ziDcffJk8/81T8MWmPLb1pF+LZ3YD8St/2G6v49tpZHiOBJP+vAQqyiBi25 4WGO51IU4VrRGSV0m9NkRYZ2vlJAr6xfCsk3cYFnRnsv+tAiALYmTwMQh4QdNcEQwl+wdJOjLU6N C6x2wo+M5wgSvuDzQ1AFM8fKXpE+EN2kJcYh2p6XUy3MwbepFZ9WgiNdpYezRiqOLafGSU/jzG5f ZcGAGmCYDuG44Zd6OLt9L65u2xiXKIgkt3yONBysjc4vB4TiKqX9VxSHsF6lHGBmMeVJruovXTv+ naBeu2saB4a56Vl7Pd+XRSOimC1e7jMyXINTryHkpwhLARC+nGtrSwlh9TIZeHPriSXLqbuTK+nG qgVh+K7d5bOp342np3cTf55vjWUL/bWZI1mtYh75U0tGthax00rzCgLBfoGdM5bQEzDM9bpqt7Zy MEQ42nfLTb+ebw3VgL+/WANMBBAJYGlUM+zBsfwDyXq9a2ap5ZZ4lbEz1P4IUAo53CWw2sd+ANJ8 wG48foa+g6Bu0lPzA5kInVbiJUVLKvgl5bje/JYhiZXk2+6azypXqFlK5x9JPreRWPyLtjCiqeQO 7B7RSkybKi5GxGO+XZB2DdH0TAsK8VhibMp50RGbmDViO+BkZxmLBeoPpxn6Us2HJjCU4AyeewfV VWbGXJP6KtkbAth2YfER6Q416nXqJr9IHU8UMmPcNA2QdQ2+nePrBisruXa7lAYF3DdBMLqyrQ7a VX4GCsaQOtGRLaNvSUrc9VO67obxXaJyAioregb3TyygSXB2W9FkJ/1i2CggmhD4WpQafVnDZ6O7 dWV0oNdc5BtU9Kozt9fkFGPma+4U0qtVM0qeI72mtaEvOAqA9xwcn5uwmovyMSH62cDzBdRMJmG7 6Fd3zoKOzOsv70WDollXabdXkdeHf48vnfeTohcZnMZPVJok3UwYqDgOnLUMEEtj2BcuqNWQVwXu 5bu0ZG8dQXJKT8/YaSNu5fJXWjm+WbPQDR7aD5cpX/s93M9CQGnJLIOaFBlEjJ3OsPmKnEX31CVM sFyS90LjmZCkkNLPF4m0vFg/7ryGCqnNXAqjgbpPX5F944rYCCJF2Z5JCLmq+2+LtewGoriD/WkU caahl3J9js7ac8Xlk4R2FxL3NmFc8ebawVSwlDt/jk/5Qr9Ak2rQILTdMF3gzqTBvLCNV+9sm4BL ILvwvzrCIDm2E1Lb+1/fkSdIeSE93G7ejmEqUGxZRsn6ER5qF5BEDoK+2lMCXwLazA/XAMYkxpI4 J9cCq0D6m70acmpQvMGsyvo7eCGLR4231/CVas5RnOLd5Xs9zbVyf7vlw7RJDqtUMDDUs61QefFy AP/i+PtR+U1N6Re5bmdaRKBv982LCKVMdyxTv8MwDoWF2X4EY8dBOANXXPflvQpWJCq5Xpmef6Ej gE0efq0TYpHK4rFCkNX4ansS88bV5toun0BmT0JoYMWgwItdhnkj+JlhjeAOQjHLPlC8AJi76eqa Hb6k0/bO79WGbx+KV3BIEnMdK8IpvBHFSpG1PtMzMtOrP/ihIIFwLjtrD6nEZB04u4g5DEHQmHII j5DPf1+IoIpy3VietUdknhGqcjVJ0ekay4EsLhIWwhDsvzXNqQaGZcepCwkHQjzw2z4brHA0cu3/ 7yYSEDIxwZfuovERrJaFNVX7CX2Q4YBQJur3BgHtkYvrvHlC7NTeLQ5lcjOqD5lBNRVMcTpwqbib 9aQKPjXI45G4UG8tcjVpAkHw9vMkb6fvJQe8tzS8mYnF63LMpA5RYOrCwBvM8qYzugm5bEj7lQEo Rj5SWrPqXIsBu1Kvvs9KoC8MxXommmR3/wai08+m5MzeeWe+++TDs0SJdXZtWp26/dGGXoeCsZag QNpXaRpyJNnPAvzOdAMTudsGE5BP8ao4aqCWtv4ZJIB5Tw1YhG1ZEThgg3FhyyxEUCYGNV03nAin /MzYntO9lJJQGjvOmgWIxw2OV9uO+Y3LVHCgGtmRgXMI8OYgXmFAGYBCySAN87NzTxfJE79iiPnt bzgGE9wfcZ0YSyO14kngpwd3Ws/SK5SEea7UzpAEb0OkTmAY887aoFerzxRFjYr5alNYuz38MnEY 3zi/Bxr1+SWIvOmCICAOq2v9gOGoYP56vQZJQIRDdLPgdwj+SPcRmdrCOlwhZ4xsW63PRqIa/kbF JbSz44we58jSteZxAqliUS8gOI2qJA2JH6/+ckDQvyyZ21E3BJHGLRdnhM5TK9BEnh7jjnyJYmRu hRbV/H3Hga/CX/g/upXMI2S3iAsfSAAMhvrKd4MVF6dYgr7mxQ9wBLmSW2T97CYCrUUCM//dO3kM YBsTncZ5VhIjdI0KV8ybhrNMYBRC3A9TcfIkaEgzVpkkze9rdVOuiVSuTWm2KlEQRAgRfv5Pnsi6 CFq0tEtgz1xBT7Fklv0eEttoqAZ3weDkYaYx/jYUTdcgC3sv/DDrEttfzVmudhlUyKa8ljro+8JC eFszuQdAkmc7h3Hh+gT7P20pDIss00IDkhaLgslV/dhpiP8+I8ets8tJHjA3sEKupOIGqdxnQjS2 3Dz30MTANImtRUDxaSQtNJhRvRLVNeKMIoH4VMV0mcHbda9x0KvKnHCGFVSC3/pd9j8ss9XMD8LI yQzzCQASCBl3eIKyfL6avDboBAPJ1zvpmULgpD66qMhvGkKEKXXGSWHfkVnar3LBdRcM8K5KJfgt hm+JIWVBT3wiRdWs7MPArJdg8d2sRK5id+hCIU1qepc1qXdHY+LLcNOS1UDqIcy46Ccx5QJW3GeP P+0fGynKAdgw4sZ6IS5encw6Toea1vDDqi58pl4Rg22R9eLQ9Xz3ayoeEJZ3j07I9HhfLYXGfUN9 TW58+4wjJripOHBeSlx15DLgvOmVTc6iMdj70pxr/bQMwGi+XwTbDhST3XZNQN8G9oz/Vqx1Zz2C aAr7AqCK+/M7zWRS8vbBqbvJ4Tk/5PJurFslAF4iSInYnU5zek0qlUtvkYTVXMnPkAoQe0kXBKFX BVOvI8mtLq06MNSU7WzQjo9rY+f1KldURASaGyjvh7fVxj3G7nHYlK2v3/7AKaAdFWV0hO4GHPp4 r39nAp+1Xmh5YxeWiVEwWOWFIcCkL4qfkT+8h73n9QuVxW/tQ2MNNKdr0EE4bD56Bc07SFlBfQQJ KgvfMZkbDsFv20SFVeOVJeFkQN6TzuQIW+j8tveOEkRWdrnHJMqdxQfkkT3Ui7lVGIL5XmCe3BJc uQmCDHjdlO2ObhAbU9Hq2UgtERKI2bjoledzWrDmI3RnJ6mWE4hkrq0NY5bwD6v3nVw3qr5u+uyi AZCrJEJwpKKHg6nFYM4hEOkGDW990kB3+wR4GMkOjb7GMLymAdumHg4r4xSwJjLFoMT2jYpROo3a tWUkAA5OJA+jyufdYUQHgl5U6vWGQSPcg4p33HPgX4BzMM56FiKrR/iHQJ1x/9mvnBbUa7XtwJ8M wCelihQqo4iQswSIefmu/dOWhNuRfOxVrQHxfaD7CoSw01vHFajWAt7+XE0RH9HFS07G/ts0zLB3 t7AIA9Lsq0ZttFSqRuB+dUQKKQS2D60vLsHzvhGxMlgp8nXt7WzhA+PniFGIxFEMGRrgXNpUu8lK jib9kW19yAwRmKe2G+C9OQLK3NmVA3BB9MswkBRIpzgJGIJ4BC4M2Gglm5DmyR4fhmd82UR63kQ5 kcBQ6XtyJdhDcbJy/vVd7N7CSioF7f6+JfO17mxoKdUn7GfPZxI8CJpDbgefHpW5v6Y9EkWm4tng MXx/mj4oWy4iCb7t81AKRl9vXSwKREeU4+XL9o0mZFqqcHVOQUv252VpyLFg04m21Rrd9QK5hVos F6bcWtuJWRb5d01G43QGhm7RTpXsGJlF7ru8eCO8fP7ObQvQuzypQMu9tbr75QhfLJZ74yv5OeUZ lteaXO6+zYgBd2axPjiQuDqwCgnrTGrNKyMe5YioRyGUroMuyKPn5D8uEZOViqcOhVA19yKbVAxs tv6Jyad89nUJVahHdDXJE2lNFBsdcy6wHhABzxVMiockqndcQYRfvx+0Y5XLVxeM3dgaDciJ/PAy B2K0VrOSvQWG6M9/WMn9+A08/pi7wb7vIfvXEKULchmTX9Air4DeAilE5ki6dmaTb6FGDSxenbGR +bBmcFS3or6tncqlohqfQRouVjAXbEM+hF06YMGRFEkk+tclHyfVqqlGo8gs07813fBCtY0EhiKB ZbA09uYK2WfZ9Bsg6yzHJbEZioGIEhsaCmkc4+8fqmvmkxUC4PgU4oNLaCjmXfhkxSYMTgq87/h5 Eji5eDTtVtlM3xNB4NT6iV/OTl+UwAaiXxHADdFilBP4lt8qhas0Y1bSfrXYrG5hj+zxKdI06IHw j/SWWh6wPgtWYjH8sDPtNxH4eT7w4Arg32SA5+jwpzmlNiYassnfid4jvyOPr6lXh0zVTlMZuN5T siPPsqVDRsA37hxlOxsPQK5ZGMs58hUOV/XYf88BSnscSZ1fupOsoQDIaAZGfSf9nIiyl+zw30WB n3HwD22MNIhKzV+nsQhzj0Rrtaeb1GuUKMEnSAdwM+6yHnPehESHRN0crr5EEbPObPXTHh3zs7CN EmtfCg5ZQqvaxDbWb4EVqXvBF1V/+7/RRsTpw/T+q1ff3yteD96DuRJXwTwnjsLaszqOiodr5Wl1 NsWmoxbiluCUKqBXTakxp7fDGrU8lUc/G0Xpo95MtzC7TtTa/HqQS/qqtmppyMWN+fudc0Fd520w HVwT7Qd4dU1GCUYilL59CIsoq5aeyO450PkRGKf+6kXWfvPEngP3FoE871L0D0C0QHuTpwNFNdw2 /MoXGhp7WQQumk4CijzW8XbsshnrTbperuGTt+GKtx8KyGYA1xrLaejVL7eNKackmJ5Sttq78x5L rpwMtXm0zlRVmSL1vU50SAEwk9Se8wDE74EPvofFXaT7VzgaRkZThd48GoJx7fOgwUICZLfh33vj 76CiPtmeSbazEyf8DuVUR0yUmPZH/m0Ip/3BIZihTml6EuIH16VR9tQbMZe3vPVICqRCgWBS7eas JlgvJMSqhZg8OcO5b68PWbaJmNnEc+66sk5cniERkP0hhYDT+8HvHhfH1nbMeRo68Zv5zybAcchM hlv1GXzVlmubMPPhubTGXmNoZ/S6ibZGi/AlYt2NE+G8PHqHTKNpVN7iQbUbECgRItPtpZ7n5jOp Cr85OEp2cj/Lj6PQceNjJd/4UMiq+LrhDvZSqcJ1nYgaLRXpgAYmRSvfJ8GQrpGRTl5QtoSd4qoL gBBj3+L6cBvEplwbpOcJdNaLTAo7Z/nI5B7UvN5vkr6iMMqsYr+sc8p486uPAXHaxqkvMGrbhw4o DVP21xwkiJeHfn05jVmFct4ZJ8zsTAYwfsScMl5E6uPTKuCWcgWQR3nrD0fVSEClGi1zP1pZaKmF azFeW6/zc4iwsU2CZ2AC9kkChceEHmTfzJIRWmQVMbWcOaAy7rjZNSp43JvOQq8ELmfsu/zNMI1b J7Dc8frM01IP/kttWEAlDYtT1jqykQtrbG35JSix4O6zbnZQD4QpJKJ0wTN3/BGX46o31/kbsV7w tJ4pvhLWIRHQPw4QzmjKoTft1iruuUNhtPEhVNwLWbdX6N55a6zNkSibw40PkWfwnVjQSIX/9o8+ XFkW/uk+xa+dcixDkHoSpA+xOWK8nPlxxyR1twQzdDGMa0lXav4HoPj9uDQrm/GhF4aDZ2lqCYpO hZ/8WDQM3iOc4Dc34uVskujexYmQcvBBa3Y7vJf2cUpRq35O5VccJTqWWjNb/GmwGGtzyqSJf9hR NzqE/Eb8hK143OT+uNcmRD1PeDRzf5JNo99nNV8IIJPiJrDCtIXDTh3zrhf+jmMuS/8vNvA1d2u1 cuiIyAfhSy0GlDwtAofRq7qRUkhoU4wgNtG94k7fFP+k58+S5bCaZ0EajiUpNKuKzbJEaWRiBzEN FpV1cI5sSfRD7RXOhX4wsnF70H2frAPiIBMS04pdEOgdHKW+BfLGZnt6dnBULgU5AKM7GxpEFXSK 25fBUVBp7ETqGa7p/2bNCQKzlIRYeRWE+in83D4g1fORp1BXCJY5Ug4tzDly/woFaUjaFuRha7pV Et/dAjXcibLxiofU8vgEK/szFfsXiF4khed/e2Ra+iCYTsYQUKAjB9BIkm0SPS54FIGNVs2BFJLb TczAo99kwt0oUmAgtuT07aT+aJjklicncLBW9JUKa+XIoxs2qLqyNIStzTh/DvB6Z39/siLes2sc Y0SEXJqa7rxh6UUbqd+PVwbJVQYzs1xcqW0j0JK3HsxbFRoI3jGP7kBOKzoC0gOD/rPWkoITzgwm 7Vp0jGvNrTGlyA3ykMSOy2DQzdS+EQU6sm4e4hu+DIH/TK4/KqfnJxOs7dmjNxAhdIwXQrEtNCIR fNyBair1FilVHYRWJ0brsvWZhNbPDOWskm543KPfT9t6NyVFEC9td46sXWhCWQpiIOCCfcH3QjqS jLAiCPQt31liKZzo7r0YecMP7zEGbf0z4mG6hi+UJptFTbFBKX2aTDBJ7PiqRmU/+5Qg3TSWEiZG DglGnamyk/XK/dRiZuE2gbxqsV99ZP5dL0SnEDRfsgHlUWFMDUKsY0KJGkHmr6wb1svIzFyMTVTL EkMAeMotBBC4RXa/3tMHyCaMIsQQp7zj1AdaA4iQEh8NjlT4bNt9czh9U0q3roOSPlxZ0MZ+OQH6 X48oQFTzacScWtwUqG8Tzr2KJu/yOzh4YhWcxe/MzA8qj0CTGum1vFYI0R+LFmYEamuGQr1tJsRQ DN+54ivtMrO2+syGZR4e7dEXGQeleCel+rpRVaO2Js8b2YIfvY2HuVDKSxMC4LKWqkNW28MACi+g g8eecteWAYbMKgADdmyNDdVQYChR8SLGiX1xMlW70t0AcjD1PRON93f/QRisEvTd1q0H+pm2RSEw +WpDbUNkNZBZ//CgR2tOAxuov1gd9NLJtacRbmG8/U2o44LQk6Jw2gJqcAawnZ4pRzHMI47DyQDd zUdK+aSq8pNBAaD0mXH1H6ephmHSCh1fsXIWPWWadyjwurFjni2q2b2dPblfqugUmRBgc/Y00Bgz Zch8IBBbIYWbRyVNqXooLGNbOUu4CIpHidb/aZ/d7LTr8pZ/c8I1uDqywjzeX9W6jG4TA79rxh5J syPR590gv+3RDjsABX9LmglEw8qVRa2hEESNiO9l+ehcnKumXoeBhRM7i7WuvkWwjcuOsnS4YavQ BCbBt7BBc4dHK4n+Pnh8u7zem9HgTsZKeBpYZgWnQNwHBYrjQJI2ZwOneBpXLWtY7imujCDSTcGa ERSLZIw3kFqngRL9obqSs0oWid5k8tA5gnBqNY+sAdqXROl2jue8N13OKwK1k9bashPNVwuc77k1 /IpmmWgREhauYCoJrgwcWCPY7YXZO+IbJve+Lhj7FmiW5phluXWv4epTe2pznNNGOZ26a2CICthJ /pPqYx+1glu9miqEblfxQP13im3kf/TQSM9kYEhETbwfYBaiTmYBGedRbYcrJGeuyenKuZgP8Yeh mEaG8VYabhAWefrwX8HKt0zBAIeGLpwOlI9CFDwN7OY4xmJ7/TtAovtN9sWqZ9Zy26CSpsYZqZx4 tJw4GfAviGHTJtnjWVqR5WX2AXIjOsD2SC81EmV5H6znmDjDB8aTWId5TGlz3OnyOHQvgaYtUVka 82eCurF4CtJK4V07M7hDczeuBMWAceDTFdpJHNr1JBTtfxWOaW/HpOcTKaSmTXQDODdlQIetPUFN 1+NVWyE6fbnrgrby3e/R60YDwqfKYrkNTDxO2zYFZSQre6A8vWGbUanL81ZsK+6xBY5TPcbfh7lI TzjUWxVX0vqmIWPs1RZ8k/b+RqMR7y/RfhhVRaeAU3EftyC/P3AuW24LCr8jBGTX/Q7ZuLpV1x8M ky0D81ZJxFVdeKYQY2pn5VSas9FZ2Zv9OEVXHRttc14qMNil6Z5Sqoen+OcN8fJxgeBREKBDH1cY 1eRAZdjXsOsZcynSPYoZzeHxdQA/cVkiItxVfvrAkoNXf3A9ywIRl/HtpAVX0/Z8aVZq8L1zvx3f SbB9frNQxMBfIcm+DjaLmKMAKfw6FDVUn6DJEjmnUzWJ6FEwO17qvnUydvRi68ZLjXCpZdfpxXTT R0CvQgk/WZlZMKJDdzR9upI3DaxCrEuUQO18sxmqyCMtDm1oWkTChAHD2ubtPxUElpU2YfzY8pyx GE0HzH5DQDxygYm8rZkFNOU1qKqgLuYwd7XB5dUNu7IWnwp0zCG2M7QGN4TfDf1FZPpYxYu8e2Tq oYPbS5fmx6aPsed5YwFyMF/KW5CR3YOu301PdFLFapfItjO3I+MHhucRJ4rA/4Mxk7yVCXRdcyyK E2uPoCRCG5h1spVp1a1GJbSkAyJEqiafcvkjb/ij1jBxcylSHrRI8irpUu/DMl9Mi/hPB5xhnNqH vddhvtqRrNVXyhS97tG7PJp/YzabD5ryioNM3wtMlKUe1yJZnnWqz6pC7woWfsHEAfd+4SaQehnz Lyk7vM+E7I/q9aWMNii4owMVU0TDr+9d4i9IviXxFEVe6fyGFYIIUziUeQ01JgnCNcDNfS7GforX +FNCIJrSQnF8vIP0NfCtu2Wp41eV9HMNtbWcoRckx2wvcTbAfS8WBpHVRM+3CJCRKnXG3WAJHdu0 i1UGDC510sbcCqbcScYBpFUv2KnMJH3avRzaNlWwtmr20DGDIducFEI+i2q6jdUFC1z8TdIcxTRr OY8Bl7L9of2Jytm4I0qINECHECuxhWz316XNe12rYLv6wxzzPnwTqztma52Tez91HOgm20tOf+lM 71fiYFgmtK4xBwWVbVufhZfpKLNbruJhRONgVX4PQrnowV4kAahs8JQLkROnm08R/UFIEz1RA9RP oLPqiogMibLAfuLKaenwu3HjwF3gMSKo/UOEOH3pmSzIzP1m6oBnAHvibm2ANvA6Nn+k3oUymCOD Klxd5RAkd8fPJ1/dlB6Xk+9ju7EgxH5z8/SWJAchA31GSXy6UvYLdnhOPdSbkgvBZSO16alPpwWK Mx7qz+GM/NAXL5SRYWvIJYos0qLj2UDW46d7argOBy58VkBKvhc+4kh+YluHRgIBdOh+p8oZ6Rfa CwV5Vs+gms5x78kSuoRNFVH2hM1W4/FAO2Od/FO39dpMOVfo6nAgqJGKMK6ET5C0QKscVCdjQX0Q 8U6gerl7n3QKDwiKUPCr1vwKfxQ34x1hs2hm6uOR0tlC5rmWUFIk2SzkA2FzP7QZoqX1clCrZ9Wy ChDHxY4Vgh3hKVwNA06qo52ujP+iWoeDI2h/PvfpAlfviXGiaIUVCjgOJGlaFrCpuhdWILPTMlvQ H3EqSK6wPOJZ3sh031VoilkHp10uw5pJShHGSYs01HCaGJKgteEChGkiKRYEsSRYs4LKQzxHTnLm onvf9xZ7lz5lUJbT9ABKO1JUoBIISI2x4obQYnDuueBGXJPMtKKiJFeNpI5qup+LND6AFvBxTZs5 c110f6qQC+8H2i58wOWJ7C9ZHpTv1kJzQB52lZh8VIASTm/6EFxH0Z2ESMoFmTJBMCw0sFRFzHiv xbv6mLU+xrkpJz2L8DwCFtS/ACHWs7uKq3Q8cx+3FCE8FqTcN+phBTvdSvywAXMZ00DD47VijiDI VSqIS6O7mixwfwByq1RtDwNltemv4q6ixz1tX3hSa3JRFSw6KEyNwx0CFD8Nrsj2fmCMbuw4GPKy CPhIvyOEZUyuhRXhCmvoOI++crLskJ3hsqSjGfTvAuD/bfni+rtBAYntXYnB99zlf+hph+s8GzId 76TOJe83ZFxyTuHH1OyQipjRACWooTzRNdhDq4Jf/dMWaQbP0YdxdfykY5VcD+ZT1lYrnKC5bXk+ pelscYSze57bG+GSzh2XZ7BGT2aMf3nJoJ5yq6smM229zNEP9h9A2m3+UML1abkSoemG8VKKeiAT l+DlecepEnpRuQ/tISUEbcOIyx0DlJBEkPrbo/eyN/MfOtMx10k+ihnuak1CA6Sy6MIaaRKAEQGo mD5ASiNOPIffOgQpJuqwkKIH/H5RgsVsIsFnpL+Lu3pNpBslq/qj+BWRsuFkjrnfeTMtIMfLDw0F 39MJAFfV48QxZeKtRTZVKlPh09+tapaUaQn96JeFH7a2fzGTqyrf7Prdxafq1ydUfGu6V5Rmn/4u d5MC9vDpt6FsRzyMh6ekb2SujgDBilkb6eZTDD4SDAeMHV9e3V83o9xPATgnOdvifRtQJf4C96Ha k+7KRp5Vz6JlNMrQLn6k79dh5p2INfJR2AC8wKhpnZzA7a5Upm5/O/TukwmleoWpF3hb1b8zdftn 2q4lGrTLpgt1K1Uk6GkZPCRoxolOeQloUxuOZzyF7DdgCQHGgaDdayZhVK6s3jNSw0jnZimqlNvm kk9c0VQr1SxV8g+5pZIbNXNiIECWZR7mHzvz+wvxQT65RzgpjWlaSfmKsBksaXLa8pwMMwRCSq1c sjqn3whCeWOWwtH5WnEbnBECfwnM8eBeW+27v+wWRbj2ZDEF3MRM/fcl0CKyC+yY0Hr9zDmyr92Y P0AbYSCa5ga8eH05GmHD2f/xKwLUI5UegJPFAKC3XaSvAb8c3vsiPsQj068i/qeBqaZGJ46E4pvK IiXzf3K+FvAiKHtlyDmlG9szUz4JpIfXOGvaapQyQWZjrsBAIZxbhdHl7xfPy/olLcAtWKyQlY1/ 8tOr3qxjNAnA8YnKLE94WsgY6oVUXybFlUU+CZrkzPztilmMOkTv8UHJoKB3ibHDMkH7leDArJ5A Kq5mBwzHlBdTDuzye0Ulho5QDuE7Z3OJwnityW8yOjsTS7fy5hOi44uisapihhVIgbc1Om0dyJHf Hnzt4lqRs6EKXDXmGTrlkX3liA/A2VPEZb3IM6Nxqj2AShkP5Nu/vIkmLgQHuRf+AiOrlZL1Qe4W owuxjvF1FwH09O2F/0B1gyhzM4aF36/O6q5fZTcfy7R99nTQ/P2u+YlBQVb7B60WrUFomeyolpXA rb8EwbOcYfaQ3JTlEdB/kGHdKCauPvicYpP1RpCei+YUJ5BDkZdZxj4/fWl7Nc/oikYfLd4IwIi4 pixWSL4wh/X0wdbX1w1xREy0hoQaf39bHIH3xxXvb48EzVrce84cyA+0skzUHcG1nSk56QDx80PZ pTe9WB2lJNEdjtCQtCdA9mTH0DUggtmJwTGyvKKOkxsGCV6qchABSMBKdDTo0iCdwRUENE7mCSEj vyoS6FTrgHAXrWdjU55ggGMi4z5zo6Nu6fXJkWNUgk+vxsexPUlGfPE7lx93IjFuJmVaGZuxlcjW LIZ1EGvauyhsyXETY1MeY+HX2iX1/WFZV/PsT0N7gmq7Ylx8wlNcq0HqfCYq8RR1dXELi6PFoL3L e4F6C6TXfOzwJlsFUf7OF7CM0GavZQpWT2ZeYir00GRWbfCuXsvk1hvKjW81ilwhzUlvFszNrhss OI/70UEXrmgskNJugI2ZFWk0f0j3CytqU2MBOa6zMEC5/BjjBcTIWurPQiw/WE7oGBpajt1fCMmd sUNDaKB0OVm03xdVw9nonojb25xkVRzHnhFkbhtRArsN4Lxfk68jZPz89/iaatABD8nehGlhDk0j z3SAAwAfr4geJ0MSId33G0N+erMT0mQaXdy22BEGLi1rJoP5pmsXlCHtBujN4pMOlLsU1nflfDvZ j0Mu6es8RMvmF7d94l4ywi1r8kCaDJEv4r+D/jkgtcKoMW0WZ4lTNNu84Xd4YOt6S0dsy1L2OH6p mnT9Bwftyx8NZhCR8X5WvqyxlAl4U/c5keAO/ElOKJtZwGqcYuVQiDvPlOBk9tpReuRnxiCUMU4k M7DtKeK2oxFVfCwpmpih3sSVgKi6bmb08bUuHgjOafkdC4zweUEZeNRvdStRbxu5XGM6sE6KVVH4 1Z0r0lqcmdoHHc648QQy+Uem01ZCxnqEGUq70Db1C4wZh3gYIIE4bzbEYi4XeXIQXbu85xY8X3uG 17/HERrEpX8bDbRZGhUvk4JQeDJlTQHrB+R7jHYmssD/naR8OA4l2zE+ddbgWR0mt70A1CL82Fft LqA1m7TM0JvPrcP3F6ILb6fSXg7rquClq9c3Pf+ZdPpCPOJT/GQ21BDaoFhgJAo9DsVDonN/V3X6 aHRUr4/CIQ+7OcsrdMuC90+stVq3vr1sZn0oQQVTDZDv1GXhnqdWvg30hYu5oXjQUJYoeuNL6HD/ uKtqZFlaygj8Abc5WEfSIfB0KhOyySgPhO8K14/h7xEAVz3Pd2YSmo/US/91VHHdAJrOZoZFDOMl zUHexv1Ild3hf9v+BiBVFfcfDSRMmwZNH9G5RK4uDT5ZrBniarVROM2KJS878Hw6NH8/vvvQtY4h OggbvjaWYfllepxKvw0lOmU0lc95kAsmRIGbnVuaQF9JR2NBqS42jagkdaXGV43O01ijoVexHI1H kJGyiPwnS1aU4LwAIjbYSqc9H6C7zusNN9Dg0yWlD0uzw+U7+SKHuIBLiclahXiICuPUy5ZA23GH zAABFTPMrBIrG3Udz2Bj2R/XSLCrswG31zXdW2tk+OQxRjgc+LGWsyI3Ghi0bUqaXQDvHNAmO4tE MkyHePSX0z5aXVOOZhBE0LQM8GW86yLF20zZmfr+GMM3WaaTRpAYMSds3qVXMPGQttEqWY8I1HHH 1kcZ2KYb/Kn8Cc3CnhpE45H3kgsoDQKhCJ/SCH3m/S1aC8O1dANUtDP9Jm+s+Thc5Mvutenyl9gM +EMiWjDKowz+//yDSHi0JEgVBk/iyczJVnrpz6OpmpxlYaT+a8o3M0jenKeHeXBZqYtPvfiNfeWO c0yyKDP/f/bnIzKOj1ThhhqvQ+z7D+3+gqd9Qpqz06s2hjJ7TSOt1q5ESAlOOZY4xjlvvmLnq+2E a07rjI6ta2JWlVAisYIEO1Uzz7Zlj68Iby/znR7mQeSTDWAPJCNy9v4ZT/zrcrrZ8H45dNbmxt66 T6D2zVyq5tUL+qOmlZCKbylbnrGi3wqwVxgFRckzzaAZP2kMa/hLIs/1v93TUiroCLLprZDlcFdq QIp6VuejpZYrcNHFf109iSHgQXVMkOCPkXb2xp35gJgNguUqq3Ht1T9w4KXu+DoVAhVgcIPyJWvo w1PP6K4xaFcvjj2FnVbKN930nOYOF0VZPuKk89H90DWkE+5hmixoj4BW1OCFKk5XnLQYblV38c08 2yVEn040Ye2c8MJe1sKe9/Y6X0R5Shq6r70F384ZZSJO6IzZwc5SCen96rQqTD/Cw8NlMaGmeMqP 3WezTqe1RpvsHccrpcpnXdWOPM2AqoFUnlgMmE9a4cGpDly3MrRuRqOFPeH46KntVYZH+OrPZEAI syPViCpzX+y6KLKlhwOkqzedpJ5dAIs3ryMVJi+aNnlx8W51rkAqavgSJPmaMsXVFcgYQ0g48dft q+UYyf2gPDogJZ2c3lIgz2dyu4SLfp6X5DAcsFePZUTNOfg527veBCKD2crAXv4ITZy2otcENwEA dBuJzzpFV9jXJ/EgkAr5OUofrtjt0T0h91YQBN7K0lHEaVFHGXZt2oFRe1pHXdej9AQfCPlAS+CN cmVxrbAyIOCMet4XGABoaCDccc0fDRq85F0WZvJ0zV62bQwam3XOX4ivkOKBDTi77gXdw7zIZaif cL4Ra8KCCMlUeFH8qxlQTMeTHJ07dV6cx9jvRHpBSB4aGzdPbitUKWwpNXyUvixZ+tgCn3yGEX2R owlMGMwSgaJ5LIjHXNOM5NL8xl1pom2WXABzMcqhn+QBv7Y/+wZsBmkkPHJ1bObPjXT0dNs7y6b4 Wa2jfnzeaCshSQt5+CCcdEi4WAFbR7PmskkQTbvW+/YVbtrSk7dhGf/2J2BZsAOFZd6HmgcrduJC Pi2VrPJqGdoy0OFhBF4WPGm08BC0ingEoQUw518o/C1+Ql2N7ppRZ4pjjUxPfGPR4J305IdqM/yg idL7R6IuYJHIEiBDEKEwLkskBssSnRxWd4ZH4CkcFdWAF/PiNGg45yGpsNBmOpvTVLGxc2a3DeUY TotepLv4hRU7pTI6TEq9GUGhi42qqTRiL+yWMYFst9lZdyApPyLEQi+xXhcR0maMFsMxUckYH/bP hNQCNOfpg8TH+g6y2kD59MgT7rYcX/EkqSizL2aOWYHNFF4PipdHsu1VDus/L5kf8wFvNZU6H57e OyWl0EKFWZF06hMTd7KAcJZM5KiBsLiIknTrhDzRnsAHIkzM7nR0IC7jUOfBl38j+3RdD3w8HwJv PI+A+H2tXFcPekEN0tnyNeI1gJS9hia5barxmaKNEkaWvG1gWrZ2vxLa/4jayEAYajWWY2X/zu27 GOv/mhXvGQCigDvObmAbLyvxx/dfAIBAWN7eCQxqEmBtNBaMouzmpbcU3iAA43XJbOo6l0Utv+aa gREIYlr8roADor7lkhz7RtGwVDvmHc8v1XLdSajYE/qjSeKUuu5ToUANvh+OE0RAy+HrOuJ4cjrA urmGjZS12AO0gf+8jSA04phqzu9FRG1fe7Wi1lAyYkgaAKHOwEkKUbAYztFCj8RWbZC4khkRbeFO wUEOSTlnusPxqzwNbj3HWcUt2Crashft/uppLerPtNh0CD1fJ78nlbdPnrTGj5XlNkyFnCa+HDpB 4pZGCRoNpxGxlkKUhuq0BX8L/8olfPKYLo7CSmrAxqFZKFIPOpXC0aPFuiszWu+xHOdYAhkggdya zI5f6MzszZzTJ7aLQ6JXHRfl6b1Zg5DiQZQBGfJsKOkD9DerB0Ox6QLYlPCvNQOplNffwiOqaPDH j3kbGOFFWUrtN0P/VZw+xx14mstHkockFHjeNVC3v+k2TQ0IzVGlxki1eLUTQj6uG7t9EJjOr0U6 ibP4/IDKw/JuL7Xstg7Vwu/5kPJA4aMCrWogGn5qk2Utb26KLCp47VUZUxp/vj4Pe64Uux5F0p1S /03jjfdfWRMDHn1okOgqbcgYZzGmsWYc3dplm5g6EJ/dlTQ9W1xUbgKM5RzwF22vf1laHVSRmovF lU7iz9KgLkbFfLDSYPHi6z4ME2EJaDzw8Q3qj6/9WGpmM3Ha99N0uwUkTc9dek+LCEYRiR63Ae4t NdImJHJJhHweRncvnf0mszdvwqnBsD3O2ejtj8ESmQLj35XxqEqVHn4RRb4OjGhhmaENJkslJe7a D6i6XTi5CmLOU8E9W6WUi1QtDdbzFBQHIobKPOdSsiy4Qz8vznqqnfqIFQpo0BO38wf/thq3Sk4G O0xyaR5bV0eUeHADEvTgiiah03jSgTda7G3oiMOnRnGPhX/U4OJqauPcfSaEDFZsWODeIw6yW2/t Xmx23Kezr991ZbQ4+K9hcCit5kN/Ji5+Cnojul5lsuWkGAK2a2JtnlCO0DcsKMorFX7ZTRitf0v5 VZS4eXAS/uG8kRDAC0BO1lenTMef7DZpSezFAP0T8RR+ruLUsxYEFVidl8RqxxwSg6o7cZx6qpWe 5bReG3q+YM0Rg1Sq1xeErKkA8qI2EBuMqWtPdmJJuZE1W4wUkJqRGpSdHXYijxZKV9NAyLlwXZqX gquk2Xh31pKhAclczsqdcdM7BVyQCtDHPJKohpmOk52IscmS/FZA5wokKJJXUrQ9M85bdvL5rzCl 4IUkaA9tUKiUQDFHS7MRKUbmOHIHsYY5LSV3vPoi6AUEDeDFLMS2H5wxnhZWAC1UUoGi66izriU9 rf50USm7X/n8dzlZlcJ6XeBTfrpkfs4Gc7zZSJco/A9R4285XF+uMuYCOK1weHEOUDuCif0m6vgk 1/KxpJEXYICwjYMvsgp3f6IC8QQ/Ag8geyPPQCyRJG7IvBAoRD3uOsXHhxd6MvrFiMtMaVmMAGF6 W4wIvC3OyvDPRUJ/QBbVPJi0PuDOg8ic863PCFEp6TeT+jWX6V2/6snL6hVdKTOpsn3IHMVFlXaB Gn/r2xN4F7hynNYKvNTD1fpzT6WVl+IFsocw+tfKgbdsSBqwBxYaAhRr3Kb5eYc6xi1rBPPAvQhB qZ55ywrICDCigT1la/K1vAgMr8rPZmBbupAlaMqxeUQHg7qu7xqAczyHn/P2k5VGBYQhpehkOQ0F +klHrfpWsk8KH8YAhvRrEwmjXqGol1dNaJOouvK1xPbmDe5KbIFMs3EHUsd4/G52h7enzyRy507a oSLia184UM7Hr9QNulJIuJLCRg1MKJbi4jtFju9nlLL8GxddYYD7WEFt1w3GLr4+KX94SN7newJ/ KFFik4A+CrHZsly0zkRwLn22TXvTd8EUG+LVIjaJWwSWnqIoxZhnN8bMl6tUIwBSFlo0uN3t2BDL Aj5WLfCZn8e53WrhhdayaQO3ap5nWk3+76Raor+MM0mDaDmoy+Ngb/YNpyyDqQ3QJAHBmSootcRe PKmJXB4SjXtgBziCpBWXtrQ3Z0R5rk1Ds2xcAlr5+V/sDOYBKLJKYCnjkx0KB/LLUvTDCGQtbCrL hX8PRKIPAZpJldF+tbI5V6mObeWIseG/0b7gikkAFZfuly6UTdnomPbsX/tZp8Px0HvuZoYpBgle BikGYSc7CLL/cNJLhEP5B1wBDpXhr1HyX44Eqf7jvPaD6acg8APVrRH4QIZLqjzD1wMsENe6/FUQ zRkD9wFBVOzZlqWfdXRwkQQJafpxKKh3bpKWQYJjhow58T4hmumCWYm/rHOjqjQG4p7xKRM5lIa8 2mH/ePmXHcKzrkazWnccWHru3W/MtIadBGHSm6+YJxg6YmlwSzeZYF6QovANiayoXgDf8Qu4mEUu zwvDYOJU/sHFiOJJAdT8o3liBBRG7GR3OtQoRmHfWjBC0t2yfhBXqSCdxhzxXCzfggmmVxsm2Bfx l3iFNiquXNo+7eCmykmfNYzH+maCC8Y/6W2nt/DvP4yn6E4KIs7oOTLDqsQriCX0zeVivkVOS9jm J9uFGZzqacrZIn7BKANS66CKZQtuwrJ6iZ6MJh2EApijIimSh9yQiU2RNQZnBIMxc7061h1tv4Y+ VBsSzd7NiTWs9Us96vhi4EsG5BXanXW1qaG50dHcplM7idPg1n7rMbquwMZ+U8gbfR4B4E3Wu5pO QHCZGo8G4e9TRLs22KfynXnqeTbNVcTJqEkHlAf7kvO6D4xML77V21RPmx7ZKJQlpB83GGzA2sGw 8nVh6+3xxGUEr+4UA5gHAN063mgmCmC1Kg5s8qInGFO0Nkj0YKsitwSFkxWMQFqG+SOP5xtzwNGl u044p/bRnjfiEIoGJLn1wNOVBqFd5QrO0vcdwpQHYJNoY/LMARd40qFGZ7DG45ktimiT4JBTxfTb RXEtLxdx7vPkhuKDpMMLLM7T0ZQM9IJk `protect end_protected
gpl-2.0
9e9592cb8436349a6434b4f73d993ab2
0.94506
1.846611
false
false
false
false
UVVM/UVVM_All
uvvm_util/src/string_methods_pkg.vhd
1
64,137
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library ieee; use ieee.std_logic_1164.all; use std.textio.all; use ieee.math_real.all; use work.types_pkg.all; use work.adaptations_pkg.all; package string_methods_pkg is -- Need a low level "alert" in the form of a simple assertion (as string handling may also fail) procedure bitvis_assert( val : boolean; severeness : severity_level; msg : string; scope : string ); -- DEPRECATED. -- Function will be removed in future versions of UVVM-Util function justify( val : string; width : natural := 0; justified : side := RIGHT; format: t_format_string := AS_IS -- No defaults on 4 first param - to avoid ambiguity with std.textio ) return string; -- DEPRECATED. -- Function will be removed in future versions of UVVM-Util function justify( val : string; justified : side; width : natural; format_spaces : t_format_spaces; truncate : t_truncate_string ) return string; function justify( val : string; justified : t_justify_center; width : natural; format_spaces : t_format_spaces; truncate : t_truncate_string ) return string; function pos_of_leftmost( target : character; vector : string; result_if_not_found : natural := 1 ) return natural; function pos_of_rightmost( target : character; vector : string; result_if_not_found : natural := 1 ) return natural; function pos_of_leftmost_non_zero( vector : string; result_if_not_found : natural := 1 ) return natural; function pos_of_rightmost_non_whitespace( vector : string; result_if_not_found : natural := 1 ) return natural; function valid_length( -- of string excluding trailing NULs vector : string ) return natural; function get_string_between_delimiters( val : string; delim_left : character; delim_right: character; start_from : SIDE; -- search from left or right (Only RIGHT implemented so far) occurrence : positive := 1 -- stop on N'th occurrence of delimeter pair. Default first occurrence ) return string; impure function get_procedure_name_from_instance_name( val : string ) return string; impure function get_process_name_from_instance_name( val : string ) return string; impure function get_entity_name_from_instance_name( val : string ) return string; function return_string_if_true( val : string; return_val : boolean ) return string; function return_string1_if_true_otherwise_string2( val1 : string; val2 : string; return_val : boolean ) return string; function to_upper( val : string ) return string; function fill_string( val : character; width : natural ) return string; function pad_string( val : string; char : character; width : natural; side : side := LEFT ) return string; function replace_backslash_n_with_lf( source : string ) return string; function replace_backslash_r_with_lf( source : string ) return string; function remove_initial_chars( source : string; num : natural ) return string; function wrap_lines( constant text_string : string; constant alignment_pos1 : natural; -- Line position of first aligned character in line 1 constant alignment_pos2 : natural; -- Line position of first aligned character in line 2, etc... constant line_width : natural ) return string; procedure wrap_lines( variable text_lines : inout line; constant alignment_pos1 : natural; -- Line position prior to first aligned character (incl. Prefix) constant alignment_pos2 : natural; constant line_width : natural ); procedure prefix_lines( variable text_lines : inout line; constant prefix : string := C_LOG_PREFIX ); function replace( val : string; target_char : character; exchange_char : character ) return string; procedure replace( variable text_line : inout line; target_char : character; exchange_char : character ); --======================================================== -- Handle missing overloads from 'standard_additions' --======================================================== function to_string( val : boolean; width : natural; justified : side; format_spaces : t_format_spaces; truncate : t_truncate_string := DISALLOW_TRUNCATE ) return string; impure function to_string( val : integer; width : natural; justified : side; format_spaces : t_format_spaces; truncate : t_truncate_string := DISALLOW_TRUNCATE; radix : t_radix := DEC; prefix : t_radix_prefix := EXCL_RADIX; format : t_format_zeros := SKIP_LEADING_0 -- | KEEP_LEADING_0 ) return string; impure function to_string( val : integer; radix : t_radix; prefix : t_radix_prefix; format : t_format_zeros := SKIP_LEADING_0 -- | KEEP_LEADING_0 ) return string; -- This function has been deprecated and will be removed in the next major release -- DEPRECATED function to_string( val : boolean; width : natural; justified : side := right; format: t_format_string := AS_IS ) return string; -- This function has been deprecated and will be removed in the next major release -- DEPRECATED function to_string( val : integer; width : natural; justified : side := right; format : t_format_string := AS_IS ) return string; function to_string( val : std_logic_vector; radix : t_radix; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string; function to_string( val : unsigned; radix : t_radix; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string; function to_string( val : signed; radix : t_radix; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string; function to_string( val : t_slv_array; radix : t_radix := HEX_BIN_IF_INVALID; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string; function to_string( val : t_signed_array; radix : t_radix := HEX_BIN_IF_INVALID; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string; function to_string( val : t_unsigned_array; radix : t_radix := HEX_BIN_IF_INVALID; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string; impure function to_string( val : integer_vector; radix : t_radix := DEC; format : t_format_zeros := SKIP_LEADING_0; -- | KEEP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string; impure function to_string( val : t_natural_vector; radix : t_radix := DEC; format : t_format_zeros := SKIP_LEADING_0; -- | KEEP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string; function to_string( val : real_vector ) return string; function to_string( val : time_vector ) return string; --======================================================== -- Handle types defined at lower levels --======================================================== function to_string( val : t_alert_level; width : natural; justified : side := right ) return string; function to_string( val : t_msg_id; width : natural; justified : side := right ) return string; function to_string( val : t_attention; width : natural; justified : side := right ) return string; function to_string( val : t_check_type; width : natural; justified : side := right ) return string; procedure to_string( val : t_alert_attention_counters; order : t_order := FINAL ); procedure to_string( val : t_check_counters_array; order : t_order := FINAL ); function ascii_to_char( ascii_pos : integer range 0 to 255; ascii_allow : t_ascii_allow := ALLOW_ALL ) return character; function char_to_ascii( char : character ) return integer; -- return string with only valid ascii characters function to_string( val : string ) return string; function add_msg_delimiter( msg : string ) return string; -- Returns a string with a timestamp and a text. Used in report headers function timestamp_header( value : time; txt : string) return string; end package string_methods_pkg; package body string_methods_pkg is -- Need a low level "alert" in the form of a simple assertion (as string handling may also fail) procedure bitvis_assert( val : boolean; severeness : severity_level; msg : string; scope : string ) is begin assert val report LF & C_LOG_PREFIX & " *** " & to_string(severeness) & "*** caused by Bitvis Util > string handling > " & scope & LF & C_LOG_PREFIX & " " & add_msg_delimiter(msg) & LF severity severeness; end; function to_upper( val : string ) return string is variable v_result : string (val'range) := val; variable char : character; begin for i in val'range loop -- NOTE: Illegal characters are allowed and will pass through (check Mentor's std_developers_kit) if ( v_result(i) >= 'a' and v_result(i) <= 'z') then v_result(i) := character'val( character'pos(v_result(i)) - character'pos('a') + character'pos('A') ); end if; end loop; return v_result; end to_upper; function fill_string( val : character; width : natural ) return string is variable v_result : string (1 to maximum(1, width)); begin if (width = 0) then return ""; else for i in 1 to width loop v_result(i) := val; end loop; end if; return v_result; end fill_string; function pad_string( val : string; char : character; width : natural; side : side := LEFT ) return string is variable v_result : string (1 to maximum(1, width)); begin if (width = 0) then return ""; elsif (width <= val'length) then return val(1 to width); else v_result := (others => char); if side = LEFT then v_result(1 to val'length) := val; else v_result(v_result'length-val'length+1 to v_result'length) := val; end if; end if; return v_result; end pad_string; -- This procedure has been deprecated, and will be removed in the near future. function justify( val : string; width : natural := 0; justified : side := RIGHT; format : t_format_string := AS_IS -- No defaults on 4 first param - to avoid ambiguity with std.textio ) return string is constant val_length : natural := val'length; variable result : string(1 to width) := (others => ' '); begin -- return val if width is too small if val_length >= width then if (format = TRUNCATE) then return val(1 to width); else return val; end if; end if; if justified = left then result(1 to val_length) := val; elsif justified = right then result(width - val_length + 1 to width) := val; end if; return result; end function; -- This procedure has been deprecated, and will be removed in the near future. function justify( val : string; justified : side; width : natural; format_spaces : t_format_spaces; truncate : t_truncate_string ) return string is variable v_val_length : natural := val'length; variable v_formatted_val : string (1 to val'length); variable v_num_leading_space : natural := 0; variable v_result : string(1 to width) := (others => ' '); begin -- Remove leading space if format_spaces is SKIP_LEADING_SPACE if format_spaces = SKIP_LEADING_SPACE then -- Find how many leading spaces there are while( (val(v_num_leading_space+1) = ' ') and (v_num_leading_space < v_val_length)) loop v_num_leading_space := v_num_leading_space + 1; end loop; -- Remove leading space if any v_formatted_val := pad_string(remove_initial_chars(val,v_num_leading_space),' ',v_formatted_val'length,LEFT); v_val_length := v_val_length - v_num_leading_space; else v_formatted_val := val; end if; -- Truncate and return if the string is wider that allowed if v_val_length >= width then if (truncate = ALLOW_TRUNCATE) then return v_formatted_val(1 to width); else return v_formatted_val(1 to v_val_length); end if; end if; -- Justify if string is within the width specifications if justified = left then v_result(1 to v_val_length) := v_formatted_val(1 to v_val_length); elsif justified = right then v_result(width - v_val_length + 1 to width) := v_formatted_val(1 to v_val_length); end if; return v_result; end function; function justify( val : string; justified : t_justify_center; width : natural; format_spaces : t_format_spaces; truncate : t_truncate_string ) return string is variable v_val_length : natural := val'length; variable v_start_pos : natural; variable v_formatted_val : string (1 to val'length); variable v_num_leading_space : natural := 0; variable v_result : string(1 to width) := (others => ' '); begin -- Remove leading space if format_spaces is SKIP_LEADING_SPACE if format_spaces = SKIP_LEADING_SPACE then -- Find how many leading spaces there are while( (val(v_num_leading_space+1) = ' ') and (v_num_leading_space < v_val_length)) loop v_num_leading_space := v_num_leading_space + 1; end loop; -- Remove leading space if any v_formatted_val := pad_string(remove_initial_chars(val,v_num_leading_space),' ',v_formatted_val'length,LEFT); v_val_length := v_val_length - v_num_leading_space; else v_formatted_val := val; end if; -- Truncate and return if the string is wider that allowed if v_val_length >= width then if (truncate = ALLOW_TRUNCATE) then return v_formatted_val(1 to width); else return v_formatted_val(1 to v_val_length); end if; end if; -- Justify if string is within the width specifications v_start_pos := natural(ceil((real(width)-real(v_val_length))/real(2))) + 1; v_result(v_start_pos to v_start_pos + v_val_length-1) := v_formatted_val(1 to v_val_length); return v_result; end function; function pos_of_leftmost( target : character; vector : string; result_if_not_found : natural := 1 ) return natural is alias a_vector : string(1 to vector'length) is vector; begin bitvis_assert(vector'length > 0, FAILURE, "String input is empty", "pos_of_leftmost()"); bitvis_assert(vector'ascending, FAILURE, "Only implemented for string(N to M)", "pos_of_leftmost()"); for i in a_vector'left to a_vector'right loop if (a_vector(i) = target) then return i; end if; end loop; return result_if_not_found; end; function pos_of_rightmost( target : character; vector : string; result_if_not_found : natural := 1 ) return natural is alias a_vector : string(1 to vector'length) is vector; begin bitvis_assert(vector'length > 0, FAILURE, "String input is empty", "pos_of_rightmost()"); bitvis_assert(vector'ascending, FAILURE, "Only implemented for string(N to M)", "pos_of_rightmost()"); for i in a_vector'right downto a_vector'left loop if (a_vector(i) = target) then return i; end if; end loop; return result_if_not_found; end; function pos_of_leftmost_non_zero( vector : string; result_if_not_found : natural := 1 ) return natural is alias a_vector : string(1 to vector'length) is vector; begin bitvis_assert(vector'length > 0, FAILURE, "String input is empty", "pos_of_leftmost_non_zero()"); for i in a_vector'left to a_vector'right loop if (a_vector(i) /= '0' and a_vector(i) /= ' ') then return i; end if; end loop; return result_if_not_found; end; function pos_of_rightmost_non_whitespace( vector : string; result_if_not_found : natural := 1 ) return natural is alias a_vector : string(1 to vector'length) is vector; begin bitvis_assert(vector'length > 0, FAILURE, "String input is empty", "pos_of_rightmost_non_whitespace()"); for i in a_vector'right downto a_vector'left loop if a_vector(i) /= ' ' then return i; end if; end loop; return result_if_not_found; end; function valid_length( -- of string excluding trailing NULs vector : string ) return natural is begin return pos_of_leftmost(NUL, vector, vector'length) - 1; end; function string_contains_char( val : string; char : character ) return boolean is alias a_val : string(1 to val'length) is val; begin if (val'length = 0) then return false; else for i in val'left to val'right loop if (val(i) = char) then return true; end if; end loop; -- falls through only if not found return false; end if; end; -- get_*_name -- Note: for sub-programs the following is given: library:package:procedure:object -- Note: for design hierachy the following is given: complete hierarchy from sim-object down to process object -- e.g. 'sbi_tb:i_test_harness:i2_sbi_vvc:p_constructor:v_msg' -- Attribute instance_name also gives [procedure signature] or @entity-name(architecture name) function get_string_between_delimiters( val : string; delim_left : character; delim_right: character; start_from : SIDE; -- search from left or right (Only RIGHT implemented so far) occurrence : positive := 1 -- stop on N'th occurrence of delimeter pair. Default first occurrence ) return string is variable v_left : natural := 0; variable v_right : natural := 0; variable v_start : natural := val'length; variable v_occurrence : natural := 0; alias a_val : string(1 to val'length) is val; begin bitvis_assert(a_val'length > 2, FAILURE, "String input is not wide enough (<3)", "get_string_between_delimiters()"); bitvis_assert(start_from = RIGHT, FAILURE, "Only search from RIGHT is implemented so far", "get_string_between_delimiters()"); loop -- RIGHT v_left := 0; -- default v_right := pos_of_rightmost(delim_right, a_val(1 to v_start), 0); if v_right > 0 then -- i.e. found L1: for i in v_right-1 downto 1 loop -- searching backwards for delimeter if (a_val(i) = delim_left) then v_left := i; v_start := i; -- Previous end delimeter could also be a start delimeter for next section v_occurrence := v_occurrence + 1; exit L1; end if; end loop; -- searching backwards end if; if v_right = 0 or v_left = 0 then return ""; -- No delimeter pair found, and none can be found in the rest (with chars in between) end if; if v_occurrence = occurrence then -- Match if (v_right - v_left) < 2 then return ""; -- no chars in between delimeters else return a_val(v_left+1 to v_right-1); end if; end if; if v_start < 3 then return ""; -- No delimeter pair found, and none can be found in the rest (with chars in between) end if; end loop; -- Will continue until match or not found end; -- ':sbi_tb(func):i_test_harness@test_harness(struct):i2_sbi_vvc@sbi_vvc(struct):p_constructor:instance' -- ':sbi_tb:i_test_harness:i1_sbi_vvc:p_constructor:instance' -- - Process name: Search for 2nd last param in path name -- - Entity name: Search for 3nd last param in path name --':bitvis_vip_sbi:sbi_bfm_pkg:sbi_write[unsigned,std_logic_vector,string,std_logic,std_logic,unsigned, -- std_logic,std_logic,std_logic,std_logic_vector,time,string,t_msg_id_panel,t_sbi_config]:msg' -- - Procedure name: Search for 2nd last param in path name and remove all inside [] impure function get_procedure_name_from_instance_name( val : string ) return string is variable v_line : line; variable v_msg_line : line; impure function return_and_deallocate return string is constant r : string := v_line.all; begin DEALLOCATE(v_line); return r; end function; begin bitvis_assert(val'length > 2, FAILURE, "String input is not wide enough (<3)", "get_procedure_name_from_instance_name()"); write(v_line, get_string_between_delimiters(val, ':', '[', RIGHT)); if (string_contains_char(val, '@')) then write(v_msg_line, string'("Must be called with <sub-program object>'instance_name")); else write(v_msg_line, string'(" ")); end if; bitvis_assert(v_line'length > 0, ERROR, "No procedure name found. " & v_msg_line.all, "get_procedure_name_from_instance_name()"); DEALLOCATE(v_msg_line); return return_and_deallocate; end; impure function get_process_name_from_instance_name( val : string ) return string is variable v_line : line; variable v_msg_line : line; impure function return_and_deallocate return string is constant r : string := v_line.all; begin DEALLOCATE(v_line); return r; end function; begin bitvis_assert(val'length > 2, FAILURE, "String input is not wide enough (<3)", "get_process_name_from_instance_name()"); write(v_line, get_string_between_delimiters(val, ':', ':', RIGHT)); if (string_contains_char(val, '[')) then write(v_msg_line, string'("Must be called with <process-local object>'instance_name")); else write(v_msg_line, string'(" ")); end if; bitvis_assert(v_line'length > 0, ERROR, "No process name found", "get_process_name_from_instance_name()"); return return_and_deallocate; end; impure function get_entity_name_from_instance_name( val : string ) return string is variable v_line : line; variable v_msg_line : line; impure function return_and_deallocate return string is constant r : string := v_line.all; begin DEALLOCATE(v_line); return r; end function; begin bitvis_assert(val'length > 2, FAILURE, "String input is not wide enough (<3)", "get_entity_name_from_instance_name()"); if string_contains_char(val, '@') then -- for path with instantiations write(v_line, get_string_between_delimiters(val, '@', '(', RIGHT)); else -- for path with only a single entity write(v_line, get_string_between_delimiters(val, ':', '(', RIGHT)); end if; if (string_contains_char(val, '[')) then write(v_msg_line, string'("Must be called with <Entity/arch-local object>'instance_name")); else write(v_msg_line, string'(" ")); end if; bitvis_assert(v_line'length > 0, ERROR, "No entity name found", "get_entity_name_from_instance_name()"); return return_and_deallocate; end; function adjust_leading_0( val : string; format : t_format_zeros := SKIP_LEADING_0 ) return string is alias a_val : string(1 to val'length) is val; constant leftmost_non_zero : natural := pos_of_leftmost_non_zero(a_val, 1); begin if val'length <= 1 then return val; end if; if format = SKIP_LEADING_0 then return a_val(leftmost_non_zero to val'length); else return a_val; end if; end function; function return_string_if_true( val : string; return_val : boolean ) return string is begin if return_val then return val; else return ""; end if; end function; function return_string1_if_true_otherwise_string2( val1 : string; val2 : string; return_val : boolean ) return string is begin if return_val then return val1; else return val2; end if; end function; function replace_backslash_n_with_lf( source : string ) return string is variable v_source_idx : natural := 0; variable v_dest_idx : natural := 0; variable v_dest : string(1 to source'length); begin if source'length = 0 then return ""; else if C_USE_BACKSLASH_N_AS_LF then loop v_source_idx := v_source_idx + 1; v_dest_idx := v_dest_idx + 1; if (v_source_idx < source'length) then if (source(v_source_idx to v_source_idx +1) /= "\n") then v_dest(v_dest_idx) := source(v_source_idx); else v_dest(v_dest_idx) := LF; v_source_idx := v_source_idx + 1; -- Additional increment as two chars (\n) are consumed if (v_source_idx = source'length) then exit; end if; end if; else -- Final character in string v_dest(v_dest_idx) := source(v_source_idx); exit; end if; end loop; else v_dest := source; v_dest_idx := source'length; end if; return v_dest(1 to v_dest_idx); end if; end; function replace_backslash_r_with_lf( source : string ) return string is variable v_source_idx : natural := 0; variable v_dest_idx : natural := 0; variable v_dest : string(1 to source'length); begin if source'length = 0 then return ""; else if C_USE_BACKSLASH_R_AS_LF then loop if (source(v_source_idx to v_source_idx+1) = "\r") then v_dest_idx := v_dest_idx + 1; v_dest(v_dest_idx) := LF; v_source_idx := v_source_idx + 2; else exit; end if; end loop; else return ""; end if; end if; return v_dest(1 to v_dest_idx); end; function remove_initial_chars( source : string; num : natural ) return string is begin if source'length <= num then return ""; else return source(1 + num to source'right); end if; end; function wrap_lines( constant text_string : string; constant alignment_pos1 : natural; -- Line position of first aligned character in line 1 constant alignment_pos2 : natural; -- Line position of first aligned character in line 2 constant line_width : natural ) return string is variable v_text_lines : line; variable v_result : string(1 to 2 * text_string'length + alignment_pos1 + 100); -- Margin for aligns and LF insertions variable v_result_width : natural; begin write(v_text_lines, text_string); wrap_lines(v_text_lines, alignment_pos1, alignment_pos2, line_width); v_result_width := v_text_lines'length; bitvis_assert(v_result_width <= v_result'length, FAILURE, " String is too long after wrapping. Increase v_result string size.", "wrap_lines()"); v_result(1 to v_result_width) := v_text_lines.all; deallocate(v_text_lines); return v_result(1 to v_result_width); end; procedure wrap_lines( variable text_lines : inout line; constant alignment_pos1 : natural; -- Line position of first aligned character in line 1 constant alignment_pos2 : natural; -- Line position of first aligned character in line 2 constant line_width : natural ) is constant v_string : string(1 to text_lines'length) := text_lines.all; constant v_string_width : natural := text_lines'length; variable v_line_no : natural := 0; variable v_last_string_wrap : natural := 0; variable v_min_string_wrap : natural; variable v_max_string_wrap : natural; begin deallocate(text_lines); -- empty the line prior to filling it up again l_line: loop -- For every tekstline found in text_lines v_line_no := v_line_no + 1; -- Find position to wrap in v_string if (v_line_no = 1) then v_min_string_wrap := 1; -- Minimum 1 character of input line v_max_string_wrap := minimum(line_width - alignment_pos1 + 1, v_string_width); write(text_lines, fill_string(' ', alignment_pos1 - 1)); else v_min_string_wrap := v_last_string_wrap + 1; -- Minimum 1 character further into the inpit line v_max_string_wrap := minimum(v_last_string_wrap + (line_width - alignment_pos2 + 1), v_string_width); write(text_lines, fill_string(' ', alignment_pos2 - 1)); end if; -- 1. First handle any potential explicit line feed in the current maximum text line -- Search forward for potential LF for i in (v_last_string_wrap + 1) to minimum(v_max_string_wrap + 1, v_string_width) loop if (character(v_string(i)) = LF) then write(text_lines, v_string((v_last_string_wrap + 1) to i)); -- LF now terminates this part v_last_string_wrap := i; next l_line; -- next line end if; end loop; -- 2. Then check if remaining text fits into a single text line if (v_string_width <= v_max_string_wrap) then -- No (more) wrapping required write(text_lines, v_string((v_last_string_wrap + 1) to v_string_width)); exit; -- No more lines end if; -- 3. Search for blanks from char after max msg width and downwards (in the left direction) for i in v_max_string_wrap + 1 downto (v_last_string_wrap + 1) loop if (character(v_string(i)) = ' ') then write(text_lines, v_string((v_last_string_wrap + 1) to i-1)); -- Exchange last blank with LF v_last_string_wrap := i; if (i = v_string_width ) then exit l_line; end if; -- Skip any potential extra blanks in the string for j in (i+1) to v_string_width loop if (v_string(j) = ' ') then v_last_string_wrap := j; if (j = v_string_width ) then exit l_line; end if; else write(text_lines, LF); -- Exchange last blanks with LF, provided not at the end of the string exit; end if; end loop; next l_line; -- next line end if; end loop; -- 4. At this point no LF or blank is found in the searched section of the string. -- Hence just break the string - and continue. write(text_lines, v_string((v_last_string_wrap + 1) to v_max_string_wrap) & LF); -- Added LF termination v_last_string_wrap := v_max_string_wrap; end loop; end; procedure prefix_lines( variable text_lines : inout line; constant prefix : string := C_LOG_PREFIX ) is constant v_string : string(1 to text_lines'length) := text_lines.all; constant v_string_width : natural := text_lines'length; constant prefix_width : natural := prefix'length; variable v_last_string_wrap : natural := 0; variable i : natural := 0; -- for indexing v_string begin deallocate(text_lines); -- empty the line prior to filling it up again l_line : loop -- 1. Write prefix write(text_lines, prefix); -- 2. Write rest of text line (or rest of input line if no LF) l_char: loop i := i + 1; if (i < v_string_width) then if (character(v_string(i)) = LF) then write(text_lines, v_string((v_last_string_wrap + 1) to i)); v_last_string_wrap := i; exit l_char; end if; else -- 3. Reached end of string. Hence just write the rest. write(text_lines, v_string((v_last_string_wrap + 1) to v_string_width)); -- But ensure new line with prefix if ending with LF if (v_string(i) = LF) then write(text_lines, prefix); end if; exit l_char; end if; end loop; if (i = v_string_width) then exit; end if; end loop; end; function replace( val : string; target_char : character; exchange_char : character ) return string is variable result : string(1 to val'length) := val; begin for i in val'range loop if val(i) = target_char then result(i) := exchange_char; end if; end loop; return result; end; procedure replace( variable text_line : inout line; target_char : character; exchange_char : character ) is variable v_string : string(1 to text_line'length) := text_line.all; variable v_string_width : natural := text_line'length; variable i : natural := 0; -- for indexing v_string begin if v_string_width > 0 then deallocate(text_line); -- empty the line prior to filling it up again -- 1. Loop through string and replace characters l_char: loop i := i + 1; if (i < v_string_width) then if (character(v_string(i)) = target_char) then v_string(i) := exchange_char; end if; else -- 2. Reached end of string. Hence just write the new string. write(text_line, v_string); exit l_char; end if; end loop; end if; end; --======================================================== -- Handle missing overloads from 'standard_additions' + advanced overloads --======================================================== function to_string( val : boolean; width : natural; justified : side; format_spaces : t_format_spaces; truncate : t_truncate_string := DISALLOW_TRUNCATE ) return string is begin return justify(to_string(val), justified, width, format_spaces, truncate); end; impure function to_string( val : integer; width : natural; justified : side; format_spaces : t_format_spaces; truncate : t_truncate_string := DISALLOW_TRUNCATE; radix : t_radix := DEC; prefix : t_radix_prefix := EXCL_RADIX; format : t_format_zeros := SKIP_LEADING_0 -- | KEEP_LEADING_0 ) return string is variable v_val_slv : std_logic_vector(31 downto 0) := std_logic_vector(to_signed(val, 32)); variable v_line : line; variable v_width : natural; variable v_use_end_char : boolean := false; impure function return_and_deallocate return string is constant r : string := v_line.all; begin DEALLOCATE(v_line); return r; end function; begin if radix = DEC then if prefix = INCL_RADIX then write(v_line, string'("d""")); v_use_end_char := true; end if; write(v_line, justify(to_string(val), justified, width, format_spaces, truncate)); elsif radix = BIN then if prefix = INCL_RADIX then write(v_line, string'("b""")); v_use_end_char := true; end if; write(v_line, adjust_leading_0(justify(to_string(v_val_slv), justified, width, format_spaces, truncate), format)); else -- HEX if prefix = INCL_RADIX then write(v_line, string'("x""")); v_use_end_char := true; end if; write(v_line, adjust_leading_0(justify(to_hstring(v_val_slv), justified, width, format_spaces, truncate), format)); end if; if v_use_end_char then write(v_line, string'("""")); end if; return return_and_deallocate; end; impure function to_string( val : integer; radix : t_radix; prefix : t_radix_prefix; format : t_format_zeros := SKIP_LEADING_0 -- | KEEP_LEADING_0 ) return string is variable v_line : line; variable v_len : natural; begin write(v_line, to_string(val)); v_len := v_line'length; deallocate(v_line); return to_string(val, v_len, LEFT, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE, radix, prefix, format); end; -- This function has been deprecated and will be removed in the next major release function to_string( val : boolean; width : natural; justified : side := right; format : t_format_string := AS_IS ) return string is begin return justify(to_string(val), width, justified, format); end; -- This function has been deprecated and will be removed in the next major release function to_string( val : integer; width : natural; justified : side := right; format : t_format_string := AS_IS ) return string is begin return justify(to_string(val), width, justified, format); end; function to_string( val : std_logic_vector; radix : t_radix; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string is variable v_line : line; alias a_val : std_logic_vector(val'length - 1 downto 0) is val; variable v_result : string(1 to 10 + 2 * val'length); -- variable v_width : natural; variable v_use_end_char : boolean := false; begin if val'length = 0 then -- Value length is zero, -- return empty string. return ""; end if; if radix = BIN then if prefix = INCL_RADIX then write(v_line, string'("b""")); v_use_end_char := true; end if; write(v_line, adjust_leading_0(to_string(val), format)); elsif radix = HEX then if prefix = INCL_RADIX then write(v_line, string'("x""")); v_use_end_char := true; end if; write(v_line, adjust_leading_0(to_hstring(val), format)); elsif radix = DEC then -- Assuming that val is not signed if (val'length > 31) then if prefix = INCL_RADIX then write(v_line, string'("x""")); v_use_end_char := true; end if; write(v_line, to_hstring(val) & " (too wide to be converted to integer)" ); else if prefix = INCL_RADIX then write(v_line, string'("d""")); v_use_end_char := true; end if; write(v_line, adjust_leading_0(to_string(to_integer(unsigned(val))), format)); end if; elsif radix = HEX_BIN_IF_INVALID then if prefix = INCL_RADIX then write(v_line, string'("x""")); end if; if is_x(val) then write(v_line, adjust_leading_0(to_hstring(val), format)); if prefix = INCL_RADIX then write(v_line, string'("""")); -- terminate hex value end if; write(v_line, string'(" (b""")); write(v_line, adjust_leading_0(to_string(val), format)); write(v_line, string'("""")); write(v_line, string'(")")); else write(v_line, adjust_leading_0(to_hstring(val), format)); if prefix = INCL_RADIX then write(v_line, string'("""")); end if; end if; end if; if v_use_end_char then write(v_line, string'("""")); end if; v_width := v_line'length; v_result(1 to v_width) := v_line.all; deallocate(v_line); return v_result(1 to v_width); end; function to_string( val : unsigned; radix : t_radix; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string is begin return to_string(std_logic_vector(val), radix, format, prefix); end; function to_string( val : signed; radix : t_radix; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string is variable v_line : line; variable v_result : string(1 to 20 + 2 * val'length); -- variable v_width : natural; variable v_use_end_char : boolean := false; begin -- Support negative numbers by _not_ using the slv overload when converting to decimal if radix = DEC then if val'length = 0 then -- Value length is zero, -- return empty string. return ""; end if; if (val'length > 32) then write(v_line, to_string(std_logic_vector(val), HEX, format, prefix) & " (too wide to be converted to integer)" ); else if prefix = INCL_RADIX then write(v_line, string'("d""")); v_use_end_char := true; end if; write(v_line, adjust_leading_0(to_string(to_integer(signed(val))), format)); end if; if v_use_end_char then write(v_line, string'("""")); end if; v_width := v_line'length; v_result(1 to v_width) := v_line.all; deallocate(v_line); return v_result(1 to v_width); else -- No decimal convertion: May be treated as slv, so use the slv overload return to_string(std_logic_vector(val), radix, format, prefix); end if; end; function to_string( val : t_slv_array; radix : t_radix := HEX_BIN_IF_INVALID; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string is -- helper function to prevent null arrays function get_string_len(val : t_slv_array) return integer is variable v_parantheses : integer := 2; -- parentheses variable v_commas : integer := 0; -- commas variable v_radix_prefix : integer := 0; -- Radix prefixes variable v_max_array_element_len : integer := 0; -- Maximum length of the array elements variable v_max_ext_msg_len : integer := 0; -- Extra length of element in case of potential message "too wide to convert to integer" begin if val'length > 0 then v_commas := 2 * (val'length - 1); v_radix_prefix := 3 * val'length; v_max_ext_msg_len := 14 * val'length; if val'low >= 0 then v_max_array_element_len := val(val'low)'length * val'length; end if; end if; return (v_parantheses + v_commas + v_radix_prefix + v_max_array_element_len + v_max_ext_msg_len); end function; variable v_line : line; variable v_result : string(1 to get_string_len(val)); variable v_width : natural; begin if val'length = 0 then return ""; else -- Comma-separate all array members and return write(v_line, string'("(")); for idx in val'range loop write(v_line, to_string(val(idx), radix, format, prefix)); if (idx < val'right) and (val'ascending) then write(v_line, string'(", ")); elsif (idx > val'right) and not(val'ascending) then write(v_line, string'(", ")); end if; end loop; write(v_line, string'(")")); v_width := v_line'length; v_result(1 to v_width) := v_line.all; deallocate(v_line); return v_result(1 to v_width); end if; end function; function to_string( val : t_signed_array; radix : t_radix := HEX_BIN_IF_INVALID; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string is -- helper function to prevent null arrays function get_string_len(val : t_signed_array) return integer is variable v_parantheses : integer := 2; -- parentheses variable v_commas : integer := 0; -- commas variable v_radix_prefix : integer := 0; -- Radix prefixes variable v_max_array_element_len : integer := 0; -- Maximum length of the array elements variable v_max_ext_msg_len : integer := 0; -- Extra length of element in case of potential message "too wide to convert to integer" begin if val'length > 0 then v_commas := 2 * (val'length - 1); v_radix_prefix := 3 * val'length; v_max_ext_msg_len := 14 * val'length; if val'low >= 0 then v_max_array_element_len := val(val'low)'length * val'length; end if; end if; return (v_parantheses + v_commas + v_radix_prefix + v_max_array_element_len + v_max_ext_msg_len); end function; variable v_line : line; variable v_result : string(1 to get_string_len(val)); variable v_width : natural; begin if val'length = 0 then return ""; else -- Comma-separate all array members and return write(v_line, string'("(")); for idx in val'range loop write(v_line, to_string(val(idx), radix, format, prefix)); if (idx < val'right) and (val'ascending) then write(v_line, string'(", ")); elsif (idx > val'right) and not(val'ascending) then write(v_line, string'(", ")); end if; end loop; write(v_line, string'(")")); v_width := v_line'length; v_result(1 to v_width) := v_line.all; deallocate(v_line); return v_result(1 to v_width); end if; end function; function to_string( val : t_unsigned_array; radix : t_radix := HEX_BIN_IF_INVALID; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string is -- helper function to prevent null arrays function get_string_len(val : t_unsigned_array) return integer is variable v_parantheses : integer := 2; -- parentheses variable v_commas : integer := 0; -- commas variable v_radix_prefix : integer := 0; -- Radix prefixes variable v_max_array_element_len : integer := 0; -- Maximum length of the array elements variable v_max_ext_msg_len : integer := 0; -- Extra length of element in case of potential message "too wide to convert to integer" begin if val'length > 0 then v_commas := 2 * (val'length - 1); v_radix_prefix := 3 * val'length; v_max_ext_msg_len := 14 * val'length; if val'low >= 0 then v_max_array_element_len := val(val'low)'length * val'length; end if; end if; return (v_parantheses + v_commas + v_radix_prefix + v_max_array_element_len + v_max_ext_msg_len); end function; variable v_line : line; variable v_result : string(1 to get_string_len(val)); variable v_width : natural; begin if val'length = 0 then return ""; else -- Comma-separate all array members and return write(v_line, string'("(")); for idx in val'range loop write(v_line, to_string(val(idx), radix, format, prefix)); if (idx < val'right) and (val'ascending) then write(v_line, string'(", ")); elsif (idx > val'right) and not(val'ascending) then write(v_line, string'(", ")); end if; end loop; write(v_line, string'(")")); v_width := v_line'length; v_result(1 to v_width) := v_line.all; deallocate(v_line); return v_result(1 to v_width); end if; end function; impure function to_string( val : integer_vector; radix : t_radix := DEC; format : t_format_zeros := SKIP_LEADING_0; -- | KEEP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string is variable v_line : line; variable v_result : string(1 to 2 + -- parentheses 2*(val'length - 1) + -- commas 32*val'length); variable v_width : natural; begin if val'length = 0 then return ""; else -- Comma-separate all array members and return write(v_line, string'("(")); for idx in val'range loop write(v_line, to_string(val(idx), radix, prefix, format)); if (idx < val'right) and (val'ascending) then write(v_line, string'(", ")); elsif (idx > val'right) and not(val'ascending) then write(v_line, string'(", ")); end if; end loop; write(v_line, string'(")")); v_width := v_line'length; v_result(1 to v_width) := v_line.all; deallocate(v_line); return v_result(1 to v_width); end if; end function; impure function to_string( val : t_natural_vector; radix : t_radix := DEC; format : t_format_zeros := SKIP_LEADING_0; -- | KEEP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string is begin return to_string(integer_vector(val), radix, format, prefix); end function; function to_string( val : real_vector ) return string is variable v_line : line; variable v_result : string(1 to 2 + -- parentheses 2*(val'length - 1) + -- commas 32*val'length); variable v_width : natural; begin if val'length = 0 then return ""; else -- Comma-separate all array members and return write(v_line, string'("(")); for idx in val'range loop write(v_line, to_string(val(idx))); if (idx < val'right) and (val'ascending) then write(v_line, string'(", ")); elsif (idx > val'right) and not(val'ascending) then write(v_line, string'(", ")); end if; end loop; write(v_line, string'(")")); v_width := v_line'length; v_result(1 to v_width) := v_line.all; deallocate(v_line); return v_result(1 to v_width); end if; end function; function to_string( val : time_vector ) return string is variable v_line : line; variable v_result : string(1 to 2 + -- parentheses 2*(val'length - 1) + -- commas 32*val'length); variable v_width : natural; begin if val'length = 0 then return ""; else -- Comma-separate all array members and return write(v_line, string'("(")); for idx in val'range loop write(v_line, to_string(val(idx))); if (idx < val'right) and (val'ascending) then write(v_line, string'(", ")); elsif (idx > val'right) and not(val'ascending) then write(v_line, string'(", ")); end if; end loop; write(v_line, string'(")")); v_width := v_line'length; v_result(1 to v_width) := v_line.all; deallocate(v_line); return v_result(1 to v_width); end if; end function; --======================================================== -- Handle types defined at lower levels --======================================================== function to_string( val : t_alert_level; width : natural; justified : side := right ) return string is constant inner_string : string := t_alert_level'image(val); begin return to_upper(justify(inner_string, justified, width)); end function; function to_string( val : t_msg_id; width : natural; justified : side := right ) return string is constant inner_string : string := t_msg_id'image(val); begin return to_upper(justify(inner_string, justified, width)); end function; function to_string( val : t_attention; width : natural; justified : side := right ) return string is begin return to_upper(justify(t_attention'image(val), justified, width)); end; function to_string( val : t_check_type; width : natural; justified : side := right ) return string is constant inner_string : string := t_check_type'image(val); begin return to_upper(justify(inner_string, justified, width)); end function; procedure to_string( val : t_alert_attention_counters; order : t_order := FINAL ) is variable v_line : line; variable v_line_copy : line; variable v_more_than_expected_alerts : boolean := false; variable v_less_than_expected_alerts : boolean := false; variable v_more_than_expected_minor_alerts : boolean := false; variable v_less_than_expected_minor_alerts : boolean := false; constant prefix : string := C_LOG_PREFIX & " "; -- NOTE, TB_NOTE, WARNING, TB_WARNING, MANUAL_CHECK begin if order = INTERMEDIATE then write(v_line, LF & fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & "*** INTERMEDIATE SUMMARY OF ALL ALERTS ***" & LF & fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & " REGARDED EXPECTED IGNORED Comment?" & LF); else -- order=FINAL write(v_line, LF & fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & "*** FINAL SUMMARY OF ALL ALERTS ***" & LF & fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & " REGARDED EXPECTED IGNORED Comment?" & LF); end if; for i in NOTE to t_alert_level'right loop write(v_line, " " & to_upper(to_string(i, 13, LEFT)) & ": "); -- Severity for j in t_attention'left to t_attention'right loop write(v_line, to_string(integer'(val(i)(j)), 6, RIGHT, KEEP_LEADING_SPACE) & " "); end loop; if (val(i)(REGARD) = val(i)(EXPECT)) then write(v_line, " ok" & LF); else write(v_line, " *** " & to_string(i,0) & " ***" & LF); if (i > MANUAL_CHECK) then if (val(i)(REGARD) < val(i)(EXPECT)) then v_less_than_expected_alerts := true; else v_more_than_expected_alerts := true; end if; else if (val(i)(REGARD) < val(i)(EXPECT)) then v_less_than_expected_minor_alerts := true; else v_more_than_expected_minor_alerts := true; end if; end if; end if; end loop; write(v_line, fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF); -- Print a conclusion when called from the FINAL part of the test sequencer -- but not when called from in the middle of the test sequence (order=INTERMEDIATE) if order = FINAL then if v_more_than_expected_alerts then write(v_line, ">> Simulation FAILED, with unexpected serious alert(s)" & LF); elsif v_less_than_expected_alerts then write(v_line, ">> Simulation FAILED: Mismatch between counted and expected serious alerts" & LF); elsif v_more_than_expected_minor_alerts or v_less_than_expected_minor_alerts then write(v_line, ">> Simulation SUCCESS: No mismatch between counted and expected serious alerts, but mismatch in minor alerts" & LF); else write(v_line, ">> Simulation SUCCESS: No mismatch between counted and expected serious alerts" & LF); end if; write(v_line, fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & LF); end if; wrap_lines(v_line, 1, 1, C_LOG_LINE_WIDTH-prefix'length); prefix_lines(v_line, prefix); -- Write the info string to the target file write (v_line_copy, v_line.all); -- copy line writeline(OUTPUT, v_line); writeline(LOG_FILE, v_line_copy); deallocate(v_line); deallocate(v_line_copy); end; procedure to_string( val : t_check_counters_array; order : t_order := FINAL ) is variable v_line : line; variable v_line_copy : line; variable v_more_than_expected_alerts : boolean := false; variable v_less_than_expected_alerts : boolean := false; constant prefix : string := C_LOG_PREFIX & " "; begin if order = INTERMEDIATE then write(v_line, LF & fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & "*** INTERMEDIATE SUMMARY OF ALL CHECK COUNTERS ***" & LF & fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF); else -- order=FINAL write(v_line, LF & fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & "*** FINAL SUMMARY OF ALL CHECK COUNTERS ***" & LF & fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF); end if; for i in CHECK_VALUE to t_check_type'right loop write(v_line, " " & to_upper(to_string(i, 22, LEFT)) & ": "); write(v_line, to_string(integer'(val(i)), 10, RIGHT, KEEP_LEADING_SPACE) & " "); write(v_line, "" & LF); end loop; write(v_line, fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & LF); wrap_lines(v_line, 1, 1, C_LOG_LINE_WIDTH-prefix'length); prefix_lines(v_line, prefix); -- Write the info string to the target file write (v_line_copy, v_line.all); -- copy line writeline(OUTPUT, v_line); writeline(LOG_FILE, v_line_copy); deallocate(v_line); deallocate(v_line_copy); end; -- Convert from ASCII to character -- Inputs: -- ascii_pos (integer) : ASCII number input -- ascii_allow (t_ascii_allow) : Decide what to do with invisible control characters: -- - If ascii_allow = ALLOW_ALL (default) : return the character for any ascii_pos -- - If ascii_allow = ALLOW_PRINTABLE_ONLY : return the character only if it is printable function ascii_to_char( ascii_pos : integer range 0 to 255; -- Supporting Extended ASCII ascii_allow : t_ascii_allow := ALLOW_ALL ) return character is variable v_printable : boolean := true; begin if ascii_pos < 32 or -- NUL, SOH, STX etc (ascii_pos >= 128 and ascii_pos < 160) then -- C128 to C159 v_printable := false; end if; if ascii_allow = ALLOW_ALL or (ascii_allow = ALLOW_PRINTABLE_ONLY and v_printable) then return character'val(ascii_pos); else return ' '; -- Must return something when invisible control signals end if; end; -- Convert from character to ASCII integer function char_to_ascii( char : character ) return integer is begin return character'pos(char); end; -- return string with only valid ascii characters function to_string( val : string ) return string is variable v_new_string : string(1 to val'length); variable v_char_idx : natural := 0; variable v_ascii_pos : natural; begin for i in val'range loop v_ascii_pos := character'pos(val(i)); if (v_ascii_pos < 32 and v_ascii_pos /= 10) or -- NUL, SOH, STX etc, LF(10) is not removed. (v_ascii_pos >= 128 and v_ascii_pos < 160) then -- C128 to C159 -- illegal char null; else -- legal char v_char_idx := v_char_idx + 1; v_new_string(v_char_idx) := val(i); end if; end loop; if v_char_idx = 0 then return ""; else return v_new_string(1 to v_char_idx); end if; end; function add_msg_delimiter( msg : string ) return string is begin if msg'length /= 0 then if valid_length(msg) /= 1 then if msg(1) = C_MSG_DELIMITER then return msg; else return C_MSG_DELIMITER & msg & C_MSG_DELIMITER; end if; end if; end if; return ""; end; -- Returns a string with a timestamp and a text. Used in report headers function timestamp_header( value : time; txt : string) return string is variable v_line : line; variable v_delimiter_pos : natural; variable v_timestamp_width : natural; variable v_result : string(1 to 50); variable v_return : string(1 to txt'length) := txt; begin -- get a time stamp write(v_line, value, LEFT, 0, C_LOG_TIME_BASE); v_timestamp_width := v_line'length; v_result(1 to v_timestamp_width) := v_line.all; deallocate(v_line); v_delimiter_pos := pos_of_leftmost('.', v_result(1 to v_timestamp_width), 0); -- truncate decimals and add units if v_delimiter_pos > 0 then if C_LOG_TIME_BASE = ns then v_result(v_delimiter_pos+2 to v_delimiter_pos+4) := " ns"; else v_result(v_delimiter_pos+2 to v_delimiter_pos+4) := " ps"; end if; v_timestamp_width := v_delimiter_pos + 4; end if; -- add a space after the timestamp v_timestamp_width := v_timestamp_width + 1; v_result(v_timestamp_width to v_timestamp_width) := " "; -- add time string to return string v_return := v_result(1 to v_timestamp_width) & txt(1 to txt'length-v_timestamp_width); return v_return(1 to txt'length); end function timestamp_header; end package body string_methods_pkg;
mit
639170be17fc6adcb2ed485e71752b7a
0.5794
3.762142
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xbip_dsp48_addsub_v3_0/hdl/xbip_dsp48_addsub_synth.vhd
7
13,825
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SZAbBmpUfD5ozweDzUHtIHBTFS0wmSEUMml3NG7oWzzpq9h7FyQM9UfUuDa+M7+ykthCfpc/DzS+ kqhn4hSk8w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HuGMKwxuXNxMcghE/GJFS/wxfq3LiAAesVDlmk6Na48Ir/5UwIdCVd/Tg5HrZf+KU6gp1qFmbrSH h/aEMxS59quN7kzTEAmoUd5wjstgqog0EKrEUm2IvMjZHKL2GTs9WBCMYsvz53ISua8k/3Aec6HH /i+ixayklLL/5/fUg/8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qwaf158+hJIxNVkYOLk9muNis9L++S4TPOfMlH00Qntq+MmPi/AlG689BTt/++GeNO6BzuUczSGr tjcuZ5f0kPejMyQwZUvrqi+9163dRWTUJU4s4jZ9edLBHScrToJG+KOh8iVyBRUGgpdOaWX5TMiO ZP/SOkddNNiINB+BIlskWeqTF7ft9DbMB6ecCpmPcrZ+6TYyLxsc8DGylZmMu9zovCpWHXjalRPN HcOhREeEI2a6wBtye5SBzUKkdzM9qPnKuq35Lj01lMVIvZF8NnCLyBHwLGI4VPcxp8F7bXhs+Yp5 l35tyvWZMN80iPu0EVIGpmf3rCcgQCpuWUBDxQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kl78EerWY0bVN5z5HzIrBj5vtNkd1TAiDBdzPO2GvtuQqRS3vaVePNIfkLXTtd4LjjkPlGkLgyEq IHessHQhF9Wdghxd1aSK3+FBgmSWnYMhixKu+06irEzkzNKJ5n7cLFGgyjK1Y1CsSKSfoCOPQAb4 BsmaqbcPT+eO7jqw7Ac= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block AM0d/N2r7QBnyjXpS6pvKNrSnmlYb1neqEANesdyL9vKWygM/r1fbwnkLuf1s6DiZo1g1t3U5oNc OnGfbmjqY4bMJThQ29v96CcFkhStUY10WM+ZIYCe07E7JadlYm+Yje7HNg8fyMQSRERdSaUgGUKN ebjg6QXDtVfjKr8pxg1p8ZrCnLSmJwze7VJCt3k7hsv5PrHPablH/hv53vildcNDCrcFR7nQGK4l 3MCO+iWFWhenJtFVRTFcYW5E+aTkpU7iiAmLUFmJUWHxqd6Koe5c8JieweIRn185Ct/+V/J4z+EX QmVZJqwIJNZMK4LGPycLJb/Aj7JUX/wqYu33uA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8496) `protect data_block MCkI/694pleJA1pr7tXAaA6+n+dlbSUb1qTEDLKCwhSEseyGboeGvoWB9Ia3Nu/dHeUAZvk0PYkr ciPVOIS98l1Dw3YEDOA5IVY1MTTXAn4SQNu3SmDrBRFlOwP/o2+2rvapa/QUbT01nwjUQZ9od4fg TmlSlyxaoF/iK8wkOei/+FktLdIcCxTI9WEu9K94b7ZJvIdPjHgSFujJfMNx5669z4CLBQxrMIUa b3aN1bku+fP3awU1FMr88ISp3rfEpkQi1LW7QEByA8Xz8nzkX2eNM8WqiF9igPgz0idt7gO9Pa73 pgORo15qvL/Jwm+Ar6dOdUCHFqoDxiM7BUTvpD0EC0XSCku18u8FC3v9bY60QqOrUooLQk4I00oA xOzSMpqITX6D6OZcOV2S0j+QNKW5+PhGF3/KH4Qnf9QWgVyFdmIP486kXWQgmcBadY/9HYdc1p8g iu7yQuCzGkXSzqeOXxWXmyTCVf6uS6xkh50p7uQ4LdnLvgVj0OOaIIn8jjoBvr1nk4fz+HCp344x Vrnau/ubmDPPWjESImsKp4Z148crNdUrtVI/gmPJqSAaWlDOe2+MjmETAg0wlw7XhM+Yhe1+FO/b ia2yJDgvo78h0VI201znHJTZUB8cAKbjY+i4O5if+UsMQ+RSuEPeJj8tSJrdXd8Ivi6ER37xwpeG oqUn8/DNbH6z1qbED2qOpvvQyoM1DY6oRpegsuLZLOt8noR78vUED2kaI2N8tcFNjnNCv7G6oRDp dlAXCfhKDCx7tFwjPdV5ojSCptjNol0ygjcIkA2P7LmIEJor/VWAEhGszkJ12KnYE9SVyZ5YeRFl VxZVO1SXtJHyde09dRV265LyJ0q3Ra4GMgbuyoakQaY9KZ7xtnetLeLMM9jvqdd0Fbn3ThHq1vNQ REOFp0QooWAfDJf3tAueNekTu3jcfM3NeKIUqe4xjtBF//ecIaIN1fLk/FpzynHUcgtd/+d5Zr1k PaJj1aYe29fCQmmyATjW9dvReoAwsWf3U3U06yb0y4OxgDnnhXS+u72tzIDv7AlTczh6W9dZ1r69 lGQItFXWVmcc3ceboM+7Q36rWDzyIc/6FOr12Zc1KTenQKDmqB8wN9ZzJrYYINpAE0kzD4sFJobk UwJnRsVTNZORggdUE6Lnaqy8K1Ri7pbNogGQnWJjUJwBbqlsyzLrF3OYLoaoS2tE4QaaFiWuk7tZ ZLDWPEd+JOCu/s+ta6RrDzx5bctVR93kRYjfPe4GOb0kQLQAa57i56iOP/KAqsgbJIHBRdKa+oQW n7GqSCiVpQXHkW5CAnyx0ydsd9EFDkAlPKr33ADVSehOGKLepOfJb0RI9Lz0vyOfLj9T5E6szy1R gQAiimv56AkimArNyEV2SuHruMljIOFFvVn9vKTAvwl+haoTm2Y715/NVNVfMoh9+eNJg4AH5Kqy lqCghm04QG9vM2N5wZGy7E4Gv082Cew3cKaXYpyJ1RFehkgO80r1qJrprLCQaw/XHs+PB26Cf62p lq0+7e9Y2Y4PL1U+3FMFFZ54p5rhW8/TZ6Z/IKFkOCbeWkP9NoV9VXj2H7CkvVnfCNBQXUYtNJ88 Rg8rZoUXU5yydi+GQmYUOmr/y/SCnr+nTP3XqSd71Hu4n0E8dntpMGccMWoaXrcHzS3l8MBsMQ0n NZPte9vv0O5R3SbI2V3ooO0LkVlqepfZRwI8FqR/hMXhhcI52t+jMGLVvqPh9FXiMYXqbVzaOe0W 9tnzHTpGta7DXk4tJKFq+yxZKubFgId/TX4jHJSsxTQKJIyUpG16/+LS2Jtxq2BkDYdsz2DQ+HZk FosxL4bpC1FokvUIWAJxlekbXmmBrOiAl6JbspQjNfBmd1LmDerA/1Q5zKXnY1reSP3sGHWb2/GI rnFW4hzb0J/a+oSSg/HmI41/sfuPwoIczcqKIgtKH8TGn9rHI7E+UBVYPPKrCxHJcofYmQyqzcAu lf49Htef28BVA/7T6byZzosd8xd0neHcIqGp1r1RD5Y7qMF8WPFE6urxwWgJIPYIihnSzNFRXquT wqOIlPoI6gWv3vR/OuNHU01W6vrL6CfD/hJABsYpNVWYwROeMhlgj7tdDV5sEeIZVQlyaaoVxxix v93aKf11kaN7PGURI3GfF4nRIBH0WoXbi+dEKcBVD8SbM7aU+4IrggfXvuz+XnyJBphcwiDWzuFK vZTdNJWAW1+bROk5417bnE2kPOVXHft52UpHGAuy7VeNgR3yerbkJKrX2KuV1aEF6gLDCo3eCdin LfdSELFQkg1rcFamhlMMRXOArvLsfv4CaitCXMbbMcuj5T639TVpMNmwRn2tOz37Fd97LaF1wl7H Gj9TNBJvNtgh17LLHftofHJS8tKJNaNCU01V9l8P47F0FZNRtG0p54nJe143Wn0fJ/ITWeuwL6Yq /s6rrqyhfTpmK9qoZIzbACQ0XgdNJaboEvPbD+heYWG4BFJLC62UhZOu1pztoTMxKBMOvfytLvNt IVXMsUF+TLX0tJLetFzVkmzUI9Z+uS1Nc+Yjx1+l4Npw/9tFDrBOVTkm2vI+APsAe1xDxv8Lj31G ZejMkhne2W0LJ0VujX6B7QdPqUVYvGu/sSlm3N/F/FXgXuySIKGakkLUxS34hhPEbMkZxhBVqotq u4jz1kby4HBSQa/FBxDYdTKkOXurUltH4flg74OfvotFD4qtBiJG2DjC7lTa/pD4wOwx/LFrCeEy 7YQERoEGTopk5KHzPHWCiVLUFOOGdAIqL7efwqXF/g9W5rhfAyeMyRQb1slo2Fgftii5SrrWFlWJ B5tAonBtwLUZCv0arxXQx86l1bUc4N8y5tk0sjIpvWgDtaQkj6X3ITGRHoHez1XpkbSx37FPsc3D yVXBMj7C+/rLWpH/NdUeSHc0sdm+Gv7msr9EDSpqcY4ehH+fnhPMEjzy6UppABzwc4zEPStVOSkg YkBw8uso7fiLvejgkRxlDFpic5AxuG97xuwGoyAAloGcLhhW6wT23ELj7BKD47zGANeWqEBrTD2Y o4QKq5CHg78lxoHO+Ag4viF8ObFCbaq0SCiWIZ6BrkZcBBffdmZG9YmsHyIPqdja9Hf8DY2aWUgh xkmbYcTjhT0CeUYoOmwozeVj5ciCTsT6oZPBQqvsUY38Yp79wnVrTvN+HpPm1wv8tWymEmhe+Qv2 rtRX3RyGc7/V3DPSyB4TKMPf+F7zNlsijeONPbnJwF47myQHUXr1BSwMlEznzy4RkofKoL5DqcUC WtXUy75y8dOSqzugLaQk7hFqYljmG0n7DdhTLH6ihHGQD50ZPjF+x0dI5n0nztZWO0AGkjYJUYc7 fQq6vjD7/MxVu8Va6AE09yytJrD9onhWoaOx6ykyoXTGYWSp7PWliq72XIuS70vktZmXZklUNMnD 6Pjh3coojQlv+/JSWtZ4vAhv/2klJXyNMB9au3viS7DibovcGHvPNgMVZ3PxZIpXsISKXNgyLNzu E4YiT3BB9mAEBYZc5D1C5qR0x385lkN3bm1oVZ4R3qupg0wSbIK66CB47G4yFWgovmwWgI5jhbDV oW//x5RGOwBlx4vt9IVOsDIW/DRf3zI88I82VbWmPLbXaZTi5eOOBieeVRYP5NYcTxjORPJzKV0p PY/+4aeNgOdzu+injPXHpl0D9ET8TVf4JZdJRX9p4DF94kJYARq/cvYtrRqyvTHq36QmAeA4h/v1 uwLG9w+BeazC4WhrVvD1BwsTNw8lYNwx/c/6lOdW16s8JE9CpZTN64FSIdivgzO8kyKVgQSfXSaY OoLsQuESpnAMQnQAmQeRcZBfgFMr8Io3+9IMKs65gemgX9Rn7Zlk5NKgoH3NspK3jwYK5BF5Km6c I4vV3df+yfMwz1KLbThetW9UsBki55OONwX4HmEQrpkDtxqNEmdvl1M3GKknW8QnITdoz92I9FQH ZZp3nFgMCBozYfuI/UbE84jZKYWRrxaOdaLhItnjnjimxgbTRYWRMsW52R/bwVzNxlmRyxOCO1Tz bITJ/J8IfG/RkHD68A3FCRvuPaYwXXWn8Oehn1oZrJMg1HwUDk8B3qIZ7fY+9mmSUiGawCH607mm 9v3EjNVSNrj/Zu797xoPpEMen5SSLG2s4lRFnglo4gBJWy16nwiZWYNuSgqtMAYp2zYibIf5PUjg T3NZ0fpQ7M5Vx0fPfTB6ZgHIJLcYApr65jRl+v2GD90OSdm/5SIQ6feyVqODiwOSFJPZSOVVrJlv 2N3Ob8KyQ97kcKslIjiK8i/ChztPv0PNWo3ogJowznBWICkqpFV6S1YF6FIzp9ONNTd/cFzRukSR FG0YkjPRSbY7PgiUqan/d0adSQuEqL6NcoUSo0O+267Ls1Dy/vV/hL3UUiOxTzJqZVWMHp6n473O SxzqJTjNloLYv8cjJhq3GO7OmKnhA7M03U7YCy8OARRr9iWPOkv2PnyVFPlxmwZVxW2GLqsDZyrD 5C/rXHcCjQNTwyG6FyVlnHrVG/AUJsozTEeHm4VjV1bqG9+k4rNlScVRtBjycZ/OoKvA+5uU6icg 6uJH+F8F2pcJWixho6tc8nYjvLTfcenqk1k1Sv4fAZxAEDEkCw+2w5ATn/7eXatHIrMk7bNgzvE/ TbsM2oWUBhnvcB4dqwJo4/FQDxdkWpIe+Ljkr4EBc0x0Mal4m/L+qTIgrSa+s7B1WmdWrVBUGILv u1fzI6ubHmosLGH/Vo2znMlshosL6FjQffBp4ZWtN28ToFkWFteC+Gjws00wQO5X5JJ9R8m/TtzA D6boZWvOnYZ3UCsUsBMyxn0RfryeVlk3mzMxN/QghbIi1O9HBpRAwQmdeKgiAOo8GC1tVmqKQnaT U5gLM1XqVnsOPF1RVKD3RRVYYbnYTp6FIvnVhRQnkvbLV/W5fXDN1MFyJzfJEO0YdDTkoH4i5vO6 EG80Rz//3NyyrF87q2S6ErX2pTYLaSVsAi+dWBcdwTk3hxRb8427X2syszvv2ZD3FetjGKeETqjC 3+BBGJeXrEpQDtsJN7HGQ+eJh2ROBD5/fXi0NlD9ZCXdGrg1eW44hDnUOycZDk6a1ZgRknFCOPQd baG2WMuwktlyDgR7v7GFvk/uICbbUPMDFlW+4ouP1WqlBnBwsUqhXv5+eqmfMI4i198EafmZMKA2 kmXOoepzJa2oBNmm4mJNXRkKwnrGOaO6Faat9XtChGL1AiygzjooD0DcljYiWBm0ZF6AJkgTXKVB DKKwosxa/cg68QiHjgb/5wRPsXZwM8V3UxZ8vVPtST5wJaRYoT7frgyK7IMkuNBrMjEjB472n//2 Qq30v0Hxq0zO9I+pA5jGRVZ5DiQ7wbU71vZTB4r4/6woafKyr5VwmW4BoMCMJsVRqyTW1yOgBhcF 5QEg8L3w6nbE3Y7CU0ReT/V2M3cE3mSSECu9FdhOKROfNC8ZeVOqvkvUNcxyvdv0rPB5eGZUfBqP m7VSBrpsjyXyMMtrgLN9kx0EC4pDNPeJuNULMJKpInEqDyie3Efy3aJ/5eirx9HN44eMRW7A/9aZ JDyd6KraWvR1sFfx7584huHylAUhku+cchwHok2s8Swblgk4slHKfQU5xc26tf+0dA2t5oo2BmCv DRXGpDvqVxCV9mUWywNss0s9y2MkqQmjDtZraBSxliP/M5NDaNK5ILNcSRUItJqM/3RGL5u+Rv0f w+xaUFBmi4hM/f8qVqc2UH/XLnlaxr34nKBXPspjM4vXNHt7m0UrO5IzYjCkiN8mB2qUSHdpI2Qg v54SlDzJ1ZyoauI9hzzF5BXyX3nVZVK3AUHSXWiEwZjEfdLO9q3OyYR7uRZI6kscShlKoZZY9w/Z hqt2WPri3Fb1Zqe5SWXtCPml3FEsNOIkrTzarf3wWkO+ZBTlpbl2dkiuHME8430ZG9OochVSrtdR /AFtlbtJwAUkkXcLWwTYXbDwE8McEA3fmz6IFwOsL1zKe8Ehb2L2puRNisyo1lc5OkLNumfhTVUQ ITKEJ3LPb03IlK7NwKM20ed/XASfsA5NZxr6DlDVEmbzlQdfrn1TLw0/pTe7583A1aBkFlnnc0dC xJTh/tt8A0z59n+7hf3Tzcmfa16Tlul+4ZnnTPmgJvq+oI4azdFA3D15RHp/yUIbt+EUeEegjiEy jrSLCaMfmyrJuwg/bGN2xjaR6Hgr7BnZzp0JoD8OeN1mmzedQd9COp39e28KfodERZpMVVOz2bnA kROrQjaWih8KAPuo7DN/NoKlxRcduRlWyKAw1HS8nCnoTvXwPufeXXth2dCmjYAkneFUZqr2sOFl PmPunQDaLjFRXC6e3bwbz0DVkTS7N6T6L+sVTc7DhQBRCvfoOEQSSjJ9uIRbenF/JAtL33WtiQWp RCUD4HQJ0U6dlHnn4V/xUSn3hEA5tZglQLN1PDRVSHQ6XDxM4RwJgvCbnJ59MpcnWQeob/iQM5mY I2Zro1W0S2u3etLzrAcV1L1iYsoqWpXDAYwGGtXw6qwlRFpv5rlDBGE3Koof/7f7KUzVSLSGAG+4 QOdePFLLfqJUp55rnTpWSDRgnMNNfOkoE1/v+kBMD7kJSxSKsWSvjQL0APVTrzL3k1+dTGjqE/6s 6jYyCiwCYA5orp3l+6WLR2OlU0cTJ8mglka3wffu1iYH7SoJCpSRfXMzJeFAT3P6ywFCUVcyh+wD CrdaBqujanWH5cCMvCQjvyiO0FFe/7YEPAIW8KHNJkjPhMaKZv4UZhnY+ZhuhS/NTL0sZi9on5rP zJuliSRszgXEPLoblyeMBWjt8bBvBsvIwU+b3Xs7bP7fN9i0lMxJrCs9lFIlH5xJ5Janj/4XKfPy GghlQnkI7sVkA5yAlNYeplwCZxkakio9r9SZh2DLOlHYTLWdchjWcQMpgOF55npYtnVnzOxLTQXw 6osu0tjD2s7RzId1m9SfsjG68vl/bNnMMXP2mBIxUiF2eOim/kr3vL8Crc1qRJtAGpBf964DXtWi OtdQmiTrZp8yKo0Pjrzes4auVvu/vdPNUUQ7mxJKAjRAHiHWdREusKtRxPfGnFtycC2dVkvmajBa HtbAy5F/I+PiLKUX83nI1VPM9BcfPVYzanm2GkVsgNDs/aITO0twBwUVSg3iz3y50GiRFEX6h79S bB/I08kWdnJ+Irz4qlPuPGH/z6vNjvDooJSDj4nIAV5gZhUIHyfuigOQcogRO0trLeCz4knk4uxN C3Bv+EMw944DQ9p7lvSlt/U6OayegdeP4c+Ko/35Q0RZh8njdqAXa8BpsWEsoc1VPZ6ZZxWGVxtb gOJE1eedekIoDpQuDO5LqK36vhT9qla+FaSpMHWuP2k+t+oAhmmV/0aPITPa838roh85yiw75r9d UhipldZUbTZ9ZJ0uZKk2FQ+D46QIOzwxTUKhnpkz+4vGNeU8q9/zhWVFJnC57kjh2r4rdrXS9Rl5 Dp4yIQkCs/iQAOtI/Mfbla6NC48dt3rnHdSt3GN/2s7wsSpt5Uu7TVkrNWNctxz/BtNoReCJ41oN VCzcbDBnPJvFU8VS1dvmZb/GI0+hgpv0+KjA5IHRX6FpXw530yHc06hGalaRMVsTCj9D2PhFEiS0 1iQ8Ejq58fDRJqa22z3U64GHqlNZQQIV1GeK2OOkxGu3Y2XiCFt1D8p+086Po40xmdcZg83XT987 Xqisi65yIbR7Xl9l9oxyhC1nA9ysG7WMJOltSHmRatGcRxMdXZOnni1eUBZadAhawDXFcpRM4FHV Btzp2WcoaG7EuMfQNrqc4Ic4uInTQILNtMml/d8pi5ipHhN7RWHxKw9PolPT2t4n8O1zPZUfAyaq UiPpIZDOvKch+2TJVnr8jzpdDPvjeUv0TCPSl2ISzV9ddObC138YavMmqmbBSgHcAKdtpDprgDXV gX6l2kJksbKlgXUy5ouI/xeqjVkqglKITR90SF3hbaa0Tno80p6tfAUp4vaAv36F0dmZX7pcpigB uL2nxQ9uEYeGxcS+HZSfgkCJ0bzpe3KAlOLvS8K5p6ut3RcWlAMBlQa5hCm/8lseTlpp0BHBuqyO t7TiTM/rmtBAyoJw/cFQlQg+7GmSx/AgXxzdAr8J3z1Bf6IYLoccwY/peNfc1hEYQqMG6nQpZFrO useLqcP+kkSR5VhAuG6vlhtieJnRxmKoCdh17MoXNYz0/GDsMPbD4GllFt+R28ZWAyPB6k5FbJcy JkWf25Xzaq0ZKMl9wPkHM90R/soYpKNS7D/Fk6KqNKIfxCVwjuFXvdWh7p4wz32atFJKll7wJwhd MKfj1Blsns6cXozoMsjpHrV54WE9Mw6E65wmerBTohief2iBfHuXzxzdZ0EidCBxqLAuaCh3cXyV 9Yr3qaWdDapWYV6ZpWLsBkM7tR2xV22Bv8wip5oopxJsbaSAcyJZwsR1KyjkY8PFAkKuFh9FM9E1 NMviu+zGJIDgyYPOZ4wRPbKJp1SU+KqnxzQ9gv6GaX0jJClzyUIRJLPxvE1Rw3DmwtbLtXc7Ygcj hm6DCpUm+MSrR9SIHcZXsKgRhbsvv2DKUlxiVJNdOQ1spgrjkBoqwb+g6ocYh49+EG4dxO4QmJSa 0KI4HVDp2V/LcDU2NHhfKK7wkIpGRTTKFv5HvUctRNuogXT+Ioi9N/fnEKVkT4nvPIbkRudBp+vU QtMBnuMlN/kMTfpSO87b/5jc1sDngLgvLzwj7AYbDY+waicChHqNsjhfKFsv87FHoIMY/ep09xdI 4hd8NvnWdUhK0i/9sIbiPa6F29HOp0m6lUklx7UXomFbtlqNoGrKbPBbMMoYkcYV9eXsDTCE5p66 Gl6dP0wixmxR2f8kQX7feo2JcsEzeKLJupPNguZBb3ITRm2AqkQsIecE5IrjpAW/MCpMktWd8Ded equ32u6T/KGLWb0vWOk4XbQrPpMPuGQJpv67KqwBepN/p3pnvyqs9Lg/Om0TAE7F5lolIYf6umHr rxmPAaJhEs2OCJLpFcGH2jdK6YHsW5cJfdWcaWlyFyrj6kyqWwNHHF+rQZ2KP+3gfspqLDxO3N8y RL2MnlDR5Z05EN0JheI2Q9dn0aMXZVwJFTVRUQK+2WTjukFimxSG92GCMk2shElZsgv7quISpDcY pQCznC/HL8QU/UFqd9dxqXFWAoVtUftK5BbvF2kMGKdGxLnmepsiBiJGMEorIefYRsqK0PKxA1Pj xQgm0WLdnuhMAO0aLs1JzK3FhMjeNOUdVr+YqIP6pDvRxIoO3R/dMOl9VMPwP1cfFSFvp2/vbdf+ UbFrsqK3fde5+MAsPapjtJqllyC5FWAw97GxJS+oG2rJ/VQ6nvkjVqkJbUHDIxmASdF8SqWq0G+B Bgh1FhsGXpzgXbkuarPQuFqYdL2a/FLAg/Y3t34GgpEYvgBVY4FW0MpwLRGF2V9ZXSgLIAmmmZ+n p+ETCcdawF1GHvo8xJyxpyliJ4whVUNsrEwNB6AYpnSIGMCLPQLtMpvYP7NDf91YR4QjIK3Q6P4o Dyd0KEu8YHLAJflfe9Q6XLotPkd6rPDIHKRDSZA0eFSLMbEFhYsbWHeOUVqgdAIha0/GY9/dm5xq sXsASzdKOV2tgehYfl76ZwHAeOK9i7fvk4Tg+T4oxTvKqUl8KOlgRI3hXosVtOCVMGt2DziJqDNK SwpXIfjV+8h1a7oLyUlq3dP7LlFVDUbMMVv4EKB9qqyZjBA2JGtyInWNLyig1UpjAi8t+6Es2kRo 0L3dxNp1I1FzAlMfS8q0q9zM1mPCwYuk7VbTZhSmImIgYngg0+AUO8RA93h7K4Ts0sftX5Jh/f/w vxFBJnFrJQCz7Kea9ROl4hJEn0x5P0HnqkmW5LISpWj0ysZ4sgmNWK9mtwnIIg0SLNuuL9CL/NSe FHnd/l8pe1J7f26D0gPpypS/UiqPltvjk5IpMHHiBsEkS5cxxeEmhFNh++SAm49fS2bwwIUw6ML0 MigoanXaMG4R3Wqamrsgj4eEXGnuJ4hHbRVL/zbCzT7rTv825/lls+IxtEd/dVlHguJZRyTtmefv i5py+Gawcge9KpEgb6AcLq5fV+JQvhd/e997CgAF+2su8A1OtMffqvz/BQLbZs7+7kCfBk5JSamp q9EFQbC5rD4Wr3Ct6MpxBhljgHX8vOKZGPbWTuH/tbJisy6gV+NRTjl9CFvAqVjPQg1kOYC9PTjk XE6Y7/mh/TLqr7+NfwAjs6YjhOtsm7iWcg8Ya3smv/bEXs/RS1E6P/0AH31dA8FkuxZQGAMP8MlF 5oVarlE7RyRUSh8c/vsZidYENaOonofR+u7LOKFN0r+ArSzl5QM1zZmRCAB3tUITorgOiJx6M9CV wZMj3JUnayA+qEZFe4Vt8w63TOidXLzhTRyICb5s0JO+AJxAttrHy7ZP2QPDiBBNZfe2W3ekI6dl Hp9yzaDIGMGYxa7+cF8zt+kGUI9V5kVF3tUmpNbZshTP6fBwD31ibEs0W82hrUQpW1XK0QevsyMr w3OQ6D+8cKkGT/hH9DdLUoQarUzBgnfapk/D6wof0o9CbZe2R0Ghj4ihCain+G1U0QyOUNLJSWJo b86p+KWFL+3NSSzfMzM/iagdVd/0Rtmqo5BfvC1bsGiDPI5Mk4ZAUpTbb/PIDk4uEqZvM0wy/2ZP nKJzb51UmY6shysERrLbSNJn7McoFsLEqXT0sGf1CeJUXBTBd0RmSqK8r+bX1LPVTOwClFT1TYZA jSQ69v5rEO1FJbo6HO9VGqlIP5F1TNl1iNYYE6I6IyFr2B8wrhdaRQUfnsMig8hPNqSMIhnSYlfs tbZEyhqEmE6nTvdy5/np43ncIWSnoMScXwVdLJneCZPnkkyzjlzNatR1L14YhyncclD7t/jvQzGP FRYNBpYFrv4TW9MvDNWnciL5vJKJEKXdVG8GLQoaunkYZsPpDIVgaAa5JHvsBWdQ+dEZie+3DE3P LDSeY1XubrEjfbs+GSf7xyV52eJRaoqWE8RCrmUwDzfGSlIBYE2SLIBQ5NuwmiRCW3NXMDlCM5l9 7Wa7StMTMyFrLq2i1V758SP4TGBKZXBQLTaEJY1X3EXa6yVdoe9BfBIxJG7CC9cWP3xfLBAb+2mI Iz+GKRL3ZBSOSMl1ASdVD/naZBazusmJlCzE37vx/CPUYuYejCKd/Nvot35qMSHOnwC3CA2nXZLC yCLRixbHb3syM7ug0nFt4OwN942xgL+nF0c1c1HmSq5uZJ/BYVFWZB/xbUu7BW9QlPM+bg+ch6Al JyngTka73mpwoOqlxNZL4s/IsK6l4cZ2SYhAZabp1BXOqNXm/bUeQBcWkcsKeJDmQWTY4innDJuO gJzU `protect end_protected
gpl-2.0
66fae1a15ab2fdc45b283d69d57fb183
0.932658
1.872545
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/quarter2_sin_tw_table.vhd
2
12,183
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dNRxGTitl9KOkmkd730uho9xoqR8+ddVN9Yglom5BAeOfDaPNFQ+zwu7kwi8De8XfD62FMzXJbQ+ 1vgug/m9gA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oqEez3P6ff6UIN8oBGlfmT6V4c5hyYcm+AOzUJrtMevF/Igu1FsU3igzdN+cIF7qB8KmZzHa15jR Ul0y7YDP/wnW+VlfMe6PpjaCG3utK4ZesndTOEYoxfrx7iOOERiEanQTghLs9E8WjFP1CzV7RT1E URZrlGzVdpq9dVFeSTs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block T8BwQ6cqJbYCE0qEDEpnlgHTdORBKod9tlXBTQ3VABRRfkyMIa0PkBBZAxhAKyO7gjFjbAuSbnCH lh5E+16giN4kb99hPUr9zcwEfMpbj/3w1pxpquJFTp2Ub3c5a1bshDY2ro2CGjQ5cYfK3OWC73Hi a0VpUNQM0GudS8G7QH2Zh/jh/8wCTaUW+ruTY+rujwSAGpci4m1+kd71du8KntuvuoZdl2ODdZCo neCC6aQD2nQF7y2UFD1DXJlitVnwy8kV2fqsbihTa4Swr5yB1WnMWoEIrSJwnVHdTLpX5gqMQDyc /OM/9PUhaBjpOzkJ9q1mVtXB6Is/GsH3ElCsFg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jv5VW8bCrKHX8DUcjijuGCaGtm1FrlMtT80qiZQVZmjbX/ZXBwujI45Nqg86C6+xSsgPhkIWJ1N4 G3LQVr9tQyWsYxaSBdyri2mIQ19XXExQn7/CuUZZGnyvRRI7sWKAkedZ6ZWA1BuMYDfguv1PGU4m PERZr9qCw9eZbACo8ko= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WX0Us4WHGFzE6wPk474YzEYyeuF6XxSgR2k85alZuRiFs36dq/Olfnh9PddbRFGoT6Dt3rULbtBY cyCpsDyudVWMhg8cICOggYpworES+ABYjhhfRJLAVKsbuKxY7viaNWgZeFFXVpkQo9IIYc44bJBM a9QnVPdIDVfRM62J2ffN452UFyj1X5RMq/3lkF0s5aOI2UtR5qAiHZj6mUweiVhuitq3KJxJ1JwE S5zWOn2LibA87tCrTBVzz67n1hUKwXHsVP5bXYSQQ2Yf+5JGX4TP3faNfMbMtoB3OKpJj8Wvsu6Y N4LJwQQGoTUWTuqIQQCQU71/eWU/jZKQ6ivyFA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7280) `protect data_block SbsZNWgsX7HcOL+0XOr/1Y2iBy5l+6Mv6ClYDBNwd1IbE7L/ICjyPopoqzc2oaM4oCPIALmei30P 6OeoXsLAdcj2uaumO75ZUd4gzJi04XDQ1ru2/jfb6UeJrAM7gJ9Vysy3+dCQBJzN6TAKrFc7dOmz YCOyrgYO1Kq0ZjO1K9xcvB3R56f6Ckdlr6VMVRLTL0zrPvcypIwonHvUglD6ojkQClMrLIRgQQTL aHjOMPVUtYcWphhZU7MdDSXVCwsO1U7ZMO+JAOszdZTxeAzJDVb0uJS4+VrX0SnNtU2vRRtP+ctp yWTet+QVVqXdrN8B+FmL5Qk5uwZiEKkBaDzv4ddPk+AW6Z9ihOQ71kmkxp6waTb2ZA2D8Xonzch0 /UYEqZGe0nt5ugCnlmErqsArafuiNepaC7h3B+VdLPp9Qc6I3nwyI6pioAuGygGcLnuVLH72oKDq nppaS4EZjJNlQZ4nYKK9WTdi15PKNrPz6+cAqh7wkyuHFSbnwU0/RL1ClROOgn13onF73GnHwBDO 0TJi9CjBqj+Qo3cwxqGVlxkC8KEUHRplC6mTStYELfRlKpKLwhDnxDOw7Z5QsLi7a8hZhMZexmXZ TZJ1X5Rl1DTH5YX1MCx6xQ1XYgiRg6pny0llZjCMe8cpMFdvGm13pfWObB/DxeDZsPXXQFui7hzi oVlqrRD4XILds7tHC4SFwim/6sUJYxgyqfq3dHTWZM8rLvyijdUJ6PIiiFWjg7dJK5rrwwvv/COT ZYhmyr746PF6IVrIXiP85H5kFnWH2aM/ictjDiq6hW4fS7hUYWiyC3HiMDapbk8Ktwx6VYJdhjUd 7UCSnwcdJslxlO7u0P2q1mqMU6e2xdkkyWw8RTGlaMkQVRy6fwferc2MQ149Nsu6I06CFc5r5a9F W0rpRN898yIHXmV+XKefAFrKNVjzztfmHCLjvGoWIibb/ez2F4+TJN5vETBMLdPce1RvlTCQhguH KncXdNuYp7H6yRj9YZNWmAasSYQ5eGxiRQdb1svbeuB+z9GDc6VEH8S6KbrKuBA0nSSkuE8x9qFt JPgbdR/zv6bNi7ScR0Kzt+PMlV24rLLZedEkiSrpkKaHnbHlGcw4A6Ee5pzOiV6hubMm2OthH6jO 5X/MOv2nPm4U/HEgSxCF7Xdo8E58UdfJYyjHGANqd9P4ITyolxquJyYKWmwgbKAeKudQECLCCx4n JMBgr2iLIJmrBDhpDWqPxfaKpaNBzDcxqbnM+JtHXEm0z47V6LTMIUrWSOkz/6ElIB6WIjpp2/E/ EXtcE7JvUIUc2ELiIygH4O/zwFzj5ebxCGTirEIhagbVwXCfsXXmuSMkKVHZykzxxZPY6Vx65843 HVgs7aMeUHq4JGxJu2HvaCZkahBPy8u4twFpcwviglptAn3HSlG2wzJ7dAyr4xjiPNrdESvpz18k eX44uPg7Fyv00UXU8pZuwLcwFEs8MWARv0b+NcdthrK+xTbde5z8VhrZEG8++pCEom6+TfUBr9dV FeXvWihHh/XeXxsZh/z7Q4Whjx/nXlAJDvxj0yHVIf7bl1Yh0ajL4DGaYmqaa7ITpg9AXbm0kLB2 HDcS3YYyciar8R6CLQ2OWhUY2GCGGNSUuE6c7/9RzzyhmCevF0WVj5QE3ENs3KKq3nN6UOVRXdCy oZU81g5QjgiIXOScFoy6dsJLD88qej6m8Tokz7TMTKdqXqtTK37dVePEeLSCRK++nrTSWBEhJPHE OdZUN32ED94hXsO063zXRpqVLdmvTjxocQEtp0zCmGsrN3m3Q0Lr7gznf/UNxDBoFD4CSbNxPggs kf0NzFnJOtbPC7EmAlQdAJMdo+bczzv2kmhUS3IG5pbWQNH/saIjlsdHxtPgb7/zaxMsgLlEIEDG JfBfftGR3Oqzpgo1ooR+d1poxk14scxRX9qyZftK3jDppXD+OK2A51TPsBfdn/svbUkFjL13AsSK wN3BRpUorDL33SJO0WWjhO7pWbSBWBccoxh73H5HOqxe7y3AMkgEQ8RWcs36qBNQhpYEzdtz3Mf+ tCdUKM7jwDduhf56rdDiv8dtSykhtHbHNGQzQ2mIULfdyMvPXvZ3uEzMrXqCwa6ZU+ww3v3fPAZb I0uFpeSvdJeKxzzQP0nQd5ihJEzxLvzCP31PVy02HhQQcupyLKKDgL0Q+xaw8Hk1kky8ofVRIB++ s827nJqzeVEPfwJQUow6Y/4BqnOEkOQ6iXgCBtNWj4AFwAQemgvF096eJQE0HlJ3CICCiM8saw+j t13I8HKtt96Flk6PN1auFHnci2/GxZSMSxy1beRW2LVLUwRPsp96mqRxae5ITupepj4BRFPjGIfn wgrVPxSNiNDTOZN5OK/pAcc/SFxAARC5yAB7k1OPx5t9ZqWKelsiXTqIA8C6UMgnhY+9asOigW4w uUXLH3d+yvpHokWlJbujmQmbL7mWHEJNe6piNSVrz9LDro04Y3A9NBjKWpodcOdkpqw5ZPEADrw1 ceLZfNraAEaJcRH8qBsHN5h7O8ZqSatfOFSVuGsoCMPEgYlBR+WDpy4j77F3cMJlM16rq2sA8yTr hVNWpuJRjYP28pitzkctue+yV9BXQbZix9NFTFk0ZNTe0PGWeCuLtipuiwCSCB1ZchpD2xho1d1y q17yhM8yIqN38vOTdW94ha/AGAzcjSBVg6EkpWV+DPC0HaUqHZiw1734C2UQ6D+pou109O+KFFpX lfI4RQAZUDZjWt+wALwIWYf4Uo+cAtnjkBp0Q+Nh85ncpHX2IW+hHRccPa1WEIeCCwSi002US6vm CYmiEPkDJPnvNa31U3X3JWqVMK0pQdI76TXRADvBdqtyrj/7hyP4ZLJL+8K7JfyP9aOeRk3qurbq FOsnkt32pQ+6PBlmHEfMO78Q9SFiVUYMzH/3K1XD8rGwrGJ5e3LNRi59O44pETiudeVwn5EjwEJo und2NYqt0S9IvzlFONQyDJ4OF6mP+vpU552P8K2L+0ly0ma9ekRXSL+a9wLVnPZ3Jv9qvi4ttK+z GvrU9sTUSomN6BP1ZdkoavjKXCUJOk/fz9aCwTe9rGcEuTiaSy+krC2S2B6lsSS3wA5VB2Ds2H8f EOqtOI51RqqtA8S9l1iYXpm3b3dzH1qMmTtWbJhBiFA9rdNdt+pYyy93mnTJDBO+b1npm4F5fVWT 77vZKNeV6IiPTDCGuLYL/zZ9W2GlgDTZAHRjrbrf74zYefmrGEFo0gQZHtvZKoW76Cw3+pEIQnOk Srz+hh4IeKWGoXU7B+4KZUbp4slyrwF8SThPGVwxU57e8X12JGxVkDropeLF9F+2SvypgY+TU7GY SJ+AMUup5+xGaAooEuxFRIeicF3Xb3LKLLCr8HNKFbh+jxk1eCK7QYgGbQZBb+MKgqewAu6l+tNH gh7C8DJ0x0YTnmjaiJbQrlc2JXIKxfPtJ8EFJblBFEy6hhJSkUuGrM5DhLPljdQqTYn5Ap+TekaA UVhzzzSDhV5jMRW2lr3k5Ut0VpMpuwD4p+lABGuM3qE6SJODi5Ng4fNhWlPvFEEF2bzHLybc+5nl kPTI2SibdcUM81cLWX1bb1Z6exIYJ3ZYQyT4DLAzVWOW3XF+OCNXWTtRwF/92/GMKI5PqutvgZLO GOV/qQz2Vr9J8bvRHUdDrsPiqqB7DoXFP0wJcpm9gdg0sv52YA83+57F9dvOV2x2HRjbS6ue+XWZ XhLAFfN039z8S/C4/hYi08DS/DwjRiSgG98aPxtS1pxut/IVBujYk0aOsfPXQHl2Lns831c++tG5 Y8SFC+m/DxYX8JVqPaqAWV8wphYsUss7F/rVIdsGuHsGc2aFS9WyhAmX1Gw+T8+aagOkv0i8dF49 EGSY1wu2uVsN9zOT0SDeOL+PJCwT/hc+y8QGRVrVq4ozUhVvXMC7M8BA8QEh+qTbju9TlcsxIEiL 3+hqLVTvGfsI8vZthi6ABDbIxWWDWdNYL8oWUUhOjglt+zCcs5Byb8fRhB62Z2yJCSakz7RKNRfO 9/pIAHKn+u6J6otUk5ESKbvfsvFZXzMJsL5YcIGB8/Y8jJQk4992LoUyFPX8de0kh/S/vSIGoGgt 4K7/+SpSXvVkvvfyGIAQFBHqRSPFtBwitxWWbMrzbcPHgNp+KFT4k90BaYs8HN0J8OxjlWDMQZI6 1x7Nf+B/z8wjutCaptYpuZG5wsjEJRx790fEfo+1UlC602miq0S3PzJHd4NhTnuNxW5L4h4cOWEk VsLb8uXp+2JVh5C05XVK/W+DX2hJtesd1Gc8xn12lRLgsTQ75JJcpbuwiHfEErO3cd0wu0lUbh4j pkcNOHBtG4lm7HXDEhzQAIoZ+EnIrwQkEosmc1w0vmsTHWhplCB1G6fy3kb4iBqL2Bx6kYj3oFQ7 aeNp08mVd1bl9AM9HTSde19JjIAagMrWobGvoqqtyv2Tq66Cs8jUrhA1TFjpSusKp/U9MUw6Dsn+ izcGt2jIyokpyeWWAidM07JxKytqUvbZ0694+tnG2cT53qSGidF4OwxVOb+sBDDiBqBxZAm9sru8 JFwD83Z7QkVfrBQqaKk/kZwmET7itxzusqfZilM9+1tmxAEbA3u9mNZIoB5UpxH3RHauOvoAiWBB wDrkRayodPqfLW26pv0JaaTEq3f6v8QjBq1GGlupVJ5de39Snaf/gtrA6BeixlljcHF1tdO2044g +uCVbkQgsevBWn3zuJmZzf2iYveK+Da9EMI/KSbHRqsTT7nftRD8woPzAlUBAsKulhM+59vSbXbH aAj+uNnf6fZ1SnjTHsXFb+lhzdIpsTHhjQwYB/iGk0J7D8jXVgr0Q214yhbzncyjzqi8OEtuD+5Z orZNL2sVGrZmMY0txx5Qz5yxYg1cG4/xzTHb0mgCS9D3r5mirXnTs4Wm3Q90b/HdGoFdPvoDd8R9 wAlp3GStaGvVypSbMtO3EsUViC1gY3yF/OyFqmh1ZtFSDcOQFdFltOnYlDS0cEy5Nw1NPvf8AjUL /+OW19a6MNqiKYoyrbSnYsXD7J14A7OdwynyIOewedyAZEBLvbMuUamC9QQ0AmVmhWQySUq31+yw Dg651C/tmuSQEMD3Sde55ni5bl/ukTpIlDrIczXHY8VURT+GWtZOaPG7NTtyKbOBaKT35epxOlbr mhRdOuThq+zwJ1Ep9ZVYbq0jZHjcE/XWApvXkVjk+8FYjnlf1kYRXSHJBF8g6c7ARq4NSSldW7PJ Ocv3Eq+JNiIpusUJJXwg9KS+Pl+bQpER/TReWTiT1yzA3VitROoO+W+fUH5UPfWBiICkIMwbGhJE 9dUrLrbTssRzL/YbX5wuGtpqnXEGpx5LjfBloizR3h4Y05WxsVMuSbsTuHrZhDZVhOzcPy8SH25y 9qO/KW6Y8fEnxdLOysLZiDd2XSltH9bAnGTZYZhC0G/OIVm79SPzjAXUMuzdReJTF09K8OBH1CBb jQoyYyRZoWOXco05bFPPgUS5HuT8upAzl3laKmHmuk/YncVmZyM3I9g6CSSuD3sAoEMf2eF/fQGM inaqa68AGUGRdRFOcMCDqo+saWzwVqPFC2R1vLW3EQeT3jiMPf+FAN+i1nLY/c59LhvhpIdAPJMv CXOPiJSLThtJwg7KcS9x/uU/MHOXQtCLHVS0WHXZWqjdYXq6YvmzE4wFC5hDN93xFKFYlx5hnX1J UOdsR0ncagJSJrzecXR14yNkQILoH6qv9ELNyMEb6dEwgVdzqW7t/spw+VRH2h6uDuESiDLemnQH uxCimzvx/3o/SmrQv6NFX9svHgMvXW81esLyicZ6zeUFb4C/Mh0r6gVXFGqkwM5Tj8QykZiwAC6V HvrbjF7QYblRZzZIO28mXEKBvH8GFG3Ml8Y0BqHZSB9oiNcdJkVc2PJ/A1zDvQaGlDtVrNjoFmHx xin8ptPdWlEtieLrEarwVP/nUUTp1Ewyq0rXnAfI/Kehq/HrAGalZiMxIyWWjzqKqD5Uo1MnWANU qv2f54JI6iPGPGMWx9aw103PIIaCYwu8vQ15Betz/nBxzRIEk6HjqarIZiNtcMC2+Xc6lEfslmaG WuHJpUz1rUirLhiapkeIBBwztd/YtGNLnRyqSfkbFGJacq8v4WIkqs9L8lTZdMK0L3AQ9f//UEfV Xygy0KaOHn8GxNXhVjyv7CH2KcIkhj21HrasIw7chvjv8UfXhIK6pVRDhj+VZIQE7TlDKiwtNvVz s6UNl4xZeHgGykHfVULrBKKcI7GVTGwDkhiKjlRKyZLTyzKGi529/O2kBj2Vcu3v97NWl/RSTXmO MiLEqGSZMzxfqVMEUBjEMEXhWI0tJof0SCcCmORGN8fUsHrZs4mn6qoJn5TKLr3bd0WVTxw5w9UZ haoPDxonx+8t4ws22/1t7EMiW+GscAuGnP2AUdi6qhbfS0s/y6iGs6dKKG9jwSGCuBhH5FF6xBMi QUII6EGx8wZ6BUdBB9jc7ObEosUJ+3qJtndpHq3QYw4DjIja5mVEz1SM1YNtxFLYgKCneteteHEx Ij/magrJEXgNXrSEWopxk+zy9tBXwCF9JFP6b6Z7qYa8/ZprKhGcFfTRrYQBXXRC4mk3GNm3Wdsb 6+z8ZjmA+U0KKxMBtAGVsceUIJUiMV7nqj8BeHI56VVi7C5v/Z6QAGFxk1Iwl2UsrRxtnDm2lZfH yijY7T/ApDrdv2rOPnYU/9E1J7K2EmOt2fHgIALQ8OK23c4f9s6YO+REIvInhdw+tkTKsW4AC2tj YZv9hxhJX2mP5AnuGTfeum7lfbzcEpJsSkHizJWuXH6IXK2ToC1ujGJ9bcS2OBBmuh+X4PezaKBB 3QSuEUTu08a5UmqUBJ7XQ7Q3eAu87C/JRbbJ0eE7pcvPNNVv//RWan1ld5a6oKvk4t3Zp4sNl8gh qW+VKTy6gc4AvbvQH6OKSeWzK8xAJOsXJ04PMg7VJWUyfa8jixMXzx34K7l3C822XIn3v0KU54oH 3Vw/xtimyDqLNy96mxhFM5zAuGG9uL0PQK4KUMYoIqUzmD5jE9qMo6Y+cDvwlhDHqNHMYMF7KWS6 ZOYQNm+BKHJrJRbZ++bpqZWt2DlzZM4hU4/V1aQ2tZKfUYt6iA3E07ptypmeowM/mB6G1t6Ey82z acu2fJVFammwS3K+vNEMQWRCt/aclXyyNpW92Vl0U4bmmpcbDNBooG/f33OlqfMVlAX9BODCoknu cMxNiBxIxg10+FGAAfpLlra5XGJ0hjmLbeaqtyPHHBYhcBGrCBVqIwqx1ZHIm7yKVqDMs5OJma2O Pk6P87f6y/iNxTuKpowAyPUWEDrxXvpcJqUEQthKvPzK3nT74bseQScUrcVePfXoHSNHB7f7tSr8 zMN6qsGOznhlOrIZPy0dfXVI+y4fy+16FPyat+04iQk+eqjcGwiQBI1vaWvLDRyclq82Jk22J0zv f4e8abPKmhRqAda0igZ5Z9kLwXQ74h6N1T7m53dx/2VBarr0TGlFpSv3UXEHJY/k5GGfSGcZ12Po lNYlTjGizM7xBtyFTLvdqaxg+SaOp3TFOQxiOjTcAaaJoS2TifQrf0M2vHJFjqFouFt8+nnjSisC XY0zu9KkDWSRW27QYwvWxl0lLucZosNwuPAUWNP/pXd0yh5L/nQo7/2DjfU/MsgIk0qSfuK1rmmt 7Hbs+a67qe+2sdXrIjxZcFTHt5XsZoNEweM7Z6zIE5prMrFK0vi55FLX661vz6FWk+8UkmA3sMPB xo8XN0+6SeNdSPfU4JL2j51Ez0Fc4guDfwGI+tWJ7FqU2spZ0N2ScV5ydpjNaf5NLFpBf8zmYdHE 6tCL2Czeon8K0u6DylZ/5JEcCnRsmJsjMkNEY6+ZFohP2QfDa2JH2Cw3zqyyn7atdXkpiRNVvPqq uC6dXSLeYJXrYjaN9pgAf/8CrHN1YwNLczDBJfPSmXpq356TMYfxGwszYTyCRIbA6OWKP/gbUetj xrvvJe/e0QUhJUhUGuRtKRZBb55Sdc1juL+Y4ihcX75/lSMooKAlXHim6H92fUJwC6xBB4cN6/4L mL2XYPfrobtiX6JV/s2Ti7smZ2gmazQrs0u2K8Yr0Y7Zt418BcLVQRxXkD5QNrtvyvQJt9M/pvCb yt+i8I8WP1xdYxN1U1Wk7UPEY6pCLoDYSzzcQHfbgX7DroNcj43zlLGMcfncMw8uHJcKMG5B/Mpf In7SDcQx7JOljIigx1Tz9G9fC7qXbHHSUuvdkzyc2Kqs1xD5tUsMBD4pk/USJx87IYrblN/oVPvn MlUYtkBcXTBy5cB6gkYXf3sm5mj/ye5Jx3lt38EhrYLnwZ7+SIVbtSYRLbhUHoky/+wf9vtw8x2N hy7VKs921PXCsqOCMUiZegINUxVhC1359wRPfuawdqdNQckvT45K44wIl8KyGbMsAXr0IJeDMEQw i00hCHIq5TYafmp7bL1QLKo0TSL6kSgfyOHotYG7z44ovEctQlTy5Feamz36zvWqSO0Qv9qFNr9N uKQoYqfNYPske0H+nSJ+b9LpEbrL/Q/8D3mZ8ztf3HQ98lHa3TmVTtfQp2TJE237PARproEAItKv R8vbn8qOkUFKEeWz9LBw9y6gfXki9ZOcKy1cLGRc/o6liGRuB4zvjRoY+sv6Fkxb/LNHbE1xmnto ZyUxUp2CJGkIvlrt3lDk4k/npfLf2t1XFt3jKzG7HKF7iytQ1CjiF3PE8ePWpfuAWiiOhWiHaPaY OonwQP1KtkQoWP2VKqFR1iqKQV5iAqe2LOzC0e7QJF8YqfV5apUqZ6n9kWHdlCm1BLrDS9DfIpKd cDFu9ogHNG3Pph0PqUStj62YRflwWx71fAvPldfbGlAG0iRfAtZlxBl48BPZOO8jnJyTbmjg/5im VZ9tZ92ctzzOGLvGig4x+iO09wUR5Sm5OR24pRv9sb1m3YfmK4CitC7qxGciVBN06/FbtQaXAipX 98frT8+m2ntqOaw/v5AnHTifuTur+OaSNvc7+fZRJY5/k0QBFwjVrXrR30p8uxSawa7HL9e2ur+/ wWlwCTV9mUWDWop2wifNWTyCyeKR/74PEH0skv235nBIEZs1vatEPib8Ec65CLzFqaYHCNTC+qu5 c3twXVq0m39fpXUogHvEbryrCbETRFcoROLkMWXAiZMhX3LbmZ64Q1FEHPzqHdkgQ1OwPtciEAl5 Gl55CfZ7VPwvjfXtYDe9PfeiL00I9cZtUhqb1wNYbCbbBbvwqG8s/cjE6yXALvd4y2eZHxkI6mNW /dc1fwuPu/E3s1FjD4RrmtHjckT1EGyC+yrJ1Uk+Sk86GfSXlhnPYp9qN2M8wyKu1tS5s6h1VzVk tky0DSHjQjXv0mbsXGxa5snoNTCUzR9YIkiPLOihwgVRrMRJzWwvhuihlmbOe+pNmd/gByLEBrPE 6ThtpsGf4UYx3maIHzo/P8noBVJAq1ArD5vy30c1oqteZAZsKUa3Y1GYMtHLYuUnvm9xVfwrTHTW II+tGl7hAhbpU409zCmke02WmdiiddQXAKrd5P09Bc8WbgcBGUbfoK2TWKWFAAY+ELGGYpFUL9bF ErPUi4p6uTievuardGj04AAShQaj0bz8sQOobVHcY/dN6qEmne9x6TRcKIBtz8EMCKiGb9+a9l7V 3yvBtzwLEQJUtbwd6cnr98WAS96BLnEkIzGJW7ySoKnrQYXrIpveT+A= `protect end_protected
gpl-2.0
4970fa24c28b1a57d72dde2fb12db61c
0.931216
1.878933
false
false
false
false
UVVM/uvvm_vvc_framework
uvvm_util/src/types_pkg.vhd
1
9,104
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library ieee; use ieee.std_logic_1164.all; use std.textio.all; package types_pkg is file ALERT_FILE : text; file LOG_FILE : text; constant C_LOG_HDR_FOR_WAVEVIEW_WIDTH : natural := 100; -- For string in waveview indicating last log header constant C_NUM_SYNC_FLAGS : positive := 10; constant C_FLAG_NAME_LENGTH : positive := 20; type t_void is (VOID); type t_natural_array is array (natural range <>) of natural; type t_integer_array is array (natural range <>) of integer; type t_byte_array is array (natural range <>) of std_logic_vector(7 downto 0); type t_slv_array is array (natural range <>) of std_logic_vector; type t_signed_array is array (natural range <>) of signed; type t_unsigned_array is array (natural range <>) of unsigned; -- Additions to predefined vector types type natural_vector is array (natural range <>) of natural; type positive_vector is array (natural range <>) of positive; -- Note: Most types below have a matching to_string() in 'string_methods_pkg.vhd' type t_info_target is (LOG_INFO, ALERT_INFO, USER_INFO); type t_alert_level is (NO_ALERT, NOTE, TB_NOTE, WARNING, TB_WARNING, MANUAL_CHECK, ERROR, TB_ERROR, FAILURE, TB_FAILURE); type t_enabled is (ENABLED, DISABLED); type t_attention is (REGARD, EXPECT, IGNORE); type t_radix is (BIN, HEX, DEC, HEX_BIN_IF_INVALID); type t_radix_prefix is (EXCL_RADIX, INCL_RADIX); type t_order is (INTERMEDIATE, FINAL); type t_ascii_allow is (ALLOW_ALL, ALLOW_PRINTABLE_ONLY); type t_blocking_mode is (BLOCKING, NON_BLOCKING); type t_from_point_in_time is (FROM_NOW, FROM_LAST_EVENT); type t_format_zeros is (AS_IS, KEEP_LEADING_0, SKIP_LEADING_0); -- AS_IS is deprecated and will be removed. Use KEEP_LEADING_0. type t_format_string is (AS_IS, TRUNCATE, SKIP_LEADING_SPACE); -- Deprecated, will be removed. type t_format_spaces is (KEEP_LEADING_SPACE, SKIP_LEADING_SPACE); type t_truncate_string is (ALLOW_TRUNCATE, DISALLOW_TRUNCATE); type t_log_format is (FORMATTED, UNFORMATTED); type t_log_if_block_empty is (WRITE_HDR_IF_BLOCK_EMPTY, SKIP_LOG_IF_BLOCK_EMPTY, NOTIFY_IF_BLOCK_EMPTY); type t_log_destination is (CONSOLE_AND_LOG, CONSOLE_ONLY, LOG_ONLY); type t_match_strictness is (MATCH_STD, MATCH_STD_INCL_Z, MATCH_EXACT); type t_alert_counters is array (NOTE to t_alert_level'right) of natural; type t_alert_attention is array (NOTE to t_alert_level'right) of t_attention; type t_attention_counters is array (t_attention'left to t_attention'right) of natural; -- Only used to build below type type t_alert_attention_counters is array (NOTE to t_alert_level'right) of t_attention_counters; type t_quietness is (NON_QUIET, QUIET); type t_deprecate_setting is (NO_DEPRECATE, DEPRECATE_ONCE, ALWAYS_DEPRECATE); type t_deprecate_list is array(0 to 9) of string(1 to 100); type t_action_when_transfer_is_done is (RELEASE_LINE_AFTER_TRANSFER, HOLD_LINE_AFTER_TRANSFER); type t_when_to_start_transfer is (START_TRANSFER_IMMEDIATE, START_TRANSFER_ON_NEXT_SS); type t_action_between_words is (RELEASE_LINE_BETWEEN_WORDS, HOLD_LINE_BETWEEN_WORDS); type t_byte_endianness is (FIRST_BYTE_LEFT, FIRST_BYTE_RIGHT); type t_pulse_continuation is (ALLOW_PULSE_CONTINUATION, NO_PULSE_CONTINUATION_ALLOWED); type t_global_ctrl is record attention : t_alert_attention; stop_limit : t_alert_counters; end record; type t_current_log_hdr is record normal : string(1 to C_LOG_HDR_FOR_WAVEVIEW_WIDTH); large : string(1 to C_LOG_HDR_FOR_WAVEVIEW_WIDTH); xl : string(1 to C_LOG_HDR_FOR_WAVEVIEW_WIDTH); end record; -- type for await_unblock_flag whether the method should set the flag back to blocked or not type t_flag_returning is (KEEP_UNBLOCKED, RETURN_TO_BLOCK); -- value after unblock type t_sync_flag_record is record flag_name : string(1 to C_FLAG_NAME_LENGTH); is_active : boolean; end record; constant C_SYNC_FLAG_DEFAULT : t_sync_flag_record := ( flag_name => (others => ' '), is_active => true ); type t_sync_flag_record_array is array (1 to C_NUM_SYNC_FLAGS) of t_sync_flag_record; -- type for identifying VVC and command index finishing await_any_completion() type t_info_on_finishing_await_any_completion is record vvc_name : string(1 to 100); -- VVC name should not exceed this length vvc_cmd_idx : natural; -- VVC command index vvc_time_of_completion : time; -- time of completion end record; type t_uvvm_status is record found_unexpected_simulation_warnings_or_worse : natural range 0 to 1; -- simulation end status: 0=no unexpected, 1=unexpected found_unexpected_simulation_errors_or_worse : natural range 0 to 1; -- simulation end status: 0=no unexpected, 1=unexpected mismatch_on_expected_simulation_warnings_or_worse : natural range 0 to 1; -- simulation status: 0=no mismatch, 1=mismatch mismatch_on_expected_simulation_errors_or_worse : natural range 0 to 1; -- simulation status: 0=no mismatch, 1=mismatch info_on_finishing_await_any_completion : t_info_on_finishing_await_any_completion; -- await_any_completion() trigger identifyer end record t_uvvm_status; -- defaults for t_uvvm_status and t_info_on_finishing_await_any_completion constant C_INFO_ON_FINISHING_AWAIT_ANY_COMPLETION_VVC_NAME_DEFAULT : string := "no await_any_completion() finshed yet\n"; constant C_UVVM_STATUS_DEFAULT : t_uvvm_status := ( found_unexpected_simulation_warnings_or_worse => 0, found_unexpected_simulation_errors_or_worse => 0, mismatch_on_expected_simulation_warnings_or_worse => 0, mismatch_on_expected_simulation_errors_or_worse => 0, info_on_finishing_await_any_completion => (vvc_name => (C_INFO_ON_FINISHING_AWAIT_ANY_COMPLETION_VVC_NAME_DEFAULT, others => ' '), vvc_cmd_idx => 0, vvc_time_of_completion => 0 ns) ); type t_justify_center is (center); ------------------------------------- -- BFMs and above ------------------------------------- type t_transaction_result is (ACK, NAK, ERROR); -- add more when needed type t_hierarchy_alert_level_print is array (NOTE to t_alert_level'right) of boolean; constant C_HIERARCHY_NODE_NAME_LENGTH : natural := 20; type t_hierarchy_node is record name : string(1 to C_HIERARCHY_NODE_NAME_LENGTH); alert_attention_counters : t_alert_attention_counters; alert_stop_limit : t_alert_counters; alert_level_print : t_hierarchy_alert_level_print; end record; type t_bfm_delay_type is (NO_DELAY, TIME_FINISH2START, TIME_START2START); type t_inter_bfm_delay is record delay_type : t_bfm_delay_type; delay_in_time : time; inter_bfm_delay_violation_severity : t_alert_level; end record; type t_void_bfm_config is (VOID); constant C_VOID_BFM_CONFIG : t_void_bfm_config := VOID; ------------------------------------- -- SB ------------------------------------- -- Identifier_option: Typically describes what the next parameter means. -- - ENTRY_NUM : -- Incremented for each entry added to the queue. -- Unlike POSITION, the ENTRY_NUMBER will stay the same for this entry, even if entries are inserted before this entry -- - POSITION : -- Position of entry in queue, independent of when the entry was inserted. type t_identifier_option is (ENTRY_NUM, POSITION); type t_range_option is (SINGLE, AND_LOWER, AND_HIGHER); type t_tag_usage is (TAG, NO_TAG); end package types_pkg; package body types_pkg is end package body types_pkg;
mit
25d1f0633d98bae9731aaa921466d8f8
0.64609
3.769772
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/Poject_Lab01[old]/ProjLab1/REG_CTL.vhd
1
2,281
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:05:44 03/25/2016 -- Design Name: -- Module Name: REG_CTL - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity REG_CTL is Port ( CLK : in STD_LOGIC; OPC : in STD_LOGIC_VECTOR (3 downto 0); OPC4 : in STD_LOGIC_VECTOR (3 downto 0); RD_EN : out STD_LOGIC; WR_EN : out STD_LOGIC); end REG_CTL; architecture Behavioral of REG_CTL is begin process(CLK) begin if (rising_edge(CLK)) then case OPC is when "0000" => RD_EN <= '1'; when "0001" => RD_EN <= '1'; when "0010" => RD_EN <= '1'; when "0011" => RD_EN <= '1'; when "0100" => RD_EN <= '1'; when "0101" => RD_EN <= '1'; when "0110" => RD_EN <= '1'; when "0111" => RD_EN <= '1'; when "1000" => RD_EN <= '1'; when "1001" => RD_EN <= '1'; when others => RD_EN <= '0'; end case; end if; -- if (OPC = "1001") then -- RD_EN <= '0'; -- else -- RD_EN <= '1'; -- end if; if (falling_edge(CLK)) then case OPC4 is when "0000" => WR_EN <= '1'; when "0001" => WR_EN <= '1'; when "0010" => WR_EN <= '1'; when "0011" => WR_EN <= '1'; when "0100" => WR_EN <= '1'; when "0101" => WR_EN <= '1'; when "0110" => WR_EN <= '1'; when "0111" => WR_EN <= '1'; when "1000" => WR_EN <= '1'; when "1010" => WR_EN <= '1'; when others => WR_EN <= '0'; end case; -- if (OPC4 = "1010") then -- WR_EN <= '0'; -- else -- WR_EN <= '1'; -- end if; end if; end process; end Behavioral;
gpl-3.0
31a9fd5d6cb19d29a0b20aeed4aa4910
0.474353
2.977807
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_sqrt/flt_sqrt_exp.vhd
3
21,801
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GplHQla0/m/CwlfewCN1SdUpaj4cuYlZ7bKQE8x/mBHO5hfpzxYcmKgaqazxtLZECKug2knLjhMs ObxNVk1cAQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OeRsX/GllKXguMkeKw5EX0QVQ1GCXeGgs1qHv0V5DaCN7bl5QyYmMgELN88U4OFCYTBZY0r1Dm1V hCU+zmiqH0rtgyr473TUBJEAPJI/mkNhEUiDthjCwJNSX7J6mcgCH7fwoozTX6am6Q+Qw1AyQYRA /BdkmSjLYHFhCHNOtEU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nqoVmwPqq6CMSmIhdUJBD81jiU0Hzx0e4tXRYZc+/F2K8w3s8m4Uu8jSgfOKTIKRn6rFRK2qUkX7 f18wMF5ipruP0f3qhYDfJRluM5lKPZCw7Od/pRYx6qErmYqyXbmIpW7Q2LNb7ovaGP2Jgo304/fD E41r8pCOQLqlYeKmNQYOSNccq0Xq6Xue1PY2pn+ziFFdKn++gCdVHnXsHFXEpXI1sUrhRIPwNTIZ ZKApPTLgVE6vEJEXozTO89ieQ1VsTUsF1taLUGuPhY6SxUxTcScBHwPZt4JzzmSVA62pdSdvAUKF o3LA5gSlDKFxTX7uvpnfpzVoG4FtJN5vfrw7Uw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block El82/FQ/3BPaOD8uZnQXKqCbJI6uVL8XP9kNtBxJi330wKNZ7PnX9kFKSpIwlVMuBTpKI74IZWMg 3c06pWKE7mY2HHCDahU5habfiEc2Zvrw9K5+EiQS+T+cJsf/bGf0o/8hkatZgeK4GXGXPR48moDJ 5xrTJmkKpFqmx1uCNyM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iVM7GAi7BM+FFWo9wXjdrLm/uaRGfmVjKCnpM5+SgvQkvcAIKvraBC2k9IEsd4NAb22Fhma3QqRf OTCyN1hxhaj9/n6vvT7cq2Ppqwf31cV5+xllumebu7oQ0g0gRxL1DnmyzTbT3dhAsBrPtw8U8x7t vO6chTc4HH2HBVRQmd55GUTdG/I7mNx95lFxNHgX3DKB8qkMaMsyDbZ+jcr/jjTv4eE5a76ArZRJ 3aeobOfnQdAacawwdVx+FA94sqT/Zj45ieeODR7TfF6zktmHvVN3KKU5Ukt0yS5KhLNiXLOcH/2Z 6j1HPw8w/tqm7ceVS/dl6hbfwRfrlfWPRvan0g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14400) `protect data_block e5HkF8V5ACC6etq6M+WDp3IzydQAmYBJjppbDXMo5W2o8z19FxOmN8EjUnVpS9+BHaz4BWcCmz7w iKIApJvTcPrdhT3UxXWlxM652o93i88geRcjhx1Xs17sz27yexWmTFNoFOJ2F/28XOVag15u8Fm3 Ylsye3ItKmq5jokaOE6RrYZnqyeez3MfVXyvp+JvaxydpS4dY9ikn0BI/+Ttxsoonikpf0xvWDnb wkpLbkETbFM+ZcX688UnaDFgJnWzywmrCQJYokm+RvNq9MK1X+1vrLesNZN7fJsgmNbGuafvlIDY D/mrTbxZBSHSAg9ycmAWGqCRs4Gx4nQ4T4SiQa0dVTcvY4WKohhICiz3MZrRoZGwFbUZjdv6Xge6 Nz5YztN5ag3FNO5FjNOzCoxR0FQ8sQw8AP9GgXgC4cT0m825NrKsnrXd7hEwac9P2Mszf+DAIySA /AbshfwdyUPU8iwz1F52wjFi9hPMfAhR06XIF03e2cDlstgtundI1X9js7yS12hL/isCVFkUFHZZ zL+ug5yk3Z1aTlvXDpl/V+ongL3fDnPYAf/0Nq+tdwnhahBCG68+k54aUriwvgn6Bdn7X5cp21dt uNKMukofnrjc6USEPVPo0LnDZDUP9jVvAxL0GUAbAOop47VSl3ZlrXObi75I5vkIwfjNbmROoEfM QyyW3Ck6kCC4BWNCXJHkYei/iCPNYD6nVfamEdbqvaV3/v+66vIRaGxdLfhGgoEp39RipZNiZ6xl 5O+4PwkCZ0LOC4mCqQ/ftJRxaJJXa2a6nRtdwiq5nyDLolMWvTBuxXS052BE6lLDwYNKlFH32jRN P/DLP3H4EV3M9OCiXCIB/0YvnaPgq5xt+ITXWWoi7Rt7R20NsDyaexoKfnS45n5QLHZha9duatmO XcBpxEpcqr9DoesXRRPai2NxTltYbcpRnr846QQwF+l9lt9gLG2fWBrFPNGhWiOZvh/CEYzG2w0T lwJaab7hW6d1ZwfmMhz+8hKdUfUHBj15/MmKo7Fo65JNXwfdROP0AFB6390ZF6igsaP+uK63t4p0 K0tfm5te4tNOWE34dlInNrvo9/lOfQtTOiSsHKF7xIhBLHza6OR/mZFDBYg7v3Fg9ZSft2+yyi+s AjKNiBflt9g3h1h11VnZsk4j10sctivkHNjO3DndWEXG0bHFjBkzxb7EByP4+noa4NdafViqC0Pi T3kMwstuQwcvqLMm/xvMvCK2pyJgLSPavVRWGnu4auWGpTQpCM4BLdLsDmU+SVfk5NZg8E2U6oin elrKNqPyNxML4a9BLW3evnz3zuFNw971AxsYef4BQbxdstwG+RWJCs06rO/vfSaWrHIcyzDr2dbf 07hyVopHbOMLgu2DLUx1DfQ+nE1SlQ7UYk/qP0o7s1Oph50+ca7RlK9PhCfvOB0WjEoQAge0AQNs tdM6aovabE0TtHzZgGTQ+SXRb/o/bWdbJYaV74Vi+xfGGI82zITKzmIsXaBAy77YgVj8B1Ev81Ue poceQZ2X1NabvsO8MRzKPn5ggMydyl99ZR3H4LPcE8sduB4MeJhSTqiAVkpn3e8OEg7QdMu37JJL 2z4ECE95aqWCCAhV6HGlBsAMxRB9sqUcKz3cR/PaV3nV8HE65LNSjimlw5QtOklmBMT6BkiDX53J Lp5p0HeJzQBUADZNh9+iACA71LAgnDsoEFLcketxy1m5QAqZmf3WqMtnplI+wdgMRpg9KL0QEjvX L2ULmJ32XMKE93FcUWDf85mNSyMIQEIPWq/lY725Qad2kV9U3VVXqr933q1O0iQOFy+PaK7DRB3r HnuxqHwOPrNcHY8N+PfiBp+ucGY9CTBmWF6wiOWEECw5iBpWEC2ABoLxIB793C38AXhUhWb/cBrk cYoN1+iRMFqfXNn4s60gJ6Cyw03jhpoocSy4uW1v/r4Z1xxYYppzlpfAEl/lDzVkEmb5WvL+9VFa sb3iuc+LZMwnaToaqob0ZWMwl5D4Ypb2VHuOcYEfG4A8AwVzqCcF3GZgds8DDtn1atdzv90UVz75 rTUY7uUFdMY+zAz8VJiqigYZ3gwMn1Jj6CDfbGHq8oQHf5NGVUx9j9EqApATYxDZLKkUo0hFP7Yf LlsJ/xLYsm1nzbgX5VMDoAVnbwP+f4zRv2CeNLyvD7ffaiiYyCeSWDPY5zEIbvS+gRxZ6tcvMRWW JmCvIA4ZEVWl+gmP9nvrzNS4osFmMlsiKIuZjRULcsMB+NGwIQfbM64HSQ0UD8wliLnGU5FBmiDI O/Kc/v9zLvaRA5AX8Umo0SmJBnmFJm0ThqPwBDo88HcCwvnV/XlvqeiZiQQUnb6WoDxUrZcMewEZ ty2p4RhZjfuXsSB4+k8GSq1oBykoWcUXtOkRX8TZDuklP+q3DIle1Ckgf8/tFj6DohABk88z5txB d0zHqkcvYNjZ/YnfNGTADe7uq9B3HwD/GOQ5f2k/MU/lIKeMi5GxsoXdKKh7DUfiyv6qRrHUc9Rs EwToUKAmJYvqib3XdoWgVxVhSiz75kVgFEziR6Hy7oEQNI1KSek5wSgGmRQoEj4ddLF2XcUfCjcK 3hiqt/BYttldO+EXtuFufSqhEjOMngOuNVF4jYxAnbMYn66IK2xfNtQEjJP4XucdpIhf/GGYoIro 0ov5FnGceRD4/+dT/DNuCRZQhWFlvMdrg16dXdJkFpJwJ+oZ2MnNNOAWfk/LqyTwcTBOuWcOEAp0 JvR4VQHx8U/P53H+qqtP6NagiFAD+TiMKn0gF4jTu2CSVtYH8wP0BDehv4J74mIeigVgjt7hqjaF BJaowxZeQvbeAYBG27/7lo9dUTduNN9PZmXcszR0rDFbKBNZA03jwChtmruor7UYzKY4G11YLM73 hSxyQlhK6NPbDMN3ioG1NKlx/Uex7YN9Kwi+3YXf9afqanjcsqiqqsmfRnZB+8jo6VxSXZKlgXQK 9U278UjZfmmR0dwFnJswa0pJpQUk5QaBEYwQl/zGL1hIBB3UpWCFtbVWXoBu0hOHB2y0/giOF4sR RhFEsUVisd7YWPpFNmbfcJgyP/drrQbURg4zS2ntvlu8iNOBa/d6wut2aOZT9/e8yLo4f780XY70 u77WbfLsbURFHM2+DLlmD0MmH34LG27rZgwEM9FzfZx1kZbrTnqISK+arYwQFbHI5WnCxwhVnkND HPzK3GQDczZvAw4C5xKUFatqxANyISXM9l3slKXksob4hzxW+N+IMkyYpQfF3IJ/lRY1HIDZCmEL 837ZOcUGBzZMacdChz39od8qc/53/VNZkXCKrHSkrrvXEKWdoqmPCbWcT5TG4/qjH/5koN3oHM/+ keM5Dsx6T7EH2UFoLBmq5JmTJqLfMqmAbYRPgMwBSO2wuOqdVfhEXILk9UvY6nlHGn8odI7ySTVa jV1hpmO1ipKFrpdLATlHpBsQjLY5ki9lEFZhAfNRLFdcwJrIuJv9dO5JeozqM9gkoOL0hAR90Nas fwc1nH637Z0Q/5S24vc9I4Y1ugS49rQLy4VYEL2CoL8TNtFPrqz6npbGvLKe1oKNWrgeBzuPznGz QeknBxG9tn1qkghv8j43irxiX5bTdV7S6t/Np+oNZrAdvAzptpVtoGJqkSfvbt8iXnnMbaiYk2eW nGpiXA6Mo8nNAkrSa/MdGXVEaZQSFsKVCxsRVknxCvX8dDuLe5dOVt27B7fc3Xb/dOV5Ev4fcENl 4pWkHPlY5UWKT5XWf6kF7ikYGHdkTXsYn++ZCGVJgnTO7LNLaVrqGDwHqyohtY7osEIYHDzOBn5/ zXL9g/5k71wNvIEyzCrT7AIXkko7i5ErwtoToefg513EFbSIUBEvi/raQa5K32DCx929CtPWE6DC AnjrH2PpAGdZAdCkyBPc+GvLCIxcuEAHZJBuR+o1ybMNE/t4nAWKH1MscTbPVaE2wPnaiRfzDj8P VGpFK0kaJ4i870DLM0WVaqdct+gMG8JE7Ba553PNeBG7l/o1jTkDafEoz9grSsbR362g79RR/QB0 oN9FcM2a+9ASp4IHzXwF0etkqw8/rHa2/eywzhe7XDEOrglNXD40yh9lCAbRNUvrV5YChGLZjSWY wuvkVzWgfqQYLJa/7vhNZeZ++tbTHjionXqU8lqlXgZpM65Wio+Bynhfc4L2i8m59QvAjhn6sdOM 3NwQFIq9t1CUQvEEzhD929SR//63pu2NqMWx1cAuBzWGb7uBnJ9iLGjr2esE+iK4anTVmclU/IgE QZAYMgXKkwqavyfaQwLEZKO7Jyg7Ul0z+aI50h3BeBJnDllnyBPud3NBaRs1NGQuMd/F2zXpDQoE /eJSgddyig/c8ZSwezIDTlMO9QDjLrgirjf/4gXOzLt5I+SjtIHSMlBJqhI0r9BDDB6RXjwGjNff +q7ENEPLBrTynvxBem4epzTux5b1lmV0JKQkvcWsGwyLeM4FL6YsX5/eT3fedtA3kbeJkthUnMnE 0BCS0jgr+HVybHyb0d08yr9al4xzYejoSAxv0Y7I7TDUHZyXZoEM6rmbqVp0Z+DHaSZjwUu6MPLq GmYVIey7GUMHwA9EiJexFwTvGMaTnMYEBfeY5m8VDcPxB3D65La5cJIZFvVexVStutpxnnMrpYKf vZ7s6Koh7JRjFr6Ll7PDb9AzNiA+OuRKW1l0uY5ZNRkel7ih0Di9YeG+b2IvRPYAN38bbG2u3iX2 zgHvTnsGRf3a+rbK9MVbQAJ3ZKa9+dGhn1AFphEjgUBpU0cb7vr+vqmRWl9S9gydae2ntq4tiGAk T8wtAQfQBTcRD2iR6vDF2+2/AUVKbMAfYJoap/3PJZuEHfF4v/P47gpZi6BoxuXszytDFiK+4yY2 Z2eIi145jNnFcgvRglEYCmO/bpWjeucaAVmPAHTdI9+ZbLvPzzI70HVaoh7YK+U5IChyh5sYdBWw C45CtrL7+flu/u0KJpSMpuEvhN/Ti8/E3vbJ+moN42ZmpNGHW2E8/nFJ1p1n+L7lVTXmuVPlm2dr RN4JJIWWGTZT2t1Ld3opXINe1tLm//DxC5nlffU2M7dokvjr/3ELZ83QFGXFUIA/+v+vwu9RpHFh tcbKP/uFtvuNgG0cK22zami7PfcY6jyCoQOfW5OTM7EPZoUjoyef4CpoLrqwKvgW5xqmHmyGL5mD t0oIRZuAOOc2ucMZecLXZ2xflHHWkb/5KIaoqIHIbzAw8lsbnFRvW2fmdpH/QoQgZEchmQ1kZ+lk BoLVTMO8SnROlAvJS22kvBubpHwT4OQTw1qyzWgFD6ZhgHN3c1OJHiUA7TpksP+HRTInmwcaQ2yG AZ941btaoN/UY1NwXCoAGCqDnn7YeH4TZbjiYyPu0cX5Cyqw/S5kSdleSAGMG1O5FoAQJIv+YDMP T93UhcNLrB85/C+aC9mNQVvCRp5ThMEfeoHuAkV7CUNo4MMmOrzMIl8prvVS4BVGQY/GlxcjJL4t nYvz/CIb5fCyh9wpjgS7CeYMQ+x/mdYfxgM0QZ2DPGtYwZDP3TwbWM/p8cYwdBULdJFg4UA4YYjz NOzmx1+2dm9QJhvk0s57khd7mjbei9s3wCGX0Xh7nHEQ0fbWOGrhYVsndIIsXIKmp4Q5H8ffAblm ECgqBIqypFFt/0ggXUapqWZc6ct3xaIeumNbOg0VtPbMf3oXvPpnsx2eZeoAjkWxCK0vAziwDdpw 3ihu8ETiTXKkrw94nJbE0qkI+/1Mz1Piv8vj2xixqQh1KmAxzjdOorR8O906jeSFaVvMkn1AKgMH DVfLwdHKBcXZv5tLfHPeEs2rHs1gMenQRPmleOIr6SOuZE8lBOaOQ4fmQSsnwQYGN99BquIfmeDc Sn1ATQ4YdDxJSjpQXtnb1FjHwvVDNEj0QPkH+/s2J3wtymB4bIkgVmIAKk7abEAH002ymMRDqixw FahlwaNzxBUDaYoQCXlI7+nnqHTDRi8sfkqbB2/Imp3/8ikT///c6mV6f54j3JmUAwQxlfcxSKfK gbwfbpk+6FXgxt82pEWBTfc9p/1scKpV6lCeweHlZsGXizryd4ONqSkAJdyHmXIy+YZvQmH+Do+N DJzRhEbze77CWBqY0QADcKIBDDM2vxuS6pk5SpHhOybphP0Dp07AvPxrn+i/lZUPMuAOFvkxtWoE g3SOhDDnNGqa3mqi+U29+IjAy0jMgA3/kh1Jj4LrdTX+Umtc34PGptXNMyibUOVDYdxhThAsFUhw v6u9yvMULfBcOxm2parjCqY9OaFR1MOK3kJaLm7w2dFd5KkRjj91mTwcrMcPEn76OymjDMVNzXd/ 6ViPSlgkn119xucleWETFMPy73URvv8b0tTfh3AtKHeJ/Coxr+D/cUyy9pwETgNwIYNRJsxk5zj3 RpsQr9gOEHIcxKh3Zj1YTHgi0tSyrfICuC+ngZuv3/6UHHPyZlHcAkapNvSh9JvheAcqgbnQ/81w 55HupMmIelnI8y1Xu5KSBcIVLYRAYmqZZxam8yklA/Rmc9qDTtMftQEOCMhnkN8Xeasvq7efEsAW YNCIPmkfCRVx+D08yQ7YfUmfkiFtf5vGGTR+3aiOKfVbjOYgXg7QUNhHDZFwqwA+Mw4hA0uoRNZU YOz7sy4wNXjeir2lLvxfk3hhmzc4G7OQJgYo1JkEhqfVmuZZ1usZOUHe3r4QvF2/HdSVXEIXQ2JG O6RkapRgmbvn6runrtsLYZrZT/rr0kRFQz/tkrkOHduAzSjGFRcxL44YjfFCdEuiuMfqPGGQhH2d T5BseumVrunud/HIHbSZZ0pt04ER1GqhyDH1gWnfkVrr1onfkMbS9FZsg+pO+5wJ9cEaOuCS/1HE +vIpcvR/+vewTtijDHk7ErHhQGjHyCFtpAm0SPw7looi7fRvWN1p30OHgHkkkiJdjj5d5Etsqcsl +L2KCiTKdafZXkjFLAHqSEqGHttIQj3CaivBfXjySyRRKGJd7hjNvcFbL/JboQdI00rFfn71gKxn doLgSp82Z3njJ0wwoj0Us4mDmrMy538CYxtEdI1b9heTBh1vQOTiDkPJuS3G1lNkXO8L+LF7vXQM xiFwVhRkyPEF4VSkce2ThJz47XLrbN6vdn8DE3TfGpEIpoWI/rGqKI1njhkn/m51FARRxk/DEMB0 ZwgJ9L89hxhSsojol2orfBH+eThR7vmjq5VB7LGIbAJ474aXK73X2f5fMbXpdTHO4u5T1WcmUpq0 fuY7+k0p/F+CjeeBV+uWdAMly2Xl8kBTEN8iyGYovTsuu3D575ibsATvEyPrcDxLWEInbVduReQT zry4jk2JOGrWDBmuESU2CV7znh20tD0xZhjc+7DPBrd/FV0DeO4hkl7LrmL4jXIgOBaJCYI5m0SK ELIdZJcbGCo686wfUkF6bM7P+RKUJIqbfLbAKq/JghWjLxa9EWONETF+9icGPvsOdEYe4phE49nv gj7j3g4Fse2lqqJBmhAECSWtNjK9r85ER61JbrnatOCiKI1Pr3tONjjGoA3PdiL39fnKWAwCoEdf kDaWDwNItcTiknSZGwOhLjaiWDP8yil17XpahDatt/UqdGiji5UG43dr3S4cCRqbWBcQVEbSb23g d4aL69Wmd8J3QMtX5TdTE/c7h0pgOvfdtZDEDDLyGVXMaVQetAeJy4Z325idzzgr+3z6drQJYsZ2 zWO6D+9SRtMjv6GW5M+tgB/yn4d5trJ7nCF9emizg3y5NYQN7uYij6grZi5h8AUYgXUtaC+0HxEB AGd2anN7uPkZQRiPQxoVZh29IuiAllggdpEFSo3gFOsggMSlDQeMat3cvxmh4uUxABkY99uPjLbg 2avZOS64w4yP46cXlz9Fb/IdNLO1vgD0T46yYOTlXCvDgjsuY5J+3f5MKrwyShGIByD4a5J+4fzz t6vK8VjxmksB+h2w4QkmlvTjYMdLF1378SZDU3WrMR4qrbmtLM3XBGXDJzQJIS56yBRB+Y+3sD+6 j3nEHOXqG/fsR1ZTGNpU5hNlbxOatebUvXyQS8xf6Bn6zuPzFvJJVNtsJbxB3f457vR7WRNhjPwO RutOWj3N91s8nhdnElPEa14IgInZY2wZx3jnGY6sRKt2qCGXcqO+lLXN5dK9h7B0Y4GXpl+bsZ7Q FDNYOgqEqg6DFU3N0e6FY7iA/21zlUJzoyJNndpeTk2+XnxW4OjH5G04BZPLCQmZPs6Vw56cbEIH Ezq6vQytwlz+lsRwaG2jOruZZaHcBNEpoWKBPuElDUAmSVszGc0zGaIGDEYEGNqgm3M//S2/wPB9 Rj4yPfOUjovEx6P9cZjP0OV5d4uM3QsyF0xOzPhj2SzwOZtsejnWKTUxuZLWQjuxWKuNtnHTehaT AvGF9RMmJzqECPPa1H60XzTEkIlAGZnBrfm3jplXbbEb34ijozbo3GpJJGTu8SPTwV0iHuc8MvvG ResLdB+XZrgQCPeCUpllDJ5a6ynCzqJK1rYWMukHdvBOFAbbNXzCzSUtwMZK+3b1/OvSyA9CguMQ 4LClYSgTKIE810UPJcaBAhwcJ1BLwJ2kz268m7yOO3NdnSGye8qxxTb+OprnrIhaZ7YpxwYp9204 9MYbjR/3wELPTg8/vOLYj8o+NCdHH40Erbx6NvmkpsveuDxMIXy/VsGTMWPAkY6qGrea0bXH3IZZ MAGX5A0e5YPaCy/4geoIQMtaCkwGZ8+tAPaGXR958udmlCBctK6yJiIBws2GY+kPgzmm1lgw8uLx Jvyn874cSSD/L0FVHW76wwrMGycW8g7jWnmO2nrnfbmRw1bNUD2mZbD9pJqwm+eQjxPZ7ZfzhEb+ 9lfSIhQ+hTxSg2XjXmZ3CWADa4iBQlOWjbfKQ1Dy9C5rvPwIIh0Xp8pltEampCeEYtFjTvlfDhXX oK4wSKjkCNv5RtT4HL9jQ6SbzRIuPiCvFAB28PqzQ3726ePq7jED0C+FgufGqzOJ5YF/4Z0dB11Q WIZBwKyv3LvNHQUjHROYN0YPspJxPTumi/Zh5LS8UZi4Ot4u+KkEsUoTwNeLfHGFd/w5ab4GBL+E W4hnFyaCPua+2FkGRu97taTePlfhxC0H6h++fr9n/CWkctJgXmIT+wV/mKj68/H2TdWz87kDoSKZ H/8XlKQkc9HlA35p6/0HG3XL6W5r/N8W5R4wEyJsWebZtbvBxjYAIE+TErOvtDDl9rmoLSRn6ecy Q9bEaPzJi5XPWTs0EqN7VbTIFa+q1jWI5RHJt9pij8VN7SW/NK7E9ZtKbMrD4+GmfJ7T4loSOkNQ JdegUfC2kaRQMLt3y99pSm/cHYtOMuQOtPErzWFfnWKRv9zGOH6n1bpDuSev1Nz6xCZCo6xTA+QX YoPheOQYtE9H5d7YkZe2sERDHMZ8mG2GAgcYu2oI1vgD1CnkJgaAFv73FrQamwwX1n66svtBf0Ka P/QZStYjxFbYdOsYdjlCAiJyyMG+Yu/yxpWnkOg98on6UcUx4ISlJGwTz4nYuwuodrdO8D8Lcmup fdXR47sbNhXDsCN1hnZbAJ/k65pbAAS5oHm0gBeHrUD35jQqX+rhyrKvOURi4tY4427fD05jNLel JcSTacWLIQhcAjraeYZgKkVrvqqFBwboyaKUqinDgg5jfk1Xk1wiFcvh6YX0sg+dlZlbisGgGKU7 ouiwNdnjrT7p+P1zfQ7zeMlfRooF2UAXCkUJFpgKNp1FWBj4z7/5alOgsFjfeknLozevKpS/IKGk iWiy+rGEK79dXwUQRWJ3Sl6FzIq5VBUgCc2DBHIAa6jQ4hCslb0aCkTkfNf/vxnJtku31PXOS3rz P1W2yjytgBtkYh5u11rrTVlp5s6c6F+wgmr9Ldw3IvPyBb7yYtC2hXEAFxiqFDRkODLOwIQPbnGX Fey2WxQkuiRYz6lTQWUEYF28IC0eN2vSoDUWu78K0d/ke/4PSbQtKkXc75BFLTT66039kFz24daI NGiuC9Z6/2ge+4VtQYYx7dReRGz8dXcIaSPgg6CDSEdmyVrAUtwmr4Cqo55q0T3a7jD4xsOds+Tt kVGInOOHIOXBjlc7Biuw0vorGKYgHG4JvKUn+CX2SfbgAePwIk/Za/mz0P3JLI8y+aTjlQ2cEOY6 oBjCk7g5TRh8tiVPWaJDbqLzV29mybRqz4uMZJG1LfeQmiZ/uVgLqjqM9oQG9qHbM11FPTakB2bV vXwx7ESlAR4ODPUTUa87UvRYwMP88C/04gI1HznV0PLes2TU+F4RMg9DN3PzksmK2Wo7Uxph/Bxq Rlxq5XD/qxwjs7KG34B8SQCeLzxgNtiLRzPhcBg+hrCSaw30Sq4v4HXtQuQGy/FZw0951xcjzj5N w+fEBIj2va9fZi4G7Zyi3m4Zbr6dBgeEEiuYVI4ixYVwlxWV0c7uk4wcKMTEWv3kMDYOnYSY5qqE L4+CXEwGNLG6eyPXyYVvRzLY/FXGsOL/H4wcUmuYioyCevdH9A95Jq/I6gfFNOOQnvzacyV/WyUb DdnLo07g4nQeWVllr3qDoYy7i6NpV1zW7hRb8xOQjtdKdsqgUWJeBwCkbY+QdYMw7JNQGYdwrkDD gpfsb0JU1kQbbveqzkQR2Ro10ing4si4prwjFCH7Q7+JGJAUUiqbRk+gSC9iVjBTyB+z1rjYuPPi srOSgf6T9Q7nJYn2s+QdSobm54bHVwlKxlgcHmJFss16ZDpPMTUg8iWXS6peXCv9gyiCN8SrSHoz 3FBFAX8NMpCUKC+WiAxn6Vc6e6vVayOZ/5m7kyrwdUNbnSgRBpOMBC5DMJuBUAW1B3cvQriI874E HXPQOkYM2vW6vT/DGd13rFkXXZMGaD8bNVBnPHXUybNDX1VwFXJbIGu1wRjKMuCp8/Zqg5CX/HmR q/LMWYjkYXPuyFkXK9feSuS55vvy0Wah/Bb9tGn/+RA7HoEzkfE8vBvicTgKc9NtkXsK9RmJbIv+ DerO/1LAOiwu9uJffBuIVlsPCbT46GE2VxjC8Zd5GmkqJO3V5P/j2L3RvUJWGHzQlB6Qyhzjacyx oeF+K9VqFWq2nFr0fCj01o3vkpoI870h5Xzz5olIX6lfQYVb7zf+F1jGmSxPS4CnpXGB5bBUNNcx omsy3mWO3uWTp1pGAbgE7P1+HLj3GoxuhcjJPVW31wH3e0ToqHKh/Nq2clDCqqwNvpFo4ZJEk6Fg vZQbbaU+Cl7XryvIHVoNknu/0ZG9f2otT/UWIgX3ggj4QgOUwl9L1vquskAyY5uo3OZ38pWFFMzL yM84K1AIslUsoL4LZh5i5Im38QfPyeDOKV9vnsIGJ7h++7XYkOKq7YF513u424/NasGxCJvKruWC hO4gTJb2cu/n3LicJVmUNRk1uSJKdhFYQLu7ABWcFWU62FsRdMYnu+myWS9ZeUU9NGfZkD4l5uCA 1c4WDkdpFJoqrU+3+MbYgYD7Dz2G+7DyVIhXS9fv2h91OptIo9lM5ZV23C6IIDTgIyIGNEikSHsf YeNlfSS/Fv6jG3IP0rD/ifWAZg6NRpMVSqdsHPAYqSc3iNaV9VAXXiOdDkYXyOL9XhcbhQT5fs+K Io24Pp4CHHtIQtG0xvTmGuE1PSztiXQn7jv2Xejn/OCA1PuXli34beoe1KUMve9idIzfXEBaAX+8 uLLRwzTZD9W0FS2JJm3Io8M7SltQ5ByIYs99hSa/KbYMn1/GBJ++YVtI5K+mI+0zJvZKudTCQXuB 0dW9cswDdauk4wCtoh6a0DUdIcINEuq47JNZl9f8KU23eE8JBtrcIxhFgrJ01qUrKlY9fVhsWUPJ NmhApZaXA1+TFR4KmGdcZbEQ+2V0d631pCpkO0ZDvSzh1O68XppWw5hkY0erKnAzsezmyLgy7yZO fsIe3tJ6Anz/EFxiFPbd6h8gPH5gneQoe6dKinnjKcsnycrK80Cd3i/Mg3wvKyVYI5+JkVSSEBXF ep/xrnIMLcVw3KFdmvjDR/MxNMWdL1Z2kUqQwqrU0BaXTKjNozvWrOc6qDV8AMdhC6hQacS3eMzW ZApWeJe2C8hEVKmiNZ9wzaAxWzurcAiKhls5NymknCQXaJwNdxs3/fvai19aYNaBllJ7kd0TrlUB 2UcvsfSbqzvY0kx44nGB62aHjgNbX6ZBdxOWdBgjYvvMZm78VEVw4UQ+0X+G7NpSz+5o1lNdjTIv 4jtr958vlsg+BaqCy/NQn9ZFHA4D7Xot/e0VU615SlNWjxAlPjoa/K/BwihT4MNPKFcN8cZdll03 gWyGYgR1ulxgtDkg52wBjmqJxWRcnSjwAE3ZUqrQzXkM10TU8UC/xcgcFiJsRJIY5Cg1zzxkGjki kIv6+d35YrBdIz2n90MLZwMEs349Rt/4RDmHwbJ+xMjHey88DDCbb70qi59xr0mQDxTdIyWAtbiR uUqIpCRBgjxahW8gRV05mp8JrOArcM6OrQTTB7Q+AWTSsfmvLBxXEh+N83kszCXUzI/V+ToYcwu2 g7AcK7P9P9F28t2ObC2fzK6WGVafjUFx2dSHlKP/AW84eQxpbtm8ONDUKeNEygZNvzmUShMUh00s /WOx/plQ/Aw+6o+nihQ4/AndMdrym4cKqGqvHk9rZgYjZy7L7jyyYOBThspNK8dNG2ieN5Y5l9d4 7nYSBrsij/yck+670wh6YVafr5f1azYjbU7hLxyP4r8RR2R10jEtpFtVlEmOI2HEb0TwMBnlRVHE GEz8F2Nnm/yjyBvRw+JQKEcAYRP8YbHK3XcEQF6bvwzkOSR2v7Emb8k+anWjQ1TNveSg8xjyjcKS UJN0S9nJv2FKaujjDAiO9OEChoF7vipVVgbxVfSua9MtgPfHpdfF49Ksa4aYFAwk+TVYEY68GGMO 47zLt/tCG7W7XM1RnP5WznXhQ5AacDdIMlXjAM0h3FKORFDcJR2cW/+5HRPSkf3SrIpYBHH0+/HF X8tA6bnh2yxRQLMAp2PCr86RxaVbwsooDkSJNZ9dcgPsuff5FQtq+rgjjn7Dc4xU2L75V8a4NFIW zfEQj/tpaD+JbRwqcRQf2tGhtjYtMQz2wCUy3Fk+3qBDSTFdm7i0b9SSLer9OFwNp/TPRCZZH3cK zuWW31IC9yhVs6ZmlK5F6JRzbxK/bVPvhzF/hRdb/9iQ0V7xShdkRp/3JALpuElXim58jHUwSlBe Pi0NT7r7iNykU/Tmii6g8MIz+hhAekHdVgxywXHSzjBHhUQ8UJ2zJb3Jpxex55yayFqXZyIsj16S Bnyg36HpFnpoj34p6W4rxei51+pJknAcfAQtPxmFIBmbpMeuoJWyxgn/3B6GnjM2hd1Fh5le1LwC gUIgwa7o+YhxWI8JQQwMY0WeZ8ZDXJbTUEy5vI/uSM9NuPbuwLi4Ebs5I4LT2Tnz6vYsdr8gTQTF PXhV/gWPje/QhtOR4TIL8RCoRsaYvh9la31Dum8YEBvkD/d5GxE8WPKC/pT5Pwul+OZeBDl2vVam D8ZSWVZxSeZbUsyQ6/evFZcU1dt7FuB7AIVSnlECdjbBsvzWsIqqMwrHvC2PcY4Vrtrp4oj7ytf8 WGvFM+pjYa6RMy05otDmOkMYLA/+X/Xc8+K30HbSnAGKi9HYqzmTZ5HiuxRIkjuSzLWOisj5lsPd 9JhcNoipz3jfB8N74gGy7873c/txo7HoafPHjLnvJvGxVoE4Q3C2BhZFMxwa0m/HmT980KvB3Yf4 kMKrCZOcxliuMJdw9YuX1k8Dii5DXCmw0NXfkHtAgYQtvAC748rVUuHW5BOhClAop3H48qO9Y/SJ qi7K+lXszkTMg1B9EfAiXeVPJf7tuZM4zf7BhOW20Zgp0tsNJwZ9DBTHXC2gZfnpsCtPQ3szBTNB 0hS+ef7RAyRmaOckHKXQ2Pq1Go63XkNOihHUG8ZVgIG6842yF48FDtTLbS6CuUzH1VYf2vqTIUO4 TAiXxlBGcxeOUUviJzvGHY+fKzzge6La0RSIMiC0EgAH14JhP7Tfm1aIIu1j+VjOV/9GZMmV1aqr X6AWf4czgh1siPQDLlEfRyas1W5C3hW0AdU0v0j6h+CHZ2DCnyAiheBa+1zdj0eEn95Oq26ZrFJ7 yaGuVYktjRDVCwFialWmZaDnO3VCpbOoSfxXier84vU3ArVBkx/0NPZWETizy41pBOCoAO2nwlay UkedbpphW67bJtVIFYNzhwM/4czlKaF93hJmz0ubUIezT5VerMpLLX674/vDxn5a4YmEaKon49me LP0eDHT2l/3gw4ywPU1xIjI6/xFveYCoSdZ38KH/8bxxcs5YgqKPBLYlzplHY4PunNpf88o/y5MX b/rzuYZUQ5K5b/4Vq9aHgP8orPPm+wOTxyLPaB9P0E/yOLXYi6+ZAHYJWh1P4Y/oMn+SM8l2EUnb 7eiFlZvsydICrJLjmfm/ri4MNAGRr2u0rK7O6rxCNSAZ/qwXTLjEehpT1x02vUU6jZD8r0U/BYp9 rTGsHwIo0gzk89Lrs3H+oq0EJsHq6Xc8jgcIOPmbIpyoTDRRaEjrZcB0uYLnCgsxNBpc6Tbkg785 LQdZ7XxcnLrpg2HeUm/+5pl1JJpGwnCss2WHwwcw1oMlhGvHWKpt+SBteX6X7yacFEC+NXDo7fB3 c5D1PTazqnMKvyAmSGVHTr+Ms8peBEQVz+VDj+JieHTpyvjTwNnb3ChqmtcCa48CL1eMjcNMpmN7 CKSpSYWRqKebqogOtzbKomEMM4kGgOE6Bz8QFcR9EZQw4yVs6vn/5ZYP3laDxbjHWOEDiC7KxMLN C6V4OeQkBIZTVAfSUYZUB2hczfuQl725HYXsFEz3cmaflWpp9ThRrBNGhG89O2El0p9VyQNzCPO0 9CHRx7OzMlTUwYUWL19Ioremkc60Qpx8AipIRZaCoNRGjGk/+FGO50Ofaz3wRGfuGxwVmV3O72iT 5Smjo/tmC918IVWAJ3v3rnlw6seaX0zr4h1NKxi6X3VAu7ill2qh++wVMz7nwsr1Qs42UUFuu1c6 9lTEUeyYcdeYMX9fFltzNh1KbakcEeJfjiL+/IVw5BoiTvs2gVFkR87VbDDqgeKM5KwbpZjOJVhn HZGyPpdYmJiZvwHr1grsgam0zXeLPRO/CeepHMShroMjtF8TG1AXIHRMQLeW+GUkeoxWd0HddeU/ EZiixh/thswffdcL/M8PJl+LpgTbzjnE40O8FFCjyWBg6TOQm1jUVWhVWTDF4DbDeLIE3HCm8DJ4 QsNvSARN7yL0z/IY7d2Mze0SaoSZOTE1gxugE2l6Jz5/FmpJkQ6L1Pg9GaW5VDWBuoYPa9JyjR34 INT6ZFHpGz0EPh3thtgqqmMg9A08iqzZNk3PW1E3uTMdUADEJtuu6F9o67c57hx4yjEUFfvPq4JO gE1vvmPukkRj8mMFFAOvqJgKoSDz8sobP5+r/heqmIyuWTI/ge2d/uJhBR6hfQsY5zEg6RSnmK+a 1eCICqaroWgFZmDtvyjC6A9JKOM0hEQtikwWSjYjaVxB9sO7j2k0btbqlcsOa7+njgtnGYwKGVAO 20nWKqOLyN00LzzZFX4Gg7jPbJw/N3YCTfMCxEh5ou9tK5LlYziudCV/jYdFClz8hvblq/nEtL50 jy4fQwOtvnvtFJektWogBNCKmwcQoV0Ye82sfaV8G4ZOVSToeLtYCQKISx5Qlu708QwOSlRHNcn2 LUHw3SYRFNlvWtNkOdgx0QEQ3tCUibUj41rZiSZgonY8c/nQ69nN7eA6hz4qdFWn1zXk6uozxRT9 Uiw7lT+3lQ6KNgaIO/qlTQG/ud1LDoR8HUWcnf6zZG+OHxff96mM26RI3WBg0gEJNVBWWhVoJy5x G5xSixCMWM2lxUfvCLlk+y+z2J9o1bMLfi8iYQ4PI05CJYQ4yZA0QKBU6TMeQQvyfSw9A3DIGqKp kRNXF4kg8Z0uWusjDNAhi14BU2Oxd2P2qkz/NMTCT0jeT7iIOJbvWltpzf+p+h8cBRIMUj9kdBCC mgdGoKkXDBWaC//TxsBz/9VSDVnK/FHVM2yD/SDM4dBBat1zP8aLXhxzy7YsEPGF3ibChVWSQnj4 oGkEaOHVFewHtVzl+5PtrfYoyHS3cUz16r8B3vLRQozXgW7h92Pi+/2Iw52uQ4HIImQayEHFfj8i 6aRedUF0lWd+yc+tesLJ7FsiKCxPY2W22KIus1p/Cankhwc26mVEpA1IIhHU/FDzwaLlFWFEev0u JSnUhtkcgu52MzFCXlkffP8IMX3nPUg4o0tY3f8apHLl8qvp9QfpIEnTOwcVyPc5M5KlYZd3uRUJ xInXK0FxgvH+3CSHH54Agr9LAwHXerMt79e4/6mrp9guo8ZdwvNAQqiSm4XjEOw2eKXVpBMwWBqx udX26jp67XlvU7Sy0FvxVNMW1IwjFb3wAcuSfA5uUCDrvfVLnHbQdz1018UGf4rtFaFdWG8bkr6Z ubngCRKHcAo4aJlzXJSlxQ14v2ELwvAJFH6ABN531i2EJFtJv5qdQEfbZqwdTpvJwU4Gm1NHn3aI dcpFwpNJTTETAvaK6rBPa6K4YdT55Gp8w4tydF9F8Tj1l17qcU2hKMr8ksAEuvzvtILGoq98Iak9 gkmTkel5J96eQQ/eNcD3c5gS3k9sNtbt/OdT4ghgnWo8L0TjbMyMk2UMHifYpN3IFRGjqJSt+qhv R2wl1vE26FY2R+k9eMMVcPkT1uCzuAZ9o6dtWoDPOD3t3nOilqJD7Jo5zezoPdu+48GOCiwL1WS2 irOFlBO3jBaT3H5MAsF1QSdLMGJyC9cqWbmiGJlljmgbGkCSaNzv2hsS+HUn5mf1dEXq3SXS0txQ 0uBMg0yf/skXT0ahsPaBfSeigVrxCI2s/eublae6tIeNfCKHUAwiMAb/HfmFphcRt04PAm1BfcO+ 0m1z1lTAZommb6ixozdXrKVMACuMy6SQ6B5RhEn95Uc7U88/lyCtC2+6Uqzaj1gKE5/ab649g7Ab eKGxhoYQ1CDqxMaohO7cG1U2cQ2pVdEiv+IwUdgFvINKsYZp25qYXgs4QQGaS4chYX8AyIbdO8+x rj3o875yRhk6Dek/IlPA/7mk1zQ71N18hQzPonyQMXGTMtrPCh+OwukggBDu9hwYuksAucvgJ4rA 1NOAPnCN1rUXs/Nk0eU05cGifSsHLhSqO0ubEgUvAqEdQ7+eU8Eaj2zIpsUtISbMRaKy34suVql4 0lmPiHs6Ma4lActgJO6xIDMJ+detuihcAUpgtfcI7uUcsu2afm+wpkYfeRPnGIzxS+h2w9snfzko CpDxi59wvxOkTCsBhGrqbt/k3xmtJlOgGZPtc7Nf65/dRsubG1nFwVF5/0daHp7x+nmSs8I7pQmH HekmoPFyKZrOnuvYuEMMyVKpY4AcxASvqwd7O4jwKS8rMUn1gOwkmdZejlWTSPqAre0dbNDupF1+ 2U/y8XJwdnkFq3FQSR3uSj2/aOMqjYgFvDwe+oeBADwMEFFbHcomqhS6PU4dULbgcE0hPICadknA aMszyqFX5GCjLN5bAp+1m76JU1G22i/5v2OeQMXcTk+6f0DqKIY1lVxWYF5vPTK/HFMzGJpxNY/A OHi1lxbZJpcFMfpvZt0PbMONAW2F4pXMhRTRQ9ZyGD+3+rSqNh4RPwQ1+M5ptq9fadZlY2isUHuf t6FSTkXPufZ3DxdWghlwmIKHGFzGLIwhvW9MuMXO2KoptYmN5b2ERbAcwrwW5tjDtlz5ZMhwoJsk XzLA0FYCIB/Xp+oXYgMCHz+WzllGOUhOvN4Udo1tY7BkzsKPBHhs8gbiVTWhb/l1VEyN6TRcw0eh RmTw6jBzWX/Moh78zOLlAtc8Hyxqy7tMgOknJPeQhPzBrapM/p5CwL9I5cIhDJh2VFuxpWY5aC/K OIUgv+hqG1XYgurmPWzEcm5JV1HoUP0m1csvzfa6UMHgcH/o41eE2AuChH5c0AmfSEur9nerjcUs UC9CYjEa8WTmhBlG5acnfVcwVp2r4stIBqeC+iZ4ghOPPNEdLHf3pn6EeBtaW7FXFCqsn3V3DpJl TrUbn0BMjfx5v1yC3+OUFWZl+DVCunAnttGjbGK4mW8kDQi4sb4Bz1vkpSRADgTESuW+F/N56y2C Eq2WTqgMpm3WN0ALAJVM6OgnA1GwFGifgttzw4WdNE/rLZqfn+LTaxb1fHWcAeek2I6sZ4sazeEG XdRzfIQDOnB4gZmMwRU1xtxeqfcWeNESY8P/9UxydSYAU5Qv9PyBZrmKqBbWVd/DoBi3kJ/3Un+f Dy2ixqJpNXJkoVFhKTALzBYzkhniL8PvrIQaO8orHGB0dWPV8m31wkK3nJ6Q6RK4O57/BqYAdTUu QKXAypU0ekpLKEbcmQ4WsIOl1PrX5jILkr9If755RYqbf6IvsyIbc3pmazJVA9p/aVd2lBRmmNHm Ph2JLNkJrAGJOrx3Kq8IGCJX0ApvGBEUAhE8mrnCAVow6pDZEeTuSBNBYKN48wmMIGFylfk7v55Y Ua0x8h7vr3PblYDE2KNuoD3acx8R5IqvHM4eEEkKbMpzhF7gf2vuPCBrtN1z1DiGj+69N7CwvCJ1 4DmYkL1P0Ag5OLkB0omMCCDg61kBmX/liLtqmjpShzeK+a2RLN6BpxS4pmRTdAfi31tNGUtRsnvj 1qtNBh9aYaQkxI08/LdG32n3vVyeFRe6MbOG6JuaG5cpmdR8QU88V2cJK+pMVfprO+8TKL0A588C R6Zsc2icx97+EgXFbCKEym7/Bn3vcuWgAccIrFpXdm6qBiNuJkigri80B4LMozbW84MaP2x2N5UL JJVVrwMCeU9abSvN7N9MjWKPHXlblSfXNZDHT04/li8UTpWDkJwWYzvOkBzQPEZdfYI9f4pS5UyX 4+GHqY0ZURhN3pugBk8TdrThZCFg08KmNq2P4/YI9hRYqwD1huiM2Ts90vULLIJZCtKkITiwNTp/ GCizPxMrF7cmZsxhSFzZct7urcEeA2p9oo9iGAjGQ3TGDbB6UVyb8+biQhOMGN/LWtVd6dptJOn/ 87TeOj+6B2bKRtwcf2ydQxvb3id2wfhjlhFKi3dUSkmbI9Jkoeb0XOOkWa5hSyEY/qIIFd9x5jVt Yw7ZOq6Swm4dyLeRvTNpi71fyBBfeoCldstYT5R8XJSdTJ5J3OJ029+buXe3mHTNfo/+CxEyt9Sc OIt2CNGpM4w+GTvvyoFr6F+zO5/FwNF2zxmQ+fL3GujRsbEq `protect end_protected
gpl-2.0
22d6a870bfdb3e4e4accc9636d163151
0.940828
1.84958
false
false
false
false
keith-epidev/VHDL-lib
top/lab_3/part_1/cro.vhdl
1
6,354
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 06.03.2014 15:08:57 -- Design Name: -- Module Name: cro - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; use work.VHDL_lib.all; entity cro is generic( width:integer := 16; vga_width:integer := 1280; vga_height:integer := 1024 ); Port ( clk_100MHz : in STD_LOGIC; ch1_x: in STD_LOGIC_VECTOR(log2(vga_width)-1 downto 0); ch1_y: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0); ch1_update: in STD_LOGIC; ch2_x: in STD_LOGIC_VECTOR(log2(vga_width)-1 downto 0); ch2_y: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0); ch2_update: in STD_LOGIC; vline: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0); VGA_DATA : out STD_LOGIC_VECTOR (11 downto 0); VGA_HSYNC : out STD_LOGIC; VGA_VSYNC : out STD_LOGIC ); end cro; architecture Behavioral of cro is constant xwidth : integer := log2(vga_width); constant ywidth : integer := log2(vga_height); constant grid_x : integer := 15; constant grid_y : integer := 10; signal clk_vid: std_logic; --video signal hscnt: std_logic_vector(11 downto 0); signal vscnt: std_logic_vector(11 downto 0); signal data: std_logic_vector(11 downto 0); signal fpulse: std_logic; signal ch1_x_o: STD_LOGIC_VECTOR(xwidth-1 DOWNTO 0); signal ch1_y_o: STD_LOGIC_VECTOR(ywidth-1 DOWNTO 0); signal ch2_x_o: STD_LOGIC_VECTOR(xwidth-1 DOWNTO 0); signal ch2_y_o: STD_LOGIC_VECTOR(ywidth-1 DOWNTO 0); signal y: signed (ywidth-1 downto 0); signal x: unsigned (xwidth-1 downto 0); signal vline_signed: signed (ywidth-1 downto 0); signal ch1_signed: signed(ywidth-1 downto 0); signal ch1_last: signed(ywidth-1 downto 0); signal ch2_signed: signed(ywidth-1 downto 0); signal ch2_last: signed(ywidth-1 downto 0); component clk_193MHz is port ( clk_100MHz : in STD_LOGIC; clk_193MHz : out STD_LOGIC; locked : out STD_LOGIC ); end component; component clk_108MHz is port ( clk_100MHz : in STD_LOGIC; clk_108MHz : out STD_LOGIC; locked : out STD_LOGIC ); end component; COMPONENT bram PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); clkb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; begin -- generate VGA driver for 1920 display -- vga_gen1: if ( vga_width = 1920 ) GENERATE assert (true) report "generating 1920" severity note; clk_video1: clk_193MHz port map(clk_100MHz, clk_vid, open); vga1: vga generic map( Hsync=> 208, Hact=> 1920, Hfp=>128, Hbp=>336, Vsync=>3, Vact=> 1200, Vfp=> 1, Vbp=> 38) port map( clk_vid, hscnt,vscnt,VGA_HSYNC, VGA_VSYNC,fpulse); END GENERATE vga_gen1; -- generate VGA driver for 1280 display -- vga_gen2: if ( vga_width = 1280 ) GENERATE assert (true) report "generating 1280" severity note; clk_video1: clk_108MHz port map(clk_100MHz, clk_vid, open); vga1: vga generic map( Hsync=> 112, Hact=> 1280, Hfp=>48, Hbp=>248, Vsync=>3, Vact=> 1024, Vfp=> 1, Vbp=> 38) port map( clk_vid, hscnt,vscnt,VGA_HSYNC, VGA_VSYNC,fpulse); END GENERATE vga_gen2; bram_disp_ch1: bram PORT MAP ( clka => clk_100MHz, wea(0) => ch1_update, addra => ch1_x, dina(15 downto 11) => (others=>'0'), dina(10 downto 0) => ch1_y, clkb => clk_vid, addrb(10 downto 0) => ch1_x_o, doutb(15 downto ywidth)=>open, doutb(ywidth-1 downto 0) => ch1_y_o ); bram_disp_ch2: bram PORT MAP ( clka => clk_100MHz, wea(0) => ch2_update, addra => ch2_x, dina(15 downto 11) => (others=>'0'), dina(10 downto 0) => ch2_y, clkb => clk_vid, addrb(10 downto 0) => ch2_x_o, doutb(15 downto ywidth)=>open, doutb(ywidth-1 downto 0) => ch2_y_o ); y <= (vga_height/2 - 1)-signed(vscnt(ywidth-1 downto 0) ); x <= unsigned(hscnt(xwidth-1 downto 0)); ch1_signed <= signed(ch1_y_o); ch2_signed <= signed(ch2_y_o); vline_signed <= signed(vline); --cosine_signed <= signed(cosine); ch1_x_o <= hscnt(xwidth-1 downto 0); ch2_x_o <= hscnt(xwidth-1 downto 0); process(clk_vid) begin if(clk_vid'event and clk_vid='1')then if( hscnt < vga_width and vscnt < vga_height)then VGA_DATA <= data; else VGA_DATA <= (others=>'0'); end if; if(vline_signed = y and vline_signed /= to_signed(vga_height/2,ywidth) ) then data <= X"FFF"; elsif (vscnt = 600 or hscnt = 0)then data <= X"07F"; elsif( (hscnt = 128) or (hscnt = 256) or (hscnt = 384) or (hscnt = 512) or (hscnt = 640) or (hscnt = 768) or (hscnt = 896) or (hscnt = 1024) or (hscnt = 1152) or (hscnt = 1280) or (hscnt = 1408) or (hscnt = 1536) or (hscnt = 1664) or (hscnt = 1792) or (hscnt = 1920-1)) then data <= X"0F0"; elsif((vscnt = 0) or (vscnt = 120) or (vscnt = 120*2) or (vscnt = 120*3) or (vscnt = 120*4) or (vscnt = 120*5) or (vscnt = 120*6) or (vscnt = 120*7) or (vscnt = 120*8) or (vscnt = 120*9) or (vscnt = 1200-1)) then data <= X"0F0"; elsif( y = ch1_signed or (ch1_signed > ch1_last and y > ch1_last and y < ch1_signed) or ch1_signed = y or (ch1_signed < ch1_last and y < ch1_last and y > ch1_signed) )then data <= X"0FF"; elsif( y = ch2_signed or (ch2_signed > ch2_last and y > ch2_last and y < ch2_signed) or ch2_signed = y or (ch2_signed < ch2_last and y < ch2_last and y > ch2_signed) )then data <= X"F70"; else data <= X"000"; end if; ch1_last <= ch1_signed; ch2_last <= ch2_signed; end if; end process; end Behavioral;
gpl-2.0
effd20ebdb5fec511422500213064d69
0.580422
2.854447
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_gen_prim_width.vhd
11
71,859
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jrgcvB6NpgzNJdRVHlSeDwQMVl7aDQyabgapGh7rqmEjznKI1NCLrR9ImJTcdoeLOH3xBtfWWaGw yILZZ+w5TQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ag4YkSmodLksNyI//vguhKIb+29r48eSSBnSekONPTiY5KDvwEVk7FPHt2IwDIgp/+lHIEVNuvl9 mSG5KZ9jPHSPZPyN/4vfwOqsa1suwTYwLnCPSwuQv7t2wLyPCu/QCBXqrtpbIj5KiydhleAx1/yo 23YHJk0egLp0iDQ3TsM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RVCy0uaMTpqXTvUIzq3E49Qh5tjtPCjUtR5Z0GJzxDQt4fBZLtMlbWao8zrxVXXuPptlCW0nZPN7 Pb1wZL2OJBvZdpUOT8g8t8cHZrubY/a17tJAMTFLjFUd2Cu36GIseWCHNz+iflFRrkSn4RfZu/Qj 2DFLLhHmK6zPRYo48n6QUALR7JLe2Ls3gt6U+JhzuK+AMijToKTiejQK2QXcgoz7swaa3FZ0FeLH ykamoUQWtwHWo2XRnvRfbr44YvPS67/sOTlSxJ9wISSVlWulUVdLnbgt+BQkbR8iZL7Gkgh7Jf+o F5J74x3vJ7lb1i1WkuSsGrJZl+WjFODRBuW1hg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 2CNH0zDSx/qy/S4Wzv0Ghu9L67oywnGBNit8FqDzTy7nb++SXXwHCNc2D/9N5LhjapQZrwlrbfgn OcbLtgGWd0I5hDexG92TQhNUS6RBfFrIGcZtHla78CUuV2C+p07h+9XVeCTNAHcy0msrkbxXSlkn rB8273SulEPyiFiT658= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block R0RGo4b09yf7xrNRZdxn1IK5E0Ta+4gdve/4VDSCQ68Y+u8TBciR3+qCAWIdzXJezD5JvN6Y6ecv zOfXJsdxyQb+mcGNqbN/OMeAHTzNiEwwhjfobMrn4SBSEIcg2Tw6Om0FRF+Ibu4a7C/UUE7zsL7j TcT+QCn4Aw0JXsglEuMq3U0nyE1ZYHK5dym33Zmvlwt1mm0pWZlexHoVJxybfH3/gDwfuvSbKxOy lHJcet/xY7TUyuFHD1IceBoktuKyRQ24koj8AatheSSbLCOOGQsiQNqvE2CEQN/oHsPg8Fy8Sfs0 1gpTuG4liLXH7SYtNDO3iPLq91fmFtMZeSH0Lw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 51456) `protect data_block H5QoqV0rheFNVhteN6HdgpOoaA4XLf8h5t8/Iu+b67XYyZdggzkTCFvHPzNb7XEywV+qt320bxGf QHdw8OK8ZgW1yvOGBOZwTjniMPQfElt5Uq7vkxXfnsvAegNURH4aoVwekr1gNgZM2r0PApxRzlhD JDVn5avxh25Dw3Ukv8NxNR45eAS0r0AxqFuRn91q5nNFNOAl2najooWcdpq/uHnRplDaI3vzvKRP py4wrn6NVmwaTjaPzg7frt1YMnROPDguU/Quuy+wH8ji6jOo+C0S7jFV2wqNgLu51j8JSam1Mdyj BbUpz4f031eJ0PpWhcZS8SkmHXXfAdgTrStlXE1yjokV4L1Tx3jhIHkCQcB/zAx/EIe0ORU8O4kS oIVnwSgaIZULRyuJhJ7FLLD7Uo+a/vvEIPWR/FW3ZD9sG4upMv/bTRpnuymOJ25PDJf983F/0Dnj uCqaqilr0knZPXgG5Er1aLNfRbw5KVuORVbFx1eALve5+6GpxDFt//I5MLpoRfrUv6O4FQ/EqPsP qrr7UcQqONcD8nPDN1CqCaEukCmpXEIfijltoukEjLgm0bkTcL75PhDxMFdr28+8a2qWCfgN7IL2 K9QuMF1ggD7FZJkdOOYN7SukMACAi+Be6bJ/uQv+4mSfDUL7cLupQu6EcvnnbVOFhmOcG+S1EwZf 4roSeUxFrz0qjfNoE6sfdJL9RHkq1Z8vwI3gdHJy0IkJyEFszvUks5mTZjDbhYbdZoyIONRBYXTL 33KySOcyg62Y4gpmEz8xjqBjJwfsZu4gmo8lcwW3eTSUdSjk06IzQS8ef9rjhCm6DkAg/gY8tTNR dPy/c4V5zi/MmTw2O+4NNSY5wJe5H+kSJDG1Gh0oIHm7S/l2o5HQvFhV9itPUUN54K+VJs6Jfg4f zGoWjbtKe8ksQ4uD8qi1yJDw1PuUZb5OuhwW+nM3Bo73efynlATAbL2Un5pEjTRxrbST89mjmeTD HLxIEp9M6oQhtPXnvSpU7yuNaknvSs4lmQoOhHzFfGdDYqE7exY2J+5454icVTOH48H6OMeRwKyb FJrzmEEqwojMNothHMJY3VqoklZleUgVKDcI8mhZ3MdCZDNg90GyUGL99sA1AILLMxNN9zNKXfde aU72GZHAHve/IDGhkmPbVGvRPDMtT15PcG4eLjqj4j/5kL2bnbIv+oNOFNpHcjaFNb7lNnqxbaZK fj9uZoHUK1DHVGrnefSWSs9eqOLa8ACSD2Uh6FBqcbiSma2NEwUiOnZrkivr/wHRsY7GreYDZFbK qvXw6IIFpymy0EWZ9P4v7NssCC5TEIv10dOKKHcatmN/P3gE3mDaPsupg8oZQeO+pF6WoL+LEOPP P4iJ4OsZofmL01nB24c2nEJZtarS+iz2puEEfjZwEdkKhsITbt0XbROVNegXT5E6m0woXWaaQ6RT C4Ev8YX7+mGCyMi7gsjfzE54C/qkW6XfdESSdurF7sHoMxsIIXg0MfYmFBZIhZfzkHTSpoLXzqiW QZiNo69vT7vEynrxT+9GjTjUcjOSEn6XubV23scdBW3+GFHpG4fEvV8eMsvs7gNobV00j+C5bgjH hkxKd9kmt1DnLWxgQyZkAiSlpbiBkGczix//vFF4TwCN8y68X31N6ms+7Qz0LbHLSpc5hbLePUUD s1C06iGfXqMvRT1HpmQnV8hS6fteiCkKG0ThYRKIpJ7COFXqTRj1hyF2/19yYvwnXCvw5Yn6o4IG t3I1VXWdnxg4QejStjyXCjTqRiJJFwolaHSx9YDpZPCswKCJC7N8tou/MXTW/B10mkQ1Xip2b/8V xe3blbxhhl8AjfTQ46EAjSJNG5ZZ4KY0lbKUfhiAoL9WRonE0tiyz4R7gedVsT71TkUnVpYjoNRu ObiiWw06HitRCR8MfA47cChFDP2slBPzFJV4GqgLjsQI3Cjs7+TPU/tC05atztD7TmqdatP8oCaC Wmes35aEdSnqiZP1exJ3v3kShSx6LRiyQGfexBxpC55c6F/DpDP3Gthi201KkdFf7kvqkWoVtegb mjKXjs8TeT8X9AliSCVPai5IgLzgmGX/JB1ArTvqD+KcUhu1lDn0Qpe4fdvxz6fJ1dq78m9dCMjo ygvvTvBmicaKCqwiBvazCua+bMNiXOfl3xEwQGR+aX3TXsZKaqj6TeivfYLWkYSHKvC1WOD80vDY u2T7OKlbMTBWkflLXzcEJJzV+/nJWxiGx8IpCwBY7BxZ7WiYBYb6MFKPQ+pTu9jhG2vG9+mZmTK4 OfF3YWKVethRaitI/2BLUB+ARGK1BpILHxafYa53UcZsvhaZ/RIwlgjLqbp4AV47g+vowqqTk6hj nih/jwSy4GAHwOHu1EvSjY8NokA6U1YiMz+1HnKD9or55+0ZYWxD511bqBZoku8o4IhEg4IE/Bbr r56aZ830N7GE9m7wOyJ8joSpZFHCed0U3q9ZAG7ivqrBf7G16YjZa1BzLXBUTEaaRbytP2eGPzbR zfwB+nqgop1uSiQi9LzThUxZaJuOJaUOo41gVv6x1jh88GGspPIy0fvt33sh5hqmBwHKd2FLROOM 5cywRhtK8/6IBipJmYLup26Nb77D7WFW7omVQlZMsrx4HUJhn9lmhexr10jgrm52Lkw9Biq04HhF MKU6oNzIEpxcSHw+SRAjfKAEUcaeaAiJkWxz+A9zPFGZn1UKrTy4YtQMgKzLStw6yisVBXW1X41Q hTsowtUKjii0/pmP9BLWECjos8qIFEq6c4ZsGbAOZ80vuysC59p39HFLA4WY6eI81U3/exVMPJYI u5sqIDmu86AABOj3WJs4jyh9GPJf/R3Wf+7IWj01Vk1BfFZ/z2OHZ4ZX+QM2i8Z0THee4bM5xaWv iVUD5YJHtZxxVorVL8PnHvGqA4h5T2VJoF5tVKE82kHBgjhUb0iLG4cweI8sqNq11/0uvq1dcWsD agvtDF/1oKq136iRqS5zbxOfCRiP86tISM03KK499EYB3w5/OB4mbXQ5jHPjKNR9NFYhDUOllqzm vTBr/7oi7qNP7sOi5rCj9JMol12uAX3wl/W+qhsGAZzcw1gteyX8rX2IbIE5AW+B5kf4+lyu2pQ/ WsoJd/Dc1pz9sbjILAmHwcFUaCXumID11Z13/i+IQY9Z1JhfUyh7ievjw2oeTNqTxAGKc9XKg5KY b7iT3KlutAssvCrttdj3kiZ2Dgbilz98kRdiNzYn/B/ClDHFAK0EJHz8QJarJ/rE4iDa4dgEmga4 /lj2Ki8DvoppnrBstL/5vCFne+B/mObj37NfQbJtVZ04pPupjL5drK+uUiWt4MnCB9R4bzr/6e39 SQcsGOd/qjWR7VC3N5/nMQoB4vknQHyD0HU9d+sEB4gFduU4jC75gPBOXFpEAltMTmTBIartHopW fQGRb9k0pXvWwOuMk86+yJRN4G/3+ORZGrrMfEn5J//HatD3ROSzYX5LiYmx2Z3jdSJsOD4ZrpCq J5cMQwuSTv77Sd77zxjDt/YmIBqjawouprI52B8Lws93DlSftJJzPZJPreeo0K7NTKIkj7RHHhSS nsbN4o9O6xtA4QcF/6+snyvPqCuOerCdVZZ3AWWGV7B+u7r8zXbN6IxT4MQ2WVNS5QKjTPVYql9F PHjGpyqwfB6MVRrlsGisspYs0uv/RjxmpUHuVnNuZntsfdiNGkRhUisdIwxXdnofEplej/cJnGdZ Oo/6Afk3Kl8Ju3nB3UZT+O03cqG0nmKRsCKOspHAftvqlPE7qgL73qonW3G3oZOppO6m95AjJCK7 Ss4nbwpgam5SfXtj95uvlslm/cVJe5IOWcmIT/IDp+7SCx7xiQaMg9GhBxikrDopji8tlNZ5bJMj n/492BLSwxeQvaSXoU4deUa0Ek8hbACZ3ouCPFAsetsFsu7djtp9OIW0nsuYOx1IrxEgoJnRGd5V 4shr4Q2l6iYK/C/bxZGbnHELtFQZThaKqkCH07QERe9K2Ryoa5FY0mrXP9TGJqCCSw7P7ZsmSsi4 gewFBzdP95rbSb9Cqcd2w6jmmaYnw5uZcwiJc7EtXILrnznuaxnOuBSXMySCNmv35FA0W2ifny6K q8OPGFDBqWJTBTQVr9fd4AN1h28JAq7zWL3CtMMxdl3bF6d2qjcNWkBc94iJuk7+MPU8DCSnnlGl EmzkfI9S6SUsIJAwiGW5wmHu/XCmedgZFOBUH8YCwuncqW+n00CT4FRtWL25ulYvC56zkjvLDUeo lsVj65Odzi8tGyQMDVR0zJh/8DvKyAynK0jhegIvMLD2B2wLjtIduEJg8r3oFvMnPlwI+e7CSVv3 Q3rYD/xs7kMaN/b2JD2CqaeM5wwsN5UdZMmSNvzRhny/M2nxTeF2B/I+bYYh3d0NWccx8vPMCH9A dyugHOyXnOkeoZpsq51eMUyVI3AnjvUiNR9mccRfmOvTKl369Fa95GLGVErGRvun6fIZe5s7sqGL ausGiwwEqzEuzZUys0/lSiaVSoqENf2K8bXo/L9cNpbS2g/Cp0//LqgdNFDPn/CafHTcEto86AJj S335VPX7kK3kuLjGwTB8gzOtpa/+r4uHxZP5DuZYO3ebkJcFxzu0oacMUH66fUvB/+PMMdfC4bBI O+jUmU5lcKyR/kajSZxAK1iPwvHW5ZSTOmJ6GjAImJx2qMdECOHQQ49gidLLzcewAjhOtzoURu++ QnfM4Gnm+i7vhIaCCUm4YO1zAtCjgc8ufzBtpIEN1v0mo0nWbpx6tcSEjbxVKwACFsm0kHykrEby U5UqxBSs10dk2p9FVV/aw1+K6dgb0/2Kgpfw67iWuHVTcz01bD2YPg6IbwpKaLBFplL0y7N2OveU 63cW1zYNTnW9SAJUXSgJHgjKIwK5qgyD+QlDqBJ7HTjb2aTJ2479/ZpFjbOQFe4MI3cSCNEBQToA dORo0mpAXXXiErr+Zc233h++vc9ZKu/OAwHe2z66CgevK/hJemGYrq8ns+xlL0boC+gvpIJ97g6B GSHuatjZHVoiYSzoV1TBn2yPa1g1yw8tx+N1lMHVigTS2GjqvA3yyvVbwOHqXnudX0mrYKDssRWC O56iJt9NEQ5dW2DPbvEoCDaMAsX15Ak+y4tQ1FAlxTJjjqv/FHljhDheTogz2DdOX5e355yzQi1C 9sP90qSD/aeJ5GHruq5IQpuemR+Dbsk2Uyj5exGDCRKMfCgKZvBmp6uX0523JGZeBZYKWqzGJ/qV HE1du7sxUxJnG5RK7pKUm44K4V3r3X2DIRqmwy7Bt+Cfoyk57ssq6iTWS9H+rPTC6KvWF1tno0ye /PoYLP/2BCIxCGENmT1L56eel2YTMcrZorhGJ45tHdAJiPjeJk6aM1dp+6HhMxFXpD44X4ysjnce 87EvCW1f3ZJ+QStykJLnDFi2eWk5pOO4kV0zTHFWaF3gMQiu7lZQbBb+PyAdXA4twjMSQl7bRzN0 oOg+VS2q0Up5uWG2blmCiveWzeRXkQSQ54o7wiZgfAIsCiyuF7Udt8wAmHP/9G9FvUTilRimMexU Hvnu3YTZHsFlZJgKo6O2b4wLV87gc6OnH7pDPgHV5U463ctFM4DIOqawa9a+q9m5V6RzFEf9Ygi+ /BLwsUe7fh6JJWlg7PIUDG8Z5ECas/w1bVWf7ULzj5u/wBVSvqDV08shcP1jARPXDk+Qgj++L6iS 2lPacG5ldbdk1RD+fB71HuFkGhDOrueh5DVbnPstX9SX0Hf5B2MPmVF9iD1LYBwH+6RzP7oMitcH r9i5ojRQiDiE4gV3d+YF+dpZX2hq9PqbOdgiGHRof70lhAgvMLIOYBD0574nkRHHhNle8IdfdHzX BsG0JH0HxT17V7cOkDhgynkxl4GL4wONw2+DDrfmDDpF808iUMMXhK28MwSvW/1LVgJSfYsZMwMV fRTHVXh58f0jSe5o1k/vfpHaE5Aq0fJ/MrJ2WMwIF2G9gtUbQjNN0y0sPpIRnktL68ksNMK3dW+R 00jAT5i6LPbf8mWLM7z4uARaaffACAMI4DDHUs2DkSEq3YHaV3MborfOdNXwhheUlmEFHhZC5041 kX8j5teaGPU8rpQV7/1C/WB5zuIz4+tXGcPnSgcWo2JFI3PrhqG2fyFp6vf/1Qb7BVcSraOfLHXK 7yxIY2Aax6JWQ6K79sq+KjyxPf7u1OB5RPQ61iaWPbEF2B1I+JubkaljrAGVm+KRD1cJM5hA5Aor xlE8wLc/YcKO2MubXtkJHXxcBxI+qmzZNjTVGIjqSl03iARQrg8HkLyPWLxwPggMSNdKifzX8lKe t0K5hhjGn2xlv3T2wckP3f2GDLo8gtABfm0Ol+tGdBQYxpLeY5gOezP1CtOCUi5EanoskoyKp/SI YKE3d+aNjVk5Y+pNl//U2t4KnpZI6SZUSJ9hQoMgpOXo+E1fU7Ba75AIhZPVL3430Vxgy1w3JJfb kUetSUxwYf9MaOUlUjnb8nOTj0N/AgijBdU68TEjIyibCWzs3Ok69VtsaOgjBRQ+M/nL8GHPzerI wAS1A9HtUqRgGFqz7Ms0Me2iBNfeDH+u/VipDD1Uwq4AzU/seLxrrYVffdff2tSRXaUdQv+OULjz 2rMioy6xD3PlBwu3j96UqtIwCQPhqdgVk0mk3yBadzCnJNFYET3z3t6ipdu1kEG6YIwvOm4Rc85S A7mVoIDJ6wO6rkfYRUID4aVLJPfWgjyIiDGUQcmhKPp7Xy5tmwhLrOGL3VB+yc2pdmZ1kq5+3JRV PPauFZsSk5380aU2uDjO9pjtsHP+pyHhAhkzGNvN/Yu7Z0939XPtp2Bor1NtxPrcj9tGC5OgRFOL na+yxoInuE+ssHJ4BNASsvWfxWMkOr+9cbgPYVc01uhtLiay8/EW/+32Vww7n5ndkwftA0B7kWJV ZBZu/HTrS0JyiomDlR61ZKut/I0AfRYnN4f0uHQAv4zDFVIYlqVuQL7cbaAB/DZm8pdnrEq8KtSi nLGZsfO3ItyN34SJspjsDPypNn+H0IwWSmthOLCGtEWMMpYT1wUBfx+m3raf+wrM/S2IqlVjuGW0 dsdGytmHaY7i2z0/HgLaL/O+Td/ZWaPtm+9jnT2gKAzxhKil2FUTCDR5nHdm+VttDD0c518SaI3o SkeQMBHpGTCew3Kwl3QM7ku55fDy0LrhkwkjGWMwgoK9y5meQdShDCPBeCjEWfF457JtU85grocJ vUvbdjP+Ou7qRu2LMxj1m3xdmNOSjifY8oBa0fU6xSkuN1mLOIooQ+fkpaur6aAG3onRSuE6BrKY NV9wSELGHznGd4SH13KvXeLK80iuMXJA11g+RVtDs9RSiuu1eIb3QJmV2y9zOcBMWUVIsJVR7Qqy gZB5fqUEb5QMJWFmk/zTOJGibgLp5ojP7nH2XkyRyxacON9fYrZR27hn/XOpkbe1nKDLiQ7f+QSs QoegshGKuEiz0Jcq/CUyrHwMuIcr96PBr6t9jZaM3KT3lev2sNIR+RR+DxGPRYHNqOmz3+/R+xE8 PnJJx6r4htdWc8Ba7U3O8taWA8SskYp9IeiTnGzRfyO3ggP/vnnpKarjZf1kDKgdj4aRBTr1qjol 00OO9VdnpqJJsLMv9oKVfiO8xlM+dLAC6RsBwFwchY24y4Ly5AA+tVfMI+f4KKKeJjIPpu6KVcMZ LaA2rpBYzNdZ0iO59jpr/woxfgN6DR8BSqHQZhs8h5Byc1tEzzlw0NaTm7NU1TVu+uDxMopfBvdT w8Qsjcz7/gV5JoJnzdjhdoI3Fiu/qK/INEnGkk6SU9zkZJzCyL5zm8G+Dd3Y6MsceTmamu3Grcmp HoUnSnymDTh1ivirH4x7lsY0S/f6ZYgdNDAq3cvpecehl7Ke9iGyAXfsyttOYHfNAUo8juROzLFk HyVoIgazQTSAm3qib+DBNbAx8wgNYaOdrx9gYYD3giC7I0n5ipfxdZmCVcK/Bjsq+rNY/IxLwjyz Fj0pBAdGOmfBTwfNRCatW9+Wtq1fhG/F4Cgwx+htiYpubDv7IAfc78pp3uOQCp7NoxMOJZA/tfLM hC3IHJIOH87OM8TMNjVAjjsItkfJ80QlOgjwr7KUgDtl9FoDL2iJd/fv4/LazIUstWSO0rgQcQC5 +LDGa57F2rSgwcZ9auuYYlVPgzMU1RQGXVAM5YKmFW0OzhItlGCLbKYUB0tjTb4vJizXx0BGX8sw GjzfOFWB1LmKRRg6Gz9AmF/8W2JCXRP3T0VmcJ8ke8254msVmqOpIVaIkAn9TzshaBktNavNt0xa yc8CzcWxWC1SFKr+xD3LXgaQCyLjCp4+mB/VTPkf/8iHhgViMPXzizXMPz+9dJZKJpjhSjTY1mQM owUsvhSAEL/eugwP5M14KCHUvin1F7ij9HDQp2hKt3UU8qt1El2RiB1z1pO3qm4GAFiE/8SJ2H4a ucGWWMW6U0pMQWebmTxAjl7m9F7hu6GarUza7nxxtJifUnTf8Blj4+O3Sm+LxXRPuasGoTwhIeq9 M6iCXDwoA+vzT8LU46L5a8sonOEOjYOBGRHnkUyqF+zDsYQTo3PdlVVwK+GfxIzx8HjGPJffLU/D AFg0hYgqzJwlERtp05jUqDEo5U6H/OP7ffaMqDQ/CaFMLxtwLaAG88aG8OXtKtQoRwtmY975t4Lf DMJdzv0uDs9+xeIyPNWdeF/hP5Nr1xfIGcJlaUA022HNrfKt7TA2EDKn1wtyp+DJ9MjOOcRBgox7 NMfIZlafEm0qIt9rKDnReULnCTzKQqa7ItjOhoo3OD1+A6UzT4fbAZTKW5az6ByEowbKov219o05 6qwbpCuYEEEVVuhGppUqGL/H9Lvz9NT1wF1hFj4OaqL3Ugu2hO01khGGn1X3O1omGcvJx25pnaaZ VG4mA1rGiH9AfDfPbK7bn8K157qiXvkzytbyTOwZpcNeZ+bqJ9TXOhWA+Mx4+aTvOmbOYwL/Nhf9 WnoOZfbVQ4G7dIhL5z9TPdrA9edkARO/Im7Jxb99eqLg3Cb6yR3g0UUcUdeGrFkLRcLT6kg0nRv0 VfhU5t1M1I6VXlujn5EM9Lu7s9dI2t1Az8ZAmq4PbYsqc/5DRel7BY1VA214oQPkaVyTEdlKpQCC mzMrYGOO3MhGtnhNKJ03BUG9xe0Sdk1I4A6odW9o4Q2FlisF3ViWS3PDZXIKAB7Flkln58vkn9Lp l55UJNVF/MPojiXlL36f6O8a0KaAw39TTeYCCpov/DSam/ZlpPbfLk4cd7o0pVwAGWRNOzw0lq/2 34B05PcOXfe8rdV584QdyFzaVqq+bDOnGE537sBlcXJFxeMXqQeSNEd16/D+jvIna2gw4UayFihK CaibJUawnVFeqhej6F7H0qbyIT8FITtezdO8FB4LcwL1rJh+tI5ynlfDntHwCjej3AhIuJ2Nf8bZ al36Cx2mUt/p0POuFsajt32Q+ifd85qXRV7vybw4oGWCX+3SF7mOZ1pPzmGAEXEKYLZvgKE4a+ON XcCjTXcywTflBM44vlBOHYvUjKBHBgBzOeXR/Ut+yXCGLUBy3CiFghaGGGFtHAbq0qZXmetmR0TK FVA34WMSbV0mIA89EIwgBrbq9pgefpVZVuyAsERe8LWoLETcjcr7KLr3mvE+LJ8WV8wiqq3lc20n jGREYVdGPkONNPm5b/VirVnA2P8YdkZ6/W/OporJuuh4V6SOM2Sgw1mMcBnJ/Qa4jdRMBAabHOrq ZCZfMMWp3j0xVrE7Hm+sOXtHN6NCNORV4nnAf8vUepfmXe29IOKEpXDnKtFdcOsUpob5Sx/d/l+a AJQXgxI37oPNMACn5XuylOmVSTNciiJjPRT2sFGk7mj4HcLh2xvY1R/NDX2317FqJX3BY0zINZ0V YC15zfpOeHkaVK5nXWYvyQ/nGVBWaKzHaa2X7lDbG4TCBReWjOkE2ZdsuwZp8C/CDJyXlDfbk/jU aaZRUbNh9Nt9Voa9SH90UOZJErkIaLpjZBhuNwyhSTl1rkTbEdz9yUF6UFg6XgGwYZwbqtddlrOS ZQ+ti4VE5F8RGvP71GgdwOdMrxltHZGLdmUebjn1V92i7cI+N26L+hzfcKQv4ZESahJ0diQskreo FLUxRwyxGdnwFWI8XCpenh7vn1XKk0lY5bndZwvCBDGS0xR/7TDr7IPFSdDJOMksecFTPqzO2j/0 7woSiz/i69VpOO0u13yzuZRcFnEn+fS2yfkmtRl67o/0R1GiLYmpVcPlC1LIZ3Chm1q97/b8N0vc 84S00N1m4C5dhMdZXvNx66BLEHvBDT+I6Bq7nSlbA8mocaH57cPGhjw7eCOSWrnIRi7vvfjIDWjt SrTupLd7bgJ0mNdwatEmzRjm0OKNAxFq9tP3o0o0zKf6CNJGRjk9WtKrP4Efw2LcUvbfEczji6eW zCv2wCkxCli8tPI22LBrpR5X+0Mv9Tm+rdMuUhJIu/t1Bt12fEx8fuydcqAgNC+9cG8YD5mbYKSO b6AON4nLg9ZIXLeP37ON3Qvx6byzXLHmLgfSZZili7DhqEFJiINlBbunMCmG/2CI8ZRtdrG7LdFh kBmlYdqcc/gMQZcJKnBue4zFBBeQnlN3xeyRapkAgEqntVfksBzWMqdkPpukucLKfCvPag90TfVO Jofy9NoXav0Cwk8JdHKz1m6W5qoWaJY/ruhz+tHGzLPrUu+1w7hj0RFUI0hl1fV7tOnrwdMOquRX Jnzxw8JPzKex0+f15vk0Ce9agJTl4AYXT7ihZYdJGsiAauhF9gBLhkIcUhCXEfWHW5Tp3VbElG6r bktkLwoAWjqiYqo6j21zW70VKR1v6my543bOLTtLOONdzejsrdeVOAwQyPhoMyq+XY1jMiFUzlhR Q0LTqVi+bx2IGgeRg98GYRiE2ZqNOQTNgj3pPdcC5T7eOKfm4Z0FbtdO76dXSBxGopZmaFu28NMc z3CjknVBHueWU4A/goKaBE1BOF3fAFYg4Qz9pFd/jkeUa0LWBW8hHZzGObPU+35WyICxdVcd4Y6p cStZAK8lrcXZGW7nNSq5EV/Ef5BwManpRkZK8J3x5Y2iBAA8KMvSjIKjhRg8TjKjZGaOJnKAlQKk ZodEyEMNFFUsZRjBZW2OhCrNX7o5m+cxwpwuuMi1c4bV2nVddzdiGbve2fzZ6p/4Ab9enkSwDh9Y ux/je8Fes2s6P5+6nBGu2Iw2mxUQ+QP5z7Ll58VNJVbe2jPkOCQrVDVH4CB9d4DCJdeEr+ZHw/kg x1mH3zffCavwqpNo1sUBnOedCkIhvuDUzP+m1heoy8qyGO0EQ3Sv1xBR+680OVHTwXeKgt03RA6+ OTvM9QcKczsdp7leb96yYqWk4tF1HCt1jbjGMAdIK9dD/sKp1rWMlfdZ0+VusUieNfKk3jFyhBpo h4nxvrwl2ByQHq6S83xJZjANNlkW2ntTugvBVQhIdbj7RYUc3O6Y7U+t/ulr5TFDMiB7UBK/C69I kjJ8a3gP5cAxE/OoUttu1WJX9rsDlGk6Ty3KOscQK6ct41PkKzfNNY3XLdkHi8cVlv8znGoO5B1U lAAFVhjac8II1/c0Dce0WMFr0sH/GY6YcDmieDXlRehLAVPaSqG/pjvPQdMaxcbdEAGMdYE07hEi s/0NrURuyIbgwA8n3ZzZeT6McfrKdTeut6UtGu8X0pBQMzfuVqy5Ql+bya507d+P7kz3B/YnNM9b jWNNDWk21DTDYzhfXEtjNOPbeLhjHHwqj+afdwTihb5PdhCZDNbF1NTtdqg6saa7+M+fWhpFLo2Y V/j/5OCQ1LaS8hY+cXDYDUeeorzbpfs/utYiUUNWLXIrkpVGerfMsbWqyOUpODaWJAewHtgcbyUF S79zeZaOjEAm/Xh4Cl+5ntD4r0jqQObLLP86t/6KpZuHGQ1RBJGYy0DFo1W6BU/DyvDoShDEmNjg 1EfnEuAk+m6aad536xhEE3n69MAElorKt6jmRJe0ggePofSqAYlXJ6fRpA9c5Kuj7kXp5WxfDZpj zVpreNZBgK6lyulaOgtl0hWqxtEb8dGwyr0/ieNtnYCWOTlxhSZVC+DxasNM2aadKmLWz2ArhNy3 b5wZBEIKpBjL7o9EFptJvo/j34292p1B+dY4yy9qsOWsEP1e1kWUvW3VCEKYCgaN9wAogcOOi989 bpU39uKB2T0f85gWr7UIKIWWG8NR0GoTvaIg0Qd1YHk97CY/G8Q6Fe1RXFF+nRKDhXJiH+l39urL MzEyp+S6C4bhrSX3dvgtrhxcsV0f7yerGUDofeyU3Z4V2xa0DFKH11JIN4wX5Jtrv5ZIMNpYTGQp BVhpL+AbwGaqb2JkmD0E5LfRAJV55PkywUrNn2Vcc5/G7nOJ5/op5eodRgdGs3tRunOMsugJ2k15 tUMRYpnrlm0ThtuzT0+IH9zCItmz7Yytg9tPiMhsY3LDOzhkhrroylsdySGC/1qvdUq2DRXqPAxO KpD5AyQbYrFJy4aF2ykXPow2wRf3aB763GMzQ/4JkgwSWspQoALmfcIKs/xjWMPp77f/yMwGb5f5 egYSVOKNSuRcdfaXN5hB6rymqL0Sfu9zMJj3hfPhNPwdUOVXU1UxENIOx2ISl4uTPr0rXanLTAvE 8fVAT4LdPJIFTUheS5TzyJSWkNX2x43P+An2hEqrRwazj4gV73/2Q24MyJCCoZbT0exBOdm+zLg+ JZDwYQKO5BiXDujpGXFlidAPh17wqWD3G+DVJ3yDc1Z97d/4mIYhE1+5JoPWrA2S4xEaZKs3kd8B yeuglTHB/FmZDa9Wc+f9e39VhW1OVzL8/oqofu85og9XKMUBTWclkE+J9x183vrN7rnKwD8USg3g uKGAKVZSOq8qpAy5mR02cVBaTOU28EJu95b19fZKru7WcNUz0oDd6mFW3l8HBs/AUH//s3+j2OfL KpmGWq/a1q6rjdzVIueb08r+uDj2KazEXqGxs8Z8jff72MEjN9CtpqKU/NXrs5uSPf799BFeMxGL Fy9nS65f13FvZuhjnfE8bRY5QJ23ewG8ezQHg1ngLiU7UmiJqPhTTYVhknU0tQhftZX8vhn3xQqQ 0CsKebjYcko7EUw/ZgaaiMglNZtZDqkRZ2Yoc9/DAzZLDeMp02LEJLkInMnPBJC8q5BHk2KGp57y 61M1OKG/PujZl54cGgA47DLpXDH/RgHfQDmAF2ZXztkKuRcHchb0rxHScpxzPxQCgSD9PP+yvRS6 yjngbGLqiZeJJv0JwABwu4JleqWCrH0OA3L0zLuooOCOF8IlKCLe2fePsI/Hah47eys9D9B6g1g7 ZnSZCi8IY+eWX7IZlKwdF1x7Ku/xPaDZ54p9FBXBYes7oOjcQH3h/icht5otjBM0JB1ASPXYXalN drKpHPqmNeTl0FTByOhFOuPH9uMYBGeqdW8JUyUPhIrHTfG+47/dg6bZwEH/gZ0QmvMbv6Pt/J/q hqCMDGKgNm6hdElAMRpI3HfVZPybG3+J/kQUq8D3mQrLTS73TVxQr7TmRabuEKlYZUARkq4H/a4W UwzCXd3h3/Ho5cKrVIOLG4sluEpxgnftld5DfQcm9ZZf7OlvI/U/Wpf+Pe9zB7kuiGe0IwGal926 TVD/r0uIOsMMlybON9mn5DlgVXkrby4GhB3EhWQUutAGEivpojf8iCZfEpOtOsCjP1l+EuljcPVo /sa/zNp04dr2cqCuF7ZgPLGNg+hZI5EbOPZdU36sWUc+iCzouwS0ARlWCBqii/krga4wPnYRmfpC fOPrWj9Zx0lgVSypRrVsdcHxEvCXVbfViEe9C7cui+RFQwPlKkXSZ5/PqZ/HaSjw2tJvEMnH2q9e l2FfrMN0i2Dc47ZKLH/YfQdCrCoBFnHl1sk8oZMC6M8DQFHUmiY+rve4MT/nlaOsx2orK5oDwMVY 6mpBB+B8sXQbLzNJuelNHTXD/P0HglVGwGxsztA8DrmKGIuzCazbuyBNS2aTyfB6XrFbTGa49nPw kJqB/nNoQQjWg+n81yszvT6Ayshq0xchKCaZZZ1UlOzOmArrUMYY0Loi9qRWVJgsCzgwF1wzLqKl +9mFOiflRKeuwwMlraHYL79c+e2rWGAwtpm6bBfaFJ0dXI8JmUtGe8PqrIA7jRkqGp2i5ZEyDdRg I6TjjACeKetdF2oze/hW2LlqMYibrrS0qWMaONjv5qtq02+2+B8axcWYUulJLw4YRiW0wUt9frpX gRBj+1gfXdh+Txw+n9a+X2PWAN2j0JUNWLwenOj/NT/k4EwoYoMcIHwH87zUANadDV9udrMTyZUr pNpwIB2xg47wOgBr4fpHwi5LFEhzEvZ5aAaWqZhORK543wdWU4GG6iS7B1Vb4IV3gf33knYf2+YM 08sl5aCAIGhY9eOmAjXKsZJnt1xomYlXTvjaHWytIDOcllcOh2Yq2Etw+eVnpFo4xDFi8/xLrb19 WwuaPFSyHrp0r7KF7BfzXtM8etiBoh8vP8CcjBvtaMv12U4BAvhUQ/izelVPb7CtmG+XImNKPhtO Me8BZAYWIkFPRCejQKm5s3ruam9ERaY2RMlNuqqdp3C9rge5XbZalLmxkUAIo96DJByoTDKL1fkJ Rg4SgO8L3xVyrGmiM2BBBmfGVBkLdDKXGOG0meTxBHZKKrbyD+VbSTtQ4GhDDZAmCgL/HKk3nRBO L9+dVWioeUMh/PzixgJTzo5JuYjXCXzmApnDtOkC4ZaApP6jMWiScittCXYDkKZyqH8/GRtmp9n6 ahmZ3SQBOplaq6k78ytN4feNavgis1lWglbE8pskSjZYfHnx2koXQk5buNxxWDTw5/3U+kIDaIn/ 8PxkL5vZlzUAFJrJ7oo/6saME3jFuvd7LcL/unyuC0GExK2sfSQHrQtnlnz5OV9WZxoUkZo2aQka zUDR5jrvzEJJn6ejU17rHNtqpqj5jWZ3sNTgrWG3fAFxs+uypezwZ4qYEbOaQKdf2oVy0zEN4z5e x7+lGu0SZRQH40IUbuDZKnuIuy3wkKEGJUUlOWOHyBozpwnCddzBkZDKecWmqzd46ZLOQWyZec9+ 6DKeCV7NfwGMa58bHBmrA40f2Dup8N+xK6kmE2/RgFpVJug04zbw/IywqqP17dKP/4r3CgbRKC1h 1XR5qMcWOTazCkfix8wVtyWoXjSk/MsLS9KPOSEolY8SGxcPNKHhXnbYzAzCTyZqC4YsjccQJgn0 RT8XmcS0gPFKZDq1iLbR+JgzHL5ehtuX/u5PQ89/d2DAq8iPtB/hj4spIVxNuBugzKljKCyZex3t AfPIO7kqEBbCMqwt3u7zJZWP1tX3yArPcWKGnem0tYYor2kWzOT+meShTpEL8jtdcy0ZACaj0f4a RGfADhnmUUmMa0Jema/4QS+oYRP2rB4Vjq9I5uGmftg0Ap+rABgMLHQuGLop5OY0NUPago5c+sLD hjz2gr/ZhiFzgUMMsb/0+mK7tcNtt3B5dqbCBgTNwEhNMCWu8FqR+LnwmjkODU1YgtzbA92twVB7 7m7yVl5dRbKeXtH6au8DLN9LiSRFBJ2shLVdS4svH05bCwW8+vbejMwhypYojH3Ne2yRDKFq1mk8 4Yfn0rbdldYgiEdWoEzclq8EkvKnwh0cqFLY2EEpyBxo2uSTOYbsUpUQYTfzX2Cmn891pkYrAVh4 lCRQExd6wATzZ/nVYCI0xJWh2upaaAEthmvuUAsjmrL3Wd9bnj+hikXf4fSxqeh+cmIW8lF7lhnt 7ADwcc3P5xykKsJZvZXGkhm3uaON/UvQN1dJuiT+qTx/Xgw62SI/zwzGTVp2Ps5xOJZ2G5gLstLW 4mRmAJheynfAnWnTlHcoYckhdHZ2qFsvgFaeHP6c7HvfzWAqg8Rbym0MzLhFebMhQHYI8Xl01gV/ 6Cz7Yj+Ym2g03OurgGB5NOy5WqmEFbeRLPJMxI9NPCqTkRJe6vHsIm9Vy+01THTSpRBqQTS3KXOf UGqk9j5K15pBCdQlsxuOYyIfbVyNty8rW/5GctSXwEk7JKcFgEwjtOLv6eZ3Zv937T9tJqTQEzCU 84+GVNQJAHAFu8FQajpk6ANmotvFQv+wDD0Cdbl24nWenl28GF2nucxon6suhvSREWuE6rzgIQA+ OpABAqU//xaJGZMRZ2nVGQW/n7XxD5HcJs1Q9dUlpkmMYozxU3aE1WDM06B3w/ItT5n0D2Y+mFrJ aOuXMFkt+A/rT+qEBbcSOEjTlK6/AGY08XL91fE4inVH3rH+PAX7ZJkCrxy41jfzqH+aofskhGZU DTEYWSDeg9o1LO70ga1X7CMWJEVu8e73CQg1RcTtO2CRBW+q4yorcgXrXv5mwx7sHd627c9xbb9s IeE1gl9zjHYj/MNCpwVwsWfARdgrNbQs7a8mV2L6CvDl9rdgiwqTU3JMbrGqNUpsvePa17450eMB dGeLf3O8uEoE+vQeN5LYTqMpDx1jNaJVTeppXU+wNuzAnm0V75Idrs3EuDSfQjGBZ/St5yQW2IcB hbxrrBN1AqO62rwebs2KaVH+eQdAUolDod+AQMGhfgYFrPtIvoU6JzfzPcYY6uXOaaB6dHZCU2gg ZQl6uH7eYt2k0023JS5ITirdvDDWmZ3Bnw1Z/54QUGX5vbJTkLjVGqflVNa69QPkf5Dumq1nYJ3c /L3ihvzD4ifIhqZUeAm3+w775Yo3tTd02WkmT69OTXEpsvqwiTnoTM2IoGhe2Tg8sCVHPUFiz9EA fIsKDvpv8MRY72SxD27bXDpzLUoO1a8LoAId4smao9VCz6IBCEcf0/tRdrL8DI2YPTxH/8oXTKf7 SrU4l0qei//+dGLzALy3SOGFnyaHacPQsmK1HdR+n9BoaRZwgB5xgkzn4s7qLHmnPRbpcsuz1hMm WNdyne5jDC18WuXi4rRyT40IIn9rH25w4JuIB8tVpTuQudUEnkzUoWAXUd4RCRA8iqtCJ66pL6bK Dc6ag+sRd0P8rmBlo3P7IvFqKCuijiHQjLOodfxoJnkYkBaWR4x5W7AEnLM9udF9P7t8k6TI9yh+ f2yIbMf+38Dkbb4XciLiT2Xbc3N/hyHWZLctyHDL6i8hcR8opHae6WHjAb5WUUzAUBRVBKUdqyFc xW5hIMg31NpCoCQRKVzKsJBUZz/z+XuWWPG8nujantbkti1qe/hqrosAHQshVB7w5FCxNeJReUHr DF+HVmY0JeUm9E0jnng6w2lX747Eim4S/DxEbWAuOFpm2eN71Ax2asfvNZtAJoAaGgWKnmVUy+UH FmQnboDH5tyMj/0BR7gbIMG2sdY5y7ye68fqhjkJCvr/ahrnA7xBGFCrWE5x7PuwqqCMk1j4nfv9 u2FEsnSKrENVvq6Sm4sfUv8L9KwXOl0E0iyzwsAvnQivd9H5uHbiUeLMRv8ryUP41kHLEqOXzP4d VIVZHVf1UB7kW6PuTGLfSwQMS63vcdTqvaX3SaMty0EX5pMTZ+ILdDnHNYEoYnDP+2TLHEUK7KwP QWBE4Qq9e64dgITdLLe8iuxKLUFVorSG/xxpyiYMzE591GEQJW9cOwEFal0hYUpzPOw4j8qMMRr/ itA1XKABb9AM5OTDTJcJiiK203WVHQcO3OHf7edW2p//CNO7hX2XT0IYTbm9u0lo/oNZyobMyhlq vTtNEblMsk/OPBjG7UQnlxuJzJWpAQptMKpQMRrqs9ipCHXoWHiVjyMLXENRwWrsRCG2XfHrlQZS dCcKmlhh/MmSmJxVm8EibQMkzBptjuvzdc3OMOTQrdDKnLSoh7xh5GDmCBr0c6Y3cXHmp9447UkW NN1+5NrP631zRU0VhIB2B0xOTPm8UoABKk2pCtQgcERtMjkBkmtA/XaWFkf6uUlhlcqipTwGyw09 YGfkSuj0J2yiZxODm9hSVe+nOdi8HAwqYRzTzkUr2Wu/I6y8VKyACpUXNFi//aMXvW8IdCZHs8q2 FAg2evASFa8bU3fnQAE2HibhQNLiDKegEQ6xu6yAdmxDo0MPxn593ZCzGekPQKbsLfBlr6DL1xQn D1NTTCXGqWkXqANCSsLts54MWI+ilSfL99sLcH1MmxMklQBwifcc04V6GPEqIGdy2X5YS/AEnFFA KU4Hs63i4mk8YUKf8CYH6D2Tol9zm4685rLAp84piE6RACVS34vqAYKgVt3SACsFz0SeX748X0yp XHzJ9wCaluZ8+XUAnV2G1p99FoLfVqOt37NNE9ZFTYJkReLsAONJ+u8DUfjd6eWJCFpIeqE+AEkU II8bJ6ecZQWhh4rZNBseWJo5vw/DuESsF+y3DHvwIHHvHc+VeXUbOSa1yPYZ4j6P8c3C2Plx/VRE 8QFNNlRAC59B5vdHubFWMH9w6e3BliCbPKUTPADLTZlSa432gMrC6mkBpY6h9+PQlTCInulOkkz9 0WPNPNEbDrk+9Zme9obhp78wM8I3rkFB2TEwCwTxJ0cDw2PVG/aq1DHRF1Ez6d0mPMSAQ11mmovP 78I1XEkQiT8ehd+PBEnsKw0xHrUIvsMZqRQgo/p5VZIqMsUYZyDy+lXBx/yXrjbJsDw3Yj/S+HFJ WnAXIfObgQYrNv4TKWes9fI8rfOg7yOtsVEx1s5r5neIyAI/Twd/SjdKPo42OT8hRBDrYR+Q/LRb QJiFTlb385UerzJnyTShZjh7apqwzj1sBtxk/LA1HgLG9/08z2f56yuNmJ9i9Ugj4Gd7TfD34v5f Nky0VL5I/wM3wPcUloN9RfsoSmKfp2xSG5QAKSe5mxn0Ek7Ewi7up80m/GvIPtGHk33AmXYkvoX0 jBtEHDx0PwzT598sY5sUmnUY2E0OQH6BHQZlfEwHGmoh4gr5n2mYq21gAvPiZidWOjCm7vzwNFkQ Zid4Jhs++TmhBpRrAWLkche+t9xm5trFxqGdJzzvhUsZCYAGpTyFge+r1eebwIJysyK5qFybGt+L 2aZsb7qViGbmgsZ/k3o+peeX9wu0m5L1fyvv4HDNZs2eqpLu53ArrrgkNsKC3wfOjvHGPUlb4hlQ 451RxG5Oi08pk/krzvPY8271ZsDs2bOIU+VC/nToJDJdPyo6bCq9GTifW3T9vOuygzb6bApALWhO Bw+pLSG5zF+eDIcQ07xUg45PNu/I1Irue6wDx95HRxv6W3Moo8tpm9kGQuYAfOnevkG7Jx2Va2Tq lb+N8FWawyuRESIR5xr+g3gqmefYDiThVtvOxRlH9x7unhSoKubTiH0BYdN9zoAHjWswPzKQXVLf uSWlxv1BfsIpEQ8Qtiahn7hkXjiBp3MeFIMq4yKdMNdn+iT10rl0feajQVpaeIQQ01GedYRwyITx dX15rN83vSIqX/rabF3Lp8tcIiNWtpXpjDFU9mo3XHGBFybmd5hxZTEP+xZUMDtLs7g7eJu5a6HP qUluXn3FNLvFU60jARRrz9zW1opMImhqvgiI4uik2EpuqbnkSLW8JAaWjyLS4GF7fpGQiO9Lf451 z+yvVQ7Ws5iJq1hIVVu9virqf1nXkfuHHEdGfcqyWWn3LmyDP6DtnZNf7pB/8f18u9F5AAom0s1+ n5MirmHHB4L1gJuJeTso0y0TquUVyLmNS6SOci2mwaRCsTobqfnS5T1q6cIwV8ERuH8oK/1AnoPt Bk4BgYZut37oY7kptX83nCPz2oag1fxTE/NXyAGtQdElwNFjr0RE0F6e/eKXFWr+4jGDny78/VA6 4w6FNFg37DjG7kJtajpLP/p8aKCoJGJ4Bdp5TmB36hFf1I/dI+2xEU9uTwSVmailEn59fbPOy2dc QLT2cxNMj1ZblrmXD4l81r5WuHEiPXXGjg9RU43ASw72SOnMWfAheZvoq4+7GJGMNoIEah8r3CCY EhqH5z6GUS3XHgc48uzBHcHtoMgP/SmFlqs1YRw1cAjDZvJeFJ0M2nl/KDt6eIn282cWzDnF2Ly+ X0LDtidWp6col8dSkPbMasJTS1rZmlXO4OggZNRGZ6aFhrkSoHQdUGvcv96gugCY9l1kOyEUCtdw 3OX4nyvElySwWzixCHmUG3OF6LVYPMGjSrbX4fZsoKctJIgjcEdrJPmAXeNatbvOJH8iFXg3nQEt 6gPJbQY2sG991ibcGdnVIYEbT4qy7pdnPREe5m9GVZyyZx0Y8Lmp3qMlib0aHOZkVkjRAooN2tB1 M3ZVUsaZCsq/mPvk4WLx36CnP1slB8eeqLJWZpLjfIBlSnU6qs1ea/1w+tayCiR8d3sv7o7UeCoe 0FyY2RP0HzeSNnETZdl5yb/ChETcH7gbmC+UGR9d3bXAs8fhQ1VIta2NCDXdmFQcIDbhCSVCuPmS iayV+EWOIv4vzt3FsfAp3rJsgqDltgejMMZYbqyuGtcI7l/EHXAI5dc2Zwl2wAZ1sJLjXRPKWKBy NdR7DDedODaVcYVNFvDRibJt2BpD3giHdKk19bEXII4Z63s/E0otgtnio99hqNUR5ZFrUvfUIasD Ww93G4RooeTGjPfNeSrhV68et80BisII6FcYJaax6IR+ZE/51AQIoZhNoEGk7jOlBbqrAUlwyO9y nrWMpTI+01YHPaTsDENxMywhicCsyE9zt0JE1e11zNtML1cxKrUW8oZgIf4Yso99ZPs2bUJ8b1Tx 1kfzJkudiNBGgGkm42BVYvbba8enbRL2TtFDndpz4tE3wx9UiI0IXZLbfQ2fmq+5JNJ8SqTCx3iK rY2IDalqjucrOp0bJi8TzSmas7gl0YR4ApX3ioLsdDpwbxifv7f9JTPVD8dCW+IDJmmtq6oSatSy wjBgmX0eyoVKTriAYvV4Kp6dI3QX/WpnY6Pal/THx5sssS2lC+eHHQltego3gUT6HVBBT+GukEj3 xtgZkTnYNVB/37SedBU+dnjqagD1jtuRS2SLTEDo0zD3PICl98eQoqxQxxGeI+0qDoEhCflF1lzH mb3q6opucd8Z7oaplXL3qQqFu3cqVB9tvge17sTHroX6+bh6DZp/cWOpwm5QhvHV+ZtRHnDfGxD1 0cd++MSJyPidLecRk2616Wa23FOuJF/a7xLIW0T7snGDew6thZM8IcB4aQ7nOTTiSVQZ/NtvJ+fQ 1970/xeMpY1IVT4rslfDF+RBlA2XZrOLsfHgt1Os0KcSOfrEyobaf37HkN7eIR2YoOhukfyMH0pm 1WtQsq+R6fNa8+AcC2Cuo1ABJq3zNQLkk30txCvS38t2EPvlkUEsptkcG+4uKkzKmsoTGdgYe3+K TEzkFu901SVsUdprntMxdNwLdFFz0XVvm7NP45OVoKULEj06rB+ve0BFdNhFz7KmNNT5QuLttIg1 xRtTzGivsruioEAb5JassamPLKR10MFiP4iJUiksxXP1VdOJ/nSksVkfO779TLJ3KJXdYtInSEhC 0HsrU6iqYePdMno+AJv/zc8eTypkFS7jICuGN+td77mESaPY6HFARZ3/BEVlZuR1Z+pVRgrLREbF Wze17CLlTtJAmDrvjsxb3OS/GW4/9hIuLt3WgtEgJQRJWT2Zf/2EXe9yeGvxpF26APDtWXPogoST p8zAE1MFiX2gEYM1uxPSNu/Z5IpjGmZbmj0jZfOnKVtJQ16mWdP4HDg2sIPMVfzDwo4ubzB6iLqP NFQc8FrA7aONuelRJOZxV3wYpF99iZjA/meORkWymDR78JUHSbnFz49F3e0QwW1qP4ZK3EZZ1IlQ Lxk2UlIiuPsztu85bkSVEV4Jwn2BqDqWBOiSSPdCiB9vxoMcEIX8+AU254LrOLKAxSBfOCtmmBHi Ea1gwbm94nwtG+d36dbLpgf0uhKBlGROz/QzxltW8z+Lo8K4u492I/aTET7xHk7I7b9wWIR/7nVn WKZJVlOwVPjg+IjtNFd380A6n9zu7QBr4dozrG5lLvDI2BXm/nkmQ2hUj20yhVz/fb8vu5vxEzMq RuqfnCbSBa602Eu91WQS/TSkSOuIasu2JNGq3o4HkMvpwWfsSpmz12lSCamTmyUEfzxtmvWszajx IkXsA0zFlT8llL32owbqT9Z2c9zhaCii5nLghDqCf0GstGTIFPteE8+cE6o1v4Wd1iV3tHnU1HaA LMyL0STXpjK4vsybDBFz58B62ISDvAgRadNkGYRtZz1RkNIMw+wFsKzuDmaKDEjiePcYLHau3sDj 9sgEpI6NH0Ghbdd+C9C/hho51HvL5F2sxXnZEpsdYyR0Lnnwkz6Odu6e5NB0j1/9NDWkRik9B+3E KsFJb8I7wNOO/zlYV1y8xYXEaOZ6MPjkciuo1Ti/pgNSphcMP6LlXyoHGI6D9p4c452uuoTdpkMt C+qqQmDFYbtOfTkXpAQh4ltL0PLnyq+tjKJPPqOit6GL317dV90V3sXSmzxKZChUa7njlraqKu/V qKz0GoTRach4i4R7qwbGxWhB+pqcU0An2PFeq71hivhb2F4tDo3WdIgMgYGVopbZ0bbezCZd//DY 1l5JJyUu53/kRTIbK3KzGtjE1bxBcLVHuQeEPLlCX8pBByiqabFo7oqIHA13+zbPGNwvDDIGjN3u UUyI/8MOwWJ3NFzWSRlqXd9OxmdftvfGKCCEMD3u+S+EBtMYpnFQiNUjVvlk7s2sU1ttWhxFFE/x 9XEOyVbBMZrSWHUkdT6MY2f2VMXqwnWdPB+ZJndUK8WklxA+fqpAGI7B127hn00h1i4gzO/hH9MC tQC8LcoJtgXCO4ehrOKWfu2gEH97yoYdEYqoDPxZwV1KceXt8cltwnM/Yl9/eop655+kMp59Plvo oFqW9F24bTH3mMN9bG71uIS1wsftTLry/mIZnbGa2GdmsXAVQCjm5xm0LCiICqc4Udr/oX+RM6Jl 0r1bfvU6+Gc7HOz/1QXX815z1qdyipaUqZ2G7dsBryZnfHgqu65mrkHG/Uu0lT/a9Q5nSLx9BULQ Erye0Os+Gjc99rOFUlHsY1/BYad/GjpsfzOgBTk6sTqmW8rMu5ZlUh1/JHd9APiHAqbkCKUzayhc hoAXvMpRL/B8khJl6Bf9KLi4Y8gMSMrtXxWI4BWVxt3M5GB4OkRdbmfkJspkmie67whIpPR5J1/m Wwh5vQm5g6+LIKavfv1+3nFBr3SmKpToQxo4A69yMZ9ccz2HW3PKsP4kUKoConIVx3veOhvl3hzk ghytQc3ILG/hQWTkPOUWmpbgzw8cwQRx0+z/5Z6zJrNKuxb+2GGDkQN+u7Y+k3JktUDPVnmJfWvP mvz+qNnzQFxO6SC/7HjOkt0AresUIt8V2If9vvDGVZh1hhVlkytQJreQ9mH89GlVm3171W0U7VaA lgSQ4erOMrw5MXVOSQNTggB20x6vYBAx3Rn/8RFK2hNCoWjmeT9pw83YvwefJI7PpbzD/PrpbAyD 0T4/boVk2EF846DWEuRo30BW+hPicEtEyfNKIGUkIXPOl7JZ69gyJwdla33gyGcXnTII9T7Us1py e0b3NZRVewqG8g61NX+hCOXRwXTCE1bDRulc0FdVcoe/PIUGenwQjbSJ+f2xfPJt1OMPPqsAA7n6 om1+8uUufGJTU+9S/0tIME6NNZUCy0HZ7BG3uNF9f4UmHm3GP5oT55kkweGYB1GLPTsBsXP1d6qp OC1fpuWLomiYtiDiXdxRbkhOepfLqKspCBzkAVyOdrCmJh2Lnb4kAwnzMRKtH7dekSD6fprOt4y0 xRcCV+tQ5lZZws5MgTlyPfgo7bVwO0J1blndrGtGo1VIlg+Z2XFtbG5ExHQKMkr/aB7CtvnUt8ar WwlO1bs0hvZ+hv42BRYFDrpwVYhGjiB8Hhu48tCqZp8mxeOWfa9F3Fikr4L7LMDbimy8+R7kWvjP a92lL5A6QmuW6rgl4dyxOyrZgddkIn51+lS1UCkAZ5XT7yctuo65H0kmORXD7Z3sH2sH478lA1sn ssjS2O4qc70rsF+7kiehyyabvM42wQXoiKn1rPNf7g0ESOgWERLFbmp2XRUl+sKuTeHk4Kb9doZr 7vsX2mEY6fpU1V0Tm4jI9zFq5ImIqo7SicRAxMAuBKOBBBGTb9bW4W7cgQJsEP2zfNsDeSLQYVW1 u70+zNf6ymn+3qbGVDddoUGDkDwYpoG4KwOShBJ+gM2MoQMh51Sh+oJyOtOPLtbJs15i8vjQ7G0J afHvwMRUxo36o3KrrzKd5q/J4x6pSzWZmDZZbOockyscJe7k39XFMCjgK4u3qEq/CRMNY45drcy7 G8HwqoYDZk/7YZtUlY56ZtKE9gljhcAto213n6oVHaHa+jr9qcE/cKD6T2v7LJoXcTbZXASUioj0 l3oTHWQ3KOTyxnkczgzqYJCzvCTTMvh19jdTYWTdPmrlKT5qQn/7Xt2xk12BB4CZbFpeC8K71cL6 k57IKeitvTBVwC1/Cpl2h5cnQpwbOeVyu03WZaABC5oTXHkaAw4Iq2KQaOu9HHxJYRCliVOHIsLK vEmhure0sV/SQ3oG3MX2Ly9Q1Ju5ilgZrduM8EcKSDKu9/cfCJHAr9aZ+4z5hxKc4aMi+ebdfSZ4 MqcQKJw4nalfk2zKm6IxhLKwJbOisWOH14D4BU7ijb4Ud/go4Cs6ao+icO7p/beMELmmFCgIh2GI WS0jXesvgwI+P4cw8294wXuILzbsCqwcFFA2IK1cginu0rz6dc/gjqV7HbYDaKFAtRnixXu9FccJ UiIc69iZA28unWeMq504oBo1oe7+pvixbfiYWljAb/loAalLCNdYQrOxog8UaCoRDt74pxsg0RZe qTTxtRBe8IknGKScV5669CCMKA9KYc26tW3a51dn+PB08zgmTaYrzc3GLK6hMeJyimQfLmmPAg4j nFamyLD3lO8WnMoZ0KHpiAcLF7V1jRwM8tIZivWpla9N5K2FJhW4O21XVcEUl8pUASFIeYD6zuiJ kuPgN3IMrwq1MrgVd39nrt84NGClbpJp0y7SMikvOZ/bh4e9UytaJKConOo1uGAoew0MpKLiM725 6GNs0KrRzqQrHuWFTbOc7kvV1zTnx+aiDEGQkcYlPBx7RKyNo+hUatJvmG+5sADJD8MRPe/OALhi FBSLwV+Dg1IHz6eaBMy7S+ERlJkFVghcnu3Qm89Yua567bfURajgnckDiIGEw0ba0EzFQaA7UXXB dXbwoWryPJcU1VazSnyCznfAKOfj1PgKizz8O8q0dRaqgsu5HQD0tScNT1UYr9mzBG4kPyeIEMam buk8VLk5vh5uEXDHxcFlu9s1CBr9dOxdaHxuuMzHwIOwfZE6RXiW0osFTNppKcCKj+qEdr/wVF+q jpM0dXVGh8bN3FGeeqiE6lDuAchjDykQcm/u5/pyRxYwAzWCOHxZT7XsH648pHjEq4NbCKm4x4L+ JK4uXB9B1gQI1K7UxPKWVIU5CbllUQ4qjnFLHkszvHHoyCdchEoFtohlEchmpdm0RD16JD3HFnMP 1Ywwu6oacLy4+CqLIEaGN0Iyk8fJWoEuMWp1zUqc2vTYCg+Bv1ULfvVzyrl6oaRG7p91PzWjgm04 Ab+y/UaNd16noYH7sOrNzHVffzxpO/umg/4DO2WGldoVzbi/Zrv0GOib1A/KTyn0vIJCGOn0bA3J 4Omw8T3gDx6GY2tgzbEHr8RkRMFYO2SMoULHzePDFdZybK6xiK0RfNtC0XDr3g2o4UO+8HD1GsWn sVHd+UkTpn2ibohaVCN1opG6+VOc+YuE2es/xAz044WCHjiq9pOfpLBgWw1Q0b6xzOFkdUxe1vqn TRiB0xX/c0BrkPpSl7m+Y4xDYxTOSG/mgWnkpshC4szLbFubgUOL/YkE4qEo/JlbGKZOXrG00JiO bdhodbrNVB/2k1yzA8is4ZoVk/oq1svfXUHl35fO2kCf3QW5jiFUGq76wnnuhY37yQvCDeJZ8bSn 8QmYcWAQMr9A/Ay2mxwugVbV+wjJnjpa1xFtaRbGTBQ4+VjPSAqmaq3GaW5jgU0bM3M1Wf4I2wS6 Sg02K5vuKTBCjD8mO/kk9CCPNw19xB3mrhKMYaSfvm8oih+3T6nTkz51LXvHNNB2N3Vtxi/b2UkO EdLmZWaErRYi3h8rPCmBmzlbfeEC6IMsWzfNqqs74A2E0Mz/orD0shGt6Mwer5VjNPjiMThfdr1G 2lw3xdXDmkcyooaLN6BXdGhTLTdlIJLhUB5qwNJ4FLKdOSkfvZlQmsLgVVpoQbcg15+kDszLLjyT OuzMjk6k/oej8A8pK9uaWqT04EPqg3QdePxEFf0+OeGcPI1rKyNcipuHRmk2mPeUTnp3t20NbCyY nS6GR5P/omg24r6dreRFWmAWiylXK8Dws+NYyycb2dw/He6usg6P5SJc5GOdI3SRAtJ2tKosLtwv HEgAmo/QZG8q0qjxcZ6v86ACre+aenL5cTiXI8/rJJ9QcDDbb1+ZFJ+oz5BkG0mwuyL80w3XwEYM AJpLuSNMvTpKYGQ+Faqn4SWJHZFLR+c4lrdao2aLuHzFMF6I/u/efOS6mRz2EpNEgFw6uraZLEOp eBitPJJSBz1JKZMXcnqz5VX+9Xd2KYSQbMZv7p86MI2SceDuQfEcfNjQaoPf47AiN9J9AWRoNQ5u g1IalM8PiK5qLCeLnLMuYo0rAl5Qe5ygOKd4eJSLEHrcS6Z1jMopCFHxiVTftOYQA2VVYXARa7Yv Jc4wkdF5qDQXDlqSzTUBz+rZMRw8rj+3uEND/GSyPbEKVk4Y0XcRB/Rc0vIkNINcgX+pdWDSudNN XeYMyKKSDhAVYahtq3dLQ6z01S48lE8If3b2vLVF42qaI3d3hUp5lsTF/obMbdj8SDXe8HXFfXB7 SdeuiGHiUMUREpDjaLvbfl3cR8dNd2NVtL7uYZdn5BRt1VExA+qCyvo6Ju7McdQbQwofY9aPRn/Z h9iqtlzf0SxomWTRwfN4znkj5EH4ybBP+o/V2111Z7d2AN7Il4H0XxmbXrDEViygpP2cgboNYn0Y XmLliVW8k6uzkQ35n8KdP5T2PsHH6up3IshsEUSu/Ieica4eVVu8FhKL33YJYqNOdLO8AWKd7Cis rKdUJMf3dM/UxKULPy3LcW17I5IEKck2ZFpqfjG9DIBQJWwYuBq1pvKo0KE8EKrB8Cvpgqji0S0I gcRI6/c9h8BNAT0RCUip4rurxrgI72SO3UEyhBwEJSX4vobg6usTrPOOssq3jXt8WdiVrj4az3bT a2M8WZwsLBa7imYhuOU7L+qmZfqTs2J3jvKkAIl4xUfvBb1G5VFJoJe5R113bF4kPTYM8C/+zQPN olr7xqe1/egvZ90yMATiAYMnGiTYa3JtZNPhCwbB0EPa2fsSSQRe2H2HC1nuiYXB1dNSIJuihPNt 6XP9GbDvkWJZ9tas2L032qrw4hrJKNnejOKFuFS2eQoRySXJW/637iPvBV7t7cuGiWzaM1bYjdwE 8AD3kqY6YjIO7coRH+r/FX2Zn9riXqMwAZBKfHIYWyPWsAmoKVS4DL65Way1dzsaD1tJiR4ckrX+ cuP2sVllOQy//bjcgNAXexADNhrGiieRzLU6j6btp9juCtaMbgdXk3fdOQLMhrQHNKicj3hIKPPC D1n7huY/Jrg49PGP8snTrPFZugkQL7kFrXFq8wPKWssFVBpXI9vE5qXZvMaF84QEFtk7dwNBs13o PA9M7M8b+V7lz5VgMWDUJvDU+dHZFvwXKIPH9f6dP56bxqlQUkTA5wMldEXRAJY39pYtSjqowFTm BzV1Qr6jjwzk2G/AX+a95NcHaoc1cEKJf6enQ2YeLWueHknC2/lR0zIS40bkZomlsxDGc6s1T7Yx s67pRcnsJ8WNvXEqpbA3mJGOig9WH3pPDY1IECf++5VsAg1VPMQFfdQKExmvBzfhlw/nh0cY6TGi ZUsOx5dgZJWT/Nue6tUYs40CV1LUbvyl81WkecNbHi69ludoqzr7AuRhnE2wBY1v+rBeewurfsjg hFqO/+3nKsv7D/I0qNuxNXIU9Bso0lkP3cuPm2QMeRPvd5/ygSVZdyE0f5NccexdSMaUP9FAA48N BjV+++sVEpFeAHWCrMj4J0zZBN0dWOjjy47pR5dic0U1DgAoDbe0HUnCP6cqzNcbceqCFNpMOtWw NHqD9EriqyA0Tsd6UWHppu47XnLHp7lGuadX7J2UnKO3qcfz4vMbwX9LBH5vGmiPfU0PdrKY+LLP HEfVgtXJHQ5Y0Ne8kJU4B3sFg1BFHz4/ddcztP8XqnDSlpMUoc8FwIlXXdUpmHQRzSPDNIaL2s76 BHG/Dgj+JQckLWGam9dbf3Aiw8uZ/uBGQU9hTDF2djzIhJxxyf/BwlBMUHTUJt668exC9iBNK/wc s36kvPXJhCZZxsBPv1IZQw0L4n9CfPFG2hsZRWLnlauhUYLyEmbXkQESZ/Qr7c0lRQltwAAjdtDp +ep6Yuwr7nZ7MStbHRMrnidaVODZClid3tF7kctpWuiiPEIEvBvIGrDztUQwlfFiROXaax26dwIJ jBJ8chyeDzXtZHrL+262qhTg5+le0AMbI6G4SxV4Bd9uOl7qpFMwDZ9tXlaHzbMSSmzr6lztX5qT SlMAwyincbwlzwUwgeGfx7GiQQ8zP0mcKXscgLcKO8eufVGTYwQ4SjlMxkeSbTSt14o/kAVDbyZn tC18teLZ/X99Cgi0PNEf1hVkzIxiI1NakmRt8LI6GLBcIX9dsCpLnbFx/jHyeFdDmV/PedCFV6Qj wea6IML4K8IlKWmxueXXSOvGAuCUb6005utr5ozxxikcLESKs1+lYqXH8MqY7tObvI0FfYeIcyoW 43Ch33YNitKVHYQDxoMsqAF7REb3/goTLKv2pUnORn32V+L+KTVCcGvNlBIavyjDtjS98AqXeUTk kc1Fo2eNIm7nBj3sljbYrp9mhZlxLQaZitqkSB++Fg7iqxU0Afh1zXHv0U04UoLlpnDBJx+Ny04k MNl6HUvG52oLVtE0i2EDMeOU/pt9FRUkv328wYQWCYgAWv93fhX0DNw0011vIADqzpitfpdWEl2K +GDYIyYzmaa89+Zdc+lY+3duDojPiWfHWnxFKCfXJ9Tx/wNAN44vVRzFScbWlMKuHO3pofMkhNqB NMkXXa3hmPx8WnB9Rci5HH7uJWpwxHh2Q9UKN2M2CHjkynhhTVozsUMFhHim3rtCYQenW/lj14lH qW9bH1HbxKQxB88mi6iz00wdTqYhyft29k9uhi6zRhoy9M4OUyhZ5n0qJmDVwenJZPEStQ/LpP3X rD/2uUdlbDTOORtxhYCERZrgfiblaUOX9GAWlaCISMOrsqDaSXNuK9pUBs/71/Da9SF1JZ1/GYwP uv3SAEnvlYaQTSEO+jrtdnhBS1bkEBmY+JoSg/6obbHH48faHGcooX87Gi/QbZASLjq1/kc3n/ie 1qcX8hdxPu9A/VXr6U28wa8wmiZBdDdpdTM3rKOSAo6ePkZqNOUKd0gtM5JTZTMz4VanAdGRqz9u 7N+nDG3SOSK4r6yr4QLs4SutRA/gOYhqisUQIMPf+T+lzpLTSb/q1F8VRk3bsyr4Ft/GWf1Rl3/b K6DYoST3ucXKSCyHkrHCjNWxWCiLqAh3JFUKWs3X+gFvJgdIp1MzltehUDi1dYtJtMpL2KUyw7ox hbEkZNaR9dOLSqAjO2JSp+wkhAL9dzEQ7xUAJb3cMrsUZ+HL9GXSoruujeXa2d1T3j2elBWm1xfW NLl7QejE3k7whfoPXgfIEhPHD5bf3iutJgKmSick2fA46YID9FbcLqknp7hf1NgKYX8qdBFGfCRM ocoJNvriNWNbGiMt06a+vsi3LTTqVElgbWwKaOYwWcCIppRWz+qs2D3F85k+m2jsFv9cMDi5I83H 6LX65/lqNr6VBXnGC8rD68w2YLYml+Zb1Br+B4Q8kWOxmFLRtGK77yVN+KbYq9zvS842KmHT2uFF G1kBIJaKEuiJ6mOQFv1XjAl0JZkCqG+viiZ8DY7zd8kt2/C4D7Hg/XaApVYmgYjw1bJDFUN7tdny wqfDBG7rhBCjcRMobHO+UOVP0nJOHfE3ja0Uo+8tZFwyBvkKRnAfaIIUpikirOF3o/ft4g2nuSyv 5A6ClpfbzNpIjt1tjQeqKgdAYmiW4cqgKTfGAPodZYIqft8YJdvVYyQgMqjdb4Qm+LQ+1j4huQw4 bXMxuRZ0AYv5EGArCNlbYPp8xpMVsB9olyn8zgigMN6LLu1RgBWY7ZsbaDt8PJDosNVDQGlyqHW+ d+YPOOQs/khQU6J4MczAZDxGZzo8Gmnlhg6b4OlYTGud/vqIiwAhXjGFCAGa3Ymohqqk6lFMBGOb bcY9BbK/gDYqhORsaIGZk1I30UzI7uHg4kg6+7G0ICba4FMZwKfuPjI8gkfK3suzRlGyoTUt8Ere 3P4tIpzWmDzfyiUjV1y71L7K8fSG8MSWR9KwByydb2wZIeRI2FYYL09QHy3d+s2GJPqePXQAoCr/ nwUyJx+Fukj7UAu6k0/IlI6fLkCMzlX15ElF5Nfo6bXu0bSzr7A0Q8CLFL3heFEXGJg7ALdtCvV7 hnGo5ruu2l8q15Mq0TgYXNBJKi+UNktdxtciR50jzXIrcOuqnOGkAnfwQDvOsV9qBEUs4n3CNMqL NTSHMNqNENf1cqun+BKSbhziTshev8LIMHNX8Hw+wNTX7IqUfHoP4M2lb3u3//oH0iSZsjvO+vqS fhLcVrXCLE03g9L/0Wcyygd3wgi+UjMhKixaihsD78DuzYWlc0Mo6Lplqq82VTF+3XZeJHPnaNCH p4ekKbFbjNXmEcRq3bbMZk1x1ta9U8CwtaXryhONK1oknn84Wb3PFc5XVJUAqfXQXmmHEn3VXQMr 6DWqXW3gF70XREv8atCvvtCfzfsmG6RR5R1oUlHbR2l7Boht43kQepqcA7YQNQOszyhz4o/A/2eV V9nrm/DeFX7O2tLkdmsR9WfzeDZEm3pOVmX4S4T13r5CnFlHTix6RyjxBme7rY98diSAJS8SpRop te4+vk+95EW+F0OqIbdm0Ql58wBbF4e3vmx/Xw9OROVjDvqW9MvOpNE/Itb+ukOj21DiLIxX8KIZ 99mDcBKhGir1hoLsTSwiZ1p0wtk4m3do4hSPwxJnbcm4V4yXA+im1sv18YFLNUBfJA780ecbvXoV LSakcbGLvn2PAUkkjmi0EkefRL3FEHTn3BueWzyiQ30QIYmPvP54KWkfI8HslOXiDKYYpdhKXVhR UrLY+j2PrEZk8qvEtd+8+nDWwafBT1ZUB/ILtaqgn+uwM531S0zQ1t6Q8H6FkeY1es3KT9rR8RVL PkDwnWTbhYVh1IeHa1XvLmX0Qsq1EYgnHwbBXv44+mhcoOlHs0l+Oz0i89rs6UZIq+32uSbt1mxh DQXicEnWAnsMjW66PxlkKTP095XLmwC1v5QXvw/bqQY/6CaXv7RsZyh0UTBwYIi94Y6AdXYHDy5a 3OUQ/m56mAYXFeDU2t1WSO+ZG04DLXHXLgu9W1w2xhiCoKcxhtaVF7kQlkSFqfF0oyT+XdjOmEyu CYKkoTuO8P5C10uS8w6/hWAWmGfa5C6KTO6pfgfRbuHqPHKYUNHdgf7m5ZgSZxW76XRctsG49FJr a8sVz/R/RKzSRclGEmcK4Qi9VJtLNe1x2LkSxImK6XGZ+IKEhIh8iO1N0m4CnOBiUi5nYpuFhGbo mtf5chFVtoiIXX7oLbpkMfm8KK824RV8t68ieuz0moPMy9rkudPcNIJTLw87Qe/xKQjKNgU+CAHn TWbMLDWNR+8GJFod5tWTdrTVBjgnclzMYvQnywptSO63xZtza5qBnrAH1vzEBv8CmaTjX6N4c80/ YCzFZpzVxJ4qSNjEWVa4P2dfZ15EYfMB7MX38WmXV9pMKvgwlBPTyE8lVpVmhyAib/Iz9r7Rfw69 4UPjCelLrM557QB7N3f+hWDXm+VmB+xZZnJd0+XjsJUVskw4eSq++LEkEj96ll29Whf0OScwhlrr FBbOv/osBFtz8lf6w+Y0Z0yBrPRgcU/vQtDTF0NhYMTw/EfITI+z8uzZ8stc9MkkNY1GUwilktCc 5o5kNItPwZ8jdiTcZzT6LHZlum0St0SYv5b8jbe2PjvRG2Ttw93qWOrN5nnFqWalSgJZ+0v6kpo3 uo4nZ3jLIlsMFU3AoLKUYntlaxQv5YfkEjYoFvb29Nh9ONaVsOMGJI9CEQcYcNqPrP0QiRwLjmgP kZCwjqeOdvvX0b2Tr93qPynS9EzcaMO0k0EGmBwz5zLITukD9Nu88hy72B7p+WrY5E7cKss1kr3U wTNLeitBjgt9ktppDT2R3kygJKoUxRksMVbo95HQNq8jYJ+tuSlujrRltXfKkHsFcCi8+C2oM6rF xD1sf4FcYHybi4FJGs6ZLO/Oc2Av5OZW8hbitbAUwoH7ZQdwo029LvBXqrHOB/YPTISpnGk/IVlp tUKK6m/e6cM18fhVrowbUu6Usiaq8PfsYUIeVhaLQvrhvNkwQpBD1H1JdqpzC6EmGb5zN/1w9Ugq unmsZ6hU6U4BdHOkpkAkH5govaNW76qLsXxCokquPwFUpyi74jL0MoAfgWiC/wjbD0px5WBsY47J 6cFQihcuuHORHtQBywxnH5xEIkagnmRfIcA+SSOhUlPBOEkP+poUWoDEpIyVmekP2JfTk4MQ7wVu qhA/UVony9NzwVRRs7mr5R3ih2EQUJ69Y5GcKOviyuORnlT7itHDuWZMhdBKeHwuJnImnC1POgit 6HYE6VP/l4Hh/aJ4DyDEQGxOMg/KLiIcIj6Tavu8XhRmNxzvvC8odlltY30K8zTnDMDXOfeIyu8Q M2cX8/iLmHjNRNKeByJTwSWApFifWxezNIFUuUtwiIu/zbSubn0ZNyo7uYD/01q6snyd8LjF2wWW B9Ls4QV3OhLRzrc0WcFyNysTqkUWDm4orL0zjIsGsCjGH52gtMkQrkSLq0vdRpP5smKFjEc8I3pt 0pW6H7F0qFypXAQrNs/lxiu3o+RUZnqb6L+Ox1gS047aqAK5HR42UiCxKGS83Fd3/Poz5nNc+aMu DwqMdRg/YzSDPKszuRa+8IasEfFq0IsaGjh1nVn2USxoUasC3brTNwI0Xe9pzw3R5zbSAw37KS/p 82Qm6Bpz3xNp6VAsaDGqOajGSvqnpzVWy6rtu7xyTA2poKBgFyT8HYDyyeeMqYe6IAdWxsbq3o18 97m3eBRt4iTDKc1Yb6elCvWWo4rAOp6b8j4Joi2Zr1vkacvFih9iGLk5v3QgWwknTiW+CjIqBwYP ul2KXPsMywchHsrbyCVMhOMcmwLquNYq+y2c1cLPBiSyqIFL3te6U+ZNXmmi02PFvlXtYNGNXcXR EhOPUR127So9HbeHIAeh/3yzWemtbShgeLjcx+sAHjc6+3VjV01Jg66EiBhi18oOXRtLUztI9ZWI xdP3Cq7qvFOG6wRF+/Q6JSJjnamJy5xHBt+o7sXwZCspoAlbUexXjg6kIpCax1isROxQr/i6vqzf qERGGm3pP4obEUgr+IyMyhCsqFdq0IFxA1zew7j6v6SUt+rAQ+hUuIYQ3wd9P1TRf7KYVyQrTLkr 4RWc7xVppyowGZKs4R8pN2SVTg0O7zg+BUnlN0f0gOVjGQLCJ3vHmb2uEOY7pJ/vjal4UpYQCUii lK+pFvy3/s3ykdAfSRd+qWp5D9enAss8M2ytw/vt/x+nrQ4rsK+ZBIr5XV1vx1qcarJdHNp78exZ REIKEPTe0/h7kezQGFF7r46P74kzppGC9VdsCdDaUnz7dJwBFt7H8NnpcBVb+Ccb22pixr3mRUjM 6M3j2cQpIpRWd9JB8h4VgJZ7hi2+duFef7j/HgUSBw1x47vcj9E+xkXZlUgKLmex/6sYGfpWK3v3 Jzq9Ri0QcB1zpQJrbyDI61yQIVUPcfKQcoAQU+kK/Ue6CkjfZsHw03UjNOBbJWSSMjmyHqAFE+Dk Rz/DgJjpgakWGpnJe6AgYYHkhuOL6/F0UCUzVYpD92PjTu7lXi4a6xdL8T2z+M5+KXz0uANdXaR4 9ujT6lyNlnYDxPk9WX805eTb+Vi3wOO020xwJK9ivyIyuXNTu4FZdPJcjFA+EZPpmxwlAV0DcTF9 mIfAB4Dbmns2Xcsl9PAdMjO888OugPNkMlOpVa6TCRxV9uuZY1UcrVFg2Xov4B/xf1XGi24GaIkt jlBoe+DzBldhRsONkkecAZUlqDGVWqrqMptjHxhiUv8gwUHcOGGPeNlrXjTijCuhhqEhBBuj3CH0 CXwn0hSIK4JBmRWzGo+i10syq+Nf9H6sxD8H+E/asKKQr/EceO5OwPnC+vdSMcyTsvcXH3KpKSj6 AzxMF9RCRdXIG/TDn9e0TMIW1HI3o9FvQnytQsRUKbZhY0LKEyS/Fxnb75re//Aw4mxC/RjPRszP Se5hG6KmfAP3l+xPwIsDF8NyS+ubb1xvHwyxQV0ffErsfnse18PU90EYyXgMtDxIWnRtl6VOpHpV GfQ0r7MQkBhXceVzeygsUSyi5N7bPfJfAUnLQUGdGLdtVYMLN3CmzX71rAx5Tw1ijallG0etlFAS wppD2dWHMHO8vXfx3F6jq23c27oQt7RM/V/V5nCd7Y/5+QirDlccevUKcXJmztFuTaASsaCBt3H5 xtdtcp23+RWMV7Kh6NTKOCFlELKS+5795CFDJt5+kz8Q1eL9it+ewYlJhtgoazW4Ue5CUZMRqMg6 /ud0+jBKb0J7rOmEuknTDWUmg9FMCXXo+cpirHjahCeudk2CN/HsHl2mYztlHoV2ghLsNeH4kAhW 35j5HLrzYM7x7bYJD4QwFDrv8cjiGgGL+WcHjy5Mo9MJ3/OXd2W+Ln925+T3bBAA5OWXZympQpqY cCQrgM86INJs6b4p1n39ltN80NkoSIiWPqN9hx4o4JK7HXbqoGz4fUqV9tO0wQPeNFVA2cO8p+0Y 3AiqsBo7YNVCfGRqjz3BoQRDhuu9j2GJ1AgikMs9XdPx4VOjDOYJ2iwngvvLf0JGIkcIBngLnT16 4RElqFTj4mwDNmh1OqFACNETZp5HtKr/Esvqvtj5aKCBd+v8ZZyIDX0oXzq+oJdZp6PntRZv9RQa B/EppK1tv8WraARmb/prTTIDRpEtoq9+xDgyWn2L3KoCZ9Y6VLmZrNiCGLKNoAD08fhwy0YYRHm7 D03CKx+kMI0tiAtPlb7e52Ql16FXmiKQr5ByB+vfjLA0wuS7LZjjbTaMLJtD8Uvloj6bYJNp+wJJ XOchwaldoZluHfLZH84MPtooF9h2Xlxs8aP5v8KOu1fT9jX0r2NlVLKsCnZWiDz6GYiV+HtPzEag S/ISArHmNwwBXGhQtW2XJ2tmixb4k2JWEgII2uQrMlA3vQPsHqRdlnnAKhNS8cbPyE+xKx3qxiQ4 djMzuH3O6mRRsWOccGjVFr8sx5QyRSe45Eyy/++FUUZtsuIj+42Wm1hyqcxgEBizd4P/7o2dE2ea gJtoyU4Iktu75DyPy8JpPZgUSRn+dtaoAdmNuw+0IxhvWAKED4UA6zFebCbNBh8D0mHaJcatJnF4 s5RqwHNHW45d90HoluO2mbkef0DlkSJI5TvfJOxYmI1UzrDfSfv7XV5pbncp2wPipoomBdOB0uiD ElWuEMXzZh0ExKXcIzZH1tvwAgOT45KNvLpJ5/l2CisrXoVOOMuKKAmLcPA2WFkHl2CK6dHX1iZC FPA0lZXi/AMyHrgIM/jk4fyYbvT6QaM7KJdrTFi3b2Brojb86rjM8Z4yt96OV6EfALnTSh5++Wqy wIyD+5OyZ1rKxuWQQ8GMQT1UuF0D+sXKvx0hUJuKQVDXLffAXlHcrBvLaxHD9MOYUvTRQGNYXQ9A TmeWoXA9veQg2+vL6UnzrfCLwUt1u5PaudCPzR/SpTrYJnRsd2pH40tbsCCDNhFo3CVRkF8joySx /TZAg7fgFELbaG6Cv2jj2tzX3yNQDiOvHPOmLBuW+VVa88hzswGCTvgoYtMS1Gu3GO+nfnRLhqKX +LefDrX3C7pSn+4cD2LFtOaC/OVf/QDF2c8+TZSE2XEFfNIf09kDXrWYLeB8l7V/tO1l1iSEFlvH NMfJ/TkxBwibTDwgbP3T5U6oJRpPdNGw0SNtH6U1taVBXbwn4Eo+CBHwsLll6cIr/G8z3VebLMKv gXsGtC/FppDguP8iMfx/eTwGa/8bi/sOR/pTLmGO8JQ8wDD3XuQWHJF/HBToYTdfc88ykb6pLauW L+lvibtFZ1oGE9YcWPRtyQh439o8WWVoiK9ZtFu0AOjBiUETc6yEsZ51AroBjFOKSNCaAzo2xWlb PslRtiPhatS2dQtdcN2UIZWSQLzgfcz/C3qRQEmveqA/l7Hgx4PbaOGZCc5ORMl6gP166uN5oZrP a/VqmL1TpsefbYoU8jRotZ2kwmNgRhGBGfLzcaNdnNU2gBzb55dX/dMBMPhOrApwOVPtx6y60exo hSTkHge9bgG68y/16+eYw5UBncRcI50nCXX/qSdEQirVEW61AbQ8CswtTwBIDLM5OIMizklIvf/9 3hkkRpsAKLPyKtFSuhgCgitm7G+dRyp5BKSyQa4hlxIq6ynLPinQnFaMAC5cpU6jjEVdZvKa0d7G Kpbkxiace+RK1rU0+2G4YbQIjNCxlq2vjZFJ9GHgQEb3W0SyD+6/vIPJjNxpQU4Nyvnw3gnpGnoe H3YioX/X3bzH+81YVdZhLcpCNGmTaFA0mkrFuX8cQarNztMORSsP8x5DA2lcDjAU34OpU1wVp7G+ +pd1w3P5UQeTCFECIoEoR3ZyFh9NUk3jKtE9iGRutTiNTpShRrNE8wgJeRsfn5JyXKfFku237MgV 6HRASQBsi1vMtXg1wy8c/v25ioNLKp1EdSGyYLzu0R0QO4tZZkdXL18A6qgZGZJE0o6zOBYHHC1X zk+a221wEuiLas5W7a1YEMpKtoY3yrrTf+7TI2sGjGdoiFwet0sldaVbfl//aGG68SI35ETmN93M i+6Vlhz76vB7mQxhH276Rfzjt9J0CV1MsMpC03cokq9pYdFB037NQ1JuIIlzu6iNx2psQCdEpYcs xlOduhp4+euwSLKd86U/H6uo5RUUHno58Xx5OaLa9SJgsJ0BA1st/nnoQpIFcN/feUjZ6Z/RfOwk MLk8ZEbLnc08XLXVeeoOuJgCbRyH1RQHrM4Q75QeedETXRtaTUZjPgWcyjt0cA8Ua0ihmm9b5/S5 Umboo5WCdg/Xvhp2qzlVHy5OUjBfoxaowDAY9Swnb4r6Zt0vXodSJayLgoEFfjDDmHT3doCWtULy 16jvY1c4MOlm834HzcSumWNNNwXElSAm1UCHoZOCz4F4PH9cpto3B8vfEfqgt0twOQc5IMGpD44t bDrJLjJiAhYOlUhItbQcmDkSDqzQJ/tdO92gBeibNeopLO2MktLmb5DKfDb/dKWKjQ+0yCX1rxIK pV4LenPIJzf5aVY+YMpfUg84vTcO2iguXnLuzSUhCKERMsIg57L7pYbMetNyey3iSddGpeiEacMX We8V9t1XVsEqwfy+TNwxCkJ2G9ulppIE4YyS0vpZmke0VpaFHtLBA+6DVFMXUd6HrnAo6UfGHGDb h5nqFOE7yhGtBvYJS05yjBC5wp18C5DfTXMbgKwAlvaDku61dZUQNDvhHBWWERFDS+9gwgZTFrnV T5+97zrG+msuVqzMyN8vyQeSe3p0no+4BC8iMXNdFG6vPuA9wbtys1k51MyEsUNhDOvED23MD3fF 6acjX4zfQwQ65M40CnGKmw+ono1F0GtsIc3bCIVXVhWHHEchzod9zXK/EleD4gEgckqFLHP0vrjB Y6XiELCTT+C4u/5qMQZ01NWSso0BFz76T61nTNxTCIbQjcHLvdcZuLyhx7w5izr7Hqr8LPAfaA6r cN+qRkom/SwK4TP61zgfC1/11Aa58QSz5ky6AJ2oYM/kxdu6eTsU6eZfYlzopXHOhtZGlj8zPWEM YyeL2ljd1SxACKreFjP35LhI/ilup3510mSUMfQzXuvEhpwxfDrukb0tZDkG5VM0ask0qXhmQ/rC YlAqAI8DxMl0oNIY9GCeKFq2p6fNxQVnYA3nwB4kW5dhKooKCz0GBeErPV3YXc1tXXtaQ/SU/nnU Mc+/TMPxiD6XmN++neJdVo+Zexqg7kqm82Djcg9lPEFWzp3uqcDjDAD/OqaO5Oxeu4IowuzK+kNt IocZ12rRpXwYsHLeLgDKKYsdX55s+VDk+dhERAllXsX7pOX2LsHcRvZcbgCPSfszMpy6uRAFW1+H E+DNGOtXeIl/siaAmJqaPgKxRo7PHDCcXLKuFEqkG8pAKCNLh/5jaeIV9yLBZHtBS6Y5Iy0c085u 1dhu2Al8B20HksDudlQrANfHwgNs+Z22v8Gt+gaHzBXX2vScuap54IVX/91Z3SBQyvBKFH/l5rrD dnRLPXGcN9um5uMX7pDwu6NTDfDkcYlPb+milQXd6oNpm2pWtJzO4atZQ1Re9fDuKhfYkVwR0Nu/ GdJu671bBOoePbaebxPBo2ApZrnMAI87Df+C56PzXJOJ1qP79n1UJWVlF3IU7i90Zc2S8aR4DSk0 jWk+y4ysWtMSu+DXL+EyphDtBOI3U45Er579WP0lHB6Lp31p/mP4wgizEkNhuq/vr+whFdbqTBut dMtrYxZ4hoEF9XxFVfUWnW41f/jUFEg41spmZJTA4+zttPoz4Tziovsb2B77Bru/p8UFqyi+e/ye l0r+KCGr9vNxbxpytdOJnL1sGNxnJsq81GXVem7uC8wCOgRTzg1CRNmXbc7RsX1AIhB9UzqdEseN b4QNtG7Pi/uTk+XY9S1tJ0NM7J8AsiP5cJT5TQs/5ak8GBfv6n23IDuT7h57HcE2o7OzXbpGT9CZ dKo1EL67lwExWNY5TqHgl/LageUrj+qCuZd8/tRnN5dhuRaiD14f4BgloJIxazbLyLr4lHh1W5bT 4ur0G847vQDkfOhw63w2Q+jdIQTttYg8LEMTANRzh4Qod8BqylEysSyS7LDZpbcXBF/Fs8wExrJ0 GCLlFPmYK+r0G45TWMVZqdEB4TcbPdVLAml7qo+DialyCnzGKTQvcqMS/EanLu2g0DkmWawwSZGF WIjbyTZMdjRMNfHuVoYgJCB58aaHA9w14EN2ntRdyDaLR/0p9+LclzYdqvRM3Cd+ZtHQIBJ9/wyo kfV/TRcn6kJaMXip+Wc5/wDYYjFS5/yYWI0sCsmxW9ljgBhiEDTELPdBoVF2K5/deOTcmFW5fqK6 QdurmPusJ6iW0LqvmIAHTs5x1+vyNv0iKyBYv11j2CLE+WzdNnMlIT5qsp2FYLbZMDuCnNdBtFeW AJcmu2GrdbHkLx8vfV9v+DOv9ALbJWDafSXi/lWujwSS1L4JHlaz+wDLlo+zrO0r8kt0gfBHjL5G kjggSYRMXHDo8yBeoNmLD4jolwvO4DHfDsFnL+C4TLqQpN5VTWnUmggJ/M69qDp/fHGniqRxuZYp 6PGs2Rx8EKoueRowIjX0+CRxFoe8w1GeTFHBFN/yOGnB58KYnrTRJXWvSglPTcmBjbfB6b+et11/ 8PKJILhUxewjVToyJq8av54slS2XVwJeJRLFjOI/aAJLWoc93ibfYEofTo1mCC4tYYl/Q3FvkKBh uXpduT9i9KpnLgZGQC7AoPKAGNqBYTRn0XXGi6jUfG1sUt3MkS2+GKPUWr7eZK45YdGDwLTdhdKk zcwLDEvnPgAZ3ABAvIPCyjhA+dKtmVoYF8dgZpzSTiHEDyDMWVPpZSDiwQpC0ON+ktFyVqb5Bef/ oA/nzlw/UbrUnc7YyPpjIp2Nx9QyZ5dxjyxoQCER4Wuzdpm7iEu+BKSrIn56zmhFqiEpZAih7wS8 MqgJfHZCrNa/5/kmh2S5vuOU8CbExoeDLISIRnfSLrStW9PRx1zUcpgFU4OC2HK1EOlB7cTVnpuk GlNGKuxdpGCzRxfE35Dp/JyxzU+fwnOPIJgjlTI/8OiQIHtsXTR57SwASkOrUfe/SOIBrj3WQjWM 0kzw5hoTB8+5SQByXOFVYvP/9xSzudr5pJ4WbVlXV8rMHh4Uj3pqcO0fytV1+Jt+k8CywxBehfum d9527ni90FljgyVf9RF2bTVZzlZCLRPAYrUA37C0moKvJF8wCHZ+rc8cPf7jznbg0RR2Khtl+eCN 0Vv54TcfKwyMdnjl+YYmjfNIsdMgQ1VdUKhtemjX4twHUSbSxepXAN51AdKB1f0Obo8io180eT1/ fEugty7pkDFL5sOR9MJUZG995kYe7JV4abZqeQfVLDLytNKsW4H83hwLZrkPlMbaKPkQpW2vwzFp DRFuMhNoSZ0acZ3fFvYwmfM/vZdIUQNUcereKWq5o1fgxPr5+Iqq8w5WDKHAq5tDJl+2vO4/m4wV CNLm+oF9OuuCktdsAOJhlQ2d1IOYzlZWZPHUjsQud8259/V8iyeMUNR/p9wxMAEqTvUvbNMApbeC qzp1KKFSmBSooHbvv3lEhKevwZ/vOSzT8UTn8L2we+mAeRsAi0XosqpJekLj+HPmj1V47CJL7s+4 2DCRnnLhC6UKK6YD2cTwm6nSrVB0rgaH9NBcni8HaLcglDJq9bvJmshO6fU7Gwj3fTRohBPDQbq0 T5kEMS/HZevRhf//WFzUVceYvXvp/o7KRaSFvw5DzvsxEJIl2Y35Tnqen1f8og/auXOHWux53RPr LjxSbgb42/ScfZBW7Nd10Y3ilmqNOGpael/eFSYtcPnz0jyPerdGVUp8i3BRgzisQyy96V3r91tA agtMBY7oiypby+qZUQ9SNPdg7kQJ/e3GqUnhf123lYl/9jA2JhxEOJJZvrA2dUz/7PaG037zZAFC WEJs7GCPtcCUimM27LICQv1VuTKQPE6DYpYx6caX7oKd8khh4Nu340EO8tL2lPtbP8cp60pnGyai 4BzzS4Niv1Cc6EQPYR3XwbPYFv9VpDnSTbc79nUGst3oObQe8pDmJEn1dgzcVdzO2G01L0GUWis1 WFIkYvGIrhANN8mhkIS8oLtRefSgPrM0IWt6vDi2iDkpL/C7T4wKlM++2BliYljf0uVM5CqP7R+C 8cf5t1l6/0uZPtlcyJuGEDfmgSMObaOozwGamK4fiotdqAnsRydc2hATd4laIcTTxxjhMZIPcM+f Hwre7p3DOB5BVXBIem/iYeyn0C1HIKMl0TJveWsKy3mPcBIohULMBKW8+X6PPyFFNj0TcnGRXQ4k 6oFqT9WTuXe0MplRNC5or1yyQnOtzWT5uRdOIv1tS/j6dQH5sA0PyOk++uAyqINQ5HECY+1bvyii vuLckho2Xe9ZK9b0zuVFRZUHtMF+X65ioMFYpxqG2XBlfM90NMD2VE+MXr0YY5GNEMFeJGrQT5ft 37hL5ywvFWFgzfvnD1dosc7sPvXKHi6tvUuIc45zskiexSGbpVT/JCeDt+FkG7E7ajr0pxo2vSls /j6gW31pzAm/eAoKII2ETYvfIEkNF3pyilRsbElHEviOOFIOxozrwJ4RSo6KR3LBaiuopgGy77IX wlMn8TT2/wHcKV1wGq+QxxeRhVMhPK3092WixVBZn0yyDecS6F93XMwqXfSSyLNwxvMY9W8dK1nT vqh6qWUB5bJlHEurf5/SFEQKkEQ09dybV6tF9tkK0m6Xv7ahBmczzMPRfPonyT6/TV671YhSWWzQ Ks/ioE776eD4WWWdiHDGcSn/SQPT3SjQyrVnnIayRgAeFjXE5ANZWzLOHnWAma/RkoXMgpeYxlrp NTRw9fBvT72QofM5vTqKzKmvulrR7a1x89dx6JP4OyDm+UyqTV0FfHpHaTwGGZOPfA/e9M+jPe3d O7mnXr62UfvdOuW5t8vm3Xuvqz0kGdHwsho1odr/OjxJPIT+Mn5hHXJooRIWgJahfWpj+b57/Qpf avEScwRPr2Mw6p9smSZMWPOKcTr88heYeiOM4N0RfVz3Ev5rIFaeiS7g1PiMUKBPsSbWjy+rvE9u lkudjwxbB6Dp+qWfo642aRk6UyzkSrP3OTxHY3CQzENfbV+GnZKKSu3ZGVZ/YIfmD+zaWJZfL+E2 Jq3PgofxeVzDXTOGlwzmXjiMza4Pw8vr39O68GGBufhOer0P/UArjT2xw+eNfWPSNT4oT8ccv6xH 7JA+ffMy35W7IY2i9VBVtB3LN71GKKr1WjAD7RBhwXH4D+FJAWJhBzOE/jLVFq2+GnnC4XrBCOkn tTt6GtT5oaoim26msa5B3kuwM7Lyg9WNpm1DzS1fMZvVumtSrkV2egByNjjbHt/uxQ64v4HghfKc izToqQiO5tZDMh0zc5slcqpkPSdA9/C2YrGhmpz9BVyu1eyQLkx7wkxcKW95QI9MW4liJ4hyDMDX WooIUjm/Kk5179d+9e7huyDw59OUV14zWT2fFy3kSQvhYCXK5zrhcWuOTxarF4cnZCYbTs99uDRB 7CsE04OhZ1rErxV+st7hngLqbx9xz72Q2Gj+6zRZ4ES19FxYFfBN8/dtAAuYIvH5CWwVmQeQRYyF 7udlyxi6rmGABjanZSI3FFBXDDkkkMuN1GbHaQkMY9iUOsoSnDIbNuLIL+kIzjGMQjjn1b1VuhzC Ok/sBDOccVRgOEkWOJ8nEXkbh1tfT5/N6ZWUhUjJu4ZSMRYCWE5cQGWCeBy/HrmUhkVu2mJokcek vK9tFALqYDwPBfs9wDv8EC9HsZHCocJoCPUIzqOHvqiZ2O+d2ivsWVNm5SnYPfSDu1Z2IUHB2NJu LqRC4UOLoDv8y7bTdybuw11Vet452HkWjWBO9hM3V2y1qrboz90vgMHMXSYMTIwxgo7cYyRGewMC 2Xqq324YfhrnAuCc6AD1EWLznOiFIsbu2AgxVICFIxUOkYejFu+bx6l9FeM/M4yAgfAazNCwJqjn 2frlag33rgkwCOzANBbYONkKaMtNq7HltbMMxEqfwCQ3FlOon5P3LLEnV0FFpaKcdjcQZ7ZqWKwf GIVArdpvlR0aaK+OMq0a5Zxr1PdkS8pT8fhzZ67loDBnFnVUsIiWDIe0lVrKQvaO77RrEMa1rgOt bG8MuLQXCw8ESIuVl4g6EZTFiJK1nki511Iu2zhkz/HbMIecgm2mun6ykvY0UkMSLa1ArWllXpod pCGBJqqkG2B4MhaVYeEhd4x62oMKjaX7zKD4tvWgLFF4VDq4IlJu9BZrYQTnRWtpHMq/m9w2fymZ lZOrPDL6DRtewSwnoi6J0DTCaFIMD6OKiRWWtfZzQSbpc8DiAs+9Ry5rPswJqYOFyOKwDCw44qNN 8ABZQbInAGNlRMGJ0obu2C6A4sVnYys8B+uFShLNcNCCNaChOTHvFq0zHbIFob7ub12JQRll8OjL ldF/Cya0wrdfpPIu31qKp6MYhU4nQUZ/Jsbq5hUJmQZbDA0NTSnnlpa7oK1vRGxoztyDxKpUfoNs oQ8mmdqdA0lp9FZ2OLmHBL+V9NV3DzqrwDtWHcvY0NutE8t2XhbNaqtrlNiOY4Ezo7YTZaGPYDUY sluyfbppMQLXBBguKduLMl6uR6YsiDDyLPgl6HIpNqOf7Peox9hIVpVLO4SOrjmWRLziTZTJb5gG xm+2ZGduPpPEPcRZkX/FRqPTcrAkM1hmzMdOIub8Yvbpvg2GMULo34hECEYjC8FzLJnZj2bxz98k 50kTfzY1FTKPMCZre62iCNSdeYEw2PZsdZjX8nhhMAfb1m2th1GQKgAysxQiu5Wt93h6L3t9nm12 D5q9h9yef1FVWmUetf+z7kznCEPEAsd1EtzMqqDyGeeLFaV05tNTbVpFtgFJ2p93yCLhpl0Zy6vO hfgVDgQfXsKlHfa2kqiwlXtDJNsh5Z8IdyQo9Tqq5xlYGJbjJZgnrOFLH+mMJ6Ec6/TU6CWNTwj6 L5MQoexrfRLAK+djugisMAK3vvxkDGwHKUSLBPNlOeMZIBy7UuVMh4D1K7r7wSwpdXngIoW9ErVp eCyNkuhhbbLSwh5MQ1Y3opJ8EqWP7HVVegfcCEAzVS2i9aTpiH5y2jbF0ZIY57eM7U7zete4e51d nsJwXTQVTXLJOHZfU8xdQD43O3oioJN84R0mkmlH+WZuJ1gmL/OU/MJW/jtRfnXx3OcD939ijnH1 kGoTkoo5MDiEC5XJLZ28n7DD0SzMK8VE6fVGEf1CwzzXpUtvxhUDAlFhmaHPX2wcakfI02BQIeSq dUx/5M20lug4ChtKNZy3KuvlHhtbc2hgmQm0rurD1D8x0muIViK2Fy7/zxYSan0YNd6ceJN9xMIc W2Ikd0aPTszV61Rkh2Ulc7/E0YHgj93WzJ7mZIlbcbZd+5WtL5yWWlMvl/W1hPX+k/gFGyc5h7Lp n7clNThmEkrQwX225ypT37fmIZbxfWeQjV/8Pzrn9mIQktBq/t1NvCJBWuYuAQ2VWu+C5Uq4EFNL R6M/78nHMg9JuArpbnxbgVvd8fyLCSjWT1H3Sg0gdmoSTT6Kn8mwTVVRk2pIwszzSbNB4HFMCxQ9 p+cENST20AlDKIxs8OVRwZMHoyCAE0K787wOeT+7YkCoD9tiTLqQZ0WtUfL1HhGwjAlZ6dXP3xpa xcVImrlO4XKa0YOCgYOvdcvhc2KT9mZTLUpk3kGzqV/XiskdvQBOjnhGJlE66R2UxY++yZBj54Lq uG3W7hH+PpwQFNGB7sXTkBrkVHKYe6n2+Actnvtt89+gbStJATASZhyzBvseW216M28CY5lDAnLp RhXZPF3JSwIn/vdn0MAu6+Tz/VU+KOw3Z/GPiFh9E8k/wb7h5O0xRueU7D8BgbqtqSoYwB6GCM0/ NJ1KyIfazkxZGfXN+y8E93IoPM3uCWk6ClcXtuRwwY7x8abGs2gmTbysMChFYYZHo3hNYfulFvCf qm+iRA2ovfPAFAFsHsqVTmNRHxzV2msThmO3MkZrccbuxK+B3jWpbNp5jYaKBPqVVGad37IOQ6UR w+tdq89paKizLkY6qhNDVKfcaWom4VfFnm9W3Jf5ayxG1i8DdU7IdbpEaUbYrign9xorVJz8D/9S n8DK3rUGhBfVsht3WXkspukLwnNdFwSfGE766T+M+pbF+pp9IP9KzLN3HEgslh5LEM/ZKKoIFtyg gwvLHPQAtnHItWxoctWDCurjNfaF/5AxmaQdB7sclaqZ+N4DKZJgG26K3YoFWIlREcvtPgGvLhTF Hy5ewicP+hVm8ChtEV7Hpf/jkFSYHlahloFj0nHBo7nKlljFsGmHSMKYfPGuTRQFHr4BlwNpAj4Z /0IHH4ISCVour/bSJtQTmWv74tfMyrxtKfbPW6uwWTSgK6UL4o0zb8M0+f1AY/RC4g4/Pso6vR49 EDbJ7jK8ZQTefGIQUqFFiua0k+yH8iyZPAUjX+w7yGKLFSP6yEZDLJ5XRwPTDa8vNhhj0RCwEuKI UlN6v87xasFHvageCRLPRN3cQMkik4fya3JZ/tB2MHNbYF6YYPbRyXiky3TE8nCKe5B7cCFSAjIy kzG6k54rrf7PLAUCOFNvMOjnHlmzgj+gm//q/AvvJoEtOSVmxJJwZypsMehGRu9yqLaEXcL1+oC5 GsYRXvGnP2f1tB18bVem+D6bMG+RIcUTTaATbWJkRwQPbLSF1AORIXvpekrlJrZI11khNHDvsr0m vxd4oaEbXwd8zxlQdTgXw6J82ZrF4fInGgBUsEDmKnTdoIXmKpTbdeBQnC5JLbJuxzzleZc4mCcz nU5H6+xgOpkRqWqhCNxQoHJsjevhh7aEcIaa+T6TErjnZ9M4p0KCr7N3SAivCyHF7mfX3l66ZCmT PV4nwmuN0dRguXAa3qspfWX1gJbav2oedwRSWgBjDt2Ou+2flmzWHBEE3a8rVpsNlABAyCyXFXk6 IWG0UPr5qEiggp+IDQS/LDfmW7/xFV42y8gQQuqzORdSjxjQwrR7eygmIBfvFDus5jdNwwoPUyC7 OGGMZs4bIfTS+GOuAKbIrFhboyTkyXUAu8baBedeZ6gwBiaKLZlfQanrkX0TLB0myK/DXONGsQN4 imB3qr6hM1WbETMnuSo4r7s0FL3Ato67GcT0Ud4oLKtfMmPKc/kay8JoFoRIA+4ca8HycZfghDFR wISfaDiTEzqDvoOUc8I/GTca4S7SkKZtN0K5/4aWz4P/U1a3ogAiaml9QISJisUzeYRYeSXMg1qk nG4+FyObkTN2joXP5WkPSrL85uDSKbd1GwObRlbI97Maoj6/MRPGeHKXnDlCxllBk91X0fFBgwez IjiXkLH4bZ2by+57iIc+iTRZQbYuKLIWibeQmGxYxXQVZtk9SSCur5tBAMA72jsSAtsILBSr6uyT 0tSZ+k2lVTeZEDG5loTYePQB0tDTJy3SX3TZcI6EaIvQDQh22eMbzbuY41kZBeT7QpHlmFOrmLQ7 6l8Etp2ViFXlm3NHtH8nbAR+YhB+cQ9/6aDQtLUriRa5qdEtiJ0a6yUi0Kk0Q8icaIcpGcdgM7Gu kgcKpPHrtM8zDQTFbB+3D+TVnVHjoemN+qmJay+4d18DS/ohFp/fzsYgCDc+zWrTqwAbrpn6ZdSW iAzTP5bfam6RdYBZODv366n/W0/sYV6niFSK6PA9v40UH2xUrEzvZWqQhkGYbwneaCZRQs5NNrqD vCHZa6JRP9rd/RyPGpVQrJYSKdD0K1wAygfiycUKd4FYngr0rbiihR8g2a26UR/gqVB4/txS0ZQ4 /zTIn1AOlPEhfXwYuJgCHqFAwGOVUQ5ioSUzCprNboh9/EBu3jnTEAyiZuwhBXMjSXDT/R7+lO6b mvHEjKQjDZTApipaMnQU6sBEDKXsMfTzlt6QjMDSUe98+2jGNx0kPn0U15tkmhw9eRIyGHyNzRCD J5QQfySUShy16CPDFsMEiC4CoFeWuPf5n68I7GjznIrezfvwd3Y28jBgJD8jEo3yNBkm/2a8QRMO M+6Sjf82FI8e8blb5DUg0IMv3zjPxea+KjcnwophxcXWuiwm2tXB9sQUvPeq7jOBOBUNbn+htlgi Ode9PaobWEnStspsZLSvYEsO1rZE427uniHL70Y1P2ORyjfrLZFZWqMWiDm5Ha4HmES2ud6FDqxa JVlMjvXdQSKpkAZVAAg+uugCXpqQjx5M/UWYRSTiq8PqYDyJ4ymH8ho9NVIqAqkOto5vyCTy8DvT VD4bsNSakYa8BRKl2/qENQYlCqwnV8Z5KS4UNLnQCJorjXwovucGPbnWzSDuP77OvHfArUuUfB/o h8b2tQkNRYPMWC5GrWELDA2rfEyfBMlXfjx9hklCL2mqcAWZ2gRhuTF22i3JsjLPuAgJ39QpY6NX sRthgC1xNLqoRsXJzp3EmRhdY13rTSJTeBatNUChglQOxZ/oNAiWtQBtuOhKYZHzgz6q1lry9v5q 7leDwr8AY16D8IAfFYHpdfyVJIkg65oSckUyP7BFm2Lnsj4JZ/tjYttvjJwlgdiclQvT/TGsjAVB mBzmu3gOD1xrS5JdUksu5J4ObUdtrW8BbMPOFRQk+IR2Eh59MXUAvoGYAZW+ER5bfF4/DZ1iLJVT K5Tiv6bmjm94VXs32RXTZOcFErfOKTka6O+Z+7brNSW14Kt1QjD8hjspkCdrkbXUuGxToOdAfDji VzlJZn+oiTSddUOCek1rmI+ZIS2GP6H49iGO8x9r4mHDxrqt05Z+E3vj99H3MXSj9efBmO2mZYBS ZfAyoJhUWILAlPG+N1gzg0ohLhnwyv77yrlv8lBeEVvbLwKXieCwINjEg0LuqpjUhhUwLwarCZqO VG9iBMjXflC+wOCPQrdP5tyFqXDoW+wRl9BU8V4ZjdxtjnTdB1RBFjs2RNag4CGXfmchLD0k94k0 47wvHTad8y7AoHQSysYTlUmTt7iBGSsLlHniMNZylnKDZwkyiSwE1kfOVKC/g9efR27aWqq2QkXp DB0w8VmfH1db1J0hLd3Zr7tScjoDUTyn+fsugyvt7+vE73sQOMpGAPVAnOY7rz8kdhTZDqkRm424 SKK5xo8cVIWeMNP8gaUI00Tdt7pVp0g+7zXWbq2DaRiNj3Elct8a/5JNoRZheYNSeMvUwr8f6A6j Uk1nJlFA8Okt/Ww6nyJL8WCdWWCCDqSPYiSFS+pAO1Erk7srFPbWolbc6B/9eFCMBfPv+TvQJOku 4Auhxh0dF8HFakuWfTNJNGpwSjmhpIW9f8NkbRo+mCFPu4V9TpTa4YMkvAo9nv4sKbToN42X2R+i skTCIOj45nfMsG3SkzgWCS7/E+jgf4ZAPEOBKqFoQpDKxA9RUsnsS3ntGzdPlPgw0F8kIsxaPjwd wvXIZ4uprjuR7WZX5JB3sb4EFI14bEpm6uSzKUoghMLT5esURTc4lZ0Tekhrm+xX9ka6uRvBmKS0 gB4gVteJwCsqEooafdV2Bni6HMDRWj2IgXgQYC8gmbt13/20viM9qr8S0yjzHfp4RqDeWq1DC+wa yl1GtN/XhMOdLVwtIYmlS0bwKC6XnZFHXijPOA9YD4l7JDd93khZBDmtiRErv30zuPrE6J1g4Vsy vNnjFsRp7LY3GiYw8jZFNxk+nCsXEoIqDNEpx3GFpzeY//kyYRvIf4fo9hm0MMxjYQtwOTd93dRu N2mRiu+eaEfBuJM+O4Up3wF9QcNtu7b+o8htsZszxBHe7tIWqeK7wTBzySpbgFd7sRmRpQI1iLFq xZr6kebZW1CBPlWd6wZLF5EpzgjBOIZuKvZANT5Yt6DW2NQ43o193Iuvs4UQg7kmI59c6YfEPGjz kH7MBd4zrKmDP9SHNvFJfE95pNji0nMrUEGFmSnUXBIOwgBL2r03aoqx9b1P6MVH0UXI7l6Hkhcg 0Gb9xwkDrlFY7ScMk3yGT4qMPVxmnOxsVglaN+Ha79qw6dEBorZIaXRayknIOObi0UTwVFx7M98f X89dz4LkHSAn0JEvuX5rPsHZ9dMEbwgytFOTueG7I85KqXinwERPvmLFgtr409YdiqnwDTn6+BmE jXPYoNTQ0ryO4rPeFT54qAh7a6Y3zdSm5xORb2wrMvRaxo3NIZJU6aG/dF6Cn/DMyaFJU+E1MngC Vu5cfFKdD1WzQGniDXMuXf5wtI1rO0vMjBivlOv5XT41L8QSyJeEJpqwpzXmdB6BPa6c58JxUc0T /rmWEQpavZVEtes6SW0ExUbnyvrQOCQOLMuhpFU4J37FZT00478Ir7mr7E3W6pBcG9hlnQpE2RCw Iny1GXu6G0JGiVXWj9tbCAcVZbPonR53L9ptuANI8eLtysIFZmxgTALByYhUVfGjRCyOHbxaTWrQ gTN8KPy+WqtOVu/UKOP57PiKGTVZmUmgcbTnVMVTttTeooJFkWyfTAauUyHfXl4FAueDK2Xjxj+A 8MhkOQE4pyy7BclL0sCXAVHGrzGP39D/TXnqrfumpeISwB69xLmW+7VH2WSYeGbWYLrhPSTm8PQs xUaAzRVRljKzYBZ+TUmukiZ+o/WCOJ1LIVj6sp1EmzEHg0rBpL9fY90JtkCySNJUCyvAuOSGdw6E 51EqvIv580Atm2zIShaNHsXlhcSKFFepThXcLuew+RUdBEXVbsAbHOy51mt+FK+waeAcKt8TJoGo ht3cm9CVhO28OLprmIBMStjPVQDUyBdv0TyK24XXWHaFb9G0L91KePM/PXgZXxRPPlkOjpzut7UK v7tU8lW7GwUHtOrtvbbhzAfizFLpDf2CO1tgLIWTTtX+iWU9sKolp1gyb8eXdyHPjOuk2uLzuEaj B6ngtVgCVQtWChrOvAgSksQSbWdH/q9JrourZuBdGIbmNvqVNqTdM8fdH3CTlWmxh59Qp6hdC9Q2 yCUGhQIU6GiAEE4Hk4F3TMtHwX1ERPU50v9qbPWC2A9tleE5F3I6Z+3lLjzMstqUJpu6SiuhCuoB 5oNt1YpVRtCLXYZwpXMOoOTZYpC8hXMH4ophKli9jm3pGnUA4rM0C5cE9WPWCgAPIFQD3HdalUik eQxJqakPSoJMJ1JppakHEm2sYMSfjC1tkgm6w+qnc5u4KIodoRhKjaQQsI7b2qfztPCu6mdJ1sqH OtaHo6wWeaCIaNtxBky696ndmPPaIZoQaopAT5jHf0UizrzrsOPnc2noVSXxzxP+JbzoVem2OAlJ Peqz74yW85jS5oL0+qb0+FfQ9f19fmWxM9rK/B9E1eVAYmAmorMP5KdOzbks8WzCDaX3koXVa/Hn /cYG2OOWmvIa9hC0CyHibXDC2q1TiEQmmTt0a6/Z0vPSKs3rMpbzqccbLHL3zjYUNzArUslo6x1D qALvPhqu2ApuzkthtLltiRgJHzeCSj4NdegQ6RP2J+Dd2ExDom7N41QeGLCEtJwHjeFjfGuVQh9K 1gIZC/TMIunCoKkjV54zx585ihXSE3zzLYMBgXBvXfnDOmXXHOnvHQTlQ1Q43I8LQoGvlkPdYPqG yMy0fDZMd9saG+sNHFJci4FAF4LmV9cCKDOuS8SZa2yquZjo1ZAPgq4OWKqf/1Mz0Mo0uHx1EMOH s8Cf+biOCwh601Y+tTNbNDE2/i2VKxOlEiPtmjZgNYz2P13FdS28/trLj8QDQko4dxErPzlEHosx yQ8ZmCsP9bsPrnyDHrmhwl0UCLe+Wvkbs3W1UorWll91FmcIBaBH/un1Fpfsf3gpXSs7y76oCPlM 9vM5Eb784vMgIm+dRTQI13yq28FjD9dei1XArk1R2bLcgKiID4snK7sK4vyKEYKh/Ch+ryN80Lxw UyWs0CTOc3GpPrb+fzOMwkB6+/ZXI2f1iIRfJ+iLa+M6pC5WKwv+7Jf+4Fz8DlOc1V2jiPkNueU9 K2i0tvWPv6DPPZEvdoqkB5R/WxEvjovIVEB5JKCY6CG5Dlg7fAw0YGKEixXRdHtT55Yzmch37Ugy C+WXEeqJKsASYzPmljwiQlokboeT8Gy0yTjxR03JwNBSCCP7G920hoftoOyS6vQEwJ1njYKQBJCc dD1gjoYg5dCmz3NsygGGRjnfBqdJmmTUiii0wE9P7b04CuGCsgyB1aamYyodiXy/2l5pKSxO6CN0 d/MvG4DX7esIpm/9kA+MOdMqRsDjrBt/lS+0w7Rnb5EOe6iUR2qt3YWCtO4DsAlb6s8dv8ryA4f6 jQ7yNXd7DicXrQbwJjG7jFXAhWz5hU+rtfutI+BgLuzc4n+ncbetPBuzBbbnd9SsGB+AuH/ypbjE 8YniDOyvJNHRZFXJWOWU2UhuW0EXGNg3ygDbLPYEgA+yvECIHf/YGV8yo/SNmAqWbu+bwgEhgaNF NIxUs/fba0JWR/cNVt58a9rQBdinpYglst+ar/+FOzRzkkWJT5bQbjpZ+eQiRbsDEKFYrUJrB9lb QdFtBktqVxPn5OvZSOTqPmNsInwbY7luKcrRpIBAOB700e7eHZpX0sMi8QcHDCUTMTNiXV3HsY/7 sWx+nKS0n7Pj+RC9kuUd+/57P8RWoJnNQvxTRkP/oKpzlnFmo4OjkK9odUfnOr5EgnV7SuHzPG5G YHKHUI/6MATR8t8SAtu9YFxSHT3kHshMK7q7FA2aa+uZYysDMyNW2AAX0sM6iKiM4SHrTAZamnh3 6J35PIfMyNLaMDinH6dGoz+b7Hwbqwxzids4yR6z3i7bXJ/a4mrV6dK10v6eDNmHYhptt6m4fNta Lu8OqvOdYh8suRKVBlJnYm+jGcrQzozVDfGMZQrxrPYB4u4+OwbUB9BneYzllDZ6jkX3hfGRSMQG 5qOXNf9hlAF3Yhsszq5bHEyFyZ6z6tdIU5k+VrgLNPmJn48M3+Cm+RR9AycwbEQP5ZneAoGwS0Fq V4/hs7hAmEAZjNsYvW3z75cDm9Y86N14lDKN3XvQzkaOLAeI6NZtsGLGXfYBCUKW+eB7HlovC8kZ QY7BkSVeALRl40MboQtddFn4bRKwVMhuITVuOpewTuVX5u/oiqzfqERIC298kVmPXLWIH7pT7wIt tRMeYCWtu8QgY45wtru0PRgEZPYmeQiWRfVZzxjEsbfe1HybUSr5laJpmtduQoX1xM+QDbgs/ovA bZU55GJfbuKU+d2uv1kyB/F2hLoeCJnub6oWryez7if/TRRYOoSy8A0pggtYQZWeM6simQxmRU7J hy6xqYwB6MZP/YEkmDU4gqUn1nhpjzYapThupLj6ibAk3pUyu7QjanqsiWem3lfg3k9Xx13F708E eSK2+J3FQERXkwxaBria/WA4dwTtcDb55tjiDC1X5V7ylW+ULjX0tZ1FbxjP8vFm5nJvzFJoYCed Dn1tWr/tjsuLhcdSg7Llt0jKCe3D8KQfIvJtV6iGzZK6ODYNzrUpgC2gzCV7U+srWRuxiPkSWtxx MSCVxASrjek97EluhDQ0L5s0EPfonBpult1ZnnhUX3TpoGa++CuV6e/DdmuWI2pbpVunrHgy/yz7 0lJ9LJWYDk9jZFrB29cyxKSt77OdtEg2yJ9pZIuZju3ZFezHxSEbUZJIRmRWpeztCDNv+kAg2w7U NJolMCmL27YJTxuJfTTkT/o8yQRXc4ufBlwmrg9q/xr7+CcTFkDWZK+OPp/92yfOog5Gtg6Xw7Ru qY0+/uOJFe3VTN+Wpv9xH9tLaU/b80zHJDaEyr6ZXE/pKHqwKVTprShUHJw16qLAu5lztngH8N8j 4jp3VRaOlZX64e/R4jUKkfkz3A+3aIoXmM4TcFtg3GnWC0XZ4yJeRo1Zz8ucknnAVJA2920fUlWx qa3+SDxwXidqNQQlCwBYGaMh/6ZEOg5yiBCyvboG/hUJTgJr78019OgU8TFc/WoKL1rFoErAX0Op XISepzFy8mkQHlKxUI9mnTADYungTuLRi9Hak87y5Zkog/1kGVYMWJJJrvNisMBWW4WocLDQinGL sIQcsW3nYfQz0TIgYN4CgpCulI9GZEFozA8bpO1VsXxpm4tP+gZstytiaTSzt49dLXfxnpCVScsz Sj3bN5VpsEuewT+2fPsbUDjDl0IV0ku7HYuigiu61kWiZyU4i9gdzFjuR3mXSYiMdrj8XUVHd5y6 Pe+SWm+XXK78We7zZtjhwV6VbjVEZBIF1ePywmYVpNcqB4Txn8Z2voZ6J+M8CmCt0XGfvVRG5KFL UamLIF/HMEPf+aBoNwux754946DWGJZLp/HK1kVkQYe7PsVvVjwXJ7m6ZFm3ElbU6wlTuttMtgb0 6OIbiI1iss/JzTo7I9fF/m8C1ILlRP1h1avnxxaS2mMpgU/LNNwl9DCqZSACtLUPTO3GdgAnPPne 6UrhX0JYVachi1u3a6zcBGmoRNlpJZnQ09U1drpyK/oRnBsYXC4joADz2vxlPT058t85GrX3kcIT iu4QvnCsf8qcCYH9LssJTX2jBBySvUEXo3O2ujr0VuYsVVeV3pahOm4K3eKTpT+vR6KxTS+JnHl9 Xd5c7wGnUYSFxutO/DktraJggYtAfEMaVrpBwQxFHeb0GNrnBiLTiACvUhdCvpm+svmnQ7iFwRjT tD+aKV5iVNeDOlukuLM9t9itVPPaeex2zt5MtKb3Ga0tIzZmscYs72ooS9Cg200RNsY3JSA46ZJX HiJYpWM8fQtsBEFhZjeo1ynsIGJxovx/0ffv/p4IiolUzPdO17ogwx6lvWlplbm+z+WFCFGVjlOr K+YFUv22quIEW9K97UJatL2Juh53jMZfVJT6kxIIZvyjL++bigWb0EUJFe5P2E8BdqIJdL3YWTMK 2S1gO+rQEmerqH2zRcTNr7OsKUp1wDIlcUuW8nfwpl7vktns170/po/U4k6PAWujURVF+GKefupY mrUUEY4JVFk8y8xqzNYXkfuHLfusVM8fDpt8XGBEgjv8x7O9scqDbhjlryBWnH74nDuzvVF3dctk V9E9jNF1XlbNdgFcQOPrUBmsx0f3cY3Upqh7f+B9SAf+AjQfwSdKe/olMzVO2wuyASY2nQjCsyjz Bym6qfruxlQSEq3TM1gSuhSmm69c9i7zSGHtIOaFWXJLRjOgiiOgjhQKNx9SfppgX/G4GnK/wIES LAmgjMSPyU2uj6obH1zWkaYuPFqe7/0UraaX3IfdxJrRN2vDvsgxV/xdIHqgYUEPzmFd49o4Vl5F 0mLYCvTFA0MA+65BoRxhEEKRhBk/p8n+oNOknB/u4a2GOuxH6u6OzKb04TxRaSqBjCYajR0wRXCs 9KgLsZ+N5/shr3WvEllTuuW7arwBSYAWLV0r3Bd2QmyF4tiw8voBwoT6tdkV+WCM9VXOHrejJCBI z8uyeZgYB0GpcnOQZPgli+EB1mNVWMPqLJHsGPBrqKufIGc21/34rZbnhmplfVMA8Ch7JduSqzu3 i9GU+e6o01BPuJqsvwQZkEUgC67heDvE2IDwyXhHWJrjIFWfBuVg1nZdKOy/hzicOzlPBaxqWapY YjuCPaAKrO34RmL3EoXM4EPvvjtcbef5Ok8bjkUW3PscVLd3+sAk2yVGJzmfl3kALJZkOiA+cu4k U1OFohCBhMKDqkD1ds8UI3E21GHH3LXLas9Tt01oXgwrvdhEwREgdq1vVV64/dAFOgDa0fMw0EK5 hy59/IEgHGpKT457NolNBcmYHlgVQNYrw/AMqoldVnhJZnUpozXRbGbNaK4Q4Sf/jEiBMoRIXeJm 31DTYwOYS6o6FZJ70wX3kErkC9h2qnF3PD7iBIL3T0wmgsu5IVWCTOJ2TdyXXqrHt47eWULxKNct zrkpOSmHv2d6zzO3LOseuqt8d66NA9X7lhtB1m1OW212SdIFLR3GZSJBJn08TUVFZacxO1cy5VKF Y4Ip82MplPs4UZZ94XcoUrUepcSUhFv8nZbAEWuYAvCNvx4GjaAU4/U59rOdRPfZT7dFysbXzhA4 ZDMa89a89lug38AnDrbDc96ednuRapn6DZGdfcTsUOa/DWPxvzVxafGqx+sPg6Valf5Krr44lK7R 9glisa4USnY5wodcYisZ+uU5Q3m4a207183FPlwGqU3ekWQMq1nlG5mS7B8Yq0wbSjkRDfSs42zB Zo3AMwP4Ket2UYnwLteQxSY3KWEWbdbPY7Vu9Wt6m2LtGw2OpsF1Opq+yXybcWWrdBfSiLl4F3IB wiYeHDmuLpbX5bjJkGULQ2qz3V+0nwdrXax3puuzCySjTH+pXafNGWB2A/o3oHvovlqAtE9m98jC kG88zRPYH4jN9LP5djvZj36GoHxgcXzPgE1TRpOTQXsduNhrQIm0W6cB/mvisGqGxdWPb8r5xVGK GYEQok9CvwTus6+mpWcnGxFD1TsbtBbAJtsKkTkQdhjqdWMiUR4dwDYUqJ3f+jqVyw7KohqQPIPX qJ9le5IE39QRVhVXAb33uFuGBu+WWtrzi6FbrBQNyLiyGPZbN9diRlrGNuy/b9/CWslh1bPmiLqv FSjiYu4KHG5HwO1kSfEkAj8zeeADoHIdVWcen+qUVRGx6ufAGVdenvcFPtb6ORr5Z60JVKgS6Tre De7C6zhpQQhvUs1Pba42Vmd93XmorRqrLjewts8slZ6U0maVuxSTdaSzMIwjMV1MF9uNM/ryMmJL uSfv0tvjMhsuynpgZ8cfw9QsKw9v2PafQsnF6OCjKK61BCDpXcs2hGW4AQoKfybshnB8H0q0/LmY UkQnbmKPHMGJJSaw3QUfjkCTV/fzBs+w0r5LX9Nj+kP9tg7H7jQEnUH79nCg1HdY6uiOAPrjqjva O/oCAXZtE5a2BFIIBUFHR8AkolVMk6DK4Q89kXRF5rzTekXoTirZI7V+LON+wpcBG3eGWc0bg9xU 11cvq7hFN5BeRxLFxQEcELut7/sP1BBLB9mNi9Sqk3mvcT3VEZmywQWZPYfVh0r/vYij5IH1NXeX TONiqaG1sggI9t8zEbYxcYoThFYB3kHPFu5U/8O5D/TfCaEb1puufJeTM/gSh2igGk40lJCZHrZC nX86f4NispwQurrn4trq6clNC1gHAvF+behOVnsT4ccfFWdLIz0EPxBUWCKqko7LsTabu3kEOe9r woGEzrwmaOq3Nwo6vmDvGOoWZvt4JfG/A7g5ZdxPxNtFRtY2nyrWTQbrmbUwdYE8OAJ/F8Yy0HOP yfzRU7P2aB+kAn/F86AyPnL07FjwDnTMIMyWcJz9H179w7VeHElJfnMSID0BWxD0bSSo3QjB/zm2 u3DZ/bC/DEwMC8OOCceVBG44NPY+1j6fNejAfGVHsGZyjSGrVkYwwIk5YzyvRl2a3BapKTPeqqUx X9iHLBE0qt+jaRn/VZ977pSZ1c1atLNcVJNptD3LLr+GSzk0+W2n2mwRShcDEzf2aR3TiVFvC3rE nAbXfMNdA+iquW4kGsn7UmXKy4YUsjC9h7gpyP8P5V4V5hTT0CgurMUG7cGoHsNgcd3LOWnFZ3Vs QJtrz7B4vEpraeTQrG+gaEg4qV/5guVWOht/BiaWfcMX2Y7BJfvQXJrfuv8zdjkzzxURVF6JO9uY YiiIdRMNuQcE89W8ZmoxylBVODilFWtHIqNbrolwF2NgFjp1oV4tCPKgKwIqKXk0qgRf2+LI7uHS IJRyhFnY3f27zEdM5XV0R8BYmf21e957db+kEJKfOo3WgK1YWhFX8V0j/7r/2xF7zXU8NrXWscLk CbkL6ECaVgCMkO1rIO2yWlahHEbD9uufdNZBCwrLbJRvOfMx9NMc/trmpLXd+5iDsKRwIAvtsWi1 w3CWGo12LbDq54XLRJFdLwl1+jMYt4ptILMPcH3V30gp1S4kyMyVm8DTFF5MzQwJfxOlMblQgxpF qbLiXlTthn+aVBxxzulONecKt7HoOnIcov86e9XkgY6x9qEazbS0gdc0+SAGOUH+hdHyvRUu7qrT 495aeb5LMaEHN7H0SqhaPTwyGJuLmZMWc343MCxpUvR659/4vOTDnboYdvDU55w6fH1vUyhzqzJQ YtTxhP4+yEs1lIijJp8QQ+pqVc+3lRSnEoEusJ5cte1669T+H1fB3vFVopzVPMwY5B0GUTnpaa69 fS8glvt24g1rA3TH8eDke6EAw+CEoejahVy8b3xavjx5GRcidI0T5VQwVjxNceSGfn575t6BdUaR KnACmMKYvEBd4U91eUQvlpfZXCEUEmW7g9DNHjWllLrhwq5nvxq4iUpKT8N1uOq/QrCB1U4NmdH0 E4r874ogeIK0+h/t5PZDsywr4fvIkehx68Z5uhcN+7hgqu+jOSBU/5hZgq78/MBgF1ThUZx34MlO azZRwbAbx80b1Gu0CmtalG4BEgil6P7CKh343VXyiVO+4VcJzL6LGw/Gk/oxmzjMgPQr6PxUldhv heSk1vXjVIOTUnDalsyzNt58MCRoYJVNcuc+fmqLdXClqPzDaqtTkEqsZa53vOxs5eQ8S3oWE4iI or36Gb2kksIAqNawkAbbcu5IM19AohaByy2P1X19Icfz9JwllPzovtNiRxw3oTR26K8fYrr8DquY 7nhPlg3UgyWJLyniqAzR3k/7XAfLp9mEzA66s+KzRqYCLyIGizDsQ/CktWpsEzaWkrppbrGCN6jf wzqZg7xdFHKKRSldDMViO8nLhT3xHAM2z9Lsnwnvik327ZlJTwMOlEPiSWQIP+el7DXJP+5arnpK /HbqYVvKHvhgFGaOgaoEQmKaxG/zPl37iYLpJcj5gpUSzDw91BSgjUnLz+g90RFHEcRJnqjANoRv P+9xdF7axEfjpnnadwLqGOQ0O8jKKEfqUycIe6VmzS98zZ7YTAlbf/CHFd8kR6RzySMKRYmcLwOf LlbrrbWyU1RkbMeSTMQLsoEFi9/SI4ZFC4rgglAaCy2xBOEJaNPq9WIouSeLjaQ0DK8RWxZF9kRv 9ZoV49KPauVi1knpFZCRd6ZJUNSOk1Z+Ss5dJZll+uqFiDPC8cgT0eT7CHb94kwQnZOT6pAL/Dpe dj9d3ZCBcN4v8/tSOQ1lbcZyvn+r7jg2XbOGxFqkVmbJL75isFJmi/yV9SvWK+2kWyRX5xJmnxHt L4l6QHNwQ/Gvvk2x/dTiZYcF24SZ8/vsuOIvSgeEPOsZaOeuRlWAnOq3qDs+y/R3ue0V5SvkA4JD VzYzJcY3yw49/pSYqB1FZbNHYA1xmRJPLu+0WNFoaSVYvnsHcVSXX8kF1bk3eGxrUW3u/b7A4Oqq j3HZwOwLRVuPAsTrubyK29fjh1JlzR2iNY84dG2SVpUCj6/1ASfoKB/YF5fBw62om82cdVF3masb 6sEMf1NEvsy9tDfVxRscft3rj3YhmjAMX5KxsTazlhvAbDD1rtvR3ijYJ3L1H0ZQcbcG3cAqbanp 6+qKxoODsWD7kEAX2Q+JSk7Hl/GPhn0kJDERWm6S6SI/cmbMapmky9xJEINf1nxqAim76Td2gFhk wgPTNl1A8fMKOrME2fCWfg4mAfQ1PnEL6FDR0zCXeP7lwQ4eFzKfOVujQGSgxvQS7VYT7c8ahqW3 NUeKLG+6niFirNiO2eEh6obMTisIp5yakMy0UJuwO25Getj3aWn37aIiHBccXiLuMJXE/K4T4dTJ NvePtK4GQf4+aQa/oub/qPrpViUwMjjEGd6s2eb28mcMkbtZCuj71CQMLA75WwNm+KTS+qySDTZA y6MT4cP3ZdPMCd1I5T+nSK/oBhKu11HdAyv61eScGogE1j0e+uIx15F9JiXqOK9EwlcPAZVSCh7q 3bzioRStJd4FIWY+foOFqM0v/yGgS0m+ZSDaGW08RV+kf6ubgZ8AkTaarz/Wo9/P5rfYTZKSy20T cyA2ycBodj5nPun4MhEcvJMciJ9d+oTgGJ4PE8RtB8WdeIwZEnBnLUGZCy1d093iYHq3wgviDn15 r5SGtCcsjUgwOhPau0ogRe3V5wDw1oqU2cOtfGdB58XMLIUjaUHau+k/EiPcE5R2ulxOpcCoPRq2 iogtII+qmEUhm2dBorqQcTN6+kOkO0F8lFqUo7GS0P/gCJosyHSSlr4P8iIwy4XJdVChubSwNrnc 5sV9QGJLBy0wnHi1qljc6q+Ebqsl940uxD4I0YZoCJx2HsZMG4GFn4IBngm+nZ8OJJuSV/LqiZXh zKhW2Zkg/e2DHk7KkRL+h+RIPLSwG+SfjB2sQIDaNKvqc6MTT5Yr/e2a7ztIF9vXpzh5GGzPIVpu pPfj41OCiPve/H5VLVhLnin9FyYT9dMfAR1/8mIwqTAdEj4wzlLbhLMgC6us0mExR6la9rK97muG 5e8g95x1RHqEpnNpX+K3MpUZDheKTUdUOll9o+uvdMqZpXAGqvxpqbQegSHatZWwp3MLTpQed1XS LFezL9qYtLo8qvogGioc1HOPzbZViGvKxjBB4ZXgbQgfBpixVQxRfePS5RF+79iQ8XrKg428Pzs1 IS8FpACZYqavuTHRkqoJfI30WgyknLju92GOD8LKM5hY0Qhhe/94SchaeHvWZuH9BCZrfn+pttQq mF/utjK5WNJh+R1X612rIuxANmgXipPdEJyXPF4tVPkUWAHXL2VCEQC+I/xgZqVlVGAgMtenbxRj gNeKnFVlJftM4n9boLYUaEaHLlyYwDx7/cIlyJg0cHvrO22YOLXcVvZUYuac2eWgGlme14bhM4QT O9v/YouWJPA6W8c8JBA7epe0OC06YzBvXOqRSir7tyFH+R1+ej4VhJ+2IgGfzGe1cqixowNcLwXP 8w7kde6vQzwTZ7l8Xh5CiY2scWowoCQ2infXzeeIv+FOXGlV3d8jgSf4kYKKEIDYkBhs6IgFrQ+s F1nbxTzCECfNrAO/E/t4t8dUD1b7ej+VvKn6gl0wGxQTauZbrWhjGEPv5RsHo5kmZIZN2IxhIqzG RfN0oxj+sNdmTiVV+s43nq/17DkU351LWA0FwZe8qkULXeh2IdfVLNSHy4g96Vpmzm5U4p/ClhL9 pSZNmggodowes0J5E3BunYuJ+kmFWXO+qPsoeeB+gfKuKWzavsQBX6QpWSz7FGngiIegeMT8jB7J xf524LB85jO2tmwKi5nsBh1J608GoKwKsKFrCt0ndJ9A7XUSXZN+acZ9KIpQzSdcyNQqzQl827Dv ELUTrrl7+S+ynRrRxB2AxMWjuncoxIFHmTo1BUFHBq3mO4hE0tADcx5oZv2BIerWy6nYmUHLm+v+ BqIuWUlkuASww2g0NjmJ2ZnZSuVNV55U8z3zBubtlbJRWX6vJuvLLjaTU8gqmcUL+CX8lCg3NjFb 43LUHkdBswe+Ew1unc1/yH/IpMR2OkzKpoukbdEskdxRDovS0CxrzeNL/Lw2+MS3/uRs+09SkVr+ 95yPJPcNnCZp7165gBiy4HZ2yOvuDj2gEClL8G7YxAnMxSSuzINx8A6GnCrPoSb5WGX7zDYmKd77 SAwRLy8EWuyK+sKbKEIc1gy75rpyVt/T2sBkzBukOQknRy0FQIZcIcLj2fagfXa6Q31yes05EhlY /MfIEUB8mb/GxMcOrknMdIw480nIzBXA5xHxN7wS4y8g1HqLIZXlmr+CAOKTw32AE1H1iP1mesy/ wTuHdFAx7FlQynYM+OiZY5nykMJdF8/EV63S0hFUzsnv6aIhrPeVuYwJs23ESotMWuRyPdVt476W vI+8XDhEvA65PBPMIAR8Eg2mCrBxkhP8pdh0/Ok62U3g27QMqKc6D25KUvBMHmxDYqtlRhimjpNh pLJ92HPOscJM+iz5l50xGIK6iqpnDpMwHnR4wh9lFFHruDxWhUgg03jVEqF2eGWxfK70jA+BtC/z L1TfSNgU3IHKyf2tYBv9PVjHLzcfMSY+EqkHupKBhKNpHwXx9Q0mwX1SbuN6Ig1/0Ucty0kaUsvh 4YTws40we6820s/DASyzvulWXvYa1XVdNs9E1feFkGA+6lAvriarcb6kCD+J9pTcBlCkR8bwZp4C aB17wBaJBafajAEIoEWub6i6b9OocvRWa/+DpvMjRkiTvUu8JXGFNZ1z130kSk7LDxO4Kq7xGU4M eskC5wtly1mvyb+VzfyomWE6DhxC+CpSkSUKLlwqiLsYH/ibmzR1IZKFDhlrADEPseEFO9ZvVV/X z9LFnAmuv86CnAv0BTPpLFyDg2vAgsn8tiQxqZkTOQlitsH8mXvrLaAo/MlVDtQrmwaevlQYswox ravXWfjC8DxU7JpzTCOVEMDJpDRKIZuhpHdaC1OCKMqTQWXDEu+woSMWJM4DACRlM6Fxx/E/uPWX c/GW6iz2MkZGNSKo6g2+fJqi+q6EbTFrfn39q5LvzpPAvPTq+T3RH2kobWkm4vLa0rmDrpItmJq5 fr0jBm1gIqrKzL3IJM9ul5wFuQdioAJJCBwJAESeSly5dvh7Vdh30kb78vKXXVdKEGKLw23sIfWR bE4/QBY/esFCnsFFFmJdZlZgnSQZanTtMTp5yqHdpmkvf6k2UlGiRds7wrGOI+J5NTJjo/3ReJYM sRWiY8p3N/JjjLzIH2iR9n5P3pr1+Z3nfsSM+KnfvFSqRGGjmiyvnNpt8oz+ywEhfe3dQiEDt4px I9rg6ZAPvhU2h+lFMqGF75edl+cyKxwldtUVi1P6yRfy56BiGEzh6yWb5fFMiXG4JbCvr0DcG5k+ gzIWQ8dvFP0YBKGrxb8fxQJF+mxmcyLd0i88GhT4MwfAd2qY9rw8zJxakdORDc05jj1QvKE8QRKo GgsCXgW2Yf/jRS3+UcgbkvMRh2GKK6Wjbytu6mlIz3WKLMHt460MJBMJIG9hpFS572IZjm/0+ndq ImBSAVbPG3CcgqcZ03s6sEA4cGh9xZmpuGZgB89Sy0ZN7YjKd2plMEuupC7B5s1rGjbSbNzjMSUk DW/52vQ29BHlLY59jesi0KuaVkQHE/YQjCcN8oisUNBfrIun4z870zhuajVvMg1Tjy0VdVbABrvE PE52sVx3YEjXsxFXXomwTd4K7soOTTyJpbJ+TXGSw46JAzq6xFOLo94YakP2G/H1ngxwGL0UAyxe 03wh1avVaqWlEsmYAQSj+whw2jCBNjFCe+N9TEtvIJiB7efi01upLTVqarfV+rCUo53p2DXWa7Zj xWgpJ/bb6+LkiCgmv4L28iOMmA8hCkxo4SSksFuZGgth2yMUlfnsL/DHZnaw3stFF86Qj+Ua341s x3EiFLq7MkAYjcrA95MmU85X+zr8JhWU5/emqbeRTKhW5vF6dm9VhCSA19a+T5MBEUoo9in37yBk C860rDWEFv5Ou5vJ0Ny5yqH5X/RS8OAyesLKyWLfqAgSHUoFviyQAd/khbbA1scORYm6hPKQ6/V3 5lPma1+CsMtpHprtz43/Qj05/Wxu0CIktF6Eb/cSmC9i3odQptcbjHR98VDNVN/M+W8wbp4kOUd+ xKrrK8B/MKzR2rlXDQhzVyKw/ZepFkerULT8BTPd1k8PeOnLtyugY0My3xGoKrpchBWCHOa1YhYn Rjo3tTnedg5ud7eX1Wf23sGZ8ED1ub234Zn1kk0mdS9/+ChMZAPA9/QVZCfZAzsem4I+75TuO6XM YUnn+4cnkXDROnLI6jSr424Zs/ePZKbx1ciUAMjmR4L3vFCn6HcERyMiWb9R8p0iEShJePE2UCxb 2twrFolQeFHh59m2ymhnmsxj8WE7H6bJ4IlXT6LBPgxkBChYytTFcyJ5CrRRLjw8qDeob6/efqV8 nh186mgp3himu6ChoS2opWQm5J8f/XoMjSPqcaO5RzM3sMjyjwzk6AOB1cnaS4QldyHuyXpTrNvO v/mW6c1+QChf9m71tHbj9xDf+cR7LoTjxQ/i7IxioGdId5Lr6TBJ6lU90v9Ldvdc3lCLTyYVoi+A aXmgUL5z5R8Cr5yMhEU3lt4GBmdxm7bbSFfE9FN/+cWM7RS0El7hZM8AJOHQGn2jOsPf9e09yTQ/ ETm52XtLw5nZsTVucW8mAFAU9D/Rqyp1R/a1FUqgsxsUqOo8uvLP8XrOIime/tnC4eSqNNybNeJs Fs2ldm0vs1nSxUR/DXAMNxwD1zrAK+2gvuTRK9kw48TpX0eV/WX7jPPpdCUyBQ+BehmrxnJ1R9mD IRQP+8vC584FvxbQ09pQsEJ92lhAlCH9dKLROcx2TXu5f+oLHZOdHP3UDrcJ+77V0om9EsWe5YI4 26umQFCscn95gEi52Uw2FoEQzilHpc4TbOW1JRmZ139apcrTmpZe8LoujWg/LxJfY9ApSnLglDcl /1p2UnNNiMnc1ZotoEdOUvIOMu4SugSVKRVVeSVUzQe+aaS6bcuBaAD9fmm3TWDBr5JTn4aakzrQ JNFnCr5r7SV8xltfd9rvY9Ugt+nmPk32NyWVBqRYGzssWqOiF+d38wJcAk+tuvkteOGGMO8Cjkix 5ZzATlgU0Lh0G5As8hc7UMYChJiuX9VEb9wzP44mIIYkYOdbbr9FJ+3HLfmRO7tju/WYtwKalfAw rLuxt7lJ0yV9hjYKxvUiMlRftAmSUe2N0MJhiYlgaIKna+eA/KTVrummr0hoRoTHuudz4iHGiphb EABinHwgmnBlVwryZFgJB/exvQkeRZTwidV1jGrD5uZ1dMPbHSCQRtLFtE3mUm2M0A5kENFdrnSh FVKaF8uS4oSs37a+oiWUgr17nJkxo5s8puTQmyFLgonY+6nbSMFEcEKnn50bx8liwNpowNOr8vIR OEEMAz54FFZs4Fg7PjsdkQ/lJWZN+H1lRAk723Do4uB7kry8r9XYk1EA0/2QBxVmfxMmH3kMsqL/ o96eld9VyePipPfTYa8N4n5cGQVuZBbKH9R5M2VNYpgaWLK2Vl+ia56LzI9xdmNHngBNe9bCzZef 7Yebc8LujivTva55bd75ScfDDjqHFMsMQRtEhOWGrvQ9OGSmi0lQfPrrswa3QF/fNIRniU17jqwl s6Ys0Iu/7O8bCwwKYtqRLvaTQedxqjHeSyDimLXt/gfXiWY28qikHcPagTDcfMj4D/qotVFptvgM x6KGYdYGo0ApqBeddOm5VXKRmGXTMqitoaFjdEEgS/kFmOX42wb3a7bAGX+RFepEm4im4zVzu5zX AvvcWYBEMW2MFN3nVfWC7+a9eCHsaDofMAzBKCrHhgHqdJKqrb5On5x8KIcv9tUuBw5ILyCjaM0P Ra/pQrdlcSWnI+yEujGKTY6pPgUWGjT4kJfV4eriUku/ExQDmjTbeKy5Q7vxrleqbDw2KIpMZ59I j0q7MSB8S5e2luPRBMuWEJhIR8/ghmj9/Nu6pVLYfMxuwwFgD7rEiOtv+/obzq5XrHZjeypizsUt qmDKDG7+ipWm6WvX8b0FfzLzrxZIJMw+dZmOTnvcXqZI139azbyX1ezfsNY1DQ+UnTrJ8eGRfWcT kFdn391bdzfRE2tdRT4DLuxbBlQuwKNkgCs8njsrqiN7/cCn+L5hwhx35anbDOzODTU3OKd+Tj03 WZlSGpsMB93Z0lIrBoBCMCTVlCoz1Sv/xX8yz2t9YqV3pdEP6+rU0wrMPcLjPkUjxl8+gxQnfZRL sIr0zN4evO2pJH5vuC/Tqlq0UrkYgfAv3Y8D2G07hE11NJgRoT1NMG817dn4ndC/DMVRCj/XyQSY Y9uDvJQMbJ/Mq09cSp2DNLutTh+DqdjesicEHMrwxx72aqD77OfVkgM0hmybwLZV8QqmVDJvl1nK TFXSNWu9KJPLXZpl7J7JnHhYBRhdRBd9hvboc2ox+ru3L4jBCKf8veVfc1C7PF1V9+9Kl+0yiNEO j1CtYiFV00IriWE0eNpKZTxGXv09/kIoXGrey20ZhjuDuMw+Dx6yxCQ1r4rWXFhtNiuZjejgHQE3 FBwGSYcO+eYU0IL67lQrYs19cwN5d51qe7dT3SbNxB8y3q+TnmeLWpNyP8dyfk7sdWyM4htMqSS9 BQAHFaXlom1ACby7vzLx5+3vM6UyRsWo2WrRy3pE10RwGbcN9Wacm27PqyPvLTlhtPPF3UdrsK/e XjuJROnpgUJzLOqETCbEq8i1lSxF9k12qkelejcWIpfjQrajmiC6G2qvmrSiK5E3Cli8+V6Wm9Bn 0lhtvve+lfukm5aR/c57MZ38+rMjLxup6gqHsHdiCD6EWoqP9tSEtvA3KSNdJjrQ0PbqvT/6dyAc Ofri/CpNE7qEWkGaZ11YzgxOoexanQfJFbTp4fkhpzXVyAi1brOsJkYbHjTgVTJdckuGtt46Kg2i n+ERUWcqyKflpoWJjiB/VWR5tHc7iXUSXyuXMsQtReSOLXitc+UMkq3pjiO/tj5RjCvgVaQGlk0q UhLfNX/h9vpb1hzZwHD7UYoGSEwdbrgNUc2bJwu/SNNGcK0SfTchP/7IKGj3bvssLIQ7z7bGDuEr pEx+aL7qwhEBNQb13Me2uG1o/qi3hPh4tasdfrAM+UBpsTDwVOg9hTT454QC99AfpNbAOMRxf8Zt 9keUo02YgkeaSezD1FlNNK7NlOkZ+KU6XwdgW33kbOcrINhtCPa8Te4S5+79uURoYN/iXQx6oSba 1TgWjLdviWiNV1qmGgXjtnQSX0tSfJydhytgQihLlygzAe2oHE8uNk+g5sL6N89QpvdlaPBpgJDx Wbiwm9YBnlnvHfruF4nv/toq1km+WgI52RSy7aOh9Vgp1FaZzzqyzHof1LgH/ZOD9vCGTrsuhb9O 0kyggruF0b/buumJrxvYaKaT9ozSWhisEY3gEVIXhnJdS6Ei5ZgCJ+xDkJHxevSufatvjePnOtpI mzCByEFi2lPEEbPeB4bDfKa7lNXUrUIAO3rut2UfbaGJEAURNN4BJpPpi2wgm+ztJ6Th28PO91/3 lhC7crGaqB0ycQS/x/FEk7HW0FkBnPtNmc3DSxTjXwcy2Hn3ASuvDM9N3s4Hw5t3OUU94VScXdqX VeD7sT1dEyP2oqCBEt1pHLBb6tagTdHR9xNSlCS03pIqFfT7/k3EW/Us3Pa+5JjhUIyTGAum56am /V8Fu3FQiFR4C+fRqj87YD7MkXAYPh3kSZdA+XdiQgqOdNeOaUtj0Gh8YDu3CDUp0kBJ4yg84fj7 GxBrwdYGuDBsyEoYDcUAURFl3IBNNvdmCDXlTFdMAAGAoHYJ3iKY779yUliHQGeswYlcC7nHYm8x Vr9GJNGMlBEsT7cP0iqaD/1n1wl3XHZ8EcCOGBE+Ds39Me7D4OXe3gRCDOYoMKGHzKS+Vy9HVOaP P5Rx6zzuQdlOq6oiRHjFMI/dB6tpwOEIHmrrHEuZxE3xXHbzdHHRMfJzXHCOD8TuYqcZrReGbPDX avduR75Np6fbsXezKYGy9cbVAV8nPNebmT6Zye/UPe1lNkFwUTZKgqkUMuakFsEZlYIFll/i8Xsf 9pUcvqa+GeyYIncYoNUUbs8LPSgdbx4bhuNgxwxy9LuquNEhoSwKh8moUuoXEQAE0+1dpfO4kE30 X+V+EoYE1oGqmEgprL8A8n7TAlhdaLRdU+p94pjHrtj20oOrf5OyX1nkcwiecYj6TObVEOf46V+/ fugIn6PEKFzidJI0RkfP19AX/BHWhTO/2M8KFYNOASGSUbALPB1aDHaoRtKC2/OEx4P1QOJoPPIt Ny9WuCf0H73B6/GhRcNn2apfTRSeqsIeHHDsKDtuesbqJOQwFYNVQKaYCHU5p3CoISpNT4MZ6Yrc ZDh9v8+9VpByRdwAKJ/7gRvvyE4YmZqQnf1Wug/trubkrJDCFx8VoYQC5Xs0vbA/fXeT95DDRyUz 2MR1ma/hSYF0hZmEL+gOBHvAuKnM3/A7abbB72Fxat7FEYuQKFz33ZQdAMCNftgRfsZC79slc3NJ 5Nbv+2cUeU3cgKf61y5oPvz6Q1kmdEK/ZD8NMIrMU6qLhsZNHlv1sG9QUgR4ICD2TqO2gfs/ziLO 0us8byPov0UovCbxnp7JjzjMii/mHPtKL/PIvN9bacwN+Wg5y/gTrYK/VIa533/ly/06Z2dcmYL7 kY9jbB9WOzdUMoWCa/6uEc1Sx85hT2Zru+d1shczLuXA8684wA3LBfupXot2b8tylTu0jSbNwa9M Db5fsePXf9M0+AnUzEyeae6hKPP62WAXSCbdOUH1liwUSu6jRET8c80Q4ZR/k2w8CObkUWi0GTdq TL/ruAhq7YGMHEaKGk9UXFeH7/eXPcRC72OI4Sfh17Lha2ARjt71aJaFAa2MQW9Dd3GilFa55/OL izy11BZudItxIy+ONSxOKN8CdsLToBOszefYZP61cSvtnAs0sOSQo+q4KbrEbJcJw2D6uMaghRFQ NLTXKvZRrly/RUmP1DPfil2CTaTfQca0vr8EwQ4L1N58i0JdqEuDeXi+NdZZdJ5jXy23tp9DVeqS hHfB3GtJoPkpY68toxfR84LRQvt9+sZP56CnwU2pT5kjOCNC6WRqGpGyGudMOwX+wvFo+ZKLthw7 /F7E6B+qYwNw8k3uwpM+0irqN7MesuC+PqjmNHdeo2i0wcx/9SP7TSL1v2kQozfP6jVv/j90cSWF o4ACgwt7ouTHpvuByTMonFH6pZNsH28XaNoD7xLPoQehnAFNZOA6EjbR/3ddf5Z6WLiZOn1R6awl GLYlCvs53dA5CROpjCtzV7KE74Qez5Nhp4WLhJ27n1n8shIlE15Qsojj1wrNW4Th6+yI4Yl/WOdG mKgoXHXQnoflIP6auaisRPA19EZVub3O9aI15eS873xv/1Qxn1NzuxbBV/E/xknoUZUBGs9OBQAe 8AmgYCNpEu4FWJeUfG7wY2b36wPTxFmxItnDy3vuJDoAes8A/rfm0gkLNmcGB+Gs3vYgbet4+ETm qnugcPcjlNHmzbOqgGQprOiEQoaf216+puczJzzqxqQEaoNmygIYQOlE0gs+a8zNY0KySmzZvhJ8 8iS7i7fK6Crer0PfwmHU0tm17CzQK/LPbHPZkGi85EsCER/E0p9RJUwvrg5P+BRbBaVHlt8+TJ3H TzRcQKL/fvcWBrlpKzyYDBWoYfzyEv6jEEKrQaq3s8pF4C+gEjKZmTluxfiQraT7RYeTQs5SUsTz 9D3OIID/6GCgIi6xlgp6QfMNgaa2lo+jGyhMZr7/LbIuxYtruwH7ZCPnV+PyWieIVBsTMNnV4KEV 52H8UQ/Q2ct6vRUPU+q1jr4vtF6Whzb6NXEIxTebggE8sPrwVc+BfAYb3j+VC9xW3zJDgXmAJ4Rg Lnau/4k/wc7JiVYcUP3cegqTEH63D9nZNB9jR4lKQAQwz2LFWFgkHLOmtHFVlLrT7RRZD6S1PW8b 6TZdZWRWvu744MfhYwqczb3ybP2H8Bs+4DEOljA4Gd9uihHFuUazJfh0tg3V6pgvkT3r6g05uOfE h38feKFKrs6g/T2ve86Zl3JO96hwBmr6NdHIUXz27fVxRjpnZWRYcGnLXCnmJez923FFi6W3tvJK p68Vpaqnb/ZIXtXdJDHmd/92UvnyL9Woo1DvQuRHOapsg9nZbOd5v8Sf9Hg2QjhCH7iWRkCpz8Ut 3MbhDU96KecW/weMb3Yf/hVLzRCkd6RO+ggtZeKWdszq1VQv4jAff4VXkFYT1jvyLSS6l8Vyl1Te CsXW8wkMR5B+LbeleC3bSihkLcVXlD4Kh2WSav7T6KF9tU4ZaFwWdaHD+EIZs5Efywa6S36ZDNkt gs/Yx0qmDyTJ1ZWACwdw/i6+u9ilPedRJ+CWWGji0wCfT3Ba4Qe1Hvo9P1Qf6hve+Q7ufmltlm48 QG6rE0Prn251qxdTxaURTmQl5wU1kKpQ/bczSKYHFoZaHhhheMm/PxU9 `protect end_protected
gpl-2.0
cfa644c23d1cc06251840ca8cc08280f
0.951516
1.818985
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/vm2/vm2Utils.vhd
3
103,093
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EJqZdQq8nVnK5ypj58RK31/jiaVj44lXjMypHi70GZDkUwvdatIx32BwVlbE9cKUjJ40VFcWQyOE NAQtkW9DHg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block a2BNmJ0P/KDDphubQfjJh65LQONNGS+nPDI+FEBqVqVh3llYcPm9TEnuAyovIirerrM92px3IQSh cFSpAPp4u/cd2TJsfsLOrPD9ZnxO7qy+e2JY5FpUi/XAqggR3eAOzMXj3D5VHeXdh19yOQmdTRxs 7IQJAFlwq6g8IYGzFxI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HJfCQzlhZ8q7ejhQ5EFzp0sqg3HtFae77IOdJeWcto54U3E78SpeEDF6pEhwACgUqjZfs9yzpiY1 EUUgScwgIN7Wbwe/7apXbfFWsLRTz8x5L+Yl5SnoyXFwvLOYWAMsORan8OWr23dd+9kfG7yc62pW BjXmSWx7Wi0O9XlFgED4nL6YYV/M8k6xPyx0GNKFeG1doQNF6Utkl/sAjy9+NglHdDzTmALPsQRU /DJUOlU3QqBR6nCUQMBlE1kkx7TEFVvhuOJDy0wsNIcbrlyf+PZm0ruu6wGyKsynW4HQx0weUNsK ODzfMWLQQZMUTvWbLVYZberOAXQxSc+pXIpW9Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 22ja5ZdxCeErOR7hMWKMcmpZ+VKfeNCMU6DxuiFXT/HUT+7i9bqIzlJcz/hQC0EjyB23hZXTNzfm c92ta8uxwh6+uP8+SBSMnH8ZueZAmLNf/5UV+aaOZAkmdYvLw6D4n5sDpBVVjloTF6tV/N+f8w/S eAJYzTeQTy5nodOpg38= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bw67Aw1Vod4+yEV0TbTFZJaFDqK8HUTiXKh6QYc64hlfM5XHhrfCdy6yOxSysow3bXzUJqJGp6aw tAeU/hA19lI2p12pRkyc7GhOjslb1ulgnqKjCqtr9jZL69HHOpRw/lg4xsvirSgCPOrQgZ5ou1ig NA4Hat4XuLKzqjPgqzY3V1qTaS5VxOOfbavUc9WEeh/84FKAfghw7h1KPrezdYYv7QxJHnIo3IPm sWfq56b9MNVhEvGehHmES9J4qHGzwAtzm6NNmj9TFZrDAEPLJ3yKCvXPBT441pK5ZYogHF4H18rU kbxd7ZO/JjAzrLks2cKgQuhcXRvNBt+Vg6W2pQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 74576) `protect data_block BDJkwKT7+i0ggT/c5enO4549jBinzkMmeYCLn8JCaIUC+BFLiKutNf75P/gZqJHJjylbcwi29Ppf BoRPwwR6yCFQHaK7RK/tyJSqhrHrQPI0NPsTbFt718YfWa+7TeqaOGSUpzDj8X4fUIaZ0AZ3B/IV 7/TR9OZQoniDDxlFbD6m8VatDqxjUfIWhGeXwM5tCd7dS5U0gh/3yEPB1dtwryFS1mwpql1qkH1e 9LdwcEmdvg+BmV0DlJbPCILrqad9xhwl0RHqTAjf8Qrd/aJhjcCTz5H0kqrMesUAl6OOwWlSLqjI nhAmzslqVQvJ5AJmHWE90wM/f2d17Mj0RAq7XhphqB3qM8xczw7JWNeeKGN2rN7KU1YqsPsbZ5sl koEwaB0LX1kBvaxzCKWALvF1GMBq/mGZhmk0fmXBSU6Y//jBVYnwjB972EVPDOF+M04ERX1DIy41 WB9te1mX3OKtL/CRKvr46PJ1Egh+OnEHfg1U5ztlfhIL72c4cLrloBo++b6tOLTQy1uDPlkRVExP n4jcamVdET2O9E1oPB3WHwLowos81mn/faGzKwSLfM1mQ8eKeMaZHInuavtF7TXhUki9R3bdK1Je WycfLP0oj+a5HmmTi8NL1mZxnhX/FWtNr3MJyskLhtUA3g+z+toDjuS2fLQ2oyYlwtM2h0dPdRPn imYSmVFxuZT5ZbFl6ZNg7cBPzbm57eOO6xGLkc20xn1Bus8uRoPQAhs0ib+krovRqaFlqM1mI7Ey ymqjBI1Cmxe8CSww5RS9Nju/j03eFrGRC3tF5FmWzzUQsUTr9L9LMXwiIvR1VE+hD9/XR7YepGtN QisrXFrMBTR3y0xnOJBUWqGWLZNTwMRcwcdE7eUnlF9m05Ho153OPr7IqeyeRC5yic5AXLpxNABn FyfXQl68QyviGhOL7pDocNiXZeO9tD6fabEs2NdEO8P783KXZmgnpmtq5SSn1MfQW32C9yqrru23 CnqAVuoltD3sPjZaTdJxJN/Ay4St/uU07YcxmBOL3nxmiASx0waEjt/ms8Bz5/mWfRYlW+OClrEZ +JyMy7sec6i531n6dPa4mUd9F/4Sl8lyo+UAfyFOD1fXMuw90RH1NO790kLrDa88IQh9r6uw4hHv s3EWdMqQUPqFyqIhsE4Iv2HfAHjrcmXDu5f0hZ+WhAmN825ah2HfswcpIFZOsv0gflehS0jh7Vp/ r6JiKY4CPlB/db6KBbOiRbZQ9pDjG7K5Uc9q2W43xA00kzQ+QGIliU6qmwpCYLpfTWHEVJtlDjXI pP9/TqGm3aXDmjnjzCqO3rcDa+y/koppwAtDQDJLo9Nwdv4WBjmJ3C0kDbrqJUgNgmYKfKOP3PTZ CMm3s+bxeyyum7XVw7Zw1McZ0UrzKWoJDEGIwniKrvn+P122xAsxhQ64Bpdw8DISCXm06wq53KsI MAMScBE+LBNzOLHWXaEhcgGC9LeRnmfxEg8RMUoJ/uZIx+AOrLxe6J1WzPTOF3KaXuPCQ4brhWuQ kXb+bZk7Sy/+05LJwgxPXJd+nAAEkZrYLUvR6TCODUitqL835EIWzC/2fed6oTe3M8yP4WSU3POr YpZ6sAlbKBEFJEFhxCH2nm/lzQNI14pw3iwzqbtAbSRj/QsrLYFs5u1vHk7Yh1m+NlyvFz+23Vd7 84sCHgKpQ8chs7N01inDLp+0OZ7hlWclTBf6sYTcLR1j76Fi5aaCTof1NUGqYt7tT0jKbHzdlP+5 ZBteEEDMur4YcXSK3PXyJr7ljZ7iifWIRTNy0r4KSsfCkC1kOofkffLYpHiF5qJTkqECwGtRcqv/ eiWMwwIdp6FXkMPDiy5CwHEWCyjZbNmM4qh5MWnWIt4QY3uw8rJAqkPXV3JZmKSMdhpSOnbgm+Vb RYzooF4cSoCB7TJNz0cbffBP1CycaAt/TQ7cj35zgGFPvc7cqhZ6Rc+rTJro+UI9tH4TYJp3ix+1 Iz1XF4auMIe1+vh4Poo3Iuy72S15Cc3aA2NJHgV0LybXbNAq8TqbJ7FfNCVpCdnfe8PZlFvpLrZC 2M74hFtlop82Lb+MQfeMFzO+e6rS59J5OhduNXcFH8xqY3h2qad83o93MUsn+vmj9rKxSans9nwx R7JRuaAbxDJOQyV3C9tu86ITxnrvJhxRFntI58oF2pR55AHA5XKYOlLyHW+mPOWAzFDCJui1b2kw xK8d305fxRm3ZQBYz8AMebSC3K/EGYo59MmxnBTCZDa/NkvPhcC/lgeYmRoRQN1ohLjGtxEdtToh sFXi6Lc1l4QF2OEmvLa8VCHkXnl2RD3gJoFapKfWTq8jtxbGIGKkrDE2LbiF0AlZtaAyBWxiQKPt Yk91MKZCDWn28pIjkiJImRn1iWL/p1GYRTPy7m8Y0FF9LO0yHOe8qFekPpVZvViytES+jv2jTO6h ZO2c0lCIc1QJm9u4tyxJNGPQjwDZd6EpgnEMMc4bmjGaZKlTNSQlM7/iLpp4bURGlW4YNUsxBA6g Ce+ddLLVcERCgKNA+L3bYY53SMvL9XZzmdMJkWfoTKeJPqjhd821G6ijhF7TKj17Ln/0z2Dge5j6 opcEUlZ3XOpYKaELVR5haYD/c+qDZTlv8KcOf7AOwMKTqjaXP2hoP+zu20MKE+zgIckhZykvtbfI QskhD/T+SCCJA/whaEr8xsYb/09kQ9sz345lvwHyFN2r6V2JXC7NPVnX9JFY4K0/1SmGfdnzaYDI mm5+PsVxuS+D3e/uwr5t/lalzqTlFO8/dr2bgBq/I3jKJAAc0P8TOgdUPoIzc02TUrMeqXde+4l3 9Lh8navQepOYWnNh87rJXt4hnoqDmmb9UEsAe82XnpzBvwiUIPNB2NlMqprz6P8F+zGslvtnfe/u La8UpsYuxWXqKtmnB8wmKm3+4wS2AMzFwEs8utnfDBF9i0v8lvDB8Xj/l+v2ogAlyVxm/9yI6KCz KS6JKk2H8WbZaU56u8et6G8rJCaNEN2CVtmVf9J5/XDF4iikT/lbEq3+36e2GNQSi4FfP44MVUeJ XisDkiXOoiPZahsU3xS/jOf/K4kSIYKr1yJxw3Hs8GcdvnVuvyIGLLPh3eUTmDOa5qUvzeKw8gik 4e5NpaqOz0uCP+ti11WrabFInBU5HAeiSRQEVnJKBGe9BiTCZVCN7S8uBuKGBah32I4KHWvW6+vt ZahsYtjYqlB7a8st2XZ8VNGQjdTuOB8UdU6+nG1g8+dgMHwD9SCza8L0358iOR+AJN8aLPKJX4Bz 2entAXscX9KBYP1+AwhYRAhlOiEZYZfX0RaUYvwVnEFCUYGFZUaBXmNjNAAhg/mYCZiqkYwk20ah cxfcHw4QKNdma/naxb406ZY3s/iIu6QOR8zlDzQIZTS/Zv301165UgJwjt2h6bV0uHE1YZQJ/+9u xxUSNOLqcBChM3X4MOagSvEtbrsx4Lqor9ivh3absBFXgZtOB6yVLHU8l+Iy+t561GVk6qUHVo2t R6DW8atkR01kd/prQTP+64eBtxiAmaInIcxpV0I0qmG1YXVAwmjKC7jHyusjhFLwywYlvNHObziY Fwns7rZ6F8mQylAgeqNC7xAOZaY2mDvk0c0RExOCVh7VY54DFg+agvvD7TeoHUSBylu2oqK/iLQE ay1YaeuZ3tNlRonLkMAskml7RTPikGdWefGUztPhsI+jZ5cNklxwhMJTpxXTimnGUr1RO6QNSgol a9LfJYDyq17MgFtopiMGGY8od78VQoM7MC7Lzg0tl4hFurWP+3Mjjoy3Gr+rXqWnb+6CJM+V5zmQ ZzrFjuvZIBVQ7IqTbLdgk4rs0+YjR1AK1HuF4nhjc5ZBWCB2X9dWajXxUZhlB66zgwTz/uUbsPFe FxhNJPDMNdvBkL6PhKRmxCjAuTgBqkY1ONhrmYz35nrOv1S/D4NU+AU1wmIC+NP4r87GTgvCZFp2 U471LZ7Pd3Xve83LoDDVtizPxmbS6AA0rVFwmoozDwZlg6RA717qeqco3YQ8Y2dRcP0FpIC+5Ra9 6xm0cnB8qEfQt78L6eA8bmS7afFV0JswwQLY6rdyEwzgAMuKVD9+5k96Vb3ShR6fudoZk28eMknd mcTuWoyNvbCvuGww7EHoTXrIFa8wHuVExDlImhXAXZciv+/Ty8A0w5lwwXuiDS/rvX+6sb1HkQcr QzxDJKBBE5WCNXrX5YUnxpiPol9Z9Xzr2lTXCBQf8yU6vcxA1PRQgG2OdnbXftAXO2OUP801x/Ir Pp5UOuIqnu/Cdwh8i+OtYG0PFAuWvaDgOF0DX/EDTkkcifXsGPyHI4MlsOOxitkdPzzYRj80Pb0J BiI47ZC8F33DMVbGZ81ghqq3wsvx9gtp9pcecDYFglPGTEJjV1xIaoWastSpXNMedwBrOnRxdJIi HOrIRk/M+0bqOpfNWMlXqhRlrFfiwZFIG3vNgBaspJ0jDLLeN9alCqyPJKWhKsupXV3/OnoVFfYJ SMDyjn864nvaGiM8HIh29P1eOlIs/01W2Gkoh1833d0j4UllwKnA0+e8WhKRGf8gwWhPju+cZ13T H1LW/NFLl3esSBAV5nquT/G7YZnCSfsH/icUsPK3pH4rZP122iiOb7tW5NjolYMELIMMJYEJ+x5n QHJZm/YgfJP5DuFc71Ve4EoUdssU9sYJTLYxPc7yjmJquM/DBVR/GgaMfe8OD7B+u7QGYBBXmHcL g1UEwGx795wc3sdN/jn5C41+0bWjKxbAI2vQQDeJFFY2sWDPX2AW5TpLS1VK3zi6GkrRwujVKDMq yKDyAEZ9SQ2KXbpqc3jmohsQ1YuEPTh5XNYhOKtGn5BVAgfnCwdLecU2M4Er3BERP2AvQzWMGkCk xcvv1FgPHfYgZwKh9/MIwc/vgD0CLrcTr0V5bOWHl+3RFy26/gsCfcugtTOlW1cZRspbiPldDC2f DIbhcTcYNyZa6m8WzvjBTVJV/AHSgL1ESCZJpvYbxQTP1NOWoLvu4AabHkIqcwFONA1q2i4gVs9U /w3Oz5KlllEbKUQYNcmaln0T+JNTZRa8FK8LL5k3M1T1ngQecEiGCUghRY1iNeG+502FKYPqB/dB /PKDeCvsbQKlO51UjZlz8cgSMhLxzv3VK1SfbzExxYcriP37SqzBIMqPdpLgkFmxFWAWQQO164XT wzWsY4zfmqAzj4MZjTdbh64PySoIhnXwfhsoJSRLGNty+sGJ4bbJMefaNE1PC0hG3w94pfztrRXi Y7h8EkFFqaClJ5VsLsRmPZveo/F5QJjBd78/JlxSsAOr0mKQLJgJ3Nta7tyvXdOrtYF0s1Uf5hPm xFX7orRGht9/LzNAjiBSH0yQVSPw1Cke3iCvYi7kiDolg5ty4Y+Yj6v0PYSbdwxcCDXzk43gNOym 8mgs0UdeUFa3KDeNY+P4+8xTctD/4EQ9oXiiyaNM7Lx23bMS7ACxX+4x4PRJoQpto4T6OS0jHKDC s4n5bFoLD79Fa3DpCFE+skLXCSwThUiwIKPAN65aJET1cl95liga8/2rdgokVtLtYiM2+n3kAfQe g0N9E6hzTiW5zygOtbhHGcoIRn+2RMPE34v9BxdotbuXu+i+13C5FSzzXDoAp8RsWDX1OsFMLycQ Kz00OSfH5rLY0V4IKGsjnIv2E5/vb3chew/oRdh7a2Q+dy+RpJjiOV45wKuLmjn7Tx4hvKOPzmgL vlesYU4guafpx2dlt2Pndo9DwzMDpDRiI4qYq//hUfSGhEVP0Y2jaKWrwxz+nZL8Q5k29bqRcBp+ RHX/wHhGdkuUAen1+ZjCTqGZiFbEt2uXNcgsjZQFe5TTnN8XvU3V5OvafjG0FE3r7Xli75GusQfR XuVkkbYxNG6ZTIxU6Ld8woKe1nshgKHZfFBduxTB1PnBRgbkbtmeZUus1sCokZgLAHgpA1AnRedg vVYmtNliojw9//l/pXEVQ5xM/aVKlVQP0rKAVlvT85iCvXiU8qZ1MDDhJSek4b79OVN60scXubei CvfaAUPZty750FRaooIUfXHX8/hM5Ue4FRj3cCuKqp6W0xwh2g752St1MgVgzA4ysfjrXT4UXBOt 4aoY6ZEZxA5PTCyRBocyiBd6jCzSz+NKsAXPH8iglv2BhMR0XWD0HaLUfk+fuGDgP9gNyvSPqt+n W/cV/SfgPefm8yXGAz+TKkhX3mxb4OU4tlpqwELxIQ5wbF2CEsl31u4r9dqqNYR0HcljisaEi0ce FWGa42D23LrofIjuYUtCSAXhI3RBdKxuUAc1aV25aknIIocqQ9t8UonJUAkzZkJP37RT1IZBdMEL qwDFrQq84eu40YKExZz0LLSlV8ScxmAjuBfGIuNibnH1m1AnKoiEU7HfJqJaH8y8CacLQTS1Kskm FGdgcEKHud7s0z3m/RzwALDn7XvdACTy3GLksYOEdlNi9EadtDcYitHLlFe6oDxXkXTYdKc5ibOG WeBpnXr4VowL7L6HXIdYvHIkM1pAsEuF4MnyxS+nadUolivx19FEjI2HWS9IhiRjZBNrZ0ZrQsP/ 3ZNRyPZXbNU8eB4bj1wSnWwVMDIttrPg9tVSb5CP+nt0VJtBTQ/DCxyQ0iI0OOPxTg9ZASe7zcIH ohbLACVaEdNn0dCscDmGrBoyYRdZ/i3zW+vMJwh8AhJu5U/DxmVQu1itwqHzAjirj5nSYBmKJRVW Xffna/vFoLm8/s0qJFRShUD7d2iKo0u2SjSRD1TpqPlI5YPvI6Jp4kaMt+URrlJR9/YH2JNsRq6x LPMtCwMe03b8FefsgukYYamxONH9ewjJYSIk/fDOW1yjTChJBC4IMvBznHYe2borCB/YM/aBJxtA 5fOF+9HL/iAwsReAa4w3Tyu2XdXknTo6Qqzz3RsPZPXu4w4PTftx7nF/AS93rW9DSw3Un18TtDxI 8bGPL+kvQ0xw2FPWs78CxUWAeB+MpLMdIMtXKQMi+MwqKBvo1/eGbEatDnyDwiZ1lQLQgFFdRKn7 aWPeo9EasIFB3kwkHFS+YkKeRt9JeNRXlUOyFJACn+EZikzER5dVxPcipf2C+pcKKSvmK7Zg/XJ/ UbQtLHHCU2xSSfqdZ4km2ywAi51Kd8sHMpbJfSGnk2ujS3w3Tker8Lnhwh+FhOSvaqLU6X4dd0Lq D1Vn4HCueEv1VjGm1cJ7pvVsYxbqretjbhZzlnAbXSld52GZe4caXdhrrlFTUFPkzLxB6VGv9KSr jvh/OKSIpIx+oqoCIWfYRYtu2B9xs77FZ/S3kuGZQ8Yo/R68HOjrOqvL97dQKgKmd4Yo7FEaMeJw dA0ErPciY8SfQIZIAGg85AAXaO1HggMa4zhHEmsqhXFULxEOnp8USHtHogBSTljUDVFJ8b6I+EiX e8drnYXzDUGTAciNiz8Qck1T/qL+2OC77Ici6obBtWTFA7C8QYldDYoR1nx0Zpv9DCbyhlAmY15I CAC/62zJ7nwJejWU9OF6vEteucOLGhHhmU9IJs039fXOVVyWcvagqMj0mWJd672Eh54nqTY7WuWE MnR3L6GnCWCv5kb2kkSMW6YHEy67E0ZWKpPXeF8Vx/8X4i3oRv14nVLavLDXgiv58Tt9tVTzRmKo CXGGppEiF6tB+YVVA+ZoRk2R2PJz0U4PeOrLFGgj3/dYLXELuBVFXgMkTKFhmC4H3fJbOo7n+vtM 9UzBkK+hzaQFRRcbiNiY76iUh8mPV2aZKDEeInn1opKfIt06klvVdnhEMOwhzALcf/sJj7jlZ5CQ mXnypCYMQDioMtPVL1JvDWncMJ75MqyCiC9/rHTQnnxAkiYSyY5IjCH/x14wP7tK1P9eurjOK6Cb VDNmn79QELN8bRcW4TOMUW4P2KC1UG5+NJt7pfV9f5+B0uAvlo3X9eOmnOn18k0OuJMULfJctbqj 1NGeXfF6JwRpoG/2J0khOwm8cMyxJqyJaRgGp7z8SugFK1qD3dxIFXqWvtUo+Zgp9gbcSbeXf6r5 o8fftKhddyPfv4q4hIaxfX7Yfaw8IliPgkQCe6gHxAzndNUvs/semrNUycRXRkizoblItAZwsmsm GuTEWllndkStevGuBC6aMES8vBBMUtKgar1RY5e1izCZmJ4V7NqMx85QSnOsjXMwaFZVDZhV+LHJ ziwtomCX6CiBOAdNmj7TMPq21OKQaOlvWT1PD7q2PfoNfIEpNUGpJz0lVhtszz3pxXonaXom9Lxs Aooo/uay3kuYB5g8JEsDuKpvtCgg+kGass5ki8KSsq0bcadLs/xumn3F09TiY7/FwS8rUxQYUwt4 rqvBnmI34Qj5dW/YpmqxBn/tMRTsTRusN36OaWkPoE5VqTMDMbqbsTTv/qwxKsEo4RCasSKX392k 85NfrI6Jn2WaLiJpsNKxdW/C2DiXTsQyK9AwTW8B6lP4TT3FHj1SrI2OLKsq3YaGR/u4AdF7Lfbc 8a/lOzlBQ8DlaCFKQSm3kDTbk6fnwIL1ob5Y/BIhTTEfP+NYq+D13WhQ45a4HJhyiRQEFLT/tkP/ J95rhMHJBftomA/jhKAGoZRMdxekLB1kMj0xAsyF4Ip/K0qZkwoBOVYZJU46dY/XWqYQEbJV0M5T 2FQkd+55ZIQi8njAtawe+e7N3fNi6LxGl/aXvQ3WghucOScLC9YZCS0HflAlOqC+lQsNVf/udm08 yY4emEBLgP1JXIFzVj56kqbCg3MqAavAu/XR4PUWNIXBSgJtGMFFRGWQ1QVwNHV6LsESpTVxAJd/ 1uqShQtOKgekP8IzaF8ugYfglzxb803odm8NWadaVZLS6hyYEIC0pa1hWB6ornKPdCHv+q5555H3 +gfyKeoVWH9U/4mGy8xB3YRceNe9nQ5Z2TvJAf57Q3drdrMo9Omv1kXAmJLfb6aiNGqkRoQPeVEv e3dI7yVKqfFcGr30h2hkAyR3yMT4WXuLpew9y6rCro9+XV+09w0l50K3cPdnloTelI6ukmqNA8Ia glBrsRL/SN7zwZTxMH0CkC7uQHIJ7a7m68vhBmuApziHFNQIvdnipiDgW7r9atEdgeaHykNFND0o 5D5YDc/JGWQ6fpGf7WH5LbsoAlPmEKJvDHTysivvBZhNV/oR9sPYbyYtpVdfTlFOVuDbJlBx7uz9 NnI3SqQPgsUDHaXcqqykgxNWWrnK8IV5HcFiZAmKAvvoCsHI3OzDVwvhC27vo+xphnljCPUpQYXH rSuFaSafoaqgTMz7HJIt48+TfUQy+4W36qLOOBMq3peC8HirMBKbqj/Ifs9NhzAr0C6iDslEW9EY 0lpK2I7n6YPuNIS69vjV8mCxfyAt4ZGglNwx81AvUXSxAVZy18nDOhhPMgFCJpLE/vs07kolm31K jX6RrN+VpKOOCFZFtcJGqGiutY5Ltm3sx7aZ2gYoDpFBlvWBGlxsOGQlZnmLy4qwuK6s5bthtjn3 1TZmv05ujxvZGkUYu0oh//WlJU990FOV2VNfdIEcjfy0TnoxJxw3vjjtMRh0ZkIL7JBkbumdjdah PHAMsqomhe4dd0Gw8UsnJ17C0RsV3JRVsn6mPvLZQaaHgEl17sR/g1uQAMbVKzZmKeVoT+RoxFAr DrSoUY2SoaK9k7Zi4FQyHaZwSYf3Eo8yPuaNeNe20z8sEZ3svSfVzf+qa/upTKNZUUdXG973lqqI k7JAKE8Nm5yn8nKwWHX9zjW9OZylqsHMP8/IYtElvaZRuc9Sg6Ahmj+Tcf3p4KYclk/tMrNfj6kT +L0sHePK0qCb5J5pVpa7J01jTCTlyHHH6meOHAw3TYnG6bWntsu4qnG1lCJQPzoySjzb4Fsc4y4g Y2Tqj0wUx8iqYXwfvpBprITmeeRU8pq/zZACXL0Fc7ulMQ9+b2kWDYfC5HgNMyOBHgzat8rZXVDh jJKsqOB8gq77ljAuMsjVq+yjNmciTPG13INWCx6esI6kCjM7qQaUVcpVRVlvWPky4gkkUSsGiKoc A1PtGaapKXNtAs6IGFgVaGujcU6S2+Po8DsFotExr45SHI0Gi1k7/7X+jlcoFeXEPzIcHNoALCVB PeOkGASEPCfHh/S6ChFvRr5Pvo6FOk4RkRNcNe/1T8x2Hs7X/oXzNOJrJx2BIONdozsRpgtHrcVL 9YDOqgNkNZLNE1C6TSoGlaYGhL/imaPVTjIK5eHuvyj9hfbUBvqumj6ALElIO3EOxiokqxCfsAhi jbDlYyEDzLMfgbJSwDu8oJfbPvsY0YTS8DGQifECMd64QsvyBjOu1SveZFxeUdqj7vt4x396XDLh OZK89s8095h/fc72SnlI9hQUGsE+rEPtfgktR9xdO65PeyWsWmI/V3jB6lGtGYqsaZPYmiSlBlPK oZ8x9T9dSD5zdPYtfTZUOe5GGdgMIktMBL8RWVXcS+qFYlP7geEZcURO1xMI/8Ar+YvGIkB1TCDM eAqAmcw+LIHVAJrm2Iy9Gi6Wu3VVFt962HQcdfZyR+YYWRD9B2w0iISQpyEOmWZvXA2HnlAk2U7s ZdPltsg6pEbao1jYAfgG4p09bQL8/0eyWAD1oH+3U7sQYSL/U4hv0vflgdUPJClLwqVRJrrDCNRt QJCVs3YrLKRZnHHwUhvCUSETdTNuqo3u/afur6hg8JfKZHkoJB5vRQQYtBz8OselyIoL+O+3XB33 BVJeW7+XCrdr8nPzQye+rDl/Lfsim+5ElTLf4ylPO4ZqKHQpHHgsFEiIhtYRMv6p8ewRZMPgdOXC yuP9lEHdkS1BtcAO1HwgaOA4MHiPNdcbQEJnjeQ+dIrDHf2I3tJ94Y6CIBfcy6Vbul7Lo6zulJNp zvK/40xEn5IsAH67a0V54iLl2sBNZ6X0r4jXagARUZlNnt9abaw/dCkOgM26NMKOvlunF+2vWxCY 9Aq6+Iu1yIbufp/RfewjOiid2iPR3vRvwr5lupo5cs1TE8nj9P1gYIFUbyAwSME2zp+aJefmVJZG cYuL/EGMGXnf92H1kzCWSXyGQ0CCtq62lgt+yE0OYWh1kLp3/M/2y3Ht65AZPnu8m0+6rKgjmnvz PhS4LMLI/CVccKPvKgsGpPLIu/5ncto8DEkrcRPZNOlD6mIo8h5I6ItitpkBbb5e2lkIXSig0T5h WXCT/TjuUfPIKR3wenVXvDthYGclcqLVbYm8aQz/GnJ2UwRGrtdb52BDpnnK8/dieTQlWqddOFSX myuA6tM1y8Zsm5DfGslm9jDc8/3sREXi+YQZNB6ghF3bR/4kdWMX3eudifhY5eC9wUwi5Tptzk0C husdwgCVRTmI1p0jdGL96OsOKl1Hk4NhVvE82y3O9+SGAt9BQvjLwc9e5z6f2BanWWxicZw4A7Od q4/jvNW+loHcEb0C19iqbq7pYh4KahqCiwsJVt+Vpr/ro2KkJVbt5JAkWh8g7hYg5z7MiG3AHrnr 3QDvuRWGNhV1LpnRzG5TfLcAGLb3cxXFqDtbOrbgRVMYCC/qnITaojVvpNHvj06ZU2UXS9qUnsIB L6M/eByKuy1+Xc/+ldQAIUviimL7zS9Kwy5HEcewcqGOx6lp+cz9qmGFjbxAhRkHbZIZ1MWxB6GI RywNArtfml14Bck7e/p11ifqcMN3kChQOSAHMpYxpLyyzD5mlqLV4ZftaoOBSIyYmkqoDIJJbEYZ s5r2ORb6Zt89LSDR4Mao3u7UJpdGucp8fPNvm75DqHASPQph/2lVnHJO/FCTNGoAHPmYsuLURnEu e8FeloqUuDRbO6hhCC/2r7LTmQgjVRxT29a+sCJxw4TvOtebJUOZCx0txOYZlXanURmoMkEMmWAh 0H8WC10xSnzYOAz2ZthJrnxj9l6jirVZwhev+dSiNvk5TdI25xwsJzqQB532HHOXSDYTN4FvP+nn pIGndFckkZjvt55I5PP9kZVdRFb+qnK2HuVwxfxazF4YCSbgFccOYlPU3R4GAzbpUQi1pzBZD1t5 lq2BIMB6YhZ3ocDxtMiqfxBQLDoT56nnUvjg1VrabY0m4f0HS5Ev9fglTgEWqTbIJUbDt0bacVFj k4yA4unaZ0UWsj2Ml5wbCwPkftNQLtmvbM/nUUwznCQBq+n7It0cPzO6wbyCDg+OT0mJNSoeXRmS VNTFtLEeu5sNoeApi1U89WuYXpKZY4oN/7OSd7FeLdgfHSE4gJXVfMac/EoMk0Mn7tRdRKdZYYnz TTYgbGH+p4BBAgntezC1c3PhcFGX9t/ubZLWOri52t+48IvfGkUdTxLBo/jGCLznmGgIOlPwCSCz RBvIHQ+4G1lk/YL/z7vZeem2TSsF/dAAcA6juhEPZhh0qC095N/sFazBoWJYLDZNMaVZQ3CkVHo/ licG2M7TGWaA/v3IaoTuhDjmmLZcwS96G9endECC2Z+TJbiqy8OCi8JkyEmWzdgrKk7TLOtExNVA m70M8nbeFBWzs0Yd+WbZrSe6gnE0zZFLTaBDQSgw1q1W3PYYsygU9bl7smGjTAV+FKrTaM6ccOrq nmUczTlJUD8V/tZogNHFU2jgGIPzxYRC+jv0H2kItE2PHDJkHTHmyvzxxshgev9hI8X+khvQkG5G iMBYyuCMZt5wVs4maT8o/DuXo8Gii9AVSCiCFXPNitOzebFcc29XWUknh+8Z5vfMASecwXmDpyQX PqRyxqdeuYUGP/I+Crz4IatsrHYoaKO5wOvzCoU6p5fEjzmGr2wASworEDS0hEkogyWF3bJ1U0b9 RGz/c4kBH5PCvR34/5X/fzQZh9ku9pNBnE1siKwoIJSJyYpz9FxMBEY/t7CbWkXr/8InEyxyP9iB pU++3Cv73ZQ3euBhZMQ0jkS22WGpKDb/kn+SXUYoDS6oeg27ZDswcSarj8zRbHIKqtW9Nn81bUL/ xihiDWyxIStrcnjbK7xT8w9ZyrKAX01WYWCzRIinuJuNm1qJJPSYW14cyZ3uGX3M34HdqGRsCB/d +fEMHpPjF3nmA1vUJj4yirFEe4SJkQXaGadRtN9pOgtOJ40tTK4tQtEA2ManxeyfHroHSDdxD6IU 7kVJeY7hLs3Sp+RrAB7EK8IcpmTto5U2gU0F84NMWPqlhdkB51W3ysVQhMEOSX7y1VipNQSaW+Uu 1BgiM9kDpczvd5aA0AS7D3zLEQBIk6LR+7DiEKsTJpfCFb6IP0tAxNvkWZY0Nz224Gxnbvb2EmRB OryZ89OiHyiId3r7Ks2N7lfFGHYBmxuMGjX+f0khroxQXFxW3eGAZmW71OITfso+Drt1+NsEpaIV V259q/3496tofwFyv94VL3vgPNIzrq+AHMpzGqnwRHy6C8JEO9/iI4IOO/RElDVjwEC6l5iQ1Wud hY/3G/T+WYE1vyvtiU8hk7jrxntWreXUSEMmL8DghIxcY23q6Jjlz2yXruwogshIFy9VOb+j7pm+ zgnq7Cucm+E4XBxgr9uebugReq5uYKKxMOpeQQqgkCXfpX7y3m2+Si7xZ2WqcPgMDhMb/05g9Pk3 S5H4FWqQRW+nyRpPnXP/oOdD8hYOeJ6bwyvx3DenqtNDFejmDnGRqpa+Z1/hdk+QTrijN2AhiHqw VG41ZZGvSVVUN9RLcipJTg259pdxjLdmS/uwBCD5yWr/xjeFwWiyziz5y2XLV+ozkxDHpRglJQjN jOXwkA+CoOrQZrJee4eggVNhQ15sucMJViEPTMs4MGm3EhQju74QV8NbeMpSJrm785qK7EbgKxyY jeYw1oZxrkMxrtCODe3Q+QXiQ2SQfLm51+xIvtmiCIx/iKLEgjuFoHyBysRuK4YaaqUd3BkIV0PO hEq6kDP03j8ZmTz92fhEMNUW0SrSXxmAPJFo5o+hh2C4fVTrqJAKqrbgvkT3AKBy6oe+fAmj0jhu bUVBuvOMgNyssgsxqoPd90eCFgHj+VHuY8Us1SLMzqHPgycQhUj3DUCg4h7bn0Kl8vocOKeWMHIy Nr3z9MmzJ4iywlq2Qd2cvqnxmx9Hb8iHX+EcdRZnq7hljBXfaJt9EmkGgdTDljOjFwBM5RZP2l9n F05oJQKVj+wntG+QA1Zre0uho/IKCIAuBOilgYLqVGmD5YYhm7becmjcV/VAC8eqx+KXoDZu9Ldl wouXplyMeaVqkE3po/G1aATieMo8Gl5LNgdk8T3GQABF0T3HbkMii/zlsnrzCvdFwCAX7BbX0Ghk CinBhzqEBh0IwucJDDNFL+FZ1OumbzMf7f9cBCqgiZturKOHG4nncJs0MWAoO7gQIc5oAC903IEb U8Hg+Mxgf50gWKbRnGtSKns/uCmcm5xHQNiTE3Vj9CsxKTl4/sSsIAVoVbRR2da0m8oDas8HrBe1 UpeUoF5L4ksga7FlpubiOziXkAlsg13i61bvRuK1HAWXi9qQmf9fA2vEo+3bMZo9kqoEpIGj6e0b 8DbF15T5DBJReTISOdPKnil5pGkFxECrOQWkpTOOc6v/PyvMNv0RUY+SQVmPXUwOyq7CkaWgaIOk GQh0Q9QHziQR3vmbsI3pRRpsTXHaqD1sm4on/6sHt1ScfXILzFAjQ6uERGDD9XvzZHBzn/GnTX2e mFtNAfvVkWZ1oRfwBK8fW7nqcCgFP7NVBLNcc2lCEuJk6+fq070jtEK9KYs9bHWG5P7zxnLUV7mg btR5OsEqQnD6Um+GA/8ihrZ/STD+f9RthBp9VCMYFATeK9CjoR/Zy1agoN5mwF9Szq56eI8sLn18 Pp/DegyirjY9Xugnptj1Chl6aLEidBQdSqdrAy2/su8/hJ1YHTg/QUiRMLnUZN+G4PwNMq6FlHfX 95J9+j48JbxI+67i7NEPDZTrDywwIUgkC4MriektM0+l9NMDCsemdFA9wBTSiwccbpa4ptZmEn+n aB4d2uyVjPV2ziwpZ8Foap9R6dv3nPDaplNHdrje0Hpy80/SO6fehGeoGs6buKq8ralzUUBL5TtG 3KHIZamuTsOaOA8gBSknNIlnpVi44X+Fmsj+L9ozJyDDtFpTmRWzZpK58gDpNIbND9W7XtmAUvqz 7WkClPABLD2Rn/KqrIWTvsJXYMTL+V8fVxu/ZlftNoeTekzBS85ofKGOG7bHAki7uOYT9VPIC6KY UnVNCS+VgL1nB5NsQVpBu9iDTK1+/L9P7pWahkY55CfdB1k2kdHW6ijeOb0fMfXI6jrihBnKafZr /Oyk0wEmBUwCTzgQ6KIr1hXPOLD9uRxblrB4W4/nhsu7I5vBtjmkCM4xKWYM39a6bbF8nizAHtqF 1CmGZKsKYdUxbzOq5qGx+cVmYWC0f50IITM8718hCPyDuNjn2n6wCw6VdzZKy3R3sZnukyNGjnzD 8XPMirEafDLdR2HKAIbDEVlfX4uXyLjHYnjAkHZtZDwOZk/xQDZqevdtk1PF3YnT17QqSvvoD/83 v6Q3BCjhqXB57UFsE/Ewf9RKhbbbbJSdM2nmEL/5N8Kb9mUWmI2GUbd0n+lpB5dItdo93+eMa1us 2/2YvKBZmQgBWZyASrEKEqwCTQ2HhVqR+cNstucxrvRQmwAJMuou+dRFClIVpL7iGbpZio4BhXFL yu0+YqD8un5+Zu2mlDIlTPPrGXlxFtpYQQeeBakDe3ojlSjvU2vQ7ZQfSiK5l3pMFdgm/oPK+6AZ 842BzO3wZ9UMoOeNKPeXZ6AnXJ9EEoEjpjsDzIvFNMaz9J8qMji2aMPzzLDUrYOlbj/GfnRb5sON UjTUMYd2fy8mxnK1Mn02JTxNivCtVNGBa6/lJcC7QX9DoD6BJvRKRCuHyFVKsHD//0ghOOiCU2NA 9dq+w10WVMIBFCjy4SjGe7dTDnrZrsARYX2gGzu61nQcmqDb++sYc211n6QM0POeOwow95aA2dWQ A/k8BbuSGRRQcVZInSCbS49sXslr1/APRmDRHZYnwyQXJMSV1uh0rHEhldejPw+IMNxCgFrWqIzQ fT8RPINuPfwIw8RLFpRlpUov6m/u/o5uJdoZgZLNEx+bQXTtMaZPRemUeDv1N6t/LqeAFuq93peD 2Iv7Ng1dCrMmajF+43j6seXcR1NFRHmXFABSKx6G9xz/nQqSbAjgmN5dRwBM81q2YIeSE3953qQm YLpA+eCRdhYaZTNDZlsLpNmLbx+c5kiHRd76Q5taVycBbIthPkS2wlz7+un67dFIU8VJNH897Fl0 hWayOaCnV14f4AEaHs2YN4jgMmWj52hG6W9p9gHHR6HWF8Hh2Jowu21+VbtWIGkQv87Kbzzb187f /ns7C/04KNGghe8HFO/7ly7NYPzZI5CFfxIonBqh1xf8NpkSX7zG4+ocA52/F9eT18vGwQTU1YHI 7RwQLWgLtyjK+UUuPvZRcEutwwL3fzbxSibSYtrzyYfrr5xxRaSJSg0PywEbgvQ16N7NK1utmXE4 WdJqPBNMf3TCa73axp2vg1KLyqhHJ1JSRKRp3qG25pkIPP7bDvqqqztdAQKCq2YPjiqLt0SePcdf 1shZiHwkusnVhiiWDQA+hKfx+3BS8LI+4dXYxeQWkaEQ04MfaklsFczDJXJjacuhzBXKDpeDMcXG 276h9mXnWH6XW6Ql5+JMUPaEkCjC7Q3fszlqxR7Uhm6ach120geqz0LZ10EZcTzKAyBUV0CH/wsj LPtLmTF/J53GPVYkCT9L6+zCm+nw2Uy/Gwl05wU8bGD7F2IM86Ad/sIqsCj+IbConWnz10NqjSHg DiUOKAOqOKMji7Gz1q+g791hIdsddk/2cM9RchTWR1DfkH+B7FRA44BvIhktWuCPZkvTmpvpbzO2 P5TzpSHOx2XxSqHpW2bwQ7BfY1naRFnZOcqlJr0+yYLmvZps/o368poV1pjZZ6fRjp+0/wuYptFy Cph+rYdc3IY/BFpXB/i6iu4BviPNhU8PRs90RwXX+nBaDHXY+oRy672bdWay4/vtZOZ76gFtbNU+ /uPHDudbtlWpN9ynlB4SqwKlQxnf3v4K//ttlWaalOaZp8OSvTNw5vJ8/CZ2OaPo0e0ZGWSDw3Md Sj7a4SmdfthYqINqN1CYGZk2pQDHhO6g0tcWPCw7ERPjB7nDHN3lbHENOndqWLSTea9hH3Fyql1k TvvliJaiQCTSaqtyPqC9xarNnfYk0uXngPZ8yNZcCadrGlfWt8C0x8ZLBuWcrq2i42cFJLNonu7M 8/UhBgizhz9y5lZqduUQHejGZ3EuHWPEMssiKDUqxoKaEQmJJtYX9Y41h0i15k+TSnv/PnvlVVFs kePihP360X7S4lR9OCi+4dsVp466ARLfhYQzLyjDm7Ma0CUOlCPPjHog/UqBqML5T4V5Q2UYvDyS QF9N0FpciJhFSSN7H/vUJP+3XtMRQPAUEu0jVvUmpAixmVz64ppmP0dyPDR2abMGxjgDwuejHvQX Bw36YXuu4+jLfbpOJpn9ZdsmPXKRDS6f9Dat2jvQ7+DGLvt2kvNYeGieqetDPLniwesTHdkTPEpq z4a9PZQg2b4xRNeviysB+cdWuGaKNify1rqjoGDGbcoIiExgF7ZayMvZydM5hbF+wEs5fQRHBO7Y 5NhilM17YQFEJp/5du8QydPDwRzqzW3Pt86cXB0yU5+3tsaAgNGFtBpX71zwXVRzXtf1PWIyb0Td WO56LIx0+XT1mVu2zWHytlybciLOdooq/pD8xUxdhIikOkeLVA9mVc1F/zAihD2AnPEEiyqLYmww OCov6g+ojPVZheB1STypemgMQIWY612iW7Yw6x5lJ9jFx+oyZwG1EEadIsqj9JCyMr+dyFGQNlou oSL7q3ukZu5LAYxeTAQHNl1jPv2WKeFqQ8LiONLlUEB4cBiSAmVqbqeJHS3DoxNQ+DaMab17YOBn 5libykss/Gld4Ozb596zaM01UwEc490C5bTJbpzlpknkIC7oGf1fui8Oe56Q3fSufxTrRtzsHv4p QqYNBRF5g9tx12YCBU/gR0SoDGb8EhC6ix18zBeXo/F3YgisYPLs5v+Qk27icvSArJ9j4ZSt9v37 24eMy9JYjUwiJI8vdGUoa8ryAzzaCPSfQD5utaEXg9R94LaND/ZT6Rnp2OV/+Tx/p6dtsqPIV8wq F3qxVVc7fnsljDgKAdlDj8ACwZrce6NkW/m1roWILb3XKTozyWo7yvjD1LYLv/xCVp9LwvTXc8nx PfQJQGr8hCJ+KuTsVOzT7B9Jd8q78OQHVadjUtppmceKQdCV6I4Kovs9KAM8Pq3ZXWV8l+0Q3uO7 HLzQhlL40HP3SFt0EJWkKQVOCREWcIhcDJZ+h+LmDxI4VYXTRGkk5TlWCedtz1jY6ehVG9rdaraP Gj7N9JzJw1azTivfiq9kgeKs30d6ks0DpSg92XG/Fx5sMlyvu0N/HZFkw6t870+eM2mTNKKJsJp7 ypXpEY42X2HHzbqBRkpah/BQ1QTq1lOYZdBIlv7uyR63fishVRfY4BWTynSL3OMh3+5tCdaduane 5sRWhoM4MOB/l6ztHupi5Sfkf6gl455ggAjkc749vtJN24GSsbOtm32XTECXBRyI82AphEplZeMi TlE2ZV892fgD96le/tLD3CTexC6PQbo9LL8wqeOHo17gAbh14XvFWRINywuU8EeCFsYGcD1sDkLu U/JQjI7xLdorv/fAZ0y/kSlJHA5GWh/wY3oKfv0aIA2jNfXwb4iTsaEtybZhFueJcT1CsviOc6pF BA+Rehn48w1ipN3UqKiaZzaOUhwkfJpu6QfqjXKMB07Gt30YpbGSpgmNaP/VCNuImA5epvsjKM7Z z74Te6uFHugJ0sHDQKpxL+hGQYscIscW2Qd0YSEQKzcHtPMuEPP2l0A5mhrtmbTKCgVhpGDKtG5X 7inL0eiHHlFYXZmhpHuNkiGaBo/cban9HP9cBn2PWTiA41H7TM4a4atG0ssV7uMaNCv0mtKs/qBB ZSoqlR+kq2U6SEWxronA1GFeuf1c7Vje874aU80B7g2hJ2k0zyrf1gHUIyaNH7SmZr/wanttFr8E gRnKQTP7oYAJPPfjEp0p75wZSIGy5SBqmv5wIe2Okr0T6hnR/EtVCWgve2/YPlZVg34OZEGt8nrj 6ptPbegAvWcFh4uHEbgmkfkdkCkY/fdBgkyjl1q9izP2O/GNApKIU+jzSNyxHQt2uC4g80LmOQ06 tc3G8K9Rqk1+2nyvC1xM10a+vX6ZU/LzrG8OPCSRc/yuxUKTgPn8q1EKmdsrq/ZdKCZ1jRNEg9uL e2NzlQDW7+rKcF5K11QxfkKdKEfRUsH+SS0MHpzdpxhLHk/X/Jo6ANzbTKNK5cb1ZmyQJApKaIjg 6ikzjSTCqPYq1Y52DbCjCAu8+q37pUaGgXb5HIazBirTd2J5bhKrVV/d+s5uAHUXJeK95R3/DeqA OjIUFvelfrLdJsL9lWlgSZjPKuuFocDhbGrcDs5xmQfbMFCVx1+kvp2ed4ouzwyoHEHsaF7gPxvy Bs5PHGNktdzz93C4057oGb2qWi4rGRszkJSeACJdyfRFgepGYRYfP7zrmI/MtQqrMzoajkmDzRuP 6UShINcAmLqdPS/HV2jwQIkqOa63ktbKxT4wp+uNTSvpBsTDqVZmgU1bw85gROfe6XkwaSWxFeRY 6MkmZSz7kOpq4r0NrboZSuHypBKPpCrUvnZTNFunPPNzR8ip93/MAsy60X/daJHvWnB2qAUj9sMF v3jm3Hry1S/ot2vqVYNWoRXlPaJB5cqMasYJMy9nnf6ULs37rJKsC3AwK757uQ4qHX+GhAYJ+6FJ vgv7qWRpoHqBWy2PSafuSbpCbakhYLxaZNnH+170HL6OEw17hlM9oVSSPVP/EIvQcLgv/PVV1EGJ 9emgfShRoc0OVvcoasuHWq4o1gSQGHzCoskpkF/cJDg+5tP3BO02PS9uuXJa9rJPvoEYxjEZxxg1 qHhM+lsgjsm1I8EAVDzNNp3RNKmaN0iE7htUQJMudr+sC400hc6x6JSU4y6vrrDRc4NNNaB4T8TH yT3mjZw01sFF+M5fipoOSbN6UMWyJRrYzHJObEdMUuqN9qqx1/UPSR+WJlHhDnfsxVV5Ufmn766l GxtO2r+VBDTw/N4CoPUncmZg4X7oHlScR8lpvNlzSp5lnYFHGg9/qMLNyOWFoH2S/R4Qga1ODY3C LKkhorinlgqAhyqu5KS1I4xxiGprQ0oLalYB+dngYqsNafix+qiUsbOELdx4kJvjflvN63A2Y2qe bckT728OetwgtF0l0L8L/9qhZHIop0QDV6LW+698eZETImGNsWO5H+eywFveiKb/Yt2v2eTz17Tx C6Ek5ZAxp/YJMKsp1CDL4YAZPQR0DfkckX3KKKy+05vTFTHrQU8+kYGBfQux6XTKEl5Wl0U8YWZo y0lMMMCKsjyTNcJPiPcNwVbSk0OolTKvSxJ5Uq+/s2DIqJBIVL9Uyc/NIuOPBfYQpxEqONCmJ269 4vkxq5J1lRvHILpKS6g9nUGFrHnCBse3GeDbWlXEapIcizZksN6RKxY1BG2yi995GxCTNDSHVpO0 uat2RRgIp+SSIlHTTPFnj7LSQ98qkCjkxuGnEAUsaGdoVObLm4rXDH7hAv5ZQYHtAD0nhppIzFEv d/uF2yphdil/A7MlAiFUwET6ZiTyUmMoLr2NwpMLFPQTXg7kap5etN+C0GyClRInERrXltP24vvD xS8DGrSatMRCon0ySF7OtDj8w625pb/dIN4aqr38r1TKM3mKBNMKPRHm8kintTjct9LKLkt53B7A BoBkBcQlYeJJxSFvzxSAfsFkMpuJKOOVfnirqitrH/VN2LvkHOWgeKUE8/drXHPUs5/CpHgyg3yr +yOUfDly4bPVLf7FG7tMb+EwgFSl/CLpQYF8johczZpDJZOjBuIVzJifHKOULdorMTQUs2Xak8Hg Nuhh3N/2LXm1sXtU/I7nTpBbgcwAHdjBX5oMc6sVMrOVwEplGbtDR7LzO3WIlB59NsVty0o9hROy YKtmv1aPSs65itUeyCsM682TBRMK0XyreA4A/bFx5LOiQVKTeevCwyvQL/BSbsMHP/mGnIbdN5ID Jdi1Sah6mI/NZcCrNEyGyJjOI4yH1EvPshxtHLeytgIu3A8G6OXwz5FHY+zNE3vQv8LEzdnnnHix d23MkX//g0hG37UxSiOchQbXBg2MUyN2xwJigRr9nDyNDhud54Jr8s9ewjy3ifR0X7o1tw0/g6N9 QSNIoOwAwtXITp3/6mNF+jCP4ezhqgxMCXOnM3yLvg/HrpNaAvzxn/hJUwv4P5UamDW2VvftsgFC vZ929NuGXWdOO+Ogix5JTbBlZtF4lSTEs8T20B/7ztv0nmVh4LBkHUI5Iwhbt+Jnb/UMC8Nff9F8 A/4DuORgma9FqsPzyPTX+3h4n1u5Dc0a066nauafcbTF4iNwFvFkP8xLTHZvCjp2veDY3kimN4iv 7njYBQzCqRuIuigqG44XKFg2EsTYJMYkvLVdLjVrbUigu/EUNI7V9eH35EFNZWaBYy0P6MwOKOLt 1RSklkhciTICYOb4HjWyUL7mrWCXY48BchoKlNNjI+q/0Rspn2BlV1iddg7Hxc+7PrnZumg2ugas ZKVIVqcvDdaJ3e1F+HWmi688+UHNFBCNdI2wLJUmE/QLOA8UhdyFHGHwGTuWXjUOQ2i+YOsq2yis NRsAbTVa8MPCeTY0T1njPDLOIB4cgA9yQ3yhaoM//a97bb4u9PZaz6YdwpdvWKUG9Wc0quLPjvnW L+qkD4lmhrGPbcjrt2mPIK3jY3PTLg2C8zkMFatGeTnWUzNLZ2TAP1vPlsgef0OLblTaEVxu7U0G cgdtgevwoYfgaSfJDqdI45bef2C/JgrRJquR2osTkfQde4/SxUaxDH+3b6UpXteQMCjgoEV8wpju +ZpmlKAZklMzYMqkg7xdyiJRoByiSUWLtI58E6zbEhx5v6kOUzcue+UEz2Yd46DPS0B0chVZuKpT nUEwRdyK8NxJcOXE+yJEG2QrXLYXGDYoddR89HRJYzMM4fmSTVUJffd6ntP8DVfNmvkrOvKiGuYc E+OlmtCQbum8g7W3s6kGOWGw3WH6Xeu7w2pOhPwNnazZhqZSMAAfuq/J1hvChgix6Ov5+dB7EdV0 kAm4YGLCpM66HEzWAheRkYO7aPqq3gxAkZh29FFRiatggY5j0enCquoVeYZl8MK5uWB0fUvSVFqL DCCV9D9uxeeDt94r7hYf/7dLXyqrsqRz7Z5BcIIDcdQxveqbuPdO4+JfVrc6zRAgQ8yXyntBebBF NA6rY0p1ZSKJRbuK0oq/hWdW3tzXheZ682Dh2L7GbwChASXsYKumA/GOWzDttLQsbR0nIbUWddbz iz5iafuzBfogbhbCqDEFuU4X7E1f6BPGeU7RQfX9o039Czq0th/36G8GU+rEtkwp3sWDQ4VaJTK+ qjAfMl9AoXGbPVaRBEltrXMwY/quBUD8mcgohy4YNy7FEZxdqBlk8dfm17FCzYUxwJMnhQUZeDWG G6oPoKNBzK1NcQFyIZo1wit0GZE01a7wp2Sy4IROcnRwiRwyO7TduO5mgMzvbaDZICpeBn5cxzqR OKAd5RxTp/FrJ1Rn8rNlDJ8SvKqL819TWN0Hl15c0yVuWMiO/CmZSgHmVw55YGyffJx0oBcn4p/2 iH976kvXui4/HyKl1CC9OgkbWxAwwXA6CX4h5BP3FT3sn34buB0CNgRtnfKeyLrkTHKOk5kMEpUQ uPcDlRf+yH2QZBPS17SdhxedJvcZFJD2NLQdXDkL7sENdL6a/55dbmmOm2nfzumM3Xud+mZaEGsJ rVS77AHJSG3HLF6mP17CWjhdeQBJdtGEOrK5ZCCAoCAHOsaGsd7NmdXgAuoE/WorLJy3yBrTB2iI +cEfdKPhoYxaj7XFvYfQ+0xGGlucS3S8420Y9nSJnwfYP2CTrluyyGThWDe/RMJxUHF3MPFjDcvj Qr4rP/TVndVGBkzaU7EZZryXjUT06z6bsqzZaiAr3KW1A0MtVQZKFHwx0qK39reMvqGw/grEZgaX 8zXWyNq4kRb8QZz44hfJZ2R1ArWY4/roK8TkF/NynOpWWBIWeqyz+Uk1vl97+303qdhJ374OAMOx 3xIQW6RHlp72ATOb1za4ozf6mznqh4zA31NIa+aRzyaPT8fZpz/0+oACCJIsoOSaWZ0ZkZNMWqkT /U/81tTwI0a5g44jbk6/ZiQ7Mpiw0W5o8wLrOscu9hNGjsKZZBj43D8yrMY0manbwyz0e2GMKOoI vQjovnyWwsezAFbfHOXlew9Gg9aj5AIafjL1VXM2M/gCpbw2LLEeyH4ulV7slgtnRIJgsK0Bq82r PWaOEROdJvq6nQMZZl56D1en/A2myKD1v+dmfHYrE240JWTQMG1lTo7V1YM+3NPAYxg3xMkri7PK KVyfG43Zu/KvhaJc/T5DdZINjTeULo4O3VKFq1JKDiAGb0fDTm2Fi4UC+UlpUEJpCXxrud8z1Z/7 U6o6z6u/D8RhgE8ow9LuQ6HpuIyQ4ZLUZAegNoKIF5HilMdbje9gkHwsbDKkblLKFCtPnRNbJX19 9wphpTYq7wl67Nr6t+ZZQAYaH68paNwDVu8GhGfETyiI0kSpemh7xMjaQsJsc9mBVwgF8QXxPp3a aPzgUS0queFtuJaBUUaFSEY2YHfq9W98wI6qmqrjIH1ybHEr7AEOMAQf6bWQdXf9jdXEr9iXvOuw A1lZKYpqnbXtc3Yj6b5P/vJCtF1VehU5HyZvSY7ADPtirMhdgHz//JspyrIWB32WnXEUST/O82WP /FWpqtWjFvqrrmALrvrpS5wfeMSGVfupKglUYimJ0pl5pxmzGyjRbZ7daBGWKuJ8r9C+i6dtlHjZ rmg0y0JrpiuzCsijYNMnHS3zfhMje8cDoVgrANN3tSGrDiAfths5afAqKTeR8kO5X3pYT1ucUcva iEkb17UAH7E8Tle0rRSL6jN2D+/TvVdZT14ok066PzzcUKVF53bX59XZO6IWja1JEJOZtRMrgjgq D1zoBd6qyHYkYD0HuKghUa76/fCw/jXBjY8nGn93pgTKkMm7ZzLTfr5qi+BZ9Cb26yCzDfBf+9a0 Wt1GX2pMGmxph9wImJU4mIe2mnFHR93FngZ4mr7j5i2e/fUAyzPbT/JNzai77Kt+NhcT9TUQW/FV zi9eb9RqFFRz51/HTOLpBehL8Q1jLgjV9LlYQtO9diad0sqELTnsQS2ViNdLN5rjdhYdbyWKFuqX Anj2oiongjJF6R8NBcZ9+UvVTNBjUXoSgSWbNc6rjISK+4TfMOgSWIWl2/UQEbQ7/cOTniKsU2wM JniszONiOMT/6Qj2I+q1hNUgZBJKx16rsnl4KCtnwr/IgvPkGIRFbHmY2YiZRC1VPNf5A8Ys/OUx 6PBAnNt3KybMy39lpus15K6HDP5DF4k5q88nSQR/0DQKUi/4lFLw6q8R+ypwawU6Ui0PmbE7qDm4 oPP6JkeJEjjeRl9yZpRkTMhDcXBQuVbfl1TiKNHPbc328ZWDS7TyqIPEQb6mzC2109tbPoSgUQp9 ZuDTAQUlnlzLWWiWIPr5rl6Ijl43hc4W7CJ1AFFHMPW5RYfYTHg/lG7YTkVDUScyWSxA9xpT9r8m VCNOaxhPumA/hyrSZlcV83/Wg1GqqJQa2czWgTxJ8zYgiEaZAPbA4RD3X4dGAUmWwtdvo85GYbl1 0w0Ah/l9t2N6IvvSvTPBZ2j1oJwAz8/r0j+vo1b4u+SEV4OtebhLCvAmhgTvTdZMrNv93foTyZm3 DwWE++L9PTkY/5Zaxsvg7G7OU2/1vwIrAPyKWmLHfObV+zAWlJJ6wdvHEAIvwagXxN+d0RVmbPXG NN3/GiJjX0pRvA6HGGZaLf3FjqrjdQo1mS3SnK772hf1dnbef5mCqVDdQPt5DAj5k+hiYJLnLnbW uJhWBlndR/xaqFnxuvOK9/fPn8VAJSSUE00dEvwwn7003NC0owSlbiQyEuYgbn5MMaewRSXPqwcA T2f4CI/G5AUPaFBDq7zEVqrP3rgwl/mRvlyK1AO7h9vvEjG5iU7FXC7/7/9u41I82VyEMjZKYF0u 8CISKZWNS2Jhc76pp1/wW4JVV6vUT/8WH7tWtjcEa1LBLhTtrnFFGibrTmqIA3z7YY+S1vvG0Nnv 6Yak39jtDcszKcPedJZDW/C7SUNNhABqtvdCYZ49px8wxOi2s5LBnObM/nmXI9tBfC8Q2R4ZDJom AKiCa1GThjk7w7V/0wvkJ6VufKYNFKB5shTpSPs5tCn/VMCQJoswI2+BH1PlDVSpqog6eQhRE+u8 NunGntd2ArnCR2Y1ZbJUk+9It/zmG4VJGDnGQWz02jGfuSKxjAMHLNqvdADrM5wrl3uEhx048d49 njP3hldrrrtqzDb2zh84n7BsmLP+atCplIO5pLnUrB4eZboTkgq4GCfCHmKrbtBbIOf7kJBnFt3F pTG+pAxTUIOm7INPR94Pf/XpsK36eeYyD7NXChO+WeD+0BTuLvZzxeXW5bY2TUECyJoqOQ3b0zKU BRVG8Ts0gonfnCOURoCv93HUtQEYz01fM35FnV4AbnPIFIaaI0IEeyko6PQO995zwkS0hSQu/oOl isXRDVcTeCcR3fupo2Z0u56HpmfGE6lRtg+m8VZF9weIWsg2WipP3cz0INQpFLLg1z8AC3FnCiJ7 zrT43cQZef7g4aqJvC2ahSh07VLCIQ3DsUOA87yXrvgUL7BVB5CJ6bA/TaKS1X9BoRx4LfjUs/ka +crgB1Jd8UzafXJlaVU+j8TrlS98P0nv5Jm1Umpy601Qzu/fI5VhtBAlwXNXRWEf7aStPjztGg18 pTkVNjcd4XjEGBBn8W3vy+EsixFnFgE1t8uQfK3o4JuUG3Z+lnpj3b9xbbRLZcGC+yYU9EkZI4Mv NNPpGspQtZKnQoVGT0SrMM/7K/34/IBBJmCFUqbgBduy4kQ8tQodM5hd9VzoqlGvdIcPo88OWR/U N4f2biLbMhL0V1M0lzhOCxmK9ejl+kWQUYabiktnLC5UPGg169y5J79qOgGvu55WQSLS06M7ySxP 7/WogJ0mKBoB3oeBbfmoM9RUl8h2JOrSpRsUVTNGc++mv8Ify3QEqAoWOhxHyLumR0AXHcwNTjqp H/S7cABmn0yZ8boMiRuiJ/9Vz2LrN+VAe7aBAKHyrqOHTDlfaJq7a2vUMxsQl+DsC7w9w3OuyUT+ VQfwxXdiQBUWJsjJtHz/ZXexsguKtOjTXe8tjxn4rK7RwWShjE4nQ3Y50yG32TeV5Yj1mIZxLrCw fFCu49wDebVfMbP5h3rGN350Jp0ctLRC/NdWGuQWJazs5NPQHR8TOo/aMncxHxNfu1KUrtGTZvaw egcWrCO0/JpJGllcR/5Sph/421JI5lRaBC9dLMJtQQTPsVRpuJv/p08IkW7mkjabUO9UlAChOd4r 2HS6FMkdDKcVJVwI2FlFXToSk4egWRJZ55KWoV3WsFS3+VkGnQKl7uuG6c3Z17g0I/YbM2GuCh+E m5EjOocZYlL0HkLZf9fx/zd+x2giAVSnSKnuzEm+xd+JYUaclNkgmUif6Ac3+fM0BA9J6GzXgXvM +EqwWLE8GNDcAuzFgGZ67oM/YKMHgNx9KKltT1DMb4XMv+EYYB81hEvfL9ejyJ20XXwFdXu/FFZ/ I7WCbm0cc6tDQ6YSKvHCFqPFtaRKduT741JgRoD16Cx6J5CZzobQ2zQptsc2MfUElzPupOBFgyZS py0OIDo3r3Qwx+Y9tyRXnyqUgTkNNOSIUIXHrLVKj/t3kuHa5NS4XTWiYTS6hOskbKu2FJjb+RUT 49lUnmvIGAqX1BBKYPduum2pYd2JMAgVviWbGzsT9XEW2v/jCygIJ2elaBt+Nw87184r3e1fsV5P l4NPeZAKPmvjQ+iPpCdhZTuW9PiTgEUT1SyO8XGzUcdiiUPNfONgT9Ud0AA8mkmU2gbO8eT+Az5U esEQpACbk+50bo/HfcJ+bIin323tszbBWd1deqz3QaOhvrkSZ0D/1Lr3B9eawLcXGAfeb+Kf5s6I 8bMiu4l7FCNnuCoCpzCvppSNAP0eDbJ3Gxm+R7YvZ9sMU4sHs+B7/fFnSzRsY/SeV8WluzQNPODd Y14dGsMjRcn7g2afgv7NIY0zxajElssrtfkFeXgXr+cn5zIwljZr5SJRn+rRgKnXdfxvLHYMFMTG /UnbH0YtH3PfnTJhCATjOzT5KEj5RhCeRg7+lJ5+gS0nlpDtwa7O+v0+G71b5KwmYYntTYxztId7 pc0zDyr/kDHIaGwa4Cm2GFL9XmT2Ep5y+XFAIkoRcOh2T9HR62cZukloJQ6FLk6aNJ+lyyCBuCx/ NksA+gJUNSuxfd6yWxqsRA4wwCkGOZT1H/tSlGRcZjrtUK64V4jHwOcca/e/a+90Vqr/9E/9ygRc tsTMbTWz0ATtzDMLdhJBV29GqT+ytFhINeQAGScz0j4zA/CW0rhbDqKzflI3cd015G6V+WekekPV fAh7cwmrscOhFO5c7zZBQlCKUG6Fs1Czi7sXzntDsWIrwitEbM+f/RhD5tBryu9/jmOY8n6cuD9N 6UkDLi9KmaJfbYFdFg8AbJivLZppc+Wu0Tv0fhRJ0gqHv96t1DufLnCRFt4lKUypVVSe7gW+uBLm MICDb63kGew5gsKFnGNoyxx1G1J7iycccA3JzMN4FK2ZJ9iyh1HgLSD/PFoqyTGzHKGg5/z6HGTS w+kMcB0HMNVcccTDiGkd0/IM3Da4WweTGrhcGFQ2D6HhEG5onzG8OpIQVtkSNPpppYJ5oMHGxNjt JxvAYIbVbmtFgqsDnEo+ws7O5XrccFQUDMztTkqUjolI2Xnj9GO5i//RPXoLt4eN/C6GqFb8gZlK 27Ch1IJTi9SVU85GTZJBkILJ5RhwH7Fz3B1Szqj07zxeXENgogOpr1aDyeSIymnCitGxbNLdgV+1 p+uD3pjc3KyLi5D4r2fH2rSn9sosS0O+88UqiG5rroOhzaC3lC2w6ha6F1IDAN5ao2DRDZz1Fpil 8pTgA6GbBjsDPLEWZPqvqS/XtYwnNGZ2N8A8MV9/EZ6Es+B/rD9JNyfRs/FOI0lVc+3ka1hg91M3 0I0WADIAtMVaEEzG/PYOrIW5kHRQDpcFRBE2rSqWiWYrdovMzvCtW2A5A5iKo86xCFhNTr7WN9EO vqw9Utb+EKXOpUzEICrlTLRmSQzNEB/wK92kDW5gyypb6yj+RibeAH64o6ibc56+fiXFxJ6CA4bb xyQFt0fg8WhNiT4s1wPLVtKKcn1WlMaUhnyhQD/klCXoj+3AryZiOYQMhapFqWmyf01O809amOPL NbnnS+Nlm+fOT/jBd8Nr7pnwfxwc+jhr/ZZoztKsMd9eYThH1n4Br9wG+0fOMdB9DtISBlXaHn7K yu9FMIdTU1d765ootFo8pDF0/R/a1dLoNMcJRzx2SMIQ6WNFxWxro9gD8tdFVTnsmsWahC/taKsb ElXlNsXPNAX0KjtP9EEr44dUVEYIOCJvMUD4r87X5BZ8wjmKBuh++pnYwjTfK3+Xz/Bf+fk/v5t2 brLt6mWI2p90MHK4d6cIHrxr6Jp0NL0BeYOXSb+aJhjs1f2Ut9i6bsdlVJYgj7R8fe8r391LPX/X qQogHq9OD337nCJxC7YXwnb6WOD8V4tzY3Q4c3KCiiDm0H64fw+nAqpzzVDTX/V1dfp/r66gk9qf RJpIfUveaw4z7lzF640+ZL+MGNAKr7fZs/QlpsdXo2CxnO/t4i/XdMs4PpA1BAMwgSFHmonhfIuC I1EwRd7oQdrUiNuW5lwVlPblb+jKeH40W5l5myC3mcHWGNYGOy28/D6Ya+08HWySS6cBOcXxYEGb LagqmEuilo4Os9bFWEQumcWAgi+RNep8wehyadZjYyU4ZfFLuIz5yg37puyMgKEZyczwkmUu50kO NcKkQmwsJLgDLskrocYpaHAAGy63a8/EDou3OLMTQxcv/z+rbxC9egg6/s6tGYJkO5AcLgCO9kuB +SLIl7wa6qnySReGnOUL9JD6c65UupPLPm5OgYPyqy9YnS0Paz/WWYjFJ2I9/Nu6D4C6bq1J5GJW ag1l77c00wk5Fgy7m9EyDe7lXBT1mn04DEktB7vj+qxTOYHiKAZSLeB8PbTY16U9YgGkDNjG3pVX 2oDAJZRtsSXnPniByAm2i1VT1JYdiSmmmkfq3VtEQyuOyQ0jQobE2aYYaJDluXl0niao+g2wAems qBdq2RXkCzfQrZpAgnqWh/9ee7MFVbWdkIZHcZDMxC1g7nO0e444rv/7kFE/3qjmiF1HdTEWjLPa i+26eExq34T2/Py1qKN9n1dRVv1rO2EzVCWa5M17dr9BBLQCuqIvfjDjFO5tSzv9GazFTXSznEXg iQt0mSHug9+prM8SVmg7MBzcoPAcgZgRfCKeBcp7VZYHMjN79Xi+4QTTGKWJEDXwAo8Mawda4gOi nMJAVO5sY56VkTO1F/48pExzKqVBHsM0dk/II6CRwlZsIl7XWUwnC8wDtkoHZzoRjSpMx3z6qS+7 cWotrwl8epCcoi6+0KbkyNs/QDcqBgCZIUe9Qsw31O4XIoa5+Cao0zvt4AeV4qgIGQ4rX1aK/g6d v27ZDU1obUM1WR3g4XIcgs9BNoA3QzkL2Mda6wX6jyEhfLiX5M58A1DERey3lQZowBBSePdtwztZ /UYvZSZpZ2kmtLa+ZVpqRWrnDzxxLaftVH/O59bbsPS0VI+xdNYZY/XafhNXTfbY0Y/y6GxukdEB PGxLYNn+cnfyKLmBAHOcvU0EbEN4rxrRScvYJow8CFKwNAV6Rtyg+XI8itgwrA/Od0JxbUKYI0MU biehd7rYAMHmjLjjcHAitLqbOrOKk5vD0IQDFXPRItk1pJeylFTfuTwfMvW7jOnCuQEhMImIdWAp VMFaj+bAfm3O5KndLEpCTws4bkB15vCrf63nny8k5pS9NeLUdMKQT7I/2/iadWcEy1S8GjUzcPFE MpkFIk5C9YO9dXxRlc+RKXKmOpoUOYZH17S56W6KithjGKeJxiUqzNmCGj5lfZ3iLSTsRL2B8E2h rbGuRWf/gY4f8F9QH++6o85di2hbsLdQ+gscz8BETWeSHYrjWosDCUFqvFZtKmFVa+QdNPfq9w5b 45ZlPPbP6HBBvaUQ4jQP8pxoIh6NpPUrqTiZQm6KaScU/WYCht2rhRbWup+B9B77MYoDQS/O2ST8 jlYN/v/zMwPjyevO2rIj3ZzSvl+vioF/J0X8WgAZKhCAG/ZjamEr9TrieZORd4G7NrU/rxDYOs3o U2/cvHIZ+fhGZGmkFwm6YAmyDA5dujXVhsPyO6OITZ8TDQAFyXbaB3S3qVVgpRlzZPasgRsVPszW o+q2lN83A4VBGJqU1U4PYsjvgewLPbZL0NZsUe1fhM2DOijiJQRWevreXn1xoS4cncAGbG+vk5eM 8gP2TxnsJ1GXiUENacr7wE7YSW4ttpp3KyLo24vWmxGeNCysPZgTrZrYVFXAwyIDfJIUS2+ooZgB XGFSKwDhSwkxL2LTzN53AkLoEnY2mA2hMA7uO+Svv7RcJATK0unER6lEWXVHDW9aZ9bgI/qPtq7m R8CVNpC1bdCvfrgaOhXxPHo2XGVNsYV5S6oMJCILnxZNmJI1ri5WF8vD/TZYKUtN1npdVCnuuwDa ng4ZPvHVWX/vA+dH9xtdlDdBHCvqT+awgK+ybggpUwnJzojG5qY5Vo33TR8dftMdFaSC9pFmmLYw HFa80MhaL7tnXMCl92OzoW9RXiZO9OXbjFyn+RsSrz2Vz4zTkM0NJwVXViK40Qrj38H9KRwLDR7E TNCEB1Ek/bzGgeIgklng48G3YEaZqotJP/Hbc04A/OpVMnbvu1I0xErQbBCR/Tnsqoo0ANznj8E/ iDfVDRplYGv1leY4t+6pqIIhVMdD2gQvefHdjd2vqutZv7QxyES5TjIblscpp1Yw5SZSF+n5ZDZK Se+m/KrqDUZqcd6KvoE37fa11rP0mP3/OWZsrTESo0pjxRcB7+41su6zwa/KBCrW4gxhehHukajA 7//5g2wJw5B9zOk2AEFPwNvuOdc/2Uzf6VkE70Ajaw+QK5M5KaKgnfk5aoN7ZYIWwPQaqmeYTecY YSxcR8Jb25vlVPu86BU0PlwnMiP1DI9XJj3PVumV+jPgVx94W56XFHLE6KoVMo7Wfk0ecWaQO0Zx hdO6Refuyf/DqJmQerbCvbZbnohRw95J0iwchf/iuZEIGYSlnsTdOmx2uJvCH0pvWKgr0krwXWMK rEh5gCcpw0u/F/M3DElH2DXcQ+Lcihj65XVPD7FrnG57w5FiGuCaMbGyX2Xim0pbgagE9McDYSp9 bYsGed8P4/Uqv204v4kttjg8im9pPK1BTObjMulbFiYm1CCVv/v2eYEM5SdYczGb1dZQ9slx1G0S y+ZmWFobZ0ON5KkWsd4wfPqtodMkJ8e73tiP3Be3NpG/hsol2OxnUNar4c0d3K6P6ZH1RR5OdX+e z5X864lPAX7vyzh+xfEeuOTLsM4pErxiLGo+9Z6UhQPfAYr750zMpR/kqyltX8ShL5blK/uaGkfl tPlswIyeQCDgZo8uLk+smyLQaRewjv0MqYb4RAkIP1aPSj0aLbTDIacgYDmldhi9BMJyV6cHXNJv E5RT7aU6/auyDPzqkU/ElVN0s22WHV5px5f9WWwbSYuZsmevF5Tja2IVk/y2D+iupcD+0AmuJAXH LgngZzeOq789P6aeF1ykz4EajY9xhB+8aghHoqvySYB+SDYLG9HofOJnVNRbYzfgwJvH4BO+GbCi Puu14J2HiGhgx2X1ur48bQ+Ftl+YDRMBS64Dm1a3tAl4wUrmRcyg+7OfNwSwYuWgvOw4qbNuwO6i dBZ355jQJfOwzlPRKImvaqIrazLuHmfPtY0ayuESjpxi/erK/yieXHs7jr351+UhwbqF6XidcQ3X aUzd3XnkX9Ev0lKnMoJ6iZdJMjvxM3Ry3BcNN9q2FPSCXp/1yR3JwhlvPNkdX90VpsRjI+MaX62+ /rBEZuKvItkw9R/7eDviWE7ziY/NMb7QF8A1OqR5M4qut/42l2wvpOvU1GjncX/zgJ1Hn3ZsM1Us UstZgKDqBh70CNhvrHtCKVa9pRIqhgi87Vkiq54TYHjRccXMU6bZK/wAAImIV+mGbm3nJBf7Fxy9 ngrZjkxVeo5eUsnOYbKC6UAOEkqJdJbOKCKNICRriYr2w9UFAGyeUXP31hBNpmydY9x8vH6cUQXD guNG0MqLKjn71N58puYstecNgp6HbdPrFx/Ubr5nDTrq9xFnIBIjX3IQPkQUN23lUQ1pNoEPbd50 hPpjorLU3qwP6u43A2uZHOzkB07tEKinH9mtRISIYNSxD+d3DGTx69pd9NsMUUisGW1BLnfTZHx5 WHSn5e+UTna0p4OV1G816XHj17M2goOQlIvfklPPTL0OZu0afdlZQRhLHH3VPxS+Ss00Jl2JuJQq XsIrsDIuIb+3rnYbfGfWk9UHxcdKFvqT7LS2eMvr3iYZJ6u1ccrYzngRA8TOLpMGpUm64GP15kKB JS7zWck7XyJ0cO2Qh3AET+Q8vCPb226yDiVZ/IjTjrwitrMEhgXetxxDoRZ0TbjvoMvrDYU/D4xB KjK79hV9TAdxYYQ6w35d2RTRhn3F46LCZTUDFDIng9mnQV/eeLDD3Qtj3+8UmyywNZYVjFyf1OGG Us1Xfui8dxjD3/uB+QCYDZo48uZ5+2hQ+IDwsVt09zXxBrqIaLLQ97VSxGM4QZgsAdrC760elirG cQ+PSq9quKH2AP3kFqi4am2zV6cd1xaD5SUswHKB7rqfJsKaXh+F9aNYECq8T4l5mS1RbqNXAvIN XF1TpsI1Zy+4OYoH7F5KzJ+gQBez2Gt3loDVDj/PGyO8y9hevSYlsbuM0H282qw2v83Cj+G7lZjd Njpf/anglCUv9zLlKRRyJDd4eiJeSYdJbKjUCxfLuqvoCwiHLleOEPMxAtagnMPlxP1GJetSTol7 Z2jjkmDh0/qFHBNkuZY6vNjBRbguPx9p6LnRsxD5/VI47WakNDIekuz+dxg9IOr4z3I6hNbriFOm MlKbZQ2q0Q62unerTZD+Ly5hAIT+5en7+gvv23aUbGSX2mQrecI57JRvkVVlTT1PZN49NglEb/hS 6BroFhvu0QlptGlI2tTucXyWwVOQaAwV15J7lCZniTLgCbIK2E76gr/DvkaqS/tJqF4iqc1mMoQt der3aotSAiCsDxZxR6v9dOsF2mbiS0sCFt2egMyvEJ8SY7h6nozub3Yfkr7sGZ0Hkco4BIjJ+0XV NRXmgmx8BCVluaTCO5H0dir8i7/gfm1NolniRii8B2FE9DU+cy5aFjb+DRtopwHDdLsZ4tW66LQA fGurvzlDSKrr3v00+FbZLcqZVtl9yM/blUfWv8AGgN7PrsPJo8sbp5Sxamnmnl+DrvFE4VOQ1dE6 Gy0dcpVFFIuOLv/MEV74kb7dfHHTJ8U9GaIhIsKMn4SCNvh/EA7MBTljDCPn3K6rPOhKHpS5LTff 8a7LvTNVB595tHOAVP8HOgKerwSgpOougne10chgdELGCuC1SuSMvpNYjhHYaqe/df1m2cPh4x1a EfTv/5mRYmsjqQn9ZQ44F/IDrr5ddviAhR2Qu802/a+59U7Fp9yuXCJWmhl+ggPV8tEdhCZqrcFV UUd80D9y9vYR/N/4TrDRR1jmGnPjSie7z4pkXltriyZZZwq+JjHRpMc5gJBOvNFqRxEH1UsbGJrj /gad3AYZMpvQiOJAnBayOP0MYrVSTi7IrW1QmgoqDnQNI5+iKxwjTvht+bwb40z5yMqOCB838S1Y cHLnfPX2svyDDDF/q/bjiUlf3Son+ExX+9VI+UrWvm0J/5UW/LBG0QFQnGgPvlmx/nATy/tAb3CF n1Q1facY1LKKuiacngcs7U8j4M6AdLqORMZri3BCBcoT5AJtRZEndr9O0eFLXJrfAooSgwuID3Mm UJRP6KeSuIySYS0W7YBWC15lbVmI9XpgHDJdpybPPdF49lf6V1BRTP5tRU7xgEiOynWRaMgZLJQb H+Cfri1W9XtKRlIGg4oyFz2oW5O2l1UyiCIZrSp9NELKVnbg4wVLkT2P2o5yFTiaU/19bd+jRTVa JIqSYaeT0Hd5w1gtUrezJTqrfNQJ5wuXAthXkYO/y9+K9AvGikZbqk/OmGn6iVPJ/1L+JYIkMdfL ll1abRVBwcKXBvUKVg3tTjW0Eo+/tzGsX9cMfH7er7r4ay20l18PXAq3+/GWwBnALkkt3D1PfM2j EiBmnzpuNRU0O19r4nsIAI4Z/eHtryEYHj7h/IFtgFAiwvCQ4I4L41sB8ny/CcrimggCtHrzq9Wk V3RKgPhOmszsbWDmt9RcEgy58FhHQyyMWUZGinKABmEfOA2iA7RdALz3xfGWuZhAVngq/OCfdf5g 8JDhboFhr8MggRwBFGNSiwuS0Y+x49Hx9DfU7r/rStA+JZi+fYfH3O56qIr6vHQJ+00CtIXX/mEw a2bw9v6QRFB5ssjsOZFq46MVNwQz7iD8QrxblBNirCN8iQI9ida2z6KEPiiLyMlCtzs85iZIUght T1Rx9tGDE6x2z3uSTMg1LDgjICWt4EQfOYIf/F3SihiWy2GLHeAxuIHh26me6Oz8MGnpz1FSuRA5 41H/B8BcfOahn9KKq5ufQb1iwPYCE3FDu2GsOPmvvkPQWNy8Kafk45gJYrCY4LmtE7FOIUBBrnPM MF9qLW5YOmXWEr5V6oHSUhNieyhXzMF8UXBCdTwu+JpINU5vXcBW+dUiCHFYsLM/JMNhQA1vyhAj ZLFXw9AYm1Ra5cTeZi4Az6tAkD3dML8NsrLarDhi0elccM39Sp9qWrEORNNQKtSyme8y6Nh0/YP3 fBbTzDnUXsuEIDRmoj6uDyY90izLjVC/e+gY0XBOUpJDh3+k9J1gXSu8NcvdbGLHjAAd9qrx1JB1 b0MvpBHs+Zz4lCJYGniSk/qTp3YZM70wFuSHIF0Eiyx4nwzWsauLTJzkZX8Vd/eeuA3QABk2GPtU RUuGvp8Vhe180fpfKEu3lTpIc9u1QrWfrpq0C5qXqPLpBs/C2odGhRToqp9ba4SorWGwnpWaVZl+ Zs05POe5hKX/taQzSTZFXHg9nf08GQhtURR/d9yLKYYKxA52TqCliUyepBQ+AmykwAh+U1hS6Tbp f1XeSQkfc/kjtKOEkzombE0gfV5Z+dNki791X8f4wk7a/JOCp7qL7n9BuhtBywWHytMnkGOpo2/8 B8M2HrHcmu48NbeC6yrtUGAMtc1/jaNrIXDwBOL1kZIYaa3ZXb00rd/n5xdmAsXwtkZ70OpiOWIt YTz0zyzNiE7wBYfY3ZsrytO11mPl6C+kwdkbRdnckm0tk08eRCpPF/B46yxQ6OOrm3nmbF2pGBbv ceyS1FF7kDDnP1vCO1jvGYTjvhbDugzhfN1GTRaiVHgsTh/PbXPT6zSxaTNuNMezCty52pqrnfAe irFRDdTMOJ00sSQTizN6IaJHIIPuos5ym56mT9OMKrhmdXqEcGKwY4aicY7pBVpTluqSJnkpzAI4 LVxQPVVpmhOcnf1SKqq1WMHkWQ4dIww/yayQO6W/WfeCwb6lKA0X4wCZNMcWZIvK1ywficzMpLLh yQ8qfhyrpSI3rnN0IPhDItvDujDbmrw63L3QkY7NhZ/3rbB1UUj5ohqs8buiUB3CeyMog7lXs7YB 3L3w2QWy2Jor8rDQNORHaf885ZqCuB7KxsgE5WBhOflRZXuRwJ9koG20nH10ObJvJX5il+PgTZ5M P1vXwNyNQOuy9Hmr4kZ63U2euiIprk8I75N7Gs3WmVk8CbE03jVwSbxWzJ/QNaEjGHoJzfxTjNPG NU0Bu6JAPu6tF9mEoxaCooXEaYbkxA46sVInOWi9gbG83kbTkyt8gq2jIfQpEInh45hP1PjGkZHY /OzMpbUv35YHW8YnF3/uMzC8qn9seqUAnWxjd9UQP3vLnFK+3WAXJELqCwk3FX6/Zr4qnHXD36ao U1N1mfuB75Lw4YjZR0P9uQ4UCJtKR0+ZXASI7kkhlDHpWVj6QXnzEIcNKPuY3JkcsJYhiQlHwUoO jwEaxuzv9+xkrLw5zXKcp/Oie4b5dxAiY6V6jNUk8eC2ZxJcpUO4PBwAQ7J1IpcWjLIlOuZ0IscP P5BsD08geKTAeXRnrRJ+lXnmDEbQ7xi6rWYkRKrm/nydemJVwaTyBtNZJNLjGOf49IPhE+jDYbfv HjukiPZhiHWE76da+w0UdK8sJGPDPKYZB/miaQ6jI4Co4ga8pBsy9HFP6PaTvzWKf2nK3tPdg5zL svF574IXGQ2zZBOSsyxKOc/+uEZYyDyGWwqdwE3oZXpkQFIIkcA6GQhvmSK3iq+VPGyJRyKJFLlC /pG5b6OoLVS35ux9nKf3djePTDr1VmsnWWe3lgVbVmInjieXK1YtPD6a7YesRXGCcCV3QHiTAXkQ 1kY2U/+A4qJGw6X2bHmslIrN58eu3FH5yEh6dN4uVCOO35Xi1rN7KVgnmdI3LAYjuPEmKeSBizfJ ow1R0xASxc0U0HuM58yZ/OpQKRGKtYPYGjXZLGkbtiEmSJ8KrezPAIZvABWrPLPtAzJh5pg/3yqs LwSj0zKW8aXKs+C8RKCGx3BEPIOV9hioPxnNLfP7O1Ud/8FuetrGjupe3tf6c1Mlq/Y/+S4CyW33 SMc1pkThzK6xIZe+U4sFl0L2ChsQOr29y3DbAW6pdpuVLt+nkzd64SOeVrgA50sg+3lh/CtpdDVd M7jz979e2m4Mepbspw2zm3vrPjT0tvL2ZjxY0z4yqSgSc8HRF8kKHetmP9LUD/FWpoP6Gmzu+KFI v30jC/JvevnFSWRuvZYo1Ef1ixhI5WcitLoUHnNLnk+vrZu08fuCAS3jWtlxe36G6IB6iWKZu2VM lcup4FwToZo9beT7hJp2XzXsvyAbGJ6tnGWJ+AcIW/DhJs8JXTwNXUiCybb4JNz1esm0Qj791tQF XpjUFPLWalCj1QS4fsoFJItpJLN3IWU1Zxok9OrnwyXNnkmC8u3y+kTotm4i99CRpj9WGOJ4WNb0 wx0kSvDWyq/jNXsBffnmPB7Gq6ZNs64Ob2fJtJu1eTzdv/BS96OLdOLc+GDg2jPoUZkbRVJS7SZf cfs/o0YoM2EQrRfCBMPSrxFWEWEJmkNlcaXXK3x3MqpGCXlnLg+uEG5o06Lhb/m4qksgrSzLvcMc YJOmgBILMGr6wmfCFAbImKEXaUQIslGoWlrSPsnPxAUdvMR520GoBQvJmwYQZw9jX14XP12+Y0x2 3cHvGGPeEQAls5ntDaFJ5v4VQoO6vLfng+DkeiXaQwm/HBxf94ANPqJ2cuEZOLzduC74NiBGjEZE XtegkCJ3Z+zwej71SA/orYcTclf5EOg15sShmPBa13BQvW66hmj2dEbEOSeFPj9BK6T8TWpRRoUf 2o3BgWeO6gPy5r3JjhSU6CyLc9J078orwV+o9soh1T5+7v9qasMg08bzMSX6hbGsjgPfgBIxXQht Xk+C+dBI+bkoe19zKRbtjpMZl5lhsDmwtz0MNM7Z/cgeW4UYlA9VwmKs8oAz2kCiyl7h41Mnhnnf xEixgUU0GVreF9Sd0d7M6CLq7biZ3tSQroDM32w0v+aRRXIwIQG8Ld/yv+2OyTQVl2A2OwPMrOJy H6g2fjLkNPk+SE0Xa4QEpo67qZtQqO1cODfdbYHFdGz3PBL/OCDS7fznKJ/XrtfRY7Zww0EWpfEv QCLdY90/B9xUSzvZuOsLjXdY/f1gGAufEWkxCD4Bsj1r+ro+Fr172NrL7SUhf8qSugNfWIi/AFw/ fVlAlmE+2/m0FsM2lHsMX8kQNBfBiOVr1uKLMJrWRQZLdCtdYfhV1sQzi6rblFVFNgWDPs51UpBm SsauH9yWmcwd+aayPHHZy1PMo+CvXrv1HD6C6dV7dB8r67pdZ+madV7AHdFBa5qT026ImO1gw5u7 tKKKaxcbPn9dlufilX10W7LS5Iam5ldnD+4gSkt9ptQsRINgTXaA12zt1gDbndnM+qHEK1Rhv4Rt 8RaOcpglfBeb+vsTSQamEy2m0YYgwqfhO+fmGxPx+NJ8OeOmiR8/8mKtffQFDqqevdtQbcqh/e6F ekWrzujKKyihnfiOZEdLTWVAAnKCzDa8TSREOulE4HVh7BQhN+ZWu3cmhtLt8M3/gkzw/VWq6nMQ odq9BBdwT84AmN5j2XnshfCMLqQqcQ5xs3u58oZI9sQyYVGXca10EKPnysKUMoZZIH0WoSLIKz2z W325e9Mb3h0JAuE7ejc4jJzzkQOIeDLmFrZw8wGA5ISpfxvBb/ZRDot7iDztyX+za3Ie1GRyn4EY 2Axa2xPZbvtkPrJzmia6qLYZcCMRrCYGFHPf7iNZXu1A7sf10h4H9mLPrzicyN2Dyzwth5ExDyiO Io04R0Khc3Y3E3IKKERT0WG364Em1dZolYRJSFr++mselrPcNW1uYdjruYcmEyHB+rH2iEYp+ppo XoF5KT9r9I3AoeqkuNBnoqorNaid898LCpXq7bwLFf2i9mzkveJYuXN4TN3AlxRNu+c+Q1DGfXYD EsGtjz4OnlXlg0goVpS2Hu0tSS44pNBzWHmhJIfJkGwaaXG2IDvWot4K2RtnBaIHkCZuFbXWbaP0 P7nXKVPfcvMqb2JUxz/fcNYxw6GMC0Wx/aRq0VdwWY90m+t7qZOXCMASB0V3sUHKLPFJfWLoQ8+9 8IiKz71gCwkGATz5n5mvTsLO3Z6xUH+/X02NjKZd1gSHrsWC1l4og+Yc15Djk42rqanhRYks6YwX ck9VEKxv+pq17KIcm/iX3vqtwjQnYwU3iOGIRSNo/hJ6Vc/B7fVjvAskO0OWUoogOxhYtl5U6wu8 ami7ukubPWijKtoQZX+r/488WKUWBuTevyLsvjaHeqFq2oszeaoWBSaJMYYAPjc+4ICSIHcZGlmR Z794Z/r1sXlNdKItLza7gs3CM7BEBcQwF45+KU8ZlqUAO253UsMZ6VMv2vrTIikFbXZjhvbjy5Xy 5iBCrbdNEX8Ib7ZFzRtt0vimXu9ZqTnow5fDAaj1hk0Jj+NsvgqaOO/r+zR8HVxwhiQIqczuKoS1 RajzU8jocqTGExqL2cuw29FgMWENvJei4jyeAIPOZ/M831MD8dmOKqTsUfLgafSgCWJFmSgH38E9 gS2HVOomxFs50+Bn0nnT6eUdVjkk8uvT/5YgjA0Y8Vu050OXy5p1P380DxK7nuBcRH7u6+Rd7jgU kFPuK+f5JwUiXl5MK5t4eYQh2Fr69vXf74UzYWkxdWoynoZMkNFT+uXgKM2NKjNuoZPRtN1vwlDn sZThAt8QRmC02lphNJeCihN900jswwmrOKIja6FB9kpmRnjhjvmQtWeOQySc7qaa1BqQgIE/213I x8J8nFi+enyNMiHa8Ijlb8SWtLFxEFrEx18KrX38v4OjvdxW69ShN4C/0y6EUNmFBUD+aNMSWgyH ASoAYBQY5dbnO5jQF0aDQI4iVxNHEXKTHKOfbXAvBKYg8l4dMWQpDZ7fDjjGLr1hRzKU9FboOG5q uds2cpL+f3ghWwEro1jHtPJM5dkltxHgO1kRvCl9U972GnAOcaGY65S4SOKnMyy8Oq1sCO13girB QwMo3KE40uoZpRFYk2kx1pbX2raHVdRIqp0uhD/S4aDlq2T+oAa1NC2z941gyO4+wHn4+XyURnIa Q0g337YvcPNEMZXY4DD1v7MiUyDsYyMNr1ziLKcIH5oiU7I/R4gIE6Hfqsz2xSRmxYqvxoiZ3c6G z7Lie/KWYcb4I6HCgovADkzuCzGHT7HTHFdyMEZW5C7v38ET3WI7nEDyLWf0b4tfat+tUHQ9XNp8 WeTrhZBJRUXg4/BEa+4st3F41O0Yj5Gmjp2J/GZXTYaKi9zGrAzGQMj/hnXPbcFEAq9LoQEDl+u6 BV1BP8Y2smn0fUMXF4QkaCLqR7edm4NAm2d8DWnvASSnLrA3RUgoZSczDot6QZ3pvuo2jbDc9FdA h2XrR7EBkWMjjMaOw/PVSoOz4Gl7LGRritLkyvbmgC+WrF0rO8kISsSAsPKY4SRzYE2y5DTkh9/V R+lGbpCc5RQDegQFpj59NmmZsCfJSfa3ORKiKAwq+YvdpvzhW4FR/6YKYDM6I+1LT6+1fLvav3Vw 1ZKUpnIb6CNDzapUMzw6BuPJcrQkTJsnC+1Qql5WVmfe6cY7ScgfsVM12fm0Ay8Hq5FzbmlX37UF EYOyT9r9HibjBhTFLRXJxDv7JmIqLcBdzGGaxXlTohaM8XH+Z9/6alGlJb6dj64Z1IXaQr1WfENz cYICZX5vg+vr3YX3CMCx8OFGsOtJIfnnboX59PMjjO4gTDcV3ukJNc6IZMhiC7lsPAXgVoQOAHpq ckaFz42CZ9VQI3VSxFOqmgfxFn9cr122h6PmLKQcu9LkiFDGS/MbeuQA2vejt1OtIOUEa2yDP3y0 jVqJk1MDg92ej8Ea1kWeHOBDYZcrEC/1KIQwNzED3nL9CxednddMdXmJNjzdXrC7bMQPSkYfavS6 qoLke6kCpPXSXVb2CRwNGRnyjedNJynESy0yHZnc2cGWjKDWj4dQN2y8lizbpAMwJvlK6hd+Yrr1 1+E2en0k216+3reX9NvwZ3NJYzZ5LDMyFVhLDqTqvZUEeZ7xsCb3R2nUCTAdEVL8k0o9mmj5QVVz UnXoG6ZhSlJvglGxBGX2MIQSkSF5DC3Qcz8L4irECX0rs4OLzVPHYh42XqU/olRU7S5AyU78FuC7 ntRReZ1QtLPNXTEWwlvcRuUeulZ9xFznagKKhQi0QKHuhHfyownLVXvU3I4B3PGeWTQ+bEKP41j9 4G1p2gN/lvccOSF5hVBGlTxa9yFoHktb6BUccLMgRoxvoJT6eODtqiK+kJYW+H6D+t79cZ3HqdtC WZndHbcMu3fUL7eSt5EOMTE719BlB8W+XgCogV+sXNgvVgJA3QJkExY776H2Fkt0b/HBAlUodCod xk7sp+ldDTAR9Lx2KB/TgXIFoS3WiuDVArE1yNQ9nleDu4ShzQowavyPYTdo/yaOrk5g2+LSHOly 50ulxJ/8YRRfaCd1NbT+C6CK4KpVEKMGr4ogbwIM6uHxh4S1ldUAhHHp2UfX5HIgkP7Zzcf/ZaBX ZrmLhIlaE62J84vp4l4bPiTLiuceEedJimmJv8RvDw2wop4PQ8y7KzSaf0qrkoTYHTwAWgMGG+R7 WXTrh96Rr5CwwPeonxLfDHSw9EaB4FBy3/GRPNQabc+ErqZnlI0J9nbJuVXy7zEnYgfQ7B57Ns32 BUT1lp27nw0FBgbAUuiaT7ooAJ1FQAzcNpzFUl2udwMyaKd61ZngUXxp8oL6pv3U1nAvopr+WeEf 7QLYaMIibmco7GA4uuv9Ztfb+xVwm8llcGZPcnJ6vdLcmju8G6IbACZTMcMTmZ3cVW4sTz+BrwkS mNzn5+DrUyy2c1l4tbQDrqdxg7F76ePumpmVIPp/2mXwZDCvAWwqSoFfBF84adpaiQmghVOyqLZM rADx1RGB2V5+jmHFO+rxEQm2KpyrNIiN+VTy8dNUwbBt4ZV2p7Y9zbep9vmGD+lRHuWXJucSiSEY Mgqf39UqXegYVUdmefWOozG7gt4mR8ZtdCcpQLt5U3YXtnfxvsJPKgdP7KcIEC22NiOADGS+ax+3 QwS4WHHL2UF8D7TRMHDmKvmam+grtoMJllFQDY5f2IUa96krNroaPJLpKxGaJ9n6vTmEXSsA30F0 Ue5MTnewLq4grdzL0UU2tXGCA65nuSeqGpX9mPLabDVaM0Z2FY1qf/Z6huB2/eSUtdsv3kQLWMTE 4b7YyxMo6ZVb6Tyfa83e5RX1B/0mfyilAuZdb7IMtonr3hx7k5xrxbK04MAMQHQkT/+80z6ey8x0 YC+JkP8tb1dWQZ+CN9DK2jZHByy8jbAjIqmr71N53TV2OUhTcKUVXxrpl26/vRK27FsGeOk5WypT yvLj5wKTvdjAogpgZMSAdmheiraDrtLnrh/FO8FT5RMt0F187v4q0Um45oWYIKAjbKLH6E93+DCg FTPNxFRYfUJqNP2Rs4io8HXQGwh0StpKBMixifKUFmcUPb1JnQ9e6tNZRUACP9uDtQyOdFfft5Ys rQsWIdPOSf724ugA4iXqjOpjF5JBGRioM7km0f+T6qHXe10542yUgCCdhxJ4vY1dLAWE67K8SoVG Q7xUi5uXjli7r8hc24BeGJSniYTIfZiPvLe9CG0HlXPTEtUhXMZzPxV2mewkZyfC8IBtTCf3qYQm +dfYG0i/D2FFrTWsJz4Z+E85JDLPRtzE2oMGs7SR6sfN3r0pV5OgAzATUbQBMXRhuHxkGzuRX6q4 nWMu47iRUY3hJtYkCWdGsdBVSbN5ZZqlYV1C3Htir3nb8SXvBcWUWOG93lEsWH1taCp8lO1AZg6j X1edG5FxEgz4j3rh6E8xdpRmeiNaJZXj9SV2yIYuBzQcw/4QwiibkcDh9EEbR2W8r8qGCzXiEz6W Im8v4MlQRNkFm60KBb/9fEzpx8rbLQirwFwQDdkAXJT0cIsZMevnGSKUIaC009YE+3eX1eyjV9EL 4uraY9ktWbpBGhIKyua3U9bB62BgRDBPUSyGyvygCef3JsoHhg8M/PBpJ7COJgoiP1bc2igA//9u tSprJe1l6biKPiOFiD4l4drAbJ5d5KTgeELdDawa3IKCs98Z8BlU74HHN0Vjcc5Bl87wfZPCjDuJ /8zAGqB6kk5gCzHz5xM91YSFktQVmg2SKIHHu5Cux+HK1pLRrTfREpWDFMPwnhO0QP+LaMsfxF1/ n9Mk3LXIF4YoJI/prvXu9Bh+YTcaW7bpXDbYRFD/09Hca8MKCQF9z+KTKRBG571YB9Fmw95Adxb5 blfU7MJqt5SjnCDcTik78pZ8fMQXWUwjFwrCyhRdk7C75jS6p0Jn9xwm+8psQJFPerHeJgsKvczR zsu+PYSPsvJydMGq24irDU/gtveGsGOmnPSWsSpeUuHOL4n374nw51w0f3qR3bPA0FINUwybCs7i fXgeE1+zlADPc9kkvp2CGirluNQ/MQxYcm3rUnYxTfpLv8jGqzsVvzRXiJaHgtFXzh2evzeHoHzm WyBvv5s2iVvkwmbpAnbNqm8N0L5dFDEuRI4iL+RKl5BcHKTJFEOC45MFgp43v1A3suQG98zvycNZ mLcPRHu/mVSDq4/B2Jkzk+xHZdPxzcVF45dh9f+Pdey4T5l7KxmDz6Ak7dCoRli6Oyhs3IaAtkJA A3+jvoMz1x3cLvRRECrgiFENxupytvaiNXWeyRqqlmj7zdMfYo8Lrlp/Sqy+IZXDZTMCWw0daSSH AEz+1sKt5d7nrg7QrvySLxuYCyPXWH9zIifkTBqxJh8pUaZ0LWiCu7Vx/FpwOqVH2vXUF7G5qGDl /4fNBzRFf7f/bMa0eO58pNbvA/LPme2eHW7GuLHMT7fC72AMf44yu71fKztdvAcnOeY5+qqfTqs8 vetY4HW0vMdKuw+4339J97slrMVv6YlkTnI11G6eEAGGj4SWYjqo303OT1FlNK2is/cS6yKeQADb rtrGeBn0qZV6qiKOYMCgpASrkacWi3btZa1dG0dtvzwEuUH5Oc9ueyG/ajSCrbrnpZ7dJJQJp0Kg SYx6MCm582wwclQwnlI8Cl+mq2NPyPXiE4a3E2EDx8PA9z5TJUDA2FIwsvKUcrTrPvzmgHBSNdtb WCC38ieVyegNHvs7hlAGfWfg5jB/lIR6AosKUNFnOHObyJX8CEukYr3N5wj0pZpLfNoKuV1La0xb on+Oc6XrF5zlMIVb6ZqfUhBFXIoWufQVUx21n+IGl5fLZ46yo9cgS8cJ43vI61290N5qXNMUgi+R cdDR9xRzxO1r2HZuS5FJhAX5xjHft4N92u+AU1LgG4XsUeyLuThPStZYiDcXoR6faLgHezRcmEs7 nt90J22putepN7WxPfZ0d02WkiCMuaC/YmyoVilTI/xNqhwDkoc/0610fp9fDLixIQ6Gwp2Q2wbO +4BL1HS+MUKSQRspqHSYhUdlSO3NzM1PqH9EoFVvsdxBdyALt5O+mhy/TX5WziEemyyXOmI81sPA srdpOhYeK4B5HMOGDoTBjwQZFffM/9JB4PLV+6G/hRcpXcXSu7iUJtmzbNiTcq7Z/V0Oah4cXyna 6Fc6pZ3q7zQx1n+hGVv4ZN+E4egishxBqRvu3w2L7a8aDH0dnAdpbi63GO3O4xMW4M8j9rkUyC+o pGp0gxrxG64oBKxeMcHy57/qg2kgauN2W1YiTiBbqGzQ+afFR7Yb1VfJryJoHIdIjgxQ1YyUQ6ss +rCKnTbLa7HIKWjuoqrnM5BbrgZjXlLnOZt8dGz01nTHK4Knv7e6SAtKlIwZjJFzI0UdcwRTybud ECx13ORDJzr2+SZt4dUPSVSpeUUBcT5lZHQKhOCZX8Pr9p6Yi4CnBF3x1DCx8veyGTzYpFqGTB2T AzJw8pa6AopKobNuFwGmJV1lojPq6xq5FD7tPykiMpoT6qAo5te7hAlmb2/FH+63YfL5Xmz5swaU oV3yaU8pdzwmb7BuDNhV8FuZeHoKvoCPEaed3/VHNyhQVoTOS8NowHe/7m/CskXQ0SJytSxRYXWs SZmKaG6/mGI7kMbIKY+rTUDRMPywxMWBJRt0ichLCgNcluHwXJDGKZH40v6Q9MWKyxXMwYUBJPrw FwHBbQUTAZ6KcMLyqr64EPTDXfDa9I6J8gwhojgYph/gOtRut6yDZd+scO/wY1w6PjJrfmWcZPds CWkC3+MIpUsiXnzZBKOPumshpm4o8aQtZ09G+8fs3RGPqf/GgZOXMpkCLuTyzP0Ix0Q+0nEdBhWj dYx5VMbZ/hrxspZqyoznoTRPY3GZo7iOW4zugUkBbuOXDOH8cWXbtx+Vt14TxAMkJfysS8P8byw8 enAJb4++Vjsb1EFpRN8Bu/OU1NFWCRsX2hnebKQJd0B3isE2E/IxzZtdD/9ZVjLUBNz1DXY9Pn12 Fz5n0Yf2vHtnCmaiEoF31Uz5A225G7fHP6BvARsabAbjyGd2Qulpvk60/fTw99+IY6+Y8D2UZJXX D0LMcl9AXhOxY+VjCgeNc48Ab9AEjqh6E3kPeLqZp+NP1CypX1hf3XQNej9UfFa/4lQWcaBZA6eK MdUdsZ26VKGefHT7mEoPgECXQUHHZMxV9+eFJn4MSSx3aFevY97FIDeTeuWT0/Du/+cL0Fwt3ioo qajuV2EheMQJafro7KjqDJmwdi4RimLQxrOJbm/LOGaAe0TkePewbioC6q0H6lfpyzP05TjfKuqE AKkXLW1rCkx2bPK50T8e76Pldj167pA/hHEmE/obfM+YVUNfK7YQsmeNy3OyQi9PiaD2mvhkA1Qk rVhXAUyzDtyT4r3U9JfBHrnM5BBO1tVhIlxf4eTDWZs6SA4/mDZfArTKou6SZKQlOSnWFprd+Lkm nY2B74MNaMkusclebwBfB9ywErQn9mU7Rxp7F/I7amlZ+3SgQLoFWILmQaNgw060aQWGjpcMc9RY nsYmPFOSjZMY376CbhpugpsadRiOGS7i8gJrcwCkSwUIjoxdOaMlmZkAtXhxw3iZ2Bp84lfHVpdN JmdULpHoKLbj6M4/RNfvxEGC8dTZhdUN2IUuc2W8r0irjnz99Rg0ibAKs4BgKShDVo2VYXhHnT2E IDrhwQ/8WeOokslfQEvvhYcPpYhKDxoNC36xcQCo0GSETShq4+D3txJ1NRe2wR7k9kdAXQfmNCyr m0fOQ9U51OnfG4TSRnULcPKhM+VMuQydQVk6rfborMHgUyB/oUGACSqLYm2jNX5wPPuzFw93U3Fs gWlNtgG0dZZj46ELbb9at9wroPGrxUWBiG1Xy7hH0wXIpYIKmi8x9FRVtoAiIHf5HQwo+zR+JhwA TRrDviKdjyusMolrm1kkLBPc2q37baapVBXoLIbslUHnpp8Kch68fHUBwUSLguPzHN9NHmZqqPJo jVYeuX/XXahh51qHjra8/wX61wHRsJM4ir0Y266U0fm7fNnj5c0hm7a6U2FDsjjsP3mwxhj4g8wm OTp0PJvoPvdwi1leVVJUPY2pxXTjMRKMWFFE6poA1t0pqRP7EKED4urNYJpMXLiY4OZItUV3mtIX kgS34emZuA0zCN53yhRJ0xEsZ19H8R90T/YkK1mnwsftQQddbOyZn1KeLcGfvqhHeSufc7UTrsnM kIDafSlDPsJMF+e4OGAkNk5YJhchCsHXYxdnEtB2NJnAkJogWjek6lnJYeduZEYiyATDxWQ7UEh2 85RpPWhWRN+UORfDXsjbGuwqxL9FaBugbOpaCNH7jfRU/CKGakW8giHCGHKLRhG+Avm9GekSgN9m 6RQwNW6f7kuDlyrlE67fXPPujz2BRQ0W57Tck1hX1fUksSGQwyBNHAPfSsPGF7LcJyr62Jrcobth WJNwaqg4b2qgEnsHxHgMhLYYwrJJFU373XB+NBZMSzWG6IcTBuQPBuHzYNbUz7tqQmK+3Yf0AL6p A05h3ViKQRq7FaZGn2xVmSxJex/AkM42a4OJ/ohm63E9eiTDcBBMcrncdJI/rsqL8P9IjrTnwJpF lYIp8CkzRqxV4AVFlYS9GaTzfrptJPT4LMnqJqxeNLQmCLM8cC9Oi+r4zmaClWYixZkBNzsqKgOC k8CtKZTMlc7JUPgecncz3Fw5En2LwH15rPTVjsF8900HjeYcJenIIKzrKl9hr9GBQ2cgcOWBrSfj NIXydf0RoC/TjmH+xR4DjVjrUbD8S9oiC7+7B3BbXYZOaFSoKJdpqm+dDTwIo7RS2uz/AA150u6c Mb/zdP9LbBNJRDqrq/zj2fvNZyFUyoK6XHb3arBkVUjaPVZwOpP3eYx3tgzLKKlKzB98FNdmfSnW ITQI3dhmcPisCBbR9leFoYU7Y67n58brlPdN/cZlzKfOTv3Is6EQ5CI3yVpJgagKxPmD1oKL2aXP agI6Bgnf8RiVDun/tchxb2ZAUaFhPKRdu93tpKeDiDrSNS7ayPQ0s/ug3HQQylR9yg0FoD3EOAEI qrVpFizBj8EQ8FMAn8ANTs5dUKAcLUiOS3GNLU/cuTrSwcsTbHGfoeEmvyHQnnDiPDAJeO5mGcQE D4yISSc9woYwWNv0aHZydbgJ9pSOLEN65kJpeA7NATU53EY37S/5ODr6EEVExkmRL5s0AvxoI8K+ EA9Cu6vCudBx3Wcc0/eIcd8wdExd/xGwmgt4NtyqyiRubrjcGjwvTFQElEBk9YOTfgtTPjWtwtpH kjJEwJJkt+8HWiNmsu8Owy8+Cj0brlqrkf3DpNjvoHrk6yoBJJTnNbLR1htNFLtYzCdZSPHAEQHB WqDBl5dM7aLwCn8pw2VMdZUNrIp/PMMbgkefvC2GkRNbuvTS7Txycb9zJ4NlSyT81maGVrMz0T33 k9EkLbg5i5rHbL0Hts8l6Rd2pAre9K9vOJvUEwObqVn6tnuy749K4V2JkBB94Ad0no59K3/UyexY nzEVVgfwO5V8eXdSB6mXQ/rbTHl9UJeab0gvmH/gKxOtg/KqPNp46cpcpYmlw6eAHc3EG93ioSi7 A+IPd7nrlv7o76YMrN0+m1TACVMNSvXsphbDVEK1HF79dB8LWxyIet4hsL3Wzi4im4nUDF2fzl8T 8g2HvmyIEE6tTC95uaCM+4gHCjz1S5TBtWF5NQkDc21U4J3/RO+1eF/wXkC0cz369g5BYApdwW/o DUOugQnp/7Yw8UeOk6KGxCSa61pcR2SNjQFbbOFrxh9jqiRXEfV6VM+IuTRfMhpu7wQV4Y69V+p6 vNYFy5uI2QHOkT57BtS5kqNrQvh5KOeSnnC4eUt/DgLAAZALhFxGlY1i5XlimExu4BKnkhZxksGO 3+jNgEnY8TEvkhCtRXQcHypMyT2b2svCF2UIX5GOpaKSIyByv/virFe/0SldYF+7hY/GaliE92R9 LrWsYKBOerGUPjLMEDt3cpeXJREExmXSC+PkOYW3c2XR+/pOQMRp+vhM8WoTSatCUguu4hOK7fHs /RwHaRaXVJPeCuTfl/6QEl8xEInqtb3MtLX33UcsPkNVHZTkLSFl2aCG+mbVbTnqSL8p8EOtazRp 5cRq1FpRWoBdBxXE7DRokVgnm6gfaMiiKwV6NTB7BRlULCxOnMSN8h+dCRoZb4lMGJxKf5CaQf2C BUEoveNnllPEu1sAkdRXPWIXtMy980fDvi/qA3gqzg57+kUUropaRKvFCKCmKP011AIkfhcHQNBB ZvhWmwI1N4U/tOCcoKmAvrSW0JUg1c53lMJzkfiOMz0CBwLjsxahRoLKaGBvi+56DMXY0NuxSapu E8m8+myAWdp2Rj12j+piYib0jQzKF0MV8fEgtwBjwHtIz8mJ/2NZX4fTexoVaKljEVpqUnzMbvvA ThV9xi2cEpFJ2OGcpJMGSL6WJBYBlCGxN3dPOpGEirW/sjgq/2oVcIaW6HhSjsMdAnQygc1yL1We FuPQsy+3TKAsIjnn1TsZOFuhqH1KXmnBSSRs45VxMVpNO2ftLA226EA7PfACAp3i6G7mfw8kkdO/ /IOYtLuONxchI9/qAHrI0IBwLc/TjmHbJ/p3zeTSBGVbeRyKD8g6n5FgaKPKTM17/AnXB4o9VGHN dfRKifD029GT5qBXW5umQ222huJawT4ivMdEnHFitOkwghC2YyYN+TTVR2FP0YFlHRbHfk50/E0D 1rnahowjmJ48DzOuq4dbWXXwJtFON2GZQwGkPm1tGHWivzyH4ItJ+eOOP6CU3/ZftrlMiIOnDEBE PxxOnt03tZMDq59bTIFe3cEZvpLo3KDc52+LBrea5vQGdmjf6qzlrRgZml/YNt0ktvA1XRumtAlM ioQiIy0J58o1MKvlhdctTtSzM9GIdd8qaiIJ/gaTDfNnB44g9Ig09AifvJWolSeQmZdLAiXTM6xs Kyasr7UzZonP4lhEgNYNrkhWZmorfoOoo2SID1yjI/Q24WJMn3A8SLpKclAUD/Xmw5/bfOqEe/ux ar7WX5GOpcvaLbjM3RYMrotytLdTP/pr+f29RiIANopXMqqD59yd6QY28A4Nt7uf+MNqY15g75RP 9pZhfQn8FOgzOCtFV7vgCc1sIHN+kuKlRp5GfRViN0wkzamaq3SKVDAQtT0hLIA/3zgqQ9sZtfhD SuULWm607rp2ZFtV33xkBcLsEwnoDZELYmQ6B/qVzwAzKlc5W9lbI4E8YCGCfJitP5lfJ8UVi4CU X7/buIywF9w8sS1Z3Xb9HJcj0UgewodxfgzNu+bFpyWdX0hmD7lGXfOwbxZ6nN0FR7i86NpLiIH7 0IO6hCSExiKZEO054GrT/XReIshfWnkV9J8Hli4spK27lt/nDjj2dCy7YgjYGw540IA5wJpDXeLw ISZ5YEV4NEDCND4Qqc4gZ587EYjpoJsEREqwuTMEGXrzAv08hYKEOL9yokmXCWtOjhrp1O7i1MA+ U/IxhPMFTk3Kmg91wugA9INcKHjBRUUhzkE5gps4wEM1R5PuWLS8PISRHN/xnZm07cK6y3KkcL2O /HJVIhdwkQ/KLGJCOmTpxQIo8h31EXYj89BYzXtkNpr/updosojPQpByyj41P1rbt8LpGRDRm0QD VhniSz3bt50K1sC2aUVePBKGpae5P1cxE1TqUZZRwIkMJKoTO6JTkfnsaS0gsnJ2T4sVrpKjSEl/ bOC4aKSeIQmivTeWvWoomi+piNzaG6WPdd0q5aa9Wnv91inDz+cWvbbzygusgbLGo86X/VWdqUeY lWov/+HU4zWT7duPknVFNfIe4HH4PQ/yEQ5N+eaJ3xJXlQ9hIYkaCms+ps8m+KEHDpcjuJy4BbKS vH+FgCTjrBR/TVBvXjq0pJvSc6xddB2sYYvNTeb8r1L/AR2vxBar3WxE0EyuXTQLXpEIC569iiKL XBriffU1b9hBk7qjvjvheZHJTW1sB1NatMw8HH06LMMiHJ7z20zvor6/KAaZZ0ttsIft8Rp+Hk8z 9lVxumVdvg3NR5kLQQLC8RvU2mCWkPPgdK9JREDq41V7kBQIspR+xESRN1Osqzud16TlRxlLRbx8 APmvF7v7J/yd9nxlRgjUtsQ1KjW3jaXrhrLLzg4lu8RSi5iXSmbRFkYrPvlCIQnQJYU/evhLIftU Q8DTQpL4JYo6qYBXBpcGRtY6WoAYVOWoT7HQ0x43ZWV2G5u368mKWHXdyP0ljIg9uL3bqbE/3l+8 Gdl0CQoBYrRL2AHOPsonYn27gq/mKUJsaHuAc+xXHV+j/6PhWHlG6rR1sPrVmrE/TRjZqeQtRdQX gf3jXi9U50TjQTK/uyqvaNHoTcyiWI6UK3rYDi4WQMvdxQnAvHAYZ3bJYQA4fDjrA7c79bEX9LQz TtrY0HI4di2FCIZrH7k/juq7LHxVYyEJblIsp0jrp+ykpC4jrIOrPQGHtmpBJZ28MLpNeVxv8MDJ cVGSV6IlGqvhJizBBWXt7M1guEPpiqFltXvZtEYcJrsTWftY5j+GkpT5bz3katIiLhsFi/N9vZMv tlu3D08km8IXYJOMS/L2dB2uabv9oqklOQyRbrjU3LhhEEAaCRnktRdL8os3pAzoyyfLuKXsy/RX NiuZWlXV6AhSOZfuqGzkSK1jvKMLdDv0ru+A6zq6zFBRMbvZ3dS2ZKhPrA9s+WoZmHXEt5eNtXtn zrupg9qmljxBtjV8mfd4C7842eIjFwmQXIe/RVABwP01CDiRYNnYtojFfHeTcGEGY3EcUumgIDN0 7QvRAvWI83dHpcoO0RE0ki+TpCNMNVsZ9lOq3NLDmiYNQMYICxZPuX0EQxmN93wl8dCFoGEak46S qQp8wzhfpmnv21/5R4wlqLd/AqN+oHky6cH4zt3VSYFetXZfrWUD3YWB3WWdEk47Y1/eHFB+Zw8E DCqpsfxzXibFn/OdXzWfVAD96LsW+6EGYPVtYS/HFc8ljtvWGmd1nBZktK5OjVyXD+Scs02CKRal baH0xDTTr9nHz+Tk4LPhOiiOIiIutHThG5nm7k0HaWvdbvjD1li6H6X0TQmOsi8mnu5vfYlIF/6Y hJGDdofu49WN9NhQ7jJ8N7K9WiHxz8lQTYaS9n9k4nFRlSHB9e/u74ZxdF1brIX8wE4iQSjRE196 7/N3LviAN6MUynAL/xPDabO0RPQWs9M2L/etIsut7n3mu+Amu6WPvOmKz96Ek6FlDWyaluJJAXko C9JQpTmIXwGcdh1TMg2exlL0yQf2mKmcbSBOPKF7uujr6UlRJ1ySgKSzWj8abNqLZsVvI/xNsOdo c3Yclp/BtylcKkORlqaXGDdO83VfisrB7/0QyjWjD4Zb7TuEL58tjrzSQSUdTUQWEq9LYfGwYs9m 41yC3a+T+qUlg7p8CWSpqKLwZA+QUVlvvb24/mSMnH+1q0xaFylGylMb2KC726lN2IfgIWPgbaPO hip8i268o6Jne8N/46RaLHm0EypwZzROhVySBBcNmZAVhmyeH4K1jSpSvhxdifgsWVvbX3zTSo/J k1rXKF4hkqCombmsBvnRyNuB4baD5zUo9XeTn+U9kLiiLb8qo35MXnru/QK1Cyk3G/dcwOuNUl2B CZVabV5KLax6C3JL0cHmY4bGkQuI2r7y2EtLorj5yFzKYy1tBgggSuAnuAQYeMLDGowM3K8HqCwu UMxGMHoZnE/CDXb0oyFLCXk4STEbsCzTYREjffwjfFICJiIjbI2njiXsmGQX4Kxlgl2rjqE9Mmid KiefKUeThpDx8qCibh0+CHQT+qNYe8O3QkA1dHav9sOICRW9XCcHuC3VdjGM6oXQXIVq+q2M7gfg tE4Sz3QYsAUhIpH21NIZB2N3mYbElmyV4AVI1BJG2ze9s189aquw3J8LfIoqHTipL1yEeJAigAUy wVw8aOlBPxcwEOMJEQnxZEN4DYPZaEQe1s/kNfuN7XmrzLz9/N6NcWSw0BRuqWMYEqIygZC4y3G6 hAowAqs0EGoIPUnJbBp0+o2qElDLb0V7cyaHljEiR1QI/UrkAGeyjMgjUArcPF6yLveUzjO3T4Qv XIiE0jSt+Hqyp39bo/ySi4sMHDHU/64p2XQW9yQ/YrWQaL+sq0nGrIWGlczEnDvRLAMCLS3ikzCX oislXashkI9/S0RM1NA/35eChCn8LocyL+X3gZ1ezOmGnzefiJ8m5CwP9Vj/6QkaD2ix077WdQ7C mGUmL2mapH2XkJtlTfoRxZZyLFhOa4qwa/hkqmzTke1Iw9fujAfZJ+IYTWJUnbIBDn68/5wMmNLC CTBmzCGMtpE9k1gVBBMj/sdMOT1ZluckL8av3Jf16qXCN3PxhM4MZPkMKRQ0lERjjKAhRuHEVrJC gS2FWxF/aeIxk+UOyJQ4uspdki06oVbAd8STeFwVetWKXBMJ52/rZ4FwFrL7cn8CXjobw1qJnTO5 e3t8mSGM6MHU5+ay6cBptXdihHVjCT2j3eJUr7Aq7sm/Ebl3tsfGPR0CErqHbM1LzjWkUXKNd4bH FpgjIuRhX9DKyaqQ43MJjpYL2W5r2nrgovghx5gifBlgkHkkaGjhc0jUikyFBlPmTDMAfI+66Coe njtlgSBPL9kvGseBvCiYsUfYIM43aJRYy5lawY1nKmH9EZSRmpnV2XJLyDsgcJRdscaBds08F+pi F/As+Qnn6dbk+bWNXKQKg7itIY/msP7TaL+kN1HsJGoaXYPLHDzvEFRCQWWaxFGqdsgWdMTYtfEE GJXm0pCRaGVyQ4wni/XtkPK81zSmLKUY/+dMPmGfl2JY0/3OJaOzq42BNHDqZaVfGtKP6F99GNi8 pvb9eeX3HZcgIgyxxR/BOdyabs9J2vUbGjR0qUCEzFO76ksKPJrCwiRALcYbnfDO9OrqDlnHFV2y rxjI0j5DAgx+ONAmPdJ2ftCDEhb1gmsYWLcySdGbFuDXiIj0zd+9tnm/WFnV5YygR6gEF9xsAMoz 3IXMlQPTE84t3V9UKZO+VjOyGSsa5F1zPdSpTO3xRWH3MtZPCppX6RHRpL9KZyknYUgGb4VBauqx 0vzn/iqnyt37oARLsMSJNyLgCOL0BfmbJeRX0qfjsaJj87O0RGQ9O2ta0WgrowJzgrj6isRFjZzb DOBT3fhevKW2koJOWVXKufGakGZnV5UYK9Zm9H/ibDeSf+K+7583/bkKHZIQPUd8ExS5o0mXUOeA +D5nqsotku20GO+RLs3NBOGqXUF/74qbKBwv79N5u9yiU5bEIDCWbU5rpsJAzoFd4IkACl4dYcx+ 9non4sAwWF6np00M0gjOzB07hwnVDhimUmt5ND4NfoJCEK928PFFzR8ZA+QVcyS9Ku1XyfVIjDOz WfjJkqpCaX5MquLX3x0Pa0MmcJy3TYGSBIeXcs1X6Ml7AUWto44S/o+2eKYknzwWD5SaJnC8Q8Ew 9wSiSlzR711vtdYmyppr6jTkPYmLbqxUxkeIwKmPyxVqrnw2gNUa7Dbe6K+0OqCfIV6bnt+Aj8Yp ecJKpGBMWq6bP5u6aZODgrHuQJRx7HpsqinHbYQ3LJiGrBPknI+q0aMMRjgD6e5JQYD8IZDanhTp bOGAHr1ubcTSUkJzsZqHQEMnI4LpDGff96le/Zkp4ySjAEp42wNcteHqkDKWrjzrtw2Oq6cHqezM efkISE5fUJXWwphX5jPehyU3C/hWErcBA/9sMraX+eGcMz5y4F87+L/SKNzHDygOg8qC1WkHRxoz z3eN1hoY+7NYp3OxA3bLCQBxkjm91NZ+34Yie7GlECnOvkwJzLEsmQI2dpX+uHF1s9tJ80hWdNmc LtGpHUiG4wvMz9cYyAOb+RVFfodGdqBKx4Lgnf1phxAr6Ba88Y1OIeBH/PQFqDVABBOPVmaaSSK0 xSziDrkar0JQlxXXeWfmomlhQ7Xhwpi64gHulCwieFbtirHG5ycL4sqQVvsTvYnziKIsRJS+5ewY v7Wru2jsdfiyUgGJBfiV4XSBLhtpOqOrJomAFGXmuXxhFn0n0hsBL/yU4clOmSZiEFzQiWaa72bD PSV0T2pxXSHtQ4TqvQceI8FBLxQtXM24ew0Ecqns+eM0w1uJElh8BqLQ05rG2CMHKpuX6XaFtDxk Pn4umJluRFVMKT3ejRsvVmCd09z25mRNIoHzesPwMvvIzgvfi6dxja971UBQwGiWSNvWAlioAjKA +K9fiSx/bLUOOR1Q+RGTjXNz45QD6Tl7Y9BnHhNzrXN1bWkfnGJy4X8i2dY1Mmj7j9+Nz+aSvblB RkNwqe30j2nGlLNoP2sEwi1xrmApa6WIZlSOQXiKYvoBA2G+rID99KTS4pFkqjao+g4fUUSfcoX2 MotZa9UXrTHtL0sNxXl7YN6C+Gg3zSEeCRkb5h5+vk+WZSUpeyRZ36sN07rDVI2iQTIm67x123Nk rVuSHLRwSi3ot6ZCOJK/HgaGH/2DUTnrxHctUmnp4CzAx4JuawBwbH1lBYLZ/BPXIGMw4YPnJ4FJ vr6hVhnQ8G5jkSL3F0jUGt+ZvUSWUFqG/Rn/qshwkTCtG/5SMNjTyNNBuu0Y95v1G81MJ89csmY6 ix9VlsqPVZzQe6Hp+hBETVyXxUBJltfepz6LghFfDfeaBNJ9kTgtUZ+ovOWWbVOL176vCG98mVdG fst9dUH32WTmWAvAsOL6U5HchAS5Qzc18cMsbjv8hd/8HiaL+QxG9TWsiKmw2esthSZDYMpUWiy9 lDcQGrxQKlUvLY2w+XUTybRJ2DyuQB4PX7CsFbnobcq7//8rx/L+yKHLvBYDtl65IjLnL2aYrovI A98wd6Y3yZva7ORs93XL4LgxE7helWOZLaF5kLpb0dnSxL4l56JAj9p+YvdPi/c4420ZIy3VNEWv a9qX1QfSk4PBVdZnkD19tLQwvZ9airaoe8rXps8MSFE+2Ssd+efwfG84UMD+1Zc4/qIT2ceyXbRT H7ij0xCHwmHYO7POvGli67Pda51WSQ2GYEtIlyj9/il4JbwMw8YvmkOkxh7ai9VRMjC5I8hoZpab ZvgvFDDuu1hEiQ0MSlZ7wAV9Msvkvptc6yZBJQkKQEna7hwtljxgBZbjZfNWnov1Q2m3+gl53WrE 11Lr1wNXY6YeYt4QPXcFDleU7CBgCh+9dMAqhboXBzvMDRY77i3OpopreLlwIqRCoftSfjPUeCiO KGpfDPfEa2WYtG2dk0fbStBFACtF6R/hiR98/ejrXE2lp3xyUeQpZoThdCbpxkjFJ7EiV28HlwFc lCWCisRYdD0QjX1ih6m7fnxF2isrBU9JlT1/xd5xHb7L456buxWmzSupx3ttUWjpx0TXLSlvLe4t kTVYKtinX+A0vBiBqg5YVmXkM5pC1juzUia6CVaX22L7bfe+KQFtm35De0wU4L24j6UbbUuMPbzX f3R36a+yn96v/QrsSBxApy8YsVjtUnHfwxrrK7Ob02VEJchoWAEMi3QTo85e+P9NeYfeiQg3HEar 719OrnyYkT+rdhwHS+DVVoFNK/ZgcbjMCvdkBJNuaH3gHyVxF+eSURsQovI2Zt7TmEwicGkLETk0 d+OytkdUEt7oyG1wd5xP24mm/S/97u5JQN3msYaJLccdK4M+O1YIo0gLpk04K0kZtbb2DBfsUnoq mFPQ+XRRSwBh5mJU+YtD7/Ve/XxuIGaQgNe6sQTPCZBb7Goz9Rvj5RtPrRG2osoYbIHAXVjwgfkn PgmJFb1e6tOH1/ZUataPXoL8IrqUWZCv02sy4tYYxw64nIrQU+I7DYbE2O7FTVyoFXgnBD9oj2J+ bZss4mqMel/1//rGX7zacVIo9p9JaNK0cQ2sh6pItoR73ssqEayI6L3Q3XfZjwMxfuBQ6vhbUmbm KGxNLXizwPMTV60Wk63kbud+6uMO1hxw6wnR2y0xUDxBNYwTnIUpZJcIqcf8NJBM7C8uJU7uPDEv W28ieBqRVtnN/7ozqJ7RjzhIwhhZTMknDqgK0oCnz1h5Zr1bLUmD7zxR7wxBYYnF2LOAqm3XXifi oEz/se6ry2q1FZ5gsYsFhpc8mc3n9DFkCpto3K9jHcU+fezybV061GPpoBVpD+DJaGCZXmA5HmbO ZWN8D3UETtogQk0FUy3+Ltrn4bkLaSLmxPllGaQlSaaK0C+4FrIU2sM9Sta7N3s5+SMPEBxoS+rc Bdh3w/vSYx+Vr2MM2QgUCrKvkjx8cUifXfcepmsK3bB/O7MwRmvvHvyZWV2Km4aWbKBwCZq+xPnc hH9TMNP3HBq8GyhAbBJO1Zw3vIxxZmOAZZZ787E1TOqwJbBkEa4uJid/+jzCMRTJUuEtRZ58fSU9 1bBbjZd7w8bgaPQ9sflHLGFhLA9HuiQDYB5OY6zm0KIQoNn3uG2YHd9iRYgUdfT+sgYcp1j73boO 2boO23t0y0hoxM3SFeMpcq5dQYyJZRXdgv9CY6J7X6QzzLBhPtYZ+mAMb+6jKD+rmaa43L+O1ae1 H1J3nh3C8dJaEadkpgVHfydNYEJmwLLEzERXJrLy6V7vzngiObBjC60wqZqo5IJG4b9DLn4jflHB bL19OtL4pWG8ZytuehxfAYFUg/QhnWgO8pMQkQkmysOLm1lKd69fTEKx+yOzPQ3EgxgUFazG9Xj3 Ezgf/qs2zoJVfECqw+Vy0xv/0KrNYBxCcn6InfxkFj1iooHEkB7Pfe7vd6+97Pm8eVWvtvCoAzcV qVEA6/u8t5LgkWiFgXaxOswDLs4fmHd7wQ5Mmc1/XRDNVjFZs62/Ll3cjTcu3iTcGQywZgpRgT1P 2vMAFPm2rGLet7aaognw2IT2s20YZG40vxsy6aZhC0IchegAZZ0bF0n9t+H83+N5+0fQSrMXBeKB lQWvSvXlUXBua1a7FW3ti0o+C3y2qptyO1F/W2wOKETFujaeb8FqrRa204/LpiYHs5ybu3qEzqPC 8QNFCMHHuzCnnnFhcfhWHcfcjjtzp4sz42oygIlGoe3KjCzGgwXBqTMbB8TLMpUhiwfp25JqIk8a k7T2d5+acaDvN7P3nDLVXfeaycuvWKUGDja03G74Dbf/xXOfkIrADDNUf/14CCZ+jEmAT0HN4au6 nHt/YsWPjIYkkgY26VJpkdIPvy2i60F/e8kpzouvXhZbe9xezn5hiX9Tnej0NfjYUldHKwVQNc2Z J2pzaxEIZsBqe05idbT8EIV/qE2aQwWLRYCoLSCqpE2bP55L+Frun42bdpCrFipNy8fYJxHOePxO V5L3NVDkjEj0plr4mQGvQL2t9qvws8pyNlJ1Hzctz23u0OF6PJQH0Sr8nLJ+rJzbHcZkqhXVyYQF HVWzyuJNw/HO0tEkpSM2e4GCEE5ZVLUvb324StuQSA1WEF6/AfVoouQ1NonZbQIoeeDo6kMl7k7V UhgrOM66ZGdcIJPeOaADccrjC41s14y8AYIbk9KUm7FhRyIWXjLAqY40xND8DMi5H41bGJN6S9+8 l4fK97UcK3nOsb3scrxIif6XRPg2OPWGcCAxyI4NIuVmXD0T+4wQNxRo80u9gLCEwNk2w6wrInNt wMnK6denIYxh9YTsaICG6hDnt6LO0xrTpzJeYtfehw7qQcQGaphccfSSal1wVoqvCYLp2NgpaAn/ M62vjvtoV5F1uYxDPLcpxX/d6CRBL8pIEWViyPSrXdWfT+tj7pCzk7eic/U0NnsYTH0e3e0UjpoN 3YQdfELrRWcLQlMFvQVC4qZRsx7JNbvNylp40LDcLylJ4IJ931O0dYTUhmHwItl4gHX+Chm160TQ M/KhW3FGay4zKVC9Tgk0ItbrdfNQppS0mLC2PG5pDZRmhpX77V0iXHBuEZA6KE8xhpBAXnuGm36o bgpqlkydwihUpxvIzA6GrfgyNyiBsX2vJR6w62T4GLP8bsVGy/1z/qsPhZONFq/SUZy5PdJdLIAG kYdgzfE5o6nYVRfSKFJ9fWePyuVYkkboVMTc/3uFyhhd3+9ZYLUoUtZt/PZ5t5HI9n84GW7vnp79 JTQXegq9q4WDWXIMf9lEQxQZ5SRuUXlJiw2/7eLpkm3uN4rrhja2mF36IWT2fRKv3LxiBpaVRVp9 4XyjuJdbeAix3ZnN5gaPhFxOqlrAn/5VTBADk1jixdJqjDP31X8RVOzr3NsOT2B6/RcecdZGv+Rf kcJpa/I7AmnLGueHzqQ9XbDCItFLTuf2cUevWnHGWvCZz5YmSnrsecc1EUj3MKDhpFOvuGhoNNar JZimuKkurzQykl6FoDcub939GkQ0amOv7WxF2WuypRXpV+nGsrdGv9jNvY9uqoLsRQSkqZtYMpUM IjrkpDr4V+pbT1ARqUnZ3rCZPiP4kMq5G/r4WVs5fGN6BBkf8bVo8mDwSnq6OVFujTe+u/KmYtXW 6Z/ml9y5Wpg1H0pAWZ1p3UojkDaSnJ1prZY9kwW9Qv9nvbf3lEHsTlQ86I9i47D2RDv3m4n4u+Fv Oz0vSExZd7x/mj1/lrJOoDiXsDnHheFA9Y2vmsuZzsgnxgSlocRQhCpsLXb+sYqNAcaAHq6tjd7I rtVidxPxkQFD06Yx3DHpQLgA0bQT2C6+Z9478pyKEMKYfP4hpp7G55pIHPnfZA23wmkCvjS3LixS D7KuuqKWDW+8+NlJPrU8UzvxDgkNQX+M3y1bAxSTbj/0Ch0HqS1dvaZL/YvGg5MTypT275Ptnyaq pFSHlZGmKwWhf/DT04egQPwDx3Pi+dYbtTi+5TEFWWKUc75FJYdQyewVyd21XnXvX8nk9EjU9a7r xcahZJXDx87vVJ1re0V57O7cEz9JidXPr5picZvY6gOPh7wf8JSHtBBKSEfpMFgBpMuqHuv0gnDp WIlfATILvjjwyihZnnLUceOt9FLr2DeF5Q7TyPbeYLTJatC/34ebA5iUdZLs6gPUbdks5NOoR/5W oncYuPq92Y2Xk+OEda4iqKMu14UEEgXyaczviU89Qia199S54AxCieEskzVmstBocsZ4e7elAoCT lCUFHr76dieSww6nS1+EmIsgeMjFEqxm88Wiafpb1x3c7R8KytUxeVdHg7W7n5Oj0LsDFNxeVGOl PbmjgfOAi0LASl7IzpsG5Bb7pm2NgQWVrkzYcCyDlQ2wkBiljNSGtlDImNr3S1pjDPvuk1KAz0GH W6BnoY3ww7QDyZIHPxmLqgzJFrDxDi/tGEpyJFcjnw/r9G5YE/D4RHMg9X3aZG+V4sWqvpkuRUDe J9DONKT1A58mTG3Acgut85cPdRa1+bdczHwyI7raHAsGlzuJ7gHqcxdpFESPYP8xPpP/SO87cyiN xXmTAzQOq0XA3eKMKEd1O7ZoB4tHSwbCBpN/dHDioe0pv5B1GWCtGBKgUvncTojFDQMJDCm4WLb0 05pbDxVW0Z3nvVjq1QxBLxNfibxD4YlOi/xGTzzbAlbRBRud+zcXtyjyGq8kCsYZJuCr0KLX8jFc EQ8nndWv0BuaYnN+t1vYpV8+783wMXZGovHIaEMc81Au6AwY98xQ6UToLMCL1/Bw2bbySxy3BKPx pO4IGs2NVGRsa8+X6w7E0LHWMfd5+JqQDNBlpYydYhuq0oTKi1wh8B04W6S+y3Fc6el+G+yRPy6I lrpwlFO3lQCYJ44v1h02mCBcgUUI9hmqmN8YMpfdDKq7En3jRXQxCOiUWHzoREaFkA+5XGXnRMnY IsDSsMKDP9JLflBl2IDL25OjfnhZWgoBvt5mXMCmS7gUBgaeeoQcLPs7uuh6NH+S7POW3TJVuF19 pZCqP/uiyVEfGPgwYFSLrpZlovlGmhnq+Xb9e8VSONpm8gdMIz9sC/OZ2mcWJz765tC6CaDStWXP Ax0Vizk9EZUVC0HnfFGDmKVmdCVfzFz0pR624vXMf194O5kh7tpdPhOZXR7QVAPTGq+JeL6Vqdiw 3X8YoSxStKa91TAOdUXiDmjxj/i6FewlS3KeNCQc3ZNGMELBc2b42K5nnB19/u5bSmp5KLpL46yw r6Ksb4FflTMPV3/WjLaikjA/q2ZBk3aX8HaZXi4RICeytiBCoGKNnOqoFSq9re0zMqRQGxI5uitf +V1+d9R6klBwuU8m9WGa2aH76ffZSNPzUxwnwcj2WUkE4Vi4VAgD2zlIp4Rb+eE0KKIq0OU75bk0 iFFLeWn9HfP4oGpK0fqQhhck6NZA2GU/ilXm15OVzHA6Th6gULdkanIquBMF7fK1qd5QtQ3J3pj8 35DnSMv5971xqYQfTcy+QLZb7D8e9xgR5NM4vmylR8tXteGhTR6C4Qs1UcEq2688/kK4ZJ2VeA/R uKFLVniZKKtd+rz4GbwozBLsRDuQSCZiY4cGfP7N2Fym6fWugK2An2AeU8wJw3zz6d99iennc35h Q9sAuPKy7AIz8GHhDhWdy8grV2EUxUVT5pY/OBndsRAnD0I73ZjSImqi+WIlQ4uC+aYSXbSvoIXk 1Zn+1eI6kdMOXf3Mn7n0OMKB059HU6fuEv6sVVp8dwbOyKVRCFYHnhlb+xHeajvPpciIGzdtGk0U TCKtzrFWkVkG3+U1XJpkN5dSJbE3qyyiUqfw+KbgSbe913dJOHB5pUNo/H1sLm+XBetaUy2Gm2+l X9d7Fo4rNycKAJqysBfamGRGLnozqh7SAD6fGRZw3ZyDsabExs87oXuC8tk6ZW5DHuu+m5tug5qn 9JApOMQWMSpkK1xMHx7w6NzwVQwtpD4yqIf+vDUTRptLopGVJY9eUXlS69VcegI76PAZYnYP2DZf Wk+2eliSjAy4BJA/GwB4kz0J+fEdcD6FdwOydhlFUQJFD63BJVUrbdV3SylqigEqqMFuunRRt2mb s0ETIIxF3OaLspHJTI7vuQcHNsVpCRCb5Dlx7FLkIGmLrMGegoYNuwZ8FF3wUkNJ3Erht8IqTvXd kyT7AC1N4ueVf6vEFMQppx6J1/uKm9kc6YsFC9wAaUdXsd8ZFmDlVXNPJLM2iuwL/pnzl8S5B2f8 wjVwFkYYrywrn2JktLfjSs5cARKRLDN1vddiIwi4TyDRTWTv9HgCqubN10dApHNJoPZVzG9IcWSN 8Ov1acPRxtfoEG0vQ9IpzfEGPXOXQ051UwLo7C1p/Il7lcdOPqZghc7e1vbm0/oHR3zMVoAGVlNg HkYp7Dl11AajwFU3JJMDAQCfzfgsaPyg5/EEs2lk1L4z8l6SQ2b4breEb35/nGN6Dt4VoyKTitq7 RX9kd8Kcn4Rfw/Igiz1jbLpveAXFMkyEHExnzcaCqwNvVoazse5gfGzJg168gaUqJr9/odHUZSUJ 7ql37YeUbcdJOUQaj3rCTGm6NzilqL2bBY2a/6V/Z6ViI7YvyWbJjzk0JUtUuHjvdurQybJHI+jn zT7oCyNkDJB5/RyGO6MVbZcNI4ia+vY1wd4+AVOnKeL5rSNoOIpMcNb4NXmQ0y6LFzkR3EzGGD81 y5+HFWfS4GNQUoTXchGqVPNw2AeaS0qjdX5wE8LeuA8Btaot2OnCHrO7fd2EMAloPIe3rrcYDXOg EiwbFrCXHcLjL4v6cD1ON88GbX28pyV3LqKSDqK8zckEDbOnDIc78gwg2rLoeAke4P7SP7ajijiA YIsw6TJNdR564uXq/oo8KTD0KhIvna5OjJ0utWle/o5tm5dpv4sf9AdMGoqwiW4agpAH3C/FPgF8 XOC8/egKfc6BGeyoNKOZv/QHGVsXswEl4sZP7xgM4cvTFRNTB4mUGUt70QDaA1ddoH3DqRoDE4Hw wtgSGh2i2bcMllYSg6SWzMXYSfSVxFw4yThynfFDZsx5l/ee2fMDGaoc4NlwTMQEEDcitCtKSW6k voQFkLoOkP/kIMFYNZQlmPkgOCf2+QvMDpDD0tlQdfMQ8L4KLMQ7TbY5Elzvc1W8kuWogzRZeCv6 B+U3Ul4BNtBC0nx1EshBihzzo/+Qnr616zyOnB7FzJO8E6rK3O9lPynePb5TaW7VU+9W7OQ5q7/X mwSCSieBR7De+kARsXh+yHCL8i/BK86rEyBxtJ9+j2kz78clIIdDrthnK86AgdQMfOM754IHqQ4k QPPvEEQvjrLwp+1YSPVpnCbI9xzVKz++EL0O/IsQN2dLdz1A0OsS7ButImfnNrkYUnkzJtSeF5sP ZIwvPh/HxuMsV+alV3I8WjomGRS19gHkpAlcQl1kU803Mpe3uqNyVhcjYHewkZ81+HlOSok9zOyc DPQznpogEsbjBK68paSBIES6UBo+sJ9qllvw1TELofn72BpyRtLhRoPSZtdG1k5bj1+FKmnaDHCX SDE46JddYzDupPvSQD7Ks+yhzh2x1FncfGbr8PJcdZ68xBPM5Vo7Iu/cb4OdWBR1LEz0mB3urMmZ qoG//slCOdjnmZPCecjoE31vcOd5I43uQkkI4oJMn5ePObhbjUCYYbsikoHawZ2XZRBj5l3IcBjJ U8BKLVtp8t3i9KY/SHIs7IkqQ2A1zWNYuTg52sRrUV+T6Te7B/QA+CRh3nAC6NU2ImbqXJlB1B6d AyCSfk2uoeXNBtVLqNNvu8I4E/+fd13Azg7vA8slGVpRjqblRyVzfaCZnaqJg+XH13113lDcFq/W uuBraTV3BqLUH5EW5bM6qDyEojqNMwGo8/yeXFW97DAhIJWU1MQeEB3n1yyPLBANnzCgo6WFz2Qc WXhwjtRhZyKgvRbRLziDHtZvSoV6iZzRl07hoTW1jiNTxl7tUxU4/j/5GOVCTLIG59AH1ESAvxVj nlXlwc3P28hN9yyQxIb+G9GcgnUnQ78ACSvIRswVjGEMnTDW41eAXwqAqW/dHYcYG4EpoXivbU2j SBy67o1FgdFpVK6PURsckKHGzPnXni9Fvb2I2CTutW0U44/PVFauo97Nv+XeaIGa/HiT3hbja0Wd iMvdDJWLON4X4ugUk4+VtBfHpvLgq4pRQ+Np2Zzk2VbjqKYshN7e69mF+OJ7Nx7WsXCGoBjf3fw+ 1CsnSK/BSiGqXU/zlj6fSXc5R485sRgLWncswBr57l41grFlQwEL6n+pUIrxtKPzSags7ZRoy8eD PkhZbrhaga8MBrXUguYqK0HgVow6VYDD8gYM1TalXKN5wKXMUtUBex4XZN4SAef9KyJ+EbuEMVZH D3adz8ieiQ3WnaDrqTksG/yBubyStUeM1X9e+ye8gbZiwD/eS2BES2US8rKIUnOep0eNb+He6iCM 7SHOOW+1cu9QI8lTMO3hkYE5UJ2w0UCRyIyiCDU5nNZGH286i7dgm1gT+DZ3OoE/qF41HOlxowIZ U7Xxk6YotBaoPO9asQhHyzi85yIJP9Xmf605s0agmqR405xIZ0vc3Q5DFt/0BfC4/uDnFfAKWvKn MkiifP9mwy/GUbk3Z72XJsJM7YCbE5lpbtNQzZFwbjXK4CnR9dNYSj2RLfqr2FO9B8hLhJcLZhZa XusfEahAh8tnD53KnM2xJ4ExkDt2z9hoObdVvhodKvoxIxCkaHsrPJpkZtSMCF21QXo5GDsi5LU7 IdNXcNdK7g4sLM9mOmLCSt5NVMtYFJtM0Gy5xRqSIvFxEnrzm6B3YOjeKujBzr7nmgaLAXozKUFJ y8kyyEJBkcNdLkaG0xukL6Hi0oN+jjcj4fajwD5KAs7DIF2lP7t0ve8Zf3jp1x9BXtQwjyCJJ2nl gHUYGCsoK5J7hYyuSsTlq7Env6udJa+oOYvaAnhZ33ZI93DHZ7T/vc4RCP9vMcLtvR6BV79gwq9B RmJQmSg+YSgLurku3en8oXBFpoi34CpmczxylGAqEvKCSoY5ZaO+lH2sXgphy1R3QC7iqWKcFTi2 0RiIdbArVKRztBguS4s3gdzWq8f8dQL4XKnukh8V9jcOAtRT7HkQAMf/oBS26sX6oCFsHvz4K4q+ 08zBUE0i5jVZS50rt3N73JSWNRzQHfPHykFOrQuRERWogXnvz3WTvJ6haeTdMV1VBICcxSXUcPUu dAmKf0m7fgCNnbG+dZl/Jp9+cOo8Eh9Ts3fOwnPRCVza57srrlkBtwKR0VJTO2k5N4JJkEnGoQnv ub5jxFHqAGkmTj5eu2FeXGvbP2h1tHdyBEC+0bXx/fM/XWEYve+iUtWKpstHmCjrzw1Xus8bx7zd 6a5IWz4I93JZtdGWh3LkXP8j3hDiyDIcyrRyERuJq5TokkgNTjZxgQXPlaTI/qFSBrqJ79HaH2sc wqnd9cvncWXVZaNJ6Lo4+z2nplz4gjHcgWS7hmSYC47a6OgTPYYAVc0Bcn+YKT/KWGPKC8lTm8A2 1Mj8iGQrUvnhCGOftsmR4Y3lgq/Z8kIkF/aiJ5dP37lhts739o301jNs99V5WxkuyCH8FxjFnevk ZhACYC1yD0FdLRuw2WLCQ1OlIW8XxukcGjyfr8Jrej4Zm+NjPC7LQC2WLlj+Nk0D2qezfKjvOEAI boWCJsf8r9rY2x9rb2HXx2RwmofYC0xzQkeB91fDn3p/AU47EDANKWsVDxiuKueM9p61kQr+4CTT gKEV4Ib5Bwdb++LhVyd77W/j+3Gs3yL7o5QrsUtlKszEK+KKumWLyHBm62WJq8CDiULrj0DxQL0b L5RPeT3gO9xDBCWiw+4bCgBcIGrHQKt2ZTDZEEhGyDrV4Bj9Npp/WFrjuhPJ4q48rN5DlsnK3eD5 dxALGcqnLypY8ODvPjD+Vm6cak8mMoMq/VaJC7gp8BZdWsOim98uCdnCPg0a4NcEHpDpTUG9Hxbf eWIGeSQs/aALQNFxmdykHbOdT5naKG8eBJqEQk7U1Xiego74tOWS5xo9fbtJ8SPPcG4Yz5SxPryo Szf8Ss7JDp56aTqhVr57DPvUPgl580eZUKnY3iggTlIyzPh0SlCfCUOFQ8SfVIkYhQRmWsYbUl2w Ali3eTh7e359eiLB/xuprjvd5ObYxamZjyLx32Q+nzrm/mlBAtvAnU3B7Gnr5Euy9oPpQhAkDK/3 dG5phO+BFD3qa4Mkf49d6/ipkaxiUH/7viCNOcADiAdkDyJIVTslRDfIHQU4ZkIWm4NWaY6gktAP JI8Q5UksqYEhs3VVl0VBZrROjfIva32bHX8s0bmZOkS3LfCn1LqtGWEHVPbDtE7Qfd7E4AR3Z9VX o1WRREIea+P51lUSfsEK++40RXfHZ025yfYa4YauFp6H9/IvY7lMOkKa7e47JPUXmtIdL/3m5zPg l5i9Vq83CKbig9nT+ozM+5lYc473c0r14O5wPtkLHHIOdBzzkD+g337gu5o8kEodN7woFGxfq3v7 Em5aocX84z0eXdLZBxuv/okL+1C50OzMDLnXm+R0ym//ILgdFCNgGuFWmAvgvMyZvLQxGXFVaRU4 Zh2TmONFsqzWIV+YsAhYLubR/VQM8oqO6W7iOCKGev4BJtfPKSR23ITZj9l1E8lTAHiTh8XiDM+0 zczr57pn09KKiFe/lWEewCL3XWwYHmE6dm0Baosp9dY3mVMXo4GZZxnHa2x3gFIsnEQqLrPSIosX nJmsZXon1douSGf05j5AT9ZPPXmXejN/ev2eg4FB2mK4UQY43vJiiIAwLNcSIf8WDKtRyjT8FwkV qTLXy/Qsw0/DQR3A71SEzDasBdyo7+BHdA20lYqncskaGeSKpfJgPyDZyUBNXJ57YS21+Vqli55k lgDbLO2nFaCzupqkfEE4DM+86N7fsI3le8yxUowuBoWp9867e4eMeprmZvcY42CXB3tQqbfkA9ok B+d98jGX042YWAcYiaTaT2eiZYnje/VbXmiwABKTElahh31fqW2dcQ9JE28k561t2jetmMNbdobf VBmfNhnyUaStE6OCQBW1wJYQHtlSm8KPgZvZi0muuzSIi324oZ4p02+KDwJmO4BEpqGgWKijMFt5 l8SdK+b7+jud49VTQoz36QjMjrV4NyiEtMiouHiZQjdHU2Bhak1vUETRB5ERNhWJnRXcJy89lwOc AihdCdAer/CrkAfWgPnDf8KKhd5MqTM3rFDgrS98euJBAgN14lTaCEw1oKkq+JoiECRW5sVQkjQC kAQHuqEuSzovhuioG+d000ZuOwIP6rM2EUGpEXv/MTJQM7HK7yuT3GWg/SZZmq8qwt2K7VrhzXPq dElNCN8yrWNy04xRgpM3pCOBMRBdf0+dnlEMEDIjpYdnF+tLgiEZ8RNRrVwFCuS9YxFbd71fIkg4 6OR5C9f1jXzkNZicRCF/p6NUd0e0oLQbEZzSUKAP7yAJKQNsYhsJuCiwyWWXsRrHchIik/8hioGl 4zysvvoE3jng9iwe3zlMNQc8VT3JkKw8l2D2lTwnOjO3CKHKr0tthEMb5FgKoQnfCEdt+dFTOdIY dcyyWFzTxGjL3zNtvArWSmUCUB49seVmNwU68ygR2XwiVNKBJyl2EViP40je+OwA8PZ+Ux1/gm32 sl9wiEODJxuj+8PuvPRM3mC0DTJKYu4/7dtsCYtGQO4pYjbl2pb2I2WPSpeYKhrAFVWoSUqlsbWN Xa8iSlF/l1+P2rU6NorIKpyc2s9F6j/TjThP8O0OjG2jo7OlYmgFv3O2S0KIMRDVm+m8UMNA9OO+ XG65l8c0SYRpECR02Xlh0PDkzjexLoYC9RI9SQ0HN0qYhIt3r2rF/TSwXzLGL4bAsj11CdsM7RWI Oo+1Q6WoiPusA/otrD9FjLomg98hJ4CpelWbtWUl+finHvMSpUntB6UvLC/fJokfbOQshVV5Pepy LFDdh46xI89ssSeGzQhC8MLnpR01JPltIqTqcyzXllXgRafOOVo+WLJz677jFpDgxJKtjdO7VWhX lYaloVUsqwDW13JFpX3bEfexQZW6AbrA9lIjpmRU+vl+9IeVvjioWmjSEkYJbRR/KYOprEFmeZDd j+uVTpdkFD6Fv8Y9T2elLtTt3A7IX52yxpuUkeeT/FGL8l0DTqMpZn3lhKu38U8JloyDs3DMi6nb 5NaDNZNd8JiUbFkc+QAW3Cv7zkgEBOLQME7dGnzrVVhidvUVwH+i8p/5EjbMPt2v0TMF3F1z7Ahx adByAcHFmMh6MdolFgJEX4dfx7yhar4Ge6Vh+t0MgzM7Z6IG4bJrmSTgRrnmsLy3n0ZtVaPO2C5G Ff7P9GPZQpeP9u+cPAXWAzJAzqGb6dK5hreqncStgx/gSUL+/ygxquVTp9AkkuPssWuc5T01nSil 6yn89PFjd2NUgmfJjTTjislpA2zR5WzG3c06dZ0GbPGHc6YPUMHc/Opy8UJqXufH6PZ9dHxggLkT OulDhElM+BLd+AP/t8d+56vNZTd+v6EBovp1nvu7dNsk4gd8DFHZhFSHa5o9yAdCTUP20Gsg1jR4 Bi+3m/krV9EOWK5/6KB9pxyM81A4va57mSLHxHe4XiF8wXuIXTmMT6YTHqtP4hmnMXS6C7mgy30P u8wNglJZh/8CQkzqmY/hOilyWDZsN7PhAYdto/G0Jo2SoNLhA5q35k9WSVZRpyphsfpOzTRm1s5I rh3yGRTAIgSOffIgzTFkZl+8MsG23cKKjj9s08DWL3T8uDNvQhZuv8VA0HtmYD66dVYOkMEzW8JC qHKG4IyzsHkz2KT58lSKAxm/7Uhb+ZCfggaxh+DjeFlPs1RX2puLN3t4eoGXuu9t96m6pynnPuRt ZtgS5e2OSW3Agh40DOtigvts9q0dp3G7e6GSNyPZ3gxsPQlep1CpRKtu9UWVPiRJDNSh5s6zAAc2 qihs5sk7xpNFvw4vHufVi/xQ1eSlxUMiB+Rpc+b2xv2zSa2h0Gsx14tFNFIbxpHIqDbl+SrP9UEd hxQ8MW/wMCyGeRP74Y+3LcpFqDiNdVjf9JqhLuWe5iSwTuKaeQQ3oJBnijLPkwXJLrMyYT9EOxTP PuHD1U++oa8spniEHuXqarFWWAnfqbCc569KzhJQROk9AEsssS6bqgdo5Jqp2XP/JBn22LX7QR2G WBXUDvYODjSS9mOQ6k/ERVcw+E4MOKpYfcIwHhtHJNmtCArZlLK84BlqREaDRdN+0NEANoVN/en/ JDYfPQ17knoUbMVeHwGEj8EZ/6QxvL0/pNVjNR6lvlR5/Tz6bonxlWEXBsQtSt5c2pRRqPprr+gC 3MSigftNHyS9F6wfNb2wVyleLH9vSEIje25/uNO0/F7QYAGqjkPgZ9/+QSz0aYBsYc945TQqGpIc 4+VdW/JXrcJbKB6mklxZcdithsqPHDwIXnu1UItCOyeifmQeRyUNu0dXD55NBEwOsIRFpOmRwP9S lbBmr+CcHdzYJ7UvNxJNcQ1g4WproeJu2KVJw0wsGaHLKCKqlVpyLCkIgXodQkHaQHBKaWJ2npbN c+gZ73TKFT0PfhWsFqwixqnMdNNpH8oC7m6qjNi3zlIlAEdfolQrUJPF55LjuD7Ln1/lLfVd4H75 +sD3ASYpkUXxfvBlCZeBGLbWPh/Cupo0qmLKctZ1j8BocrsfBsL5GeEdmb3cy3bNhqx/J0/Xqi9z oL35NoQsJ+s4gVkvg6b04XSCQ1T6aV0IcHO+9or1c1kCnnxqZFW3MavHW4D5EXuGNCesqLY4PQqI CAxX221wzHB4Tmqr/LQNTQOSryCUCMvlkXwqzzb8A7qGVp+Jl3vCRAUl8mil1THJN17GtCd2Z/52 krAFTyI+f7B7Nnyd7ooLwpwcozVkHZ9/AJBG2iHX5VoQ1xWDomcWC+71alUZjHk3TAiEfEPgCBOW 09XjpECd0VTicHAu45H/8qrIQmDDEek5KdWoyuXwVCy2VPmRJyJ/XDdguTQK/UPjet/1WgrOn+Gw KiYjQBwRqLRzyrxirLIRWa4Kf6uyrCB2LQy+br70uowgChQbzFL5pGOgV1zJk9uWrXD09Dki1KAx kApEvvmqdn5p6NUc5sWeyNpU7hemcgmdfcxokZP06FYSph/kR+gSWSAW3eNl4dvgj2QbVIMSGlig zxTgkSV1cxfEMvqhWPK7RRy7xctArUUaSJfy5Vo1dLJ6oCEe8Au7FYAT9Xbo0JFy9iYHdbOIElb0 PDKDJaC6p4x5kMqyTPMk5ltVNEekhmX3Cx2F2oK4JcFI5YFtpd34GCnKoWZR4buzjnRgbug0K3b1 VmLTCHraUhwtS+/M8z0gMaugok5gh5EVmYVjQjURyA8r4XDELDM7IMBe+5lvs06vNnqgy0vRK9hp WNPJv+C2ULNJXFFFh3UfKmWfxu3qtHKPglrs+JuSZulx5drPFzVaoaqRrsz4QMGjv3vyOqLzHxWJ YkNlVZv7L+34RVk7WytqBBZRWtzMEco8LVKU1w3S3BiojChZdZZS+Oupn58V/BEVHXda34B2L3J8 muhLyK6m+KoAftQshJP95XoXTnBvD2k+e3ob0WbGV7vpHLX6/pjKPDoLz3oCVwIkG4neJlVtHJxl ViWPnbN3MH7qDW8WnlVISea5mLYHv23K2mlZZcUq/FNNSmy03U87G+9neWgmPhO01Di4zIlqjNSE 45yRByowWWezQCpL126XhVdaue0DLgl5UhvjLsShqbvb9RxMrY0RrW5+Bv+IWU2As4UhNi5MQVrX IjR9CPZ04j8xB64lKiiwgkEwRQzzjT5QqKXKchur2bMa+WQClUkPkQqCXF5LTS2m5v5CqPw2EHpZ TMpH855k4/veC86xvi9Fl+LCx0LZmqQKFUoBggzE4lll5Wkx8lqZrlEiNbkUFSbdb9j4j0tNRdlV ty4iuawMt/lvEUCn7oyvkJMvV749SE0zkr5mGA+ArDQp4EDtCKcAwkWF4F/1x87c8cCrNI3MViYl G0gPaQQQkJsOx7npLrJhLs5P41EMJb/84rvTye1pi+gGud8+3/Eiv2BMYTAdeF3ASudQCc5jztV/ 4AjEzitzzI5ggutrrar3FeHgTpJyNczG73ZqJcHZHLa0goCpKzaFQ7WzKLgYa5a7RPrgKe4yZS33 uawEOo4zK4gqJaJfxb3CuEmx/eIbdd3onJJXyuwIx5PJeNx5ftVEt5Bf2SqNCae1VkO/JmyX7svZ PzfXpVmqEtipAJk9GQRHgEDCNNy50NLdSRXUujZDaTvO4gnGPtoWR41YnzEx9ERrG4E5jtauEPSZ IESihgDZI3+qK3Fqe9StO0YG6J+3HkVUotVn2+CSA1GzJTmDBFAsyewSVEde1fKc9dhhGUrVEqul Qq16LrfnyGklS5zE5AtRYq49qZzH9evh4P9TEYjPlSuk73ezYkZb8PQx/mZbDANYsVHKeaXz+aYn 7NaWD6gTM5lcksDebIeLtmYPfchiYqYcMr6n6e7icCikRtXY7BxO/WKyzdo89+W0BcoDZ0JnogmN sRpJ3raC/HchpiT+/tyxmm6MDuTljki0vqQrfta/OsW72FhBsQvaZFdlFzB03RX8od1N5k5wnMUq 2aEE27UXLIKtRodQ6a6sjUN9UY2lUyAJtp0PBEc7k0arYok6F2pcZClmoH7OzU+UmJ9OeMf6j6aV 42vHZn3BLsdSSWNzYQgWY9rf6I4o3BOFEW6ZQdZjCe0XJ+BXLO4Uxp3+FFqMgFrOA2DX0jNhFgEC FhxeIy+cGS0x9wIOATinI//TbJ2R6eNHCKDa+I5nTycN4pFRMZHaJxmlkU8ePYq53Y2R2+wcpeHT Lc0AB1WAMmCXPu/Ep4hinsT6ABxcrKb0eUQQ3vncMX2tpv0jGzrDCsJWwBWWTptTA6WxT9CAxoTj EB/PmJG9qc4UppsOEvuZGbqUKarHfjtiqV6Bd0KTyXJ1GrX0em5VdZKRTGPQoC89JisuE4q4//xr Gdl9nBEUjlwjHFRQtfyDXZXZ5tkCPoN94dZ5Ghi7royNPga7FAEMJVGUcEZuVbnqa9xlS7AYn8/v rr5wK4h68reUf0dFjJzkYSrw0v7o+NKXhjl4onHzFo4t71hxa0wWmPOhU00jssOFR5s95aO+NOQU zEYiqPmBcS+FcmZutyeBkZGm86XqzwaalpO0oLn7rf0LH8/E3wJZIa9f3aLRJ4Yvk2V2xSwnaX/H 3Adf9z8WArVAnVNdaNcmv21jvtXjLEcEhOb42BnXI1DyZeLfbRpw0UVV2zZoE9kf1t+6t/rAGnv2 wevdLQOcNKnrT/lhQdUr5bg0j6DnUsFlHst7aMnEjDdKzKvOo/pt+FPcs6sEJQZ5lGS4Qgbz4B8I abbjDFD1w/5mCf3K9mFsQeGKh3Edo6DUl2UQrF3FRQNi4F6xNfkFSRez3xvX2d8c65t3JL+uq14p LNR4/SF8INkCvSU1ZwXJeFfjZY50V68CO9Ks6h3I81yX5nlGFPt+tqCZxp+oFcg46w7p+CkL71u2 ldxl040hAOiXWuopGFZJ8RpFfSMxiWTNOi6k+dlpgOUebmcaB5ng5ksPbtmB4ibCJXjOLuwisHCl LvERyVHeTAs5G5dqPmoa1dKTSVJsOtVmX2Hl6aubLenhCbTI7zQ0dhKkrIRlmFPmGMJ5EZ9lJCx/ CqWUiVMwx/fSgzyzxAyB4LGrA0PpZVhjPjpTuHMvWQt5VUvZSiiYryQs/cs5OicQ3QWvCQtm2cXd o7d/rGHh8+zpH5gFjnqeftvDXD7zVoPLJxEuop3Jhjz7Z0HfqGhmAyHcjViWpOzoihc2KCT3WgQE MNSUbWqd/1YXGz2QhRlsQqRAoqeR25Yu5VVf61o8UlLnpDEJpnKRzQHOPmatDWkc9lIpS4I3KJCq sgaSgoAWvOeHdR025BWj9Hs7n7qDhqiivaiObZSiCYaCWVWx9QNd6YBnNctxsPTceBOdDUkevuPc fDNRLPMUk+JI06nB3WTdMnzfajhYcAygVBruK32qCJSj194R/XaxJ6FMQeKs7ZJdJxvuaFbHhzI6 XMhBVm07nQ6v8/2IhPMNUOnS7BLSrgfJDcvref1vpNh8Km1d3z47Ic9pFnhidfCl3D4qIbEq/JjS w52MdbWTQn7zOwCMxpZyQ74V06h5BVi7g5p9UWl5m2l7RoMGfqjznRGst2l9psp86ldbl+pQlKFZ 5i4ZG4/Yee5Skxmm7GZx5XsMpio5hGLE48e/5htTskHviLZe6kaWOHISOET/nYFFozLT/Cyl8RU4 gaVnn8s8hynRJcAMcEkfoJu1daoFMzR49K1LyTDH2nK1VkAhmeQR35odq9ngS8a5zdf7RNBSs2jj AIvHItpWV1FSQbrUuyv0yLqZnybsVN3BkQc5BkbVZuN7/LbyKQudE5W8bXsOHow7EeS/dElPA9Si wFfA19hNzl5fkeKo5emPqKjzCOA4oz4NqbNjp1682XYrJZ8WogfqSbjk5CdDbwGBpF5b+iBr62EC Os5EVieQkRUlQQPnP703yEwSdYziNX7MBjEboatF2tnI0Mca9ygxxxd4k59fPtzmDxnE3sHs6H+Z 1TnvRa+SmTQVe70WJG7PMLNidsR8VWR9CfYl1OC/I2aj25hm+awW3Qki95R90iCKZHJXD95JEP0t s6enuYItQUCMFI+z66kGSvcx9BD1BR9fO4tBtYDudyYhMqHbxvNtBPip++WEglwiw0RMdbig8frG 0WciTi5u+n6LEN919bGDLTBOUDil8jEiJ3zuO0yT5QHyjcaZRCKut6DOg0idcvBy5XMfbIhNIaq/ B77HCvxV99bqIwS6oxv7f6UAk4AZWP+ijIL3qkEdLTz5FYPCC2joGG0djqkjjjORXDdZvkV62YAF 5TQwM3GoIMs/bK3G9zlGa4jO3AGslozJJsYknngtDIiKDMnSoQz8xbZsC63CErvVf+SuFE/uKPL9 p9dhtRemK2H+Pt1ZxbEoGoUvJW91MINCFWDqemE/vNb1eyFZaGl8H27XrbQ+bK6JFat2iVxxFQ4V OCkMezOx/1FQtQeOPWzivIO2qi/LKGVrmdDeGbjN9Ww1kmMzi/cMOUWSxGTk1ZgziLi8Idmano0F D9V6S31G4oZVfbFtfeQUaNn1aKhODm8m9c5/sBPzssSTreMJqTXvqC3E/jXaHljLRfrwhtMti/kN KxLbCndZX6ss8eMw+a/uHY9xzAhikhUQ+0gkOYZWzsARHbZsci11j5EA0+QscB+Gu+pjCO1VG4ds chLIR9rII4/tX6pMXkbRtM+Ii5tJnMSLCZmA8EhbtHzeHZRl776i/x1bvQ991ezDeeqqVqtQPTT9 TgyS3nWcOl9fOUItNf9uftAZ2djCLqprDv1hadSJWq+Evy+ScRrs9yGrHOPld7BWaleq88EjchrQ s0KwO7ZOfBzLfd/Q+Vf65a97+nK/xZDqtRuhg8/3pFPEycxIOCpmBlAFjFi80oUGuwh7kbgESaA1 EX+8rujWM3PniSv9540e8xftKC8ze6XIFbxYFDgWuxDVrvJQrCWr1xT+B37/P4MQcSIBA58aeVDt Gkfcb/JxTFW3hA7tNWtgfggN0j2Jw0f+hndpwq+ZSczowrYgFbQ/NaHTcxW3eY6mUgljy9HXbfxQ DwqEHtRYfGnCnjDFoFpvX7BOirnu0NdpyNNhKK1mzVi+/t7zWCwMd1NTA8WJgIJzXJcjSe/b3bP2 GIvD6iYAwTgac8vSLP86uOUk+cdzju6cQiBK3N1c9T+uXhifYo+hGaAkyT2CzyN7bUmUIa0qU872 0DVRnqgmpVo+BF6lb7LWWNmFOV5sVqRHbb/zgDXliocWNThnW86Q0u6VBh3o2tTGkbgy+peZoSDA QsS91p9nac0QBiyd62VPJ7e8IUA1fUllpIE8TvHr/NaWRTGWnk048neAppQ/rJWflcdgVA8KVg0A tMIN3cv/GKP5yh50jikUfM8EJRttGezP3P51wnSxXnZHkb5D1NTtQQYJyjAZRFPc9b6zCdT/vSCN VrIf1t8/LSGRGoVGcYAgpBEzgezWwXpMr/jQvoP5EqlXQl7jlSpIOac3HG9kyitv0Vbp9QyaaE7l BG1SLowGaq8QzUveYeMmvnnYQRtNLPBH9bUFm5VGdye8I85cJN52FL61m34mCuEToSRjUapN6BTJ fZ5Cim4WSEA2kSMXk8tpE3xHbvCf1HcK711h4itAEUwJ42z2YCA5DcVs+MOC3agbC5PRISnbL+k9 9+kAVC0RYaBaBqs0ZSKE1CJ8dyaFGnPEcbATktGqU/PlXTFj2oaZQrXC/1XFP77vc/V9I2kpSZbH BUaFFroOTRxXGeHB6kYipMZpvTjlVJQsHU3iHsjn9y0mCtjmUpyxamkz/8eDDEmDFq0Cd0y3vaa+ O93EXx7aFML346uoOP6PT6n3QXk713oPQgkY3uu3NU7Pa72ncPCSGD13UTevbDB4XvwjVHt9UAyI xgGB3M3NzkE2WGTFghQW9431tYjcZELAybqmA5277SjmsqKVt6++kt9n5uzbXmgS+ps1668CDFmG GXdaWFnW30j2pjJjkFrhbPi71xe/+kSrVXFpe+xEALFWvyRBUnEMIklTXN9IqQ7KzjbRHMTnZH9m M/Ove0L1kizwRibcum+xtbRbGYHlJetCGriL1GIDqCr/XU6GGpRlzZALpqdzISkj95eQM2Ct0ljA FBgXt8BqSBUf6QDCQwpM/FR8tZ4/GwWCQ8LXPDuiKGi+durUqRp/7DeM4F9D4QMS9GoimRj6SM9A jQ7laUtiS/b8HNn/0F02MX2ib8sYLftxOzVpmlv9/BKRuxdyYKPnvNu/x1c6wC+zUJmRcq/kxi2e PW/oE5vXrJX0BmcjBtnkGobSLQ9DN7ioU2HNaj4Cb2Qk0/PIdZo++skROEjojmL1Awzb9WxSH9BR bBmsHNQh+YiryFtbanBhn9r0Fq9JfDbsD+mYfZb008VrnnpdoiG/ITpfDe2lD1Z7JK/WV3ahwD3y hh93ZH2vqRJKnXM/ZZEN/BqJjRfO/ppenpyeMml9Z3MXETW689FAYGO5BwH4GAljjF0G62TM5fC0 253ItHNxvzGoj31F7ix71pcGrFHvmPvaPhZzAV6rktrd17ZgWMS357p3nU6wtYlAj7wb3h8xufDV JktqiUWM8bsv5wciYh3RZjqXBgfWyWQfbwkCg5+RTMBs95EOTvCMVGyHiTh4QhM8JD7U2TRTcFqB NZN+M+ekk6CuCPoWzwyCunv8BeXpW9cdUkWvJ6srG8Qgiw9fRV7m712H4uAy8owz9+LhJ5Kz5TCs 5+xm7X0byXx5r6W09mqhnHyRNMEDuzY52nBXga77oRy1XUAALYt+5GZR25A7YHhXtqrWu+Uvddva PKwcekTuAfqK4fVatXEQrjTD3NVimK4lu/TsW+QhDIzYYmLY3e+TSbsTtjujAq+BNoizv+Uc5JdT aIAGv0XQ1oqjPzALEtskIVlD4gmi2LiEfbAZ26wRx6oDj76z0wzGnDXFj8kWjgaS8bbFcCwbd4RB 7C2jCFBW8am1CIzj/yeJFa51HxUD/3HSLmEissLtVwpssETKLxKCPl1OOaGGWqN5If5Gq+A1LikY zkezrWPfTugfwQfEhF79JSSefoL3N0dx7rZYuzDhjHYoEUaHMEkSYYNqpBH+DSlkSDunr7v+YefH UBGoGe0ocMv/UzUu70QvSe3V01kMSFwfEBf48fjq9dqI1rFo8O2tt9f1WRrf0uu2lvEv2imOlbHp ujHQ1U6wTeSFQcWrojgRKgoURS/mPnDBoDXxamoFZRtfiDZ28p1WGDTIkgNydLJVk9T1fwbCtwL+ u26RdBnzy3QwgEYiDRx2hnf5YG+kRIeVcpbH2GI0fy4FzPLlQBTuN4vIs7xecnlwhkpHCAhZOyfx TTGnpXCscs+n9dpwWT0Xu8O27Q9OFEmjQWXJmPZa2mwYNfAtuorT043spMrJjUqoFJTO/ARyFcCj eqgq8tG2k7BdL/tH2MzT2bYVnIie+EIZwbs9K6eAFXYlLlpyIKRfBu/X4DLlolKaB/jnkQmWoV5A XxbyYKI40yQhKtbwvT3W7bvKlzAAFZH6BgAHpdL3xtmkibi6Owv/k/b3gVVUubNldIJu+fTJ9mXC JeYky/H2vom14JSG+eiMwbk5oMXU4ZnIjRV6Ws799X7W6oWz0HcmdsvrUZrW6D/RmDHb6npgfET4 tE4UsNvYCZSEGkVhQ5xDw2WsOFqpmElbGxMddRYR/orE1JHZv6JvBvS09Yy3t88aFxIL16zHMIOh oKua7KnFJy35j88xDmhY1cwq9n/Bt9tQMkYcf3dH/25viKZnD59k860X77UQxX53UET/q8ZFVUCE yrpW2bwLvlsNax7WxVO7HjEzj4lTATy0Vrdczx7FFLdq+1mPeGk83tphSSljFBMrYVOQy7KIYtjz N+zsSfFXqrx7qeCYDeruLtGJCj7IinP6Ns9DMYNyDAJyF1ADb0xlXTSRis58i6ZzK7uzBobNFuau xkx4/LRwsX6qVHKKmiElTQfvK1Z7fKQXqU5G31z0sZMivq1HlZP2SON4CN93nBP7VoiVAL7WhP2q fD4ZpYQOGGu1IWCBgmocQDmSM4vnVWJ4qo6FyzLDOIFmje5wylJxdV5CkMpbbBfIDYWjCfeWCnMG 4PJy9R1mHaTdAkLXzMw6VehpF52mBXtwb/YoBBIz09TerYg2ebUZ8tVN8Bpjhg30Bbp+JPgYzOGt mF4vb0oMclJKWPCvNOGpFRGHmCIubyN3v5aDwoo8q4+PtspQmpx+OEIuOhFWqkp8VZNPJ2N96CZd gBDy42dF9QAz5DUmr+9VLiI26E4vPCRUViAMpeWq0lM4LmuSFNf6GuL+ZJG9G7W2KAOSe7h12y2J 0nXeo7X0yrWb0agGGvbrOteE0r9p5zlmyW5uyWoY1+qGvtynOTOmxfZPLMSl7peJWhUQ45yGIWB+ gWpaVB8sym08BOl4Oq3LjKKAawLMrsjr38O+0661k744uHiYX/pqMWhUtpsQwyjYqPKJOfO36wbp llqmvre2QIKvxQEuMswTev4oGdg2GvEgI+atSRBXdeGzBnOP27HiwyvX43+lQKnyMrqZECq21Sdt nI20VdRIeJOIwe4xtvQPZE9rwQFG2FqTMxcL+e5fhg3c+w7NdQHyFfUdb7yPSeagn9UwthHhQBsC XwsEwmHr8gqrbE1MhwFoeDuRl5ACmK0tjMFAm2ZfKqbt0O4k5EkzXVraSBkLq4L4wi8xmC++LTKO 0be1UMu06+7Nt5iAZMTo1JiLRwLnovvQ6rm3x4FE07UvcaunTnfSDCp1Ue7MlqZ1E037RVUyry8i Nb8FZt+LVXhTIPhg94eRYXl204IyCHk8aXcMPIZi30Auj2gh6kccPSfm/tdp7JBqnmeqIAxm2pys 9ZFRc3Z2UiGiAAnMDmv+CY2qPeawywjiIvSUHKrv4Kc8aeS59h3EHrGsa4WyCNZThLSU6+gBmalU TvEoq/CAy/vk4vHlmUd//HjMwOghEniT1uA3OWTZS+WX+o6nVJ5opzlACdX/eDJcEQDpL5PAteLn cYGEMVMbkpc8ycxEuU1//8ZUh4aLm8ZnM9x008AaPL7pHP13PL1em4OwIGJa21jO6ju8cI6L/7mB ctmyDfFCl8ggcgZ7bqG34+oF8C5DOfhwVZvkOagkL7SVyDxz7+buLrsTCgtnjxaeu6V+3u/UlLPP pG9VrcNOyvFSSNOJZMHrtVNtpu3p0Pm07k9gqalI0hdkAYdLvX9rquh17Eck1ria3IyyPMjiZjrQ bjpTT44MMUbEAJiRoMRh0VObVJt4o9Ej9OtABVfY74uFmL8mCd5Joe+0k3mo/lRzMb3JpckKB1ha hl+SrP4x61yLc9wk9Oc96PTuk0ZgmYljdi+aYIpY6BFuTJYS1FtbuI6tzW18XG6VdRh34+1PwlTN qVmRdUfbmv2ClU4MH2sq0P5nvwb0bnCG5BHk3yFPVZUHdnhbjyr2DmqDZuV6qd2V64qd0nzvVYZi zYseTQLxz0Kn/7rK+FfI4aoDyXrr6MWnBsULcaEKkitXPDkVQa6NgYtKt9n4k1ikWGwoqkeqdKtE MSEdSOVlgekx0X7globIdhlIBj4uvxL8sbVtGpgRsakjI/TOal2t5IoLJ5wNb4Q1zOHbS8oynQom zC2gMwwAXrH+gCwroa+5QcaMSdA0aCtxGDmA9boeGcUmnctKyKn4lk5kYxGZCA5jp3K8mj8oKtc6 37B0Y1+B1knbBzwkfkZd78nMXZj5frSWg206lBg9mEPuMTVybhL1NFDrF+sPOCjQHi0oXbKJYee4 IQSVau22ampPYxlWe4mxJoHGRrCsDEviAfVHhdxXdzyGvwfINxTGFiiNlsKVVJg77tqFSLSfJNEO FMQyjWuxLLk0QfyqzI9fRqt+HWaVNIAwZthF49Y4zrVW7qldkKAb020HCfR4WSbu5IUaZhJ9N5PV +ZXDtxknjLJcGFxk+bCr3n2hGdKCfqIl2Voquz4ijCMYIdGDTYKAYxa3W6vChtPJ1yixozIfcEzL 8XHwvkw43+7NLTGjVQoeyTUoFHsQwh1in5MkNmOqLCCtrz9qEhn4zhKkY+FHuByaitV54lWWGZJm 0QXzp420bNrOMRkrGpqjGNfGC3NgwLL8ZwSekm9QLvuBfAm9GTnh0wlvv3sTu9bLe6tSpnvmwred VD7qtCrcmg04hfQjDWEbKrCr/0w//QThrp7/4o1qgmxeh5H250j4VHkYUaPVgFlZqkbWWsjbvlD1 eC/oHnGGhDB4ramVy1QEYlz3NB1KGtkMWX/mcrtfZbppC+9nwEQzScSLieTgaYRAQ2nZrtOFt46Q 9pOOwnA/Bs3ONOFUrAlKtql6vNtDmwXWah5diaknU3hZNly1HkGpVdI/NbiA4CxhTMs0PngrzKpW ZKfZlEnR+d4x4hbz4lA990nzOSN97TOsYFTDGIJKWTU87un8VQEuTpOO9xkOJGNs15ZCnc0aFUc8 ZWY6mPgs4XP+kT3oqPvORjjjvyhbxR3FGpy85CrW06eYDywLbJhOW1NDVxRIYtrYJRENReBxpSp1 HiAOt9lAEKBffnM6ew8iQtpwksjDRXAs+pgtIsXyJugzntpgxUo4xXNixScwiwWPIjhdlsZXpUse eRpRiUy9Zy3oGT8lSaImcMXhW0epd6mYnLlLJiPaxMKeCg2mxxSe+ErEEJbyn/ySQ3dortWJOzWd xW4a2xX/AA4K1ecNkZVVdKThYEB8wTGxiX1bzghsvND+Oat5SewLJ6heFouq9MRm86iCTUDUHcwt mmS0p3tq4m94JmdxG2P2fxmJ42WTHlcLGd8So49ePYpqfP+lpB1+A2Wg/1junW+VZr+knrBfkxxq U9NNSdE0puaXws9mC4K0RjR+YniEIjyLO5V2SVst7zHtwjBfmbwK8DUJe16ywxYMqQH+npklIfuJ oykxvFMYTFtuvSRt2jV/akoeOlw+i5U0qytmdI/M8r5ED6V/TYLmb67tbPrMGzx2yLbzLo0bmnMB VJVs/XvGWzMt+wHoi/3SoKFz50/+Nt/ISYMAR9R7qAotzPzBFgKcGmn3rhKyxGVhwZeY9AWMuhSw yF23UDC8MU1CfqiPkF1eMjl6sCzXHU2vkH2ZC4iEPY4LoLgG5IDfQz63bLrJY+/stdcsItMk2f6Q 1jEJJ1vDCOGc7U2CWCnuylcwGu4JKg1676/30iXPvPC30CR70irXGvLsZek48SbeBO3TdKqOXB7O KTiM2sM6SlKA9Y5iM/NcWGhkHPUCLhxPe+er1lW3GXQe8MakV0X5TYvijaSja6mTaOLpVw+mgyS5 fn59xlFm88Sb0QSAiqb8++xnCRWwlwBPAba7tALDrptTs8S4hyX00FwuiBvSD7NaLMuWL7ZvD8t3 JUrEUloMXSc0HJNrCBT4KbJ58ci0FbEcr/1LjM43WbPaHNKV6JAnR5RS5lbYjnOzdV66fUbQ37V8 IWV5T6wcWDTU2oP8LcaKLXw3i9JzFB1e4Phsq19xby5zy20PHW5x3c2jyV+35vys27qCzmKwsWA4 1JiO+6U8IGEQIXv8j+uO3MB8xe5JF+W81sCdcSEJigqhK/h6sJGy+NZ4jv+3IWmdkuZbUQtd0pLM 8EywZCWkQXDCBwToRXNP6kmF2fB9SVGRduBmfV6PQE0hjAoDqOKXa+5/mB0HQuRysult3pkqQ77x T27h0s1d9cM1ssqLLDYK7tg3XM107d4KQOWsJN2P5rfSe88UdMHeZByw/aT++BQC7s0EUjdfu8MR Ww6KAYKGlW6dI/szzLjbrEt6pCHjBmtiSt8nkIrFDTGAriDCGvPIAhzeBKJZwrPzmJ6vi6i925/Z MZ7TBfAfgpk/CDj3Q3xr4ZAvOf2wHbwYz13pRYH/M28NDxQGoYMHJQerX6icZ5etbxEKMN3hKPGc 5FdOJlhVsFJ8YIlblKSZwTWiH/T5sU8nzN8uZcGEw+KEiHb1Gv7CdUw2Gi/Ly4C8ny3PtGGpQqDk bvrVYAg6w7fgmj7XmSriQbipC77cSJJXo5b/TaNE6OymkppRqbc0JCJ33WwlRm8qqWwoz4dm+Hwk r0AmQn443JINIYcnDpZSXpug2M6f0N2jB8GbtUQjNgOWAXtEjhwJyPrE3DraT6wY0IF53wTezUgA nxSRsn5gUaYKYyVVi5SM2U/cUv237382QvUVA+RQPe1Uy32FHcNa0F6najpHon6UOaWr18JLWlF0 UplGylPNGAdrMOgeG/hKdGQDyGU2GM6J6rnBcgfze7eo68tUdmkaYQxERBZ3f51qS4IPF0l48Gig v+1hbZgiLxr0LII7yzfYDSZ6M9UvhuFI0PsZLU2buEz58P5fz0pRQOl62rRIxFYTY+4nccB1tqte /txrQL6UY9lOxkB5En+LgKc+9eENMgwy4kmSI7bSc2RrE8FfWYyE8UdU/XhNvI5S8leWGSaqSdCO g9uIYuwUFWyKL9wizebZFKmlXoqsqumdOska564k3ia+NGQyYps8TE4okPpQreGpe6F6OTxM+FYj Ka25szg2hJd+TxLVSMaNmw2x/e7z0sw7FAWhHlT0w5QxjMfM8jCdwNUR3XRNQ/fU8k8V+Gp43Oom pqECR7kvVVK4eTUXhNtedxLL3QJNufohmqdsM+pdIUOju6lpNzDc6Kc/xn52HTjijN2C8t4cEvop xhTfCfntii+/Ld8NsAiZrOHv3LzK9JiFW0za4+2SD9FL+9+Dea//0LW8F4Nnmc4YwPJcghqed47H XqiK+ayTEyxihYZpHWnUwXSUbeK+vT61nrhVKAhm/5vJxC9Sg7TuDqpph9bFFSaHG9EgNaw1G8pi C4YYfgVCIWgNwvoxmwakdN4n7NiCQ3vdfVw70fWX33yMONFwLzPUdHiUPeEAA+qPTooNEVKa76vS jC2qd03bcUNixX8ADUfyVNf1E8FaEZhz6rpBCGhayVCiDCireTIPPOKCrKt8EODMEHE96OmroIbI CmxV0J5u2ySiA6efed3K7wb7uJCgewqx7ZdlXfNHg51hg3jzxFTQeeUw5AWo88ccVtvovzn8Cjpb 8FAWDFYprEcAhxa3jrn8kyoqv9lShuEXMVhH1o5T2HHkbHOoaVgGXSHK4vrGLWP6WIz0pGGPfAof eQuJ0q1dvMU1sQrlA3po3NTGO0cAnRTeEmu6iGS2yfZRLLZ3VXqMNrjJa6vA9la8N3Jw9S/pUBrt OoID1p7WF1FMdphjHxq9c6C0XO+rDZ8cVRiihFnBhi4viFGqpwV4qDomh2qiI9LNIJ0bfszYvDHf //PiEZuEeRigNcz54K9oOvizKBe3WJqiwGLYzkOElU3A7pyvO4PKnZzyiYs1D8I6fo7wnyls+yLi MZ3i71L7yTXXH2W2tvEKq7MHyxPHSoakraVVX7nBRLENjXIDuk9fNu1uoNEGMlNlW1doHqX+m8Qa KOuvyU5qvpXhie9aitCC/zuD6FW30jP8lajE61fWehKrndBdKuKTeaYGDQCtWSzPcyRCbHHTZxS1 7FmQRxVvOzFTRPlrtamzvsZEHHZB5frtBwcf8AezkMfUNesINaun7JYg9Z683R+FATpVELJmSu9s ICjVAhitXYwiyQ92zOhvY+TBLJS9oBfTtzmY+Nu1pn+O5DCLL/hQDwHaUft1DAsgRd8UwG5N8yxl NWak4B0hBZ4djg58hJqxIwNRkub2IMfzBx/nJMpo4qoZd3+WQueRry+gUYglv016OAX4aQzI2dqZ Am30j6dvF6QJznMXlHrKTj+bG3QEb/k0Mdwdue7IavaUmkYU5ttp8MXWqLYmO2KZQTEX0eUPW0cA frzpZg2yPOBFhTOWXGHusgkwG+iXn643ylJ0K+ioiUExhukxrNaxyH5qs2cJgO7V7b5u6ITy3CII ExK8eecJC6d8/L7UEBPrFggLPEBw2DchZB0/BB2DscSfhLJ/H9q0w6T8vRMXldK1g+JEC6M1RPaj kkxeNbU5+XPDsbx2Y3+yhzkB9Ye54pqshRXTNeZBFDzhJq6lMLG1tI2hLX1dVpRNfznPCevyr5fE 8MD0jleQUcPO+YasqcZOaT5zZzk5ZimrNtyxFhbwmX256zXowa3/mlhlyLHGB9QvoPlKHhWTM9Bj APJvc0ncE3ck1QBHF98xfvVyJNFRNVowCT8NiMvCOfjOHWaHaL2B+sRTduWwOKts23Ggvibbx8oP 2AzGiIX7pGl+8ERopOO+q3xY8xaKTX3OAU8Zu2ASESvv1CQPvE0DMABfr1nstgshrXlBUOGHjzxf CnhLy59WR8Pvu2leHBLLYGCONRE/zuLHyKkLKHGBk1wPKMIF5TwYaLwK1pTgjc+pNLysHAnRt3x1 ZqgifvmKTUOh4XciqApdW4VLXHKI3zE5ct5LJKixldntm5v8ozeO8Sp6euiASA1GqSAO1kGhD7BR tNApNgqkE3duFwB5rCflLzWAC1QbYnRuUHSkwxj5+DA8IikE+vh8z+VzSQBl7rYKH/TgCfIUpUW1 ZqdkVIv8HDY6F9piNpE4SqWPh81Z/RyYqtN/qy9TQMfNyRQvsthhvWpRm+aOQu2HAZ24wFtY/6Yh Qw5zlASjkN035RHxHFpxP0200w/GOHpIFRRAIIMBQUje0vk8RwwvDOHTU9TgU9PDf2HxUbHCHsgy 3la8PnLANNq4bMujodde48LMSBNdmyF/+U9TqaXayVd3uakREil93RDH3OoNxa5WNsF6muhz/oDq OJeQaqoM9aEE+Cv2/kNlj7HLEO0vUhgMLrf9GAJecwTT09yC6r4nMlkFWI0FgKewCV26P9ytvn3G /37OTJot4orqT+3Y8RCyv0g1kMs3Jhisf9YoDXaSqEq4+GNPRBWWU2YW3c9vdK2lVYQWiPm5twsq O/dhdBnm5UhkGRHS2ie7Z3D2WCi/b2aZ6oA106wlVLg44Psb/dfuy8HMA+it3eD/Xmyr6RpLkAb9 D0/vpiUnn4DY+jD5TDHfYzzFCRJxCIRlsEZBNFLv32tSKgdIfJ9QNSXXRrOh7/pdZzOAS4fxy/xA ioOYBIoXJYnS/ygvRIdEJ74f3O705u93jNENzJq7dvJfzu93pQgbFvAksxAanuo/bEoPP5MI2Cmy txkWK2MnY6zsTg1jARROSrVgCM9oES1zJ7F6FKZGIJ/o08IFe9z4aDmcYSxjAeeh4vAlAz6YTWdW WTWh+K/kC0XkcfgfLBjXNWqTwbQiggvjGgGY61pHHDa1+PlucM+K9hR1mHlfzSXgqJ70hqpQKmjX xz194YoNRE+Zso9FN0jDDHxQpfGkvcitpX0WLEOqaTPpoMrcShF8OCrMN71sqk6raOC3ipHvThsR r8SXsdagfcr0OkNhWHk1eoNXJ2LNQtpSlITCtYwxwCUJmzm5OtQUnT+1g1QSEaJyYT5TAEA24JC8 16sfqAaEGR0xBOul7p9eAMUJUh23ClTb4ulXR9oCYg7pwelLcmMnac+/9iXmsuHdZOp1OkI3k1BG ZqhhbEnlOnZYKyZBPw2R2m7yr3oALWniD+NxKfT3vMzcQ8ffb+XRLBwuzSUuBSwyD2uMXugRs2QF Au3Qs50BoULJfXeOWGCmiGo2KoJVwLDvJ423YSr7sYHkTVa6RTWZDfIU4sdcT0xnbfVmQJk+oZ/w Ai+JoZiVsLnujgbZ5fs+nra7/zOxy1lpZL2FL18NERvVSy0qV3IS/c6TUMwnwoO3kOUPCzx0qSjH kd4/21uUEtkH0Kc6PsY2EGqiq2iHT4LjriGuW1Bwlqs4Nh9l/3ejPaWN3ox2J6UvgjB5JHf44ddQ JR7jHDeYGLYonRc3GtHoTas0nrGrM2PfPkwjr+f9lWuvowFEg0+ZSA4dy9IpoaNrS+zWJ8vYuCQY 5lW7UHeOxxnlMoZVUher1EP5PB810KL7xfBsHJy8oDjD1Ooo3mFam9VXCsZT48uwXC/aKLZYVkWz eKNXdRddDbMAjOcw7z7yWpXzV9F0RL6+WSJMzXnusDIDvyrs1/Sv+lrCPR7Ax0ibtMj590DWkP3C ou3I3bXCQ1Z58WQP9I1n8ds/+fqWTkzyedCJUMxcc5TSZm5cGgoGlxYPEtFgAO2UY90zR6Xh3MLx COZ+BkwJDLRC3zxjjsHZg4P/mnN/V4SGsWpGIhd7N0vV4qighlh9nd/lg2OJ+RSjK/ASGvReFg+Z 6Ti8XTZf/LX0od3SV0y9rgnff4mu/4kJIypYQEk9QH7h740dKLQI987l+Yp2Pk5+JzF6IhueGoh4 HCYJoBdyd4P+xxN/saz+smL0rSR2jL1/HWmvudC/fc8gTiIOIDUIzSYoD4paQjICy33BD/tZC2l3 BJhX4z12oFw5Am7YNirA05fywDqBD5iwr/LYoEOIcYwDxGrTysVLHAEX4BcHh9VxkYqFLSh7Ofln ++5edoi8ln/W6hXoSvTE5BLaN8kLvpvgaS0iSoMPSLLeTG9v9PLWJSyqsqgVUy3R1WZpyiErzjTp avUMNH4GerKZ7GQBB2QvNgQpQWIuSRBzUUIgzolR6LfEgyF29bNlyMZs/UspGzVVKF9W/hfXkH2U npo5neZzNnf31icab8BWcuvYo+8i9QTPi5SioUAXRun82OR6ZLJ4GuDtF6uP/tCjsBRK4eCQMmPP eEzzILttdLGucc2NlajrjlpL3KwGdA/UCsJyZZMF6Xvr7vDWJLARL/ke2l1L4pgCAA6QpxEHinO7 poAnsntJttn7w+20G641TrF+D2q8dhOM8XDGEjGNv1BTPTjk9D3yex0gHEOy7IN9J4HCwT9CZFEE mn/aVaKclAsug4WE89YBIxUdsJICvjantA9iOtvGuLSDtCsYqA3SfGWAAuTyL8ZyJKchHQFcmszc G55Vb01Gzmd6imZ835zUXohgUYa4iDB8b3L87s5rbvEcn3k7x6mXxcPyW/g19afxAukSBnWrL+Ay KKVOisvgt7ewcravWfjsBRIahqYn9wCcHB69vGO1y1lpyPNM3G+pWit+jj1Te6JZ7Es47YUzLNhn Y90jaEG0ewNRA3WI6yVXzPJljjEkc/kOrMZYa/b1xkzRIrY5jPw2hhg0eiN5lAZYW4TilX5jNGNJ XilLP00M2zMT+eqe37kgZV5ckCEwQFbSkRVd4XThh+wd37J2hR8BDrxALMnx0h0DIZ1XLQuEjY3n l6dRb4Mud8Bt1Y5ogGepYaPPn6/Jn2EMv2rJ/UUoLgBL2O4elAh4G0tVG1SXvs1bmhhE/xJE5JAf x9CFLqTXzLkQ0JIrwRYO024zPXvta5T2ZJvW0bto7Qroslh3TMC8m0OrpfiwSjFQXUx6q4NSK/Gd AkpnYoQvQpNo4MHG+OC6iFY7ysME/eyYjDEOUSTdiVXCTbqBz7gnw7eT1sQs3VFs0REPkT/OeZyj SFOuo298pYXmPBru8WMidcSUGK1kg7+tI03vN5p1WuH4nC8UceiCW+KS5tjAEop+Ayueil/XOp18 Z2E0rgoWtD+9H3Olqpr1ih3YulenFiHHuLeVqQlF1bf9NxQs/7oNNVNdRa/veovcPVZvNZj2XENO zcZ2tb/oj6dfFdwF/3Kt3btRVMg9BJm4zSqbfYkWoDr8XP7J3dycK37UgvKL16a5G7H0fiiVrT8U gy2gIdiOAezDNEZPu2J1b6KayoM9G1e/huahIP5VFKBFX9o99nq+9OguHi+IBt8CQ9ilz7N7yDcf OySyqpqdEllCOqNLrcVPdr0V5jja2itdsvF9i7kRSHb6Rue1QrQhiUSs5JkBYEmxEDY8x28wnh3x BXZTAurcKR3GPaJ7o86CgdXtCevYGF4sgkrNITmulLwz1YPmOsEgwn2vZdp8/e+nb57vQuosVMlg mrik28o+drn6LgOEjCBjC/fEFFJUOAi+oMsCEjDUL7C8MAkT6yE4LTti8T16QsKzrX1SqiEuH+ud HOJGa4IokzZ05cJzR6BfwJ4TIZpKR9R9hDiN08AEe1euCn1dz4sRSKqLGhXZm4dxpVK0ROzNm2a0 k1epCbAjEjxhcF065/eFbhIwryBkjXOYyyzJDI7N5oL/YVzXjYLLZSl5u3N2pBbJ4x3HhkrJAEtn ltytSJ0HkWSEMXesHic2UYKt4p6Ee7eHOVb3cyVCWddWlW/H85F9Sc0uxiUsxVaZt1uKCirBbIH+ /v6AtGqlWdhNPvEsHwVhqelkn3k8NEQEYs/Yb0t2Qlgd+a/hhOHUV4HtdRFUbB1P7XsYDTOZ4tui NORbERskw+FNyG0UEuwELL6s79qdfh1cy06BJyM5U3UOwHSnjXE+LnzF9CO8UpOaW8z2nikWtNPL razMZCaw1Eq8umdjWd3UVm78nj91i454xYdlQ9e4ysGSjQr+viv5loxnTN/0T1C81rFVNXrOgUEM 1aNbsgZ4pVrDNh5EZlweZ9pQC18KMeXBTo0aS/P7OUtjC4lQ3x3eLij0YfGvuGzvcX1jwEE2pJTk 1INF2KR04KShuW9X9NiVBflzxlAZSbxQE65T2g0OM+yFRixVs6naF/s5lW60IY+gj90sNN17bQQM 87cX1ULfsZXUsm3g2yDK7QgXatHhpmBJ4VAVq6BWsiXxabIzlCA6M2CZktm+1JcscvGrsNMy8Usw 6jupPaOIHkHAdpK6vYWiYhBm6BQhqNVa5JxuULNiN6ekzeL2TC6rycmAPhU1b2InbMgQvW48jW19 ZST4mUxEKtfNyf1N+jdVmI3LL79gVivLNKs5JLQcSSAp+G9v6kxyiFCISu7E4ezqBsWxH+6INoF1 CbOuUiwi+Vt8c3eBR3o+eaMR+e/yohMF9UizZjNk8L5LQeyUeQJx6HWDoI1goC2eAxgLzURKZ72i 686pBNTxKIcNRhBsqPyCVGPRYG60bPjg3fm3K54+a2wrOxDgnxPuGy1dsvs9u1mchsbgz+kfH3zC kSpKlsLoBtRmAbgivDCstDM0wecxPfXhHME53mUsVwZSnHOqrcOsCpQc+Pl1v10qxryCgozEpC9k HXFn/WYWB64Z5HFd/t2nPKLPHpTOGNDxIE4Nanj6nThvcjiF/ZxsOx4QAXWopr2KSSLyPd5OegDL 8ZZDNle1WLx54uTK2tZ+p0niRTrDrgGMR0sOtYLCX2oAoTkR2y3wgNQIHluoteLzaNz1voMX9xwS Oimfm1wSw5i4VarUn8xF1G+cmlo14EdXVW+r2DkOnFelA9j/dv9Fmffj526d9HDMZDWAJqdU45qn t/mgnwhrZoYFsdKqByhtZY+9A4B4FHWcG8x6mRpW9Ao3Leoix6mNmJ9g1YvqYL2Fd7Pzzay02XKQ YQ0hZOKdpoLmVF9Mkd72InVFyYv56s1vide71sFECTshBen3f2Lc+m4DwNqPfv87d+/No63W0xRF vKIz2YAEB5ru8zzeKn9ooovfh0nP3Kl/OP6E61pgLQNd84zAN8Xvcv2Nd+wvKV3Yk1yFjgMulim0 MGi36zx6emDz0pPtheurZghJgbVKM5JFrwSsfT8uHn2eNYJAOdft55XWu+dkIxKtGP02U622ZQos F+8mG6amKPXW+OByiUVVjh/iDm+5w3QP4tj9mnU1sVt+/kl3rXT/PTy1N8pJyP8m2tkqKJyYjGr4 SKur7XNZDwLLF6Shq9DuGjGakKrRAxxKKIYLpPFpiWuChWVZhcqYjN8jaRuHi9updR+OJfoMva76 WMW+XKplTVh1/+uF91glbUc5hazuqvsRRAwJGSqVKzsCYB1FNkHfA50ri8v+5Dak6ikZdgrlEW44 Mpg12tE91vj6BizsYzDu7lAH2LKNnNLOEhyh5sWJeKxxamSRr8T1JFT8BID+6dz/KYskNYIbugvb btRtAjSioWzKjYIdqfqkyWuqa578LIImMg9V+vK6g+k+/uHAoZqrNDucdJCZPI91rgLrt2r/dTvf jjr+sQn0L9s8dPwgR4tYvLn1Ywxzqog7M/hQ+8GSjqiwp4PbNwbpEVpEjPlvLP8HnhCPM5+QHYlC L2T9zgce2bHlr2mEirgs2nK8Jl0Sndn7Z7bsJjgpSfmT2Vy5tq8NUPAroq03YzpmxXaM3KN/L+z8 7zcPMNn6pFqgyobpF1e1RaXgNoKHwWUNfqJ/sRipVljvLN+gZkIQuEHBticZi8VVjn4WSwGG9Zo9 0N2eFoRfGV78xdYxJU8ugxffBwwJwS4qhsk3m+ZYWPQ4FiAZ1uxB5MmEY6C8NHp4z8int9lpqkBF ckz3zU+ItKvew3ECXT60yVZ6DYx9RiPnmK4c3Jm1APpv8GJyJ+5DZvKt/dHLz/gxnIfejV8kZ69U 3oPdoKCaaNy6DKF9kjxCLHttwTFMIsBNvgrAuH82Rorm1cdINo5XeSRIZtan2TTm/Stp5Yf4r6gB KWbYOhGPfT456OyTBwrTvI9ddtwAb2PYEIBnvAdLJL5gKWo5iJEsmv/MlOLvwA1LWzKZPB7vH1aE C50LhIzHfXOybAMPFYLU7r0H5LI3BWAHAqsFSY3ikV0JMKckTLEuvpnM91Csbbz+le7mpPlqlsAs HN1fAuLEPaw000Dwxf44uH7GXaTbIr2b5w1zQFbagfaw1rMbbrHfQ8tXNbj69gEJGLdwIxGf/vEK ijbCb07jqgmgX29nxffBNz1wPy7Hnv11PU+csLV71GggKcZiybRhAM4MN6r0oBkG/IShcXxzwLB2 9TSXtQqWqCKXpZOl2VyfWn7gmevdHaXPAwvhFR2lzxquoC7T9uDtFQVfWL8ihloDSHffhEP+0JMw N1gZaLyifCia+nxFkyaum5q+RWMu02ie9m85+roiL6zenUx/Zm+rZmRik9xZyy1HCrquOKk7HsiM W8p6fJKYbifFnXgeAJumfvl2wZP8peUajTua9di2GV0F7avEwS/BS/WwrgijYv4Y55Y6jia9Qk+V u1GUfxirdm8M+OpKxbSi+5piNtGRD1iLMWgZil4suHNPBy4IEm7u6JAOy0qN4i0llRCI/G2a9GJq wL9iWDni15782vovW1fUBNftEsuFMFaiiryzNlwdH2NiLmxcv1bNX+3axrrFIl+Xsic2cUCRFLWe Qlk4ZQpsc6sQS6O2JvVoKUZL2mTwGpvTlbaA/7hUrvkO8LN9L317CYqJpFBnrcHoOtnVphLzoJg4 lXqFojUzFrUk2uU8GcwWoN+5/B+G3niTc5+cnPKWXYOdg5c571MTJAXlz9lGKoZK1/UBVdyBeVY/ 3DSeIuIpnZQXSJXIhGdGjhVvcu8bbW0epn5VQFYnUnLC+qWWqw9IzCYYcRL+80q9M4npEIiJlbLa 1jL9uKdKbaFItTs7BM+anrdrNx5T8vf1+CEQnbUd71sH5npxzSVSnqythlUYHx1OfGo2182xrBPM v2Ok78XquyYhk5alHnjQoFv54rkSgxL+ynpS/G+2NlE0Sb9nkjMI5LkWo5PE9R/KvWqQPX45IZPn CxRBcduMCbwBsBsS4y7/KsxArcYjf7WP/X5KTwQYWOKWJbivmn8agvKBeQQOBe8+d5JPqhbEb+R3 s82cerHqu3wjlWikMiNnXraj6B3cEvlWmvsF6fBa+dojKRVIkHGd8o9auIMaqyH5Rua3sx3IrAsX D6eCFM5ifznCjGK4erHeD/N5SqMFcoTZTMKVrvuvA42+txe0vwSimN0omTGvZwdmqkGSEehEoytG MAqqrm5Rfovh4rHw+1jYRwObPIp5XOuzCVjK8r0XBa1f/nofVgoFOPdUE/0/vYe396U4ky35Wy59 n1/DNkPz57GqLm9hF0q1RByxIrL6Cb204AWOSxIk5XLX98i1Uv0Mlg8BjgRMnpSvMNR4sgVg5wIi UddWzPMvPgbglSw1lpAARzWMsPwj7VIVospXXavUfYDFx6gS0DqDLA+vjB/kHwZlKR/7Qsbo6/jC MLh3pgVELPLSy49XB9rI7hAU0ExDGv4VoAWSncX/IBfK2/9LvbSN0XwSj8XyGPdNkqkSXF47ZzSx 9cmlQ1pSgMhoxnvnuxtfqOi1KQ1yk479yDVGlmC40/kMdrYPL9CKfk3tHIJNKEt/fInPVEEeOHIf yZ7J4sGEHvQhyY0PAD5opEer1BTN6JgIkETP2NxDr0michgqV5aiy2EG+sY6iHs169QRmyY+QsEJ o4WoCyN5EMvSYi39isAx5+TiWNb1pR5RqcglSg8NYtVcVfkBrqpCfCCjGwWcomqXkecvLP0xPVMe CqyIRHihb5lyu2270ziVL9tSs3A756P1rvjWM/uoxbVu0RW1+agBE+Ezhl8I72+7Ni+C5cjo1SWz yIkJ4xrrb24gC7Ff9+DYenS+jVsr6vQn4fPVs1bwtnMH1+GRwg2Z9gBQleTU7nHD13ZAnMlWRdl+ 6QKItJXdL4gp5wOF1nk5tyJAO28IlAnI9kx5PzlX5W+zecrxDhN1ILI6c9zpFhIKTY82jrEPVEW3 mmHJsMcyh9kkMdpqP81fBIf6FrLAIvTvjS453HMIGBz0hmR5MA6/0RMWPmS94ouNUIxJvwKj44f2 kzWsaM5TtfHK6FF2CfBbvKmZyBmiAMKB/iL5kW23NDGLUA70mwWiQNMSGxkCWp11h7CkQPz4WnWs X45FJPZLErIcHMyuByXvAninMDWhDCZoTjc/ppD6kEOPsu3scWOLlhqESLpoRzU7ZTRC520sY+ja nvzEUcoKEKYc5Ml6GArhjUYGKV+Q7PWqaJdQIrJEaI5WXu7tw901DcKm/HG3bZpWLIMVWukPKCQ0 nmFCbf0MOdMqx0xnRu5nxpkQOqQ8/9RPvCVr+3t5ysbUXlv2kgKtslACJbg5z/yonbDTVHbc1gDW YvxK3UmbzAtGP1+YFqitSqMlAqooYiC09FoZmHWcnbF5EWCL5c4MLStF/ZigyPXY2YUIrkzmuDq0 9SX90IRc0U9LI4YmcsWZh6pOWV0KDKMvWwn0lMacRh5PdWOdSQQeMXE7OiPbl7689gqpr02/0SSR xDfRBm8URigHrSgH6Xmc/vBzXc8cvS5LZoO5nv6uf7uBf+WBnTI8H+hKjHCbgc5zDv06q04Du2KN kVtmLnK/qXRZmQuxedMcLh87/9g2QMQOo0cWoMyGH3+xYKj7C6SUNnv5Wid49q7O3ixgGdWRqUeh 5i/mKMtNIierj5CiQd4rh+2kfyzElCyQ/fYhdzZg1iLG1WFeGi3TUxeweGsu0NWGetV/5X1zEg34 PA7jtqJvkjWl20qfgVsbOckHFHMpcJrCi0Hs3aOHDI/p5oQ0gAJBgZSDEVPEaG/x3w+isXygMi3L 4OOhnQe46ksDnnEtNwVVFCk2MyXUVCRsdz7b1tU7EbBgpftZ3n/p0DeXeyovS8RJ+rrfaYAtd1GC Fqh2+Hp6YRHZV4/80WQgHFkyDcj9aVkxYecJ4g6iSIoK1QOoJgT0QOpcnMf60wbNgippFyodliMT QH2X5g3exs3uR0k2udEtPXUj1cfyVmzoJVVjIhHrGSFne5ce76JuXQVkdfnWub9l04UIMcd1TVg3 8tyPzCbmpfMdjMXhlNF0lb3QlgdOBwLiWPU4hl0/JZWZPqaj9VtsHWJdwncBXeZrQxy7myH/FLic uVF/E/e2N71lue7rWE7WEjgF5ib1A6buoR9he38u1P68H445vZAkeGDxgevn5tKbsnmYgbdfZr/w Ci2iLARWxcQBnAZfRg3ESMifsPe24HkWuvrd/85a3wfpWld3Voc7CYxrOmheRhUWBl4+W+uyIDTo I6XaqGmHLAgfmWafsKduzIseIrWQ4CQJQHMcpMc/TFCnrhOJNsaMFEwMZPfM1RefJqtqSftNxzBl 0C8YJCLtcZeANdwey5K0ls7cBIiGMT2tpGVctAvdqjgvncr3bg8REqlu6+yHXsFryQ6LMxA9u6Qv eDkTAc69EeSVxyjW55XMAKsfdez+sDnnmNAWzkZ6RGjG7e7ACJvGfslkNwkvTm5djcyemYN/oAKD FHnJ4uukKMueC/KrZOqcIOd4scAbD3uLW+A80niiY4VtoU4k7x4+PjaVUCnt4CEq/U+p8lehTt4l nCrQP5J4poEP3ChNeJ+azOCx6erEqESfVgSKSpGtLfpUwrmWpU2x5si2MQz1o/Hc4ipc9AxvLFyo pZWcseNEOvw6OS6sg3nlzgXJKgtXRPuggYBgel6nFRTOmXsywD/gVs8S8FdTtELimxkjpmJEltLj 0R5r1kfKypYX5srcV7yFWFh2xLhvFOouePD5Th7b3WPOrkhH3M2oSKstq7f6BwpykWlgMhrKPdM6 Zdh/TqxuUGqrXgy3P0ay+br92B7MX5WQRJzGCjSuR05fiOXXji26nuz0/+tzZci0DsTgItgjX9By F6nUnNB450OHgsyNx0g3CqNmy4hLROoSDFbEVRClQJdtlz2uSZIxQBsp7UgxUz27x74WFweSiNaF PNsChQyUaaolX3MHXxNbfeq1uID4nEGKHG+6abY5VyPVSq9Ykf4FngigyLcfZy0XHuv9CXdT/zNo GadIIUcOqku2NFSMjhQrM2QhiFPLxESnlcuM2kMbB1NVHYajainzi9ugMzo7YIXmayciH+Pvp7qr YVye7wI0eWr9iUB+WABxY4Ka80cBP9VID/YHsbr+a5CYW7jDYpp8yXRwflVN1BvOgLwweR+GBHCR Jzn0ItvgXl2DJEpcR5Mpj5waWDQqUTIadVbEFXLcZg91tvupjvs3Dpt7YUkf4yVpveyEUNQWYv5E /BwHipuyYibWP+N7HMhVUYtbdu3U14x1eNancUXwgFoCY4aKNPEejBBXNEmG26VT06u0I7x6uf7s M7NSsEub8mktEa83wQJxQyIE2O/69MDm995fqT+1o4kohGPp9lGII9BzypuHN4QcjX8ErSHTbJJR dm7SvTU702waHVBTj7f6OWjLlhNalwCKPXrC1a6FxeXy/q0U+lRFOxHGDIHg/dHRfuZz5oMB6G/X nKkoljFqE1ahHZ4xBnXbf9Ue5sviPxvgRtPUQlVWvldcFGqRgPTi1RBpohZ6UU/HjIpQOmo9gjwW 9Ft1MOM+DaXfImT0zys/TU7c5yPL1odi4wdoZJF0LCAPJiVPg2kayRKLfxJ/g5oQICUCoE11TjKi udfXONvWMEm7z4t+wmQqX+HPopMgXn8ZaJtqf0E4Cz3Mjf89f3+UF4yncK61ET/5D1Lsm1n4j9yC wqw8C9wjeOWWX/hPuiOhzTT0ZwuvivCOIHotTpdGg72ybLIyKG725EaZeLXnoZGRxQS82qyO9HP+ H053dxSVoaZn1Lg2xNZY8krDsxNvfid3f3NL0J7nRGXRwXbR8/J2SNYvFRc6TpB+gXF1UlI+Pe1n aSv+H6Bg0HdRRhVCZC/Iwz0mg6l9y1lBh3GvH+KANV83OmmJJemA3BBLohUG1pTlHqZZ0iVudgxk KsvP1QxnggP9E/fjxGphVU5KnrqoOrtNTLkJL07YRQ7HkhP7pG7wXL3hlm8EacLr4d6Qo3L0YNxl D+04HPE+IgemEsJwaRmBFCT+00XX9H7QBG4IPNAVX6vAf+GOhvqMLd7x+bCg0OPzOK9a/wUixRB1 gZKjJEl/IN9Wh/OgrmWgFyDKNWnLXh1iwGa8fppMnHW3TQs/CkIgjgOQSINm/ZgTDnp21E60wADV N+bTX9mAHrYAzi/ZO8QXHbhIFwjADKB8sS5Wg9AtP+TZDLPob8QmiD1WGKyuTaxKg92L0eTGKG0d ajXRUW+ZGsLF0QnxAgM3cUPwXy+Q9Ne8LzxLyc7aWG45xlGC3Li+bhVRtq1q6Lji4/StAjPmQKlc Scii8++Vf0l9R8hQZZrPv9mSsLHjyrwZv9gkT3Tg+rMoaDultqU1OclrTIwr4b21yeZpDZa9MUGR iRf9PFqcUqxPZuCuTIn10NaXbxcn+mKjg9VkQ2LCYP5ZALeY6eosLEaSOAGXE0dx8OS9fhoBeXPq FjNfE+/558DBi7Hj2FjIo2duKcAHrpFFktezcv2pn9eQKdzP4DB2E6ggtkgJGDaaw2j7cNRZePGh J9im8TazgNOz9KzcNVENFrqdi8f/awLZNIMk/Q4xQngLE75qbwwy0AJaW7tX6VIQyQ1Ow5+g51DB jY7ytXwwHH5SjwMCwDUp1pyhDD1xod8loz3QtnCBwwUM5xfm/t6wlN5476q/g61HnBjuKeyJsslN U1n3eVkIzpyi7uJRPbNlEgVUk8vqGwuY2OTy0YKFQ9VdCCqPtwFgktbfu962dK+J2CBqzK6vAJBZ IyHDZVhBlNbhgrG0fESdVOrA7F1Djn5bTkym1tvOBpWLmLPHAJ2ztFhz3p5Ch0KvG4+GxL8KtzIY KEnwFbhPTlZuSk8/ofnbPIEbXkptUIzvDB4zjaysuwiYCmIQ3FOsigWNQxHybthobAJQKUG/XByf fkqM6H9pq9gYc8ry+2WkmHp01fLKJEJsYoIBZjMMutURPoPD9+H+WL9wtJmNdp4FHP/LlkWJF+m/ N+Yu7fYO966OH73r7uYO1+u10R6rvEqqLA9A5qS0y/vkEoChXFmC2HhAtQmnBIYRfhMgXQwQyX6f UvnXwzMGZKyInOjOzyyWqDPBcFMtVx+kKKFc7qfM2cEwcaCs/VsuTLYNgdlBeC9cxlvXjo+mb6aA zePgi62H2t/4+i6uXTFAGo1m4voKtna9WntQ6sBXA0IbJbbQykrWPt3RQLCsnizh56mEGODxBwIH QO8rUqf+rBGRdrHUOIvhYumjJt4RTIGpgPr6kAXf04gyRz5J1UGQovJXbdMNW2UiEidA6nU8ZLYF VXjkxpCJQk8ORGfExHP/v0LAOgLBouYZx7avimtSPHz5dCm+BaV7b4QoDYdSKuORS33HFIm12Q+A jtgP76Fv0uN1YEHdsRBjZNPAfDdGNaS3vFVy0qSmFX+i/DAW6bSxgpWKRJX9MhYWAcF1LmRGIcAG zd0ThUDtaBTXwzNTk2qlE4Gpch7/8r94ph7lQVVsmneaUtybyzra9OXSURpccriY8FicVL4GaEW/ uUnYaW5ABEeXzQGNgwbTwtmycL6TkxOcGDyUDMLzMHj2Ahb7HYGPuW31StlRQb+htxTN0o1iVSuy TdGo1tuKRfO10RWwlv/jE5jY34nwXcnis+88UqLTLnV8b13t1zd+cWKzyBvgfbc5yog0XXpGAr9W bCDeOo+SDebfhvLFb/10Fg0/rcfr7/hEcowzS0a63gi5RrHEmk7+k9Q2zamnpVpfZ/0Qyusk/IR+ XGjNGcOLTvkmFFlHaNDYFstF01UMYhCd3UC8eEnDsuFz1LIr8su2QCYo/Nhjwrlk5wy1LgM4m8KF oJrdJ7PMT1guyIHJDSHsg9sO4PhXa9l+l1+lXHsXBSBxSvhBurzWSyXQuv4JoIhwmlwBCFZaUnPr T2OAWwAd0TulZDnqd2zoSzcvL+zcdIt8fJfyRhMdGbbmEGplIkOgt1WQXjRHQanPgp6PLQSZN8OF 2xYn2biEOtCEydwz5NZZPMC3PCs2ie7i20mg6wPl2rCJuh/f1y4SUHs/BfTw4wjUnUA2qBTvoj2S 6oXMsBD/OK4Eh1gWZBElhFo1IQz169vRAROopergmJ4LkBllShDVBf1NSSfQQhaaIE+FoiPXwoJF TP8bK18OiZwYFdTx1XlMKoSeolXYGqehcTIcY2rLeEqY2EllN8pfUZQCLQncTKhkqEE/cPSes+l5 5sSGiZxwHO5rfxQmwFD8pcHoCLD7kHB8/fY5FywGBI/DhN+GpzLJX3fZFHV1K4SYjXYwhAusXepO 3JYhgdVN8BLxsRmAf+kt4TQx1BCn2mVJ3CEvK6oKkJb7aSt5bXCH2FKPy3EXDNI9bOSECSSIdhl9 8UloODnQp8TvQFf+SpXaCP9ZVHS1Hpc9LnowaLzPhjEkkyQUk2NSBPjeNmRgnMz12/ES2d9VrN0u ElxIOtNVjFaZusrogpP4J64eTOwbDCmf+nLie2Z3WtN/0pRwStcc7+7Jv0M9gMtbtIX6VDjEu/RV uzLXmSBh+hx7hV+fNzkJpD3/vhN3WvI/qsL8HxwA0Uix54ujqOVm5t76DWenBFt0LZVWIB2k2EZh exhTP+S+Jr2erVnxBp6ribKuF5QUFK1uIE6Z3HE8ceWavLwHCiFrgdOLv14Ucy8XbJqJ0/sdt4XG ANC5pi+AwuKbex1WYeuR6qrlp/9SKjbqrzDgdW3JvSuS6gAy/s9AUxuSR8p4k/S5Cvmbk9t0N2UN DusqNdLtQn8nLoMbGrfsqz0y3Eqx5xTyFErunddbKfJheeXouI4JMeaZTDvcxsaqoelYmxtrTP9b 3apIBlG5q8JsJIbM7QVPgui74BADBbT8UFpGY87djNgNCI65OLNbPZo/WQgRKBl4ehFjLRLfDcBD XGs3MfV569mraU5eFQFx4j5nTXCLLGnpz3An4L5du07soJnJwoZqw4fjA/KRuXPkv9v0Xn9sfXDr evW1NIbj1NgHYv2kceaEHDKMwTIp0q2TQVw9ULsWLVO6JPld6x9DiAzBiv0J0uYhIuYdewAjRLCk wNyO7ifhG+Q/P1Xnf564LPM0GiCyC7tFYjyuTTeqhLQ6zKbYYYyj2aWsb4sHqhENSMUX+JV5Xkqt tzUOuVb9+M4+U52a1LavSg8Leu3vzj6F+hU6E8lOdmLHLnld3efLn3uV5+SErXBsO1Fa8cNAUkY8 K38EAGLXFwjvRjWJD9dgVFoHsdxMfUamginZ2lhYMnp5JlcPaiQi9tNYM0HlcZjw260QXm+iMVDB iEHfbGpyPsIkx+vdbMYW5QgTzIfr7ExMT3m+msX3XN+8ZI8Dj+KuwGBhUX5a8gTMzW3t+TD3FcWU A1LBoTHDPvOhUHkTKhyVjtrIs8iGSoO7AZvKgBpc6CHuddL9hyyt7rCr5pTAn+u83+x4D+SPkKcw 3VyQUtMRTlzympC+MZTO7YFAWyxmDu8AZFneQa3nwgSP5jnwC8sjJyuIEdGMQwmto/GvhU+Ib7gI ohyGPoGudBn/DesZkIGV/GUgWPxpz+xqAFDMvGM3Rj/ZNsBBb66ZK4fEESkV97bLqgE1PHN8998o 7HSRigyCQ03il4oWyd6ufkWvCxJL/5N9nl+dTloAQOJTPBLTs+VvYB+nPV3Q6op80m8PKrzHHDQc BM+RWurk4f7O45C0E2awmUluLt1LxS3jMwdIoLlWGf12EDh9np42MMHi500sppBbxmy4d2/3Zbxa vi0VZ5mPjf376PP1AduhYSe8z4BzFHaFQkBgq10QkQOdNuv/mb7ttVerGIwlW3bwfqZMySdG9qPj ICjtI6yPtBnZCwUv986+dbEY/gcI+gpRoV5BQR77q8mJ6Y+UdRg9cK7AJ38HsrkDFqr2kPGcIbtm KXVf27Hlpa+hBpiw7jXffP3qI+QLXU1iDHbp0yeoTLQd11iH240XZN4gf9OT2DXSYaCiiQFhDDQq H4jqD3TeDAo1U0h22LiH6pviRoGjjvcGxaGeZbLiipIJPC4JUQX7Ib0OQre5x4HdvklEazYeIY3v g2W8fn7j3Pu9FMQwgbIs58D7vZVKJ2XtGISITxltXRSHJgvNKQ3pAeUNnGp8Q8G/yFPqIT1wG4Kh O5rXllYqhDlYDoqIpCIw9V4xL/v2U1GsWOaQkrPo8QCWJMdhUwGbTmUtcJ5cXiGYFTcFNCGJd/sv WZ59vfsfzMnDYGyei3sRwUDcfmAXMkPQK23BKOSu6kee7Nm+vSPPiVbrRXSQNnOhdB0CqqQOVTFr uhbEu4lbNlByOIUNiZphwuMBBMUTxvvsPaNNkhV/x9QxGI4r0/QgoPMCh/nSleYJYTWatJuHppbd ZLzt3hffx9iQxgInqLTVfQ1g5MZ5VNgvD3wAcp9IIMMHOoYt5njrqBhJt1X6DsDO5qmu6ucPPvmD Bn+PyQIi7lZJXeykRj/OTATFGdmYmmdtju10cd8bartPLiThYdPCj0QIqlQ+EGkKA4yJ3ZFZqudn G0hbrwIncmDft/SBefQzPW6y9Ls+A9D+O5QUM54MV2ST5dJPa8VbBlm3B5EWo3GLyiWnub6oRDlt UmMDmX4KhuFpB93p2ue1LpwGB3xigesycfyK9oGVWkPyhiR+6b3ZEua3qg/RxRODqUcoo53hh6CB XgXNr78l+XF++uRoyfE1VveiRb1kZVmBd6R4IhYEldXSH30V58yM0BS0aaa0NxNC+YiSbEX/50+1 RsypA3EFM2/a251nCO0BxnproVP6KnBGRSpQaJJBzfo3DbBjvRV+vdIV9eRm0iFcu9p0+hynOqej u7lsFolblpF1xEkrzslc80QN/Rt2qh1GfnvQZ9v7nWjgOlR3W/lXXIcPf/r6nBrT9dGFe1b8hE6K dy3JCSbaKVAL3ulX2qxS2EIAq+8fLoD5HHEs4RuOCnE5PGcfQEsMpH/KojeWUqT1t1QUeD5CRZy+ N0DeYLuZEo+LLgGfnrADVvuUdHadqesW5IWR8pAb+3Z8a9puzrGaLlkDDFSq9gKKJvJ5NfX5dWkn CumMScnfuwz5R4VNAwuWS11FsZsMCip66d6bwU4b4xhCjKN0KI2kFhYEcb2kQ1T9Gt72ImgeWtnF jp7R2rQQz7SyAPBCq2oDgUOebsOgdG8bnOm+6QvFlSw5u0PpCFbQ08eleNwakzUriDrMEA+vev5b AV16HAUS0Mtg2oXPVJcCeelHXYSqBCPSRnj0llirjRpkyeVo+ntHtitabcWbWG2wKCFGzzbHG4ec h7pf2eL5BAClLc6t7vkwWVjxBD+okHHq/nF3E1nVYgaRLPMN2d9BV1QpkVIFI9ZQSSh48duyV3Z/ 8/GmEOygl8Q21UaHyo2Byd4RkDH2Enpjxkfh//6C+/3SEtANNEJtQbBIZvrRm4J0ZnM1Ez6iWoyz pRPMXwMLdpz5MKbUdR9si4PST09iZpi15VCGL6kejTuCmeEMqYjGk92ve31aLubZnDOdEwtakliB Oe0Jz0J/sDWRoGwL3lq9gOKU1sD4n1X/zgiFlAoGPnUH3xqojQeaATGkbsweP0exB9wGhu5l0CtU phq98KqNCO2oUdCfnd9eUsrcmLjUxJA7SwTjBupLywX9md0sBqS3Dgg0Wk+W9QqugRn6KNOS32nU dgo0XPBZeY+SRgqJK01oDv1o8Rg= `protect end_protected
gpl-2.0
43b825fb58413e7c79af973ff2cfbb3c
0.953168
1.813677
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/Poject_Lab01[old]/RegisterBank2 (3-25-16)/RegisterBank2/RegisterBank_tb.vhd
2
3,781
-------------------------------------------------------------------------------- -- Company: UMASS DARTMOUTH -- Engineer: Christopher Parks -- -- Create Date: 13:20:29 03/25/2016 -- Design Name: -- Module Name: Z:/Xilinx/RegisterBank2/RegisterBank_tb.vhd -- Project Name: RegisterBank -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: RegisterBank -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values USE ieee.numeric_std.ALL; ENTITY RegisterBank_tb IS END RegisterBank_tb; ARCHITECTURE behavior OF RegisterBank_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT RegisterBank PORT( RAddr : IN std_logic_vector(3 downto 0); RBddr : IN std_logic_vector(3 downto 0); RWddr : IN std_logic_vector(3 downto 0); DATAIN : IN std_logic_vector(15 downto 0); clk : IN std_logic; R : IN std_logic; W : IN std_logic; RAout : OUT std_logic_vector(15 downto 0); RBout : OUT std_logic_vector(15 downto 0) ); END COMPONENT; --Inputs signal RAddr : std_logic_vector(3 downto 0) := (others => '0'); signal RBddr : std_logic_vector(3 downto 0) := (others => '0'); signal RWddr : std_logic_vector(3 downto 0) := (others => '0'); signal DATAIN : std_logic_vector(15 downto 0) := (others => '0'); signal clk : std_logic := '0'; signal R : std_logic := '0'; signal W : std_logic := '0'; --Outputs signal RAout : std_logic_vector(15 downto 0); signal RBout : std_logic_vector(15 downto 0); -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: RegisterBank PORT MAP ( RAddr => RAddr, RBddr => RBddr, RWddr => RWddr, DATAIN => DATAIN, clk => clk, R => R, W => W, RAout => RAout, RBout => RBout ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for clk_period*10; -- insert stimulus here W <= '1'; -- Enable write wait for clk_period; for i in 0 to 15 loop RWddr <= std_logic_vector(to_unsigned(i, RWddr'length)); wait for clk_period; DATAIN <= std_logic_vector(to_unsigned(i,DATAIN'length)); wait for clk_period; end loop; W <= '0'; R <= '1'; wait for clk_period; for i in 0 to 14 loop RAddr <= std_logic_vector(to_unsigned(i,RAddr'length)); RBddr <= std_logic_vector(to_unsigned(i+1,RBddr'length)); wait for clk_period; assert (RAout(3 downto 0) = RAddr) report "wrong value" severity error; assert (RBout(3 downto 0) = RBddr) report "wrong value" severity error; end loop; wait; end process; END;
gpl-3.0
53701f96b1c422db0f4001e83d8ec7b5
0.57498
3.639076
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/multi_fft/xbip_bram18k_v3_0/hdl/xbip_bram18k_synth.vhd
12
35,722
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block QThqPKBRcQM6ReHNSyBTL0WHypN/8+2ouX0AIlYyfTx9mWsRZ7a3/D54xJ91Mj8XTwfvnUK+YYWz C/Rn50C7lA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block f2VoagMbeaGX9is2SGnBkMtzzgfB3CSZYOHpJC8Ji/y21SA0XjJdXnSq3idfy5/lKkeQ8DMIa19T 9wQCEisqOJxN6nheLw8RABTn7fngwjMYeMDrfwN7H1Dwm96WADU4sAHHR8hvo6tMU5+IRJFjlq2v aMIeAMAHVvR59d0MNqU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FVQdKVlLn5qPCMF8wXSauZEiaJ12pC7R0OPIFgTItVSn+07mCwYUMdGZVSQCmg5X2APGNy2432r4 m7jEqc/xabn3Wha34do2XSrZsXW37eVpZSlsXmsGnv0xCawvShHjIeRfLuzgPylZPYHba6apS5Cq BUCF+YowW/WVCuljv02Vz3F5hdDjMNjo+aI84LgGEPuaIGvNuQvgOUdRUmBThOZwWHjrDmJdaUcE dXfJ/epWVFQCvRdCPYUyy98wc8shFX4Ea+ObHvxy59Xun/z7Fykzd5f03KPheeogSKlYk552IkPq d0CCuD7wwQP/7EY8vtwjQUY4YRVNLwsoBsbgGQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cqgRBzbiww1KQZDgiXRvTQhROovLKB68+aC4vUtWgUYKCWTuSqZ3E8XW49HyvytGMbbl3DqiUdDz dq4JCVf2I9QiG/BrLlsKYANzwRn6rfT5rZdtBIsZheEMxknYwN2qwBp1wpBMubzUUXRSbZj00cQt Wt/9hVs5t5J9PRw0wng= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block beCZXfYsgKeHPTUJpDoqwpUoFvg/t4K5oih7T/feG5pQUFRf5C/GdCiTqNyhqJAlol3c4RiZjac8 veYZUs1UgdQZiUv5/kx2v3CIUe8X0d9U9gvIPTFCT/o5zIEYz0Wap5mygcl+DjkYgQabQHFn21lp YKRF+8q6ARAwvSEgDfqmRr363oYhAitrqSOGIlzKr18h+sudSOPX9hi+I17RuGyqNoZ2o8dt7fIZ 7NJxOjsTDJ4xPlMGOTl1QMx0yLDm3m9n/0/NdVzl0yN36GHMiEnP/jje1caMbwiRu6lQBdbTlQYL njYNVDJNSgfBbJ1LQ8Lc5S3ZImCbriHMaEMBHA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24704) `protect data_block UufaKnuU3kiD87VSlCjfWXkL7arpQcWX5a0Rpu6o3ayR6MFn3iRl7l9ODO4028Gf/7+CcohEyhVL 59fr2VKrs6h+EffjudqFTRYJ4SD9I7kOuU/AvwVLwRCKHB4VEapPXwo1HnqQu9eXMK0mIn1YZ6d+ LhFl/d3kFMw0vDneBWGlirZ2K8MzYcJmPPqti2JqiV9u8Bud+vHFneKE7JpmGyi73klRccCFZ5z+ O0rkUbYGe8RHjqmFTjox/Gty061j/9nO9UpFTq9bzViIdSmtSCbMqA3o92Nu0ZW5+W30d3mlHBtO dKlr7zLKKrVnW5mtK/eoAOb7sJxaIHcGkR7bQFycqXHWWSfB6+8XOKdhmoJwO00SJ5HOg3XZ/Wwa onQ9BAkVg9GTW0FsEgPXq2DIdmu3c9/CUDweaF98geFXvouiXlEqrokxnSbYX50z7J/9lhiFghbJ djNLcCs1IjBKns6/Uqg918wL0q0NSVv3L3Q/vRLlQqxowAboWkKvfxa6QrwAcGz/f6dr3G2SbA3f QEcMaW01aSFeMOG8Y2d+Kar+3GEeQfn5a5Zo6UiHsRXVBk3OvySHlFqCKefrXF/C06/XCCa2giyU w+kpPOsgAgB9K0E5Vz2F9vvKItvdqH+RujMgo4TRH7OTHyfVy/nyEHfdPKqoTmGdRPPFbXtyZMtG 0v6ZAMQsjsAsOGe8aYxKFMG4qz/0LnzXS/H2LgN78r3zzsuZ3NhlaXDhRQBFaaRx17m6ip7+VQIt VyJNlcra3qORQMhZWHIhLC/76StjRF7GmwmwBpQmiBrX7yoQc3tQKwuRYs4WhaAeftWyoSQr/J8T AT4sGZ8UP7mWJRpyT+z/aDOc6mpd/1WSdqWCI66LfAyAIVkgGahwG/kC2i4DTVGQC4P3abPy5U/q Q0/e1/d9Z8v8YRie7PeXqjruG+6gvo13/BjPchXatfmxIU7MlJZDLDVGXrxfy3nvtt/18H3FrAL0 fwJscolqIVjx0bTTjRzSq5TUMopUaEwcc94M6ICRhr06LWm4CWsMLIJ9LxT31o0ujhzEPSSVusGW +3it9XuDiwamBJpTfn6SpBheUSneXmCE9EKxtmkUmWo3IIIq3oEOwvFP7KwBhRW1Lii3A/0gPqdl GB5VK56dfmYcl8M660ttyIp+5gv1W/lMFxIVzZ71HSdH/+iisI14uLhq728YFP2O0/G0eBpOe64A NpPjDEcczn/nIUxCBL7QAbsgCgS0yKSr/fUOvfvofUhRgDnpeF0Sbd6wcB6lQNtbEFEa6PuolHW/ FaA5s6+2ZUO2NB7cLq4yL6LxQSMAUalU62IZP99toH5LBEmh1k/FiOWkI9eYAr0RVu/chUIFOOwg CQvioWW2IWDYQHXfukjIaqW6EmZ5lDo12Dn8GuC2L3AsmQqvpIfevEPeCAzPuSp4SsiBrRxOZ/eh qZuSxBHi9UKOD2YX7AFvP41zTOBKxMCnB/uJQ1yCdBTgzH2b2td3KESDUYXHeqfNRLu7LeSHwc1g Rp/jfWLT6m4K9TcWgU8jgU8YoUotRzzC29lpMNn49dHLTdfNc1SrmErjXSKkhplBPnOl61iWQ/Mn g2FKuKHKBgdtHD24mpfkLO1yAM9AU4KFEVJNYyKNzYUC6S2YazwfLH/kGMyQudNhBtFcHwJBImB/ jkHKkUY3RClq+bwE93q/xpEx7R/+pLT9r15U/GPn5oEKbb198Sw9I4HyJpnQnETmyFLjY9xxgalk 8vFFTgpUKmW/HOkj5dYC5QysJlZnjfm/r8EcS9nf+AKMuDRXyhE6mX8Me4FEnek8p/OQs3x2pR/8 qbq5JQfmIDgr5n3YcEqmEo+WZBAZy7EVFAUS7TX56j4JfWEsIIjE/NnaAjrhVmoWNFAF+6BUA33R ZxlJgkIe6k+35z9UrD3ukVcF/QvURlJHOtRkwQ21uZKcw284hJKY6h95EEkcYo1qKBkR70IcUtkC 0iE60qkLXfxZqD3sfLbHhI2+VUw+HbYwfuB2kydoclgcYfwOuQwEuc331qc2AcROI43tDpCa4S3b xJ7noad38kOAhPMQd7QDkQgySoUDbhN/a9YQlPhgxNvvrV+WF00V0YjGQ3iyjs9P4ZVbVfdKfijG i5Pp87UnviDev/fsnoqOT3g2I6nH/+ZM/CIsfvsk+xLpkJxMdnqT0fczt2FqkZ9S0jcn8l8WXlay lSW8Z0WAshHm/2NxthngudUGK7E+4i321aZY6AZ3s+AR/8+jtdHZ7K5lcgRP75pD+N/jijwc+Sz1 TEsJtZ9MFRKWveZOfOt7Bvxli75nHjvBZ8Z1pKgpzxNCuJoKB4Qiq/3oByPLvgoG7Pav7DxRbS7n J8LRIYCvih9+vHeWFSUvc4+LLOQPiO8U4UYQ5FnMJcSG1Vx6RW7FgPWNDzJEB7cyqzUq6Kc210mb Hzll18+TfBucoGlZKGyRp5Eg+Kt2gbhK3Sk1QkxqDIhnaT/8QaW/58Ounglwciz+exMv6V9Rfg36 OWWgO3ksxmdPYcB09fjFSGQq2KSG6foaxaat6+PgySsLu+XCFLpiEdK2ce9FZBxK606WGmP3o1en 5Kb3NKFhGwfaOOJ0AZCRgi1KHlY+Vei4zo9uodq1mN0vjIBiLamJ3J38tBlsDfw+9/0NSG7Eb9E9 0CbLcsvz/7NqoCENjw9XLgo6a0fhCnhDm7w1HSXFhBb0ObGixfQf9dfnjOgS3FXnV4pgHDeUqK4l zW9fIZGHJfW8+tokwyyNqZDj/leiOncfVrj7JmM2Kv6ge0Vh4VGfyanb9ur0/X3QOAE0jA8KzXhx elCwOp2XsqC9roe5Oh/4PPiTxks8H/8gUxZolGz72OCGv47rCKlENPg3hhZsqQ6kUoPK7NfuxVPz utphYuTuX58ZihczNne/UDiJq/xRSDzbtZRjf7jitrczOOWD0+kuorPkV1emUz8CAV7kneSwMHUl nA5i6cgkG5ppiBfDabGQY+yyW17nIoPaMttkvBzgsqjKczEiPrBP9yvxZodsdptA06t0JmkXkcfa 9BxCaOQwgfQQluYxMa1UiwpPd36cwAicYiZF7DTniN1TQwb+hZBRDcg9nQ3M3Pcv5oA0vEjHA2xH tmcJYTPXlR4QJIfIv3P390N/bElXANAyBA/PXIY9EPZvR2f6Y9w4Ipe/f5FBjNPSre9IzzUIMbU7 ZkVNmKd3lyVV+uvgXycfQb9kPX0eYkcWQlb13ePvSYMkOOSh+02ZRfsp3JxezaFxcs27OF2MOj/Z zFRh8p1w4x87A0Cn26dwTDP+0HNYez7fJbmZC5WuQogN53XGDZIFwNPtPwcQ14StmoAOmDq/UzHX Et0as5QK8bC57JvySUBAPhYVunBFsLoVR33IxEc8YaOTWdHurmPK4kXAmOu/GYiA2IiqnHoL+/k7 IA1o7C0xaDx8B6ql32wwO7Ey6mO3FqyMhJkushcI3cZd9Zr6UZN6WZSz14kRb5pwKmtPh7z80zTh SiH5vlBVrv8+9mFsvXIn2gkXD0vnKjupNlq6G99vpbN4fk20P8CJfQX8gfZD/BwwULG8dsaXYV2q /w/D3QSqdtq6kguJx+PJcUp3Q/nKe0gZQvKzSNyFK7t4hT+EGiS3z4Lf3DjO78/Va2MUtIq0gcu8 gQz9H//zrmnIkdF6SfLeIIreZJAoMDrqi0kfOFk3/+7euBmsloM79CchzIGZFMnxS4YPE7zmGdrQ ATcthp89DVU7f8FI+x6iS3+74Z4ym+tmA5TSEDkaKGEYIHibjdxlsJiliAjXCFZOY33OFCTCIIK8 dAaq2hqrb0c2WOzXDjpHN1SM7VtIDKERATNpH+aidhd+9DHVyvNjKHhMSo9XjE5G6VdgQM9UQpPS jRAYtrtIqUE5mVvO65teXTlI3zbeoEw7uieiFW2zesFXJIb+W5WdTM03M5EtlRdOvjqKAs2nATtD e2OHvwuQpNgxyYbjbCCQX66PmnaTEkhMT/LB72p4IDfXQu7TjtWF4veZxdOc8weS6a2UYjPsyz0J gm/BlnfoGTOE5fC5/A8kNA/l75s6pjmdOxff9PbAaa57xjjjuP46IiogI2BmUCm+PMwLHhVLw8Xl 6qO+mfFt9EcxhvtOsYRd94yqOKtgR1njx54wY5kTnjCJNuKFtQK3D+XTWTPXRqcqm9vVRW+ZXsou opckYr3OWlJWNA+U/xb/sH4PKvbwrkc31wY6FdXvdLNdVUM7chPIBQPfhVI73f6feg9GEBgiEN0L HyljWM1nWfTvpKeFhONPJNr2BxcxkzirXB4Ehns41bk/khiQE6mFqlVOPFDwn2b2PzMMygSK5HKg 5udztI++MfGQmo5wuILRjVMOaSyFIboj5KUc3xJk523AVYuxCRY6MbEGAYdgv86e6yhq1Mey6ItP k4SPRPQA7maFxAAVbRpLt79kYNxbvov+8ujUvGti9VPcDbkScF/CfQIP03URZPewTzi5q/8Qv6WB TaWolsdE7IBhNKXGE4g3yISVn9pN5pMvfk1k8wDitMU/WqV2bZ3xJlxmbnbdodNh2Bm0pyYKVLVl ghTtpjOO5B+fTiy80bFaEDaLkds8OXloF/MWe870Idw46Q/ivmh7FPCt2r35hITLNV6qnVaqZfdS kpBBLVsn9/uHxXRQ2b/UHnf/gQ7zHJkCXVoh5cxqTNhIaYLznC/ZGx6xtjirrGm0Hm8pEBlZ6aTt jS3kGpwbS1Y/5GeLEw/oBqx5PXutOIohW4bCv22OCDGmut6sfnMNkL7ON1+qHpWRFn3ebJqYgDJZ 1KOuipRiNc/iHWSf9Ozqp59zLH1HaigXhp48jc7ad+RzT6Ph0JVpYYk7dtRCDiHEmAiPRLW8t22O 6bllHR/nNya+IlmCCPbPqqnUyG1p9vpSQs+AHaUELp0tVojjpxmXHbe8x4G3S35lhe46sEyg5syS GmyUknNRqTOa29zBjO7jftj82KObPvSTeah059MmqL09IS14ffLcNiikm/0jtp/y544bKQEtyt5B 5+RnMKw2OsTATNt7vEkWwYQTolbGBhEGx/uWZTefayPz6jd+Z7Lp8A6Lf13digcpu6eA2VfNKjo5 OYFX9mP3uSE+m7Tm6IiKSacMB374tvc6T3pvoNqB9JZ4ryY7sRyH48Rj6es5bQ1sPa36o61Mhwbw yFmqKoTscaxHjOkYhR19ggQp6LdthZCmaXs381eq9Jb685eiuFr9OF9q0gHm/R2NhTGlXi5ew4o3 OcNTsqB63sgO2Pr1y1ybxhzzE9zKN2sS3RAom/O8Vz8COI7NS+V6IHtgFdjarzjrZd2z5V23mPsf L+8fbg9qb+tfH/b/uUTF2jw3zkXCf8/0jTOHtMAs3OfVrphyJS6c65mhw12k6sSmBTa/6LBnPpX0 a0IbAbqC5uWKUjEMUqOQrXIDgMGhMV65rNMAj8LSvDa1kFWj9Mu/D8t3U7S2ID4H8FKux2WRo7Vo x89YIh1563PTwgHEe3gQjxeiP2Pkv4COVg9ug+75Ou0Evu84JvxKUBIMXxxyIQ6afS09zeB4pBB8 HoI+vpAKtz9ca6cC/2ZGKRI5bPgUxDNUo35OqAKrt7729CbllUS/g+ewgd+XXX4QZLUcv49t3Jy5 R5BLIFelKnJSKQXSJLdgizOPVL6Pwe3TGBphlg7/QivNtweirywQuq33PyvlGAKz0KCXpqpla5B2 et+4Hs5NIVvkPYlJEhABkiumUYBfYhNrHde82OXYMiaYUs0wnurVU5hPwTdDFTSQ6Ads0Nq7GSIo s7Kov375Hh8U3wfKizZkeJUQdJcE4+QHLLbidgC41JQT429beY8UnszYzKwyoxh5NEAMMnkP5LQL OwW8UDED12n+pvqdS3fHeW6CP5wvicWz2h6UAR1/2tg9Poi5Y3j7TyNN1/0FyaiOEP/ACqtdw+If uVxXmk7U7RYPWsaGc8om+Du+ayEDYQ8jsYk8e2EbLxyUzrfCPlmuc0AVVo/Xog+fQD1uwKcWHP/R 3aWyh3nR3NKSITzIdvY8v+AcfLiM2nBkcA+0jvf8zn9pvcJhKPTtVvFSEizXZTF3T2qgqqaQxUEb QkKRAp853kdpoiC8NeY8KxzWcy16Jfk5hlgG6rcDyP0NBgahxahVBGVKN26KQuQtCi6e7Htzxl13 hepWCs0WVL2g0i1MZdzzAxQP2BIyqo/e14j/NNXKC2kkAwvqSAcndf+J4qUJOM+5NE8BoUlgk16u FqicuWaqMy3HM5Z1iH/o5ycAE25XSwEuBLe/YU7wNLDk9/7A/PE5rAUCqPcyT1O/eIkC81LviYf1 jI+W/EgMlnCwxeXzOH4/2dUuKQ6AJ8ttsMSCeH+nDK6Yx8EBrU9mlKbQ0rV0G8FBv6I9lmnMiLnq msl4Rgy/NEEOOE8dJDBvUjzj71Dn1p6N/dRgr7/43WiRs6Cl3V8QpMtskUMwXdgOOPj1AoE6x5K/ eCH+shDRLRITY5pXvk4w+obRJIjEoVpaDPAx63vFJBeHvoHdp+48XzHsyYyd/jUMvGfa/HTJXJom fJFo21iLhF3mYONo6HTte4t9tvEMzn6d3SnQBebB6zyPnmzjLtllXg0Yk70MFCRflZ5d3JfUgkMd bisyqYUyk52xlmU7gKNmAKZdsAk3XTwKdv7aui1Rzx4FJss4wsPgaXL9uGpRZXACAVA5wf7toB/Q XHg5DOmPwqXT3ZVU4flh7u3jySETsjSNeiMxgL8K65LrZuF3rmQ73IS7T+BDEpX7CW1XjFjTE/8O aJ18al6ORr+Qd/cuoErR8/35eMy8NV6AA9z6oq8sjKzFOdFzB+qnRNCyMffJIC+uw1wn6ldKw3PE tdmrnXbrCkEU6q8/7x1Yav55XP/bUrPxWF0vZCr9oPSHQ/zmehBF8LkqXdmxXmpBGI6wNJyVc9rL OwJjJm7Ju7Qe7rJO+1YVTtBXbnotsykA7rcT7X5Bq9UkRqgJzAXSyzD0RiSKzOrY++2LyoMoPAKN xYJFPcZ0/UYd3Wnh5788rV01O86dSMweG95oImmet632nY0QgCw6qblmVEggT5pXPavnhDwj2l2F VVWSncQtUncWkBBnqtJlb6eejEaJMYpWRb3O4UZ+hEToRHVbT0+/rwy6J9hzd4DVsk1w8V1IvdhG 5gWorY+20SUG/67QTdctYq0ByJOg1Ju1VZsyRNXhQx0rsuAI7XjdHlswsBF1dGutEvopAKsUMHDi 8ibMr7mGnDQMwIqZzGd7cIXXAbDChtIxL2GBxBnP00jhCvUFlTu0Jcn+uA3GQLKN3/jptdb5N/eR kBtCm5C5QlNPLuuiOet8VLSI0ZKAatTM3lg/xiaeHq2eB1wPzpDDIJig8Q45WkOgmhSqYHfhhWA1 Y40FOZ4mKl2SwhQjE4TwmaMuWVpvF1fCDh/1rRBgNBIYarFiWXFl0twtjBYg0hoohrHoPf7T45Fx +3OQsnjHsxj2ugO9NXo/KKXyXIOrKUcALmeeS7EJpuJVXvilyvwF9UbvokHTZpU6+53G5XSn7yBq pdNEcq3Bs/YvbMZgXiBj8T7KDwm6LUAPMy+2z+alootFCES+r+5tXOcxZftpkzen0er+Qzcrg8po sBSQ7cqe3MeCvI5WDQ3e471pDGqeY9gxN1x6jb4W4o4I14qZlLf1Mn7gRFERdl3tTyL0bRuOBzv/ aDt1AiUGLZGM3tBCbtmirm4dWIIM0FQzvi0NhYIngylsDJF+Tl/Gk1z8/9K4F49c9ruPC1jDgfKU VW94pVMy1PhEYBLDNPNls5siZByDIffPSemzShdJpgqKwTIy4h8JNH6AUMoXTLX/+iJukB6INx1c bUn78xpnJkiATiJu0bUyHiS/9eH+kDJhRjvF1bXB06cIPpuLnmJEqCyeAf4LtYJF5Kgk8LoPJ+nw RkYxCOgmJdmE3sSm5SKlRRMY83K30lCMYUtae5i6tD7+mfzlpr8auXsULYpXqKNtPVzDS68t0cXz 6gb6x7lldIcfbk0qUPeaTOrNpZdTeK1PqeZ73rc//+9RBOavTKP3Mx2b1R/DtOoeq+7/PojM0gue BzvfKfn6qi6zIrD6idh8xXwo4lGAj8s4PcO7z5nEPzyaWB/AWvjeVD1kS5wZEhv9nXx/uKC6ByoK 83lyqxhiKUnCGmUsM/nNJvYppMhdr4dhqlQWN68xbrEV9V5tMJ7anMrLKUc3oOls6VDXnsAhrUl8 AIykMYPy2vsP5AwtT6X/rpEx0CcBzdU7gxEqf1oR7Ad7tBVGxG2VR43OshW2XSi4Z0buwDxDr8P7 lfHvA7HpZlsrPW/nE2tK8N23TNwnT3FDaYQ7e6mMEq0PB4JGW3FDzhm3I7c01YLDCkn5UFZ4fMW2 oa5EGT3H9A84hw8zWCejWhhrK2pXqsyFHMKe0pDeqxD4PSmTBAVhbOZw5OurwnlyrJK+QlL7vAJT 4sMUt6bsEMcsPpuM73uRl2vKT5PlFeLJv0yyCNFlXViqoOPzF62dgKiif9Eh2X9+jUN1tZPYM+Tx THm/khvUXvjENWK4IbHonOEKdmOFQ3LUFBtMmZqOmSMnZE1wkZvcLWasA/CI75HTqWMOHVIB9hqD d4BRxfspcASp36r2UugX7YYKe/hHWNDRJ1zOleDJO1hAASuKSXHknC0eI2YgfKZHRfD8hYzJwZIt zylLDgz4N8rkCbEebEmMC6xyh8y1or6ny9wvmkP3Uct5GrFfDf5WfylKYav+o+nG6qQE9rykiZ6n UpKQK2UbBcy9ZNkn+RIfeZGsueTCH8WZZWLN5mi1dZt+XXfKWrsxhcqqsRhy2202823TEkb/za/q g2KPI2DXNtK77chrUYo1Mz4nwmEgWX+QjRlR73krf4sfkpfR5enIgQEUJOC1e95wnXISZhZzaUau AK4J2HI+boEWuKeFMgsQP9BobthuOnzGo3ix0HZpwwdcO/YqTBl3C+EIXCZ0nqoyPW5S39LPhutK FKFjy4nx+kPpuqb/WZhhHeSsSGfq6COAeZ+25Ktk/S4QaGXWgX0Z/dw4F8NUsMGeXNXMxcN44eyb The/n6YNctVUpxZkXGs9NsjDylH3GbhLZSxBg3JzkaqS6GH/9GejzOVZHpII4xNQjNtp23Uib4DU XV+t6DmYb/ns6wCWP7h3yx62fbCXmd/RKPPW8JSOfjYa+wegsJblRuFxgK2TgLyS7TW4PxUfPYj4 NtkkZW8jTQmGoiiGxb04BG9wk/VrlO1NC1FSnwVigfy27f9voQUQm5FPhCTd64CGoNGrbraEG0h1 tdw0+Lo5Ds0U7WxGHmQ5kgiLW+Dmx5/BJVkTOQNkFpJDwLXtmay1x5oBdRDiOLbt1qqOIb1wDG29 PIgDmHx2WG0pb/sTAoxNjuLejwRZE4RX+K9Hrw7oxp/GueHZjQZ1bu/hnZM8abH34TQ0/Vnn5ae3 p8n/ameau1czUyGZAFVcKbNbWOt3fk+hyUqjMgv9tX3UPZL1VvY7tkcml58chhD3fY6h1I+z87H0 cJ8ogmfCsslNQyEPtFPWeDDslnAGtl49FUg3TsZ2am6p0ukQKO+QX+t9wX7WnqK4y/KC6tYX4N10 /3ztj33amIZN+yMHnckuVL2vhCwnXog1kEXw9FBM4EpzeaCRS72uYChxlwbdIVhEKw2HvGxz2f2p d22xePXXkpDPMVIOwzTuaagQuP9fDtIRa6wicJtq9X6CcNCcrMUz9Um93YPyuILt/piYO/55C9Pj NHX1fj+lzQyFbC/rpJ9mCdixQGatMngF/pRHq+wrBq4ElYTQLMzM0GT828OpmHaOe1wJZ4i7gq7D ZNavFljiJCkdaTLpHMVtqJ1m3X/OND0lXSCP7QupglNWo51qUC59y8Z4AenNFPaWtMpNcJBPiNc6 eOiEn3qe1ogJkkAe2F+VJnCB8FhQCvRWq9FCzWiKFzTf8Xis0xPgFWVOwL1cdUOro4kGaJblAL2o BFRrtTYnOlMrlYBu4jWc/bVWmjH7nDPe6Ikk0lPbc00DFHAh4q3FalGFzpikdN2VmZ+knVocaMPM Tq0PEKd8Pw98JJhBSLf9izAlSSRGkXKc21NImI5bjeY0dmiDurm4CYInqg18zTCWYobXu3I2ZVul 32mM+5Tmtou5LHvVFBhk7dcBLJ+pqcymxumCkTPLSePA+EMBXI4vp1TqX14HgbJSbDQs08TCDDoR GC4QtQ6Cobs8i1gIt4y9JbNosDwzS6FuEdVXWRiIxu3TBvp8ShUfbA4L2lknIxnf/QgUIBFNVOws QCmlAJSPJMml3vWhzoVpmsBBbU4YhzTAczjF23t+UeSOOYOi07tFUgOainfMKMz8SapFbg0VktMB v5xJMd08S2X5pBCtH449bRSeL/ZsxNGzFE8BUdsxWgjNEzNJzY7M6wLeE2UgDy9D7CcXgB+E5heL surWLeYtnk997vRYt+/tti1SUzkqWzlF2LmQuBamhTf+jsVl+3SD7uqb7auAQkHxb+0wCq1kqi/w vUYP4Q/A+ZNERugTFzy4DCIjdLmEnijFXkqS8odawJfAC0WB/5PRVF/pmynOoHrxQxSgebhgto85 XcHa9lzilJOm4Vt6BOoI99VlpVsobMIPlyQOFKqubhB69xUHBemGUuBnFylerLUdxpPlsJLxZYQI eFsK7vgO3eNlLUgqQ6ITK9qCTOIDppwN5VyH5ZyiE+4b5YiX6xMxEBcVsm1vcS/bunALlXEqIR4Y Ba8AUWbJWwKtHbx8cqq8qjBwMbhQ/RquMBWeRFdmDhjb7WLn0vYVqdHuzhv7gsBzRtyPG6EQBjh7 nT6GmPRVfibKQUlgxRGLC3BcAa8ClPO7F0U4cBQVDxGILFEhOHaDnbetWmwDdnijXkxc6xzefVtJ Ija2hCKIGSxOnRPadG8R67IBJaOWLyTu6avvNPz4qcCrVeqBq8K2k3+WHpp8kgU0OLIfGL4lRKTH d0jchpUvqou1gkdGJ7CzvRH/AAJrzkF9bsyC30kBOEVB3OTnl11GRhgc+JVBss/h44sNb0eFAQrH RyHCR5JKASw+0jdYLjEUvgeECfQcwTnrXvVOrIST2JlPC+BpzruHypCuJSaZ+kpbsqxcduu21mTL RwGiu7Khy+Rs5bBa4Xy5jtdGMtwGV1VCCVlgHOlDKiOMTdPvVtYxN6f3N1C/IBMQe/MLvkhMNArM bles6tSPS9+8UNZQBjrn5ntxAO+pcKgOwVUYZsPFFgVtU3d2SUrib6elQwZLmAjLscHGtYa5AINw 0ONU0eBYw3Nfdcoi8MV0V811px1oTFwYCSiRCmdCzcUcJ0lTERFjJ+85bvj/ZgsO3jaAEJPXQesi usLb9lUQojblsimmLyupLu/6D8E15GqEVwLuyj+EEG/OAQEhIPNGK1ZdaKRCRXndvS2W8K5l6Z43 YQaECPqWvKWFkHq3D6+6gtmmnHJ9Ovi481TyZ0CDZXNOgL3qUaEbloiQ4I5FAuapyBx/DVnW8uWS HYasOEg7EFgTf1bEOii0OxAJWqBdscmcRvUqzUwgPQkTouaW4PvGs8RMf0uT1cFYXLgMHIbqb9tw opaq47HI5iKI0k9wTNTjAmgfE3wv9bN3fZ7Pc/UX2LccJdLJT8yAjiuUWF9/ZIEf2sYNUJbEUtKf GNzAqR8DMLCVVRInuQjt+kGHbejtYIeDG3iGeWn9rfLXoNb/RnrjSaOnIZhihlVHzpxwFP3QAgKB t+t5a6s24uBlcgGRdvFcSPr8CFRkTywEeV8Orz0ZT3wHSzQuR5nP7k1wupZniwXKRPtETgzNi4SQ q8OiEe4Rl4u4HsqxA+B+crID6mT+7UXk97saJC16899tGkPK7Na/IzVeR8XDrcSJX8vcRM31LTdj Vf/qihvn/8Wsd9Hiye4SBr88EH6TYKnD0mOsRECLLsmXDgGmbWHHTXlc9TumrvlU6uVdZLIDZwLe YXANMACRdTQ+DKibtfIXOFeI0D0nFXIAOgGPPuMZZfzu00P5rKGWUtf94E/GHPx9aNglMuoVHq0Q 6ZyE8dA1nQWsroYp/QX7ImzyZMY31EUfQx6x47n/33MYDUibcCmmqSdNi95KLPavz8+qluf0OYlD mozTRSGSa5SvfU9gBEJxeCEEm+k5Rn3aDxjfarlqLfXvrO47wsGM+2wcMUT8EjhxeJ5RN6/dJq3E oJ+K3jcT+GSQEQgfkrOoUYq2mmqG1t5oY1jE+sNGWikFxJouFmMktZfflhWljt9yFHOmxtGn0xqZ c1EZn9fINwIH0h2gNIGrdo83e0HNzfWnq0jQ+mzUxdmnaZG5S1WFZRE5Sv+ope1HCNtWdSDR6OeU suhik0mi/o5YjByAFd1W9zPFmCz6m1wtjSfU/Xs4rS5YbQPKDlZ+JvTx1vYhcSllaqU9Y9oAiAlO qKLo+4HMkfNb1s/QPdWWK6RyBJWBoRQidsCqwzUXtGJFyfuO8sOX3yg3H6yioTykEV4HQcW1LZgq idFlwzhKajOHGFyCNeB8VVpf+kjd58yBSalKjjDPlUYCwAaifeb/RaMnB6u51UrXVHL0Yg12NbKr GsPB6DYmzYqMmmZ3YV7Xk9NXcfEKSywqms0HphF739ETfkz9xKoWQwS3WOeOiT2iEEHwP4WwvEm+ Ks1u9IqGuUlKr90Hj4yzR76S7ZRXVy/WJbW04jXTbiSTwp8Tq4bW+WQUwMcQZvJJlkLWdTYHwkdt xaTwxzTz4812Yz+bVmIwsb5qkJonfSEGbi3v0UQU8iA00FQ+g4bG8nCkYcEJBNKhigpZG5MTzqdd IkFUTV3nexCBLrqewsz/1j4XJxAEtdADvC0wPydfxVptbkA/Uh7q2mhaRNnOUGtcK6mIuzWRAFp1 K57NxDaUFgYlOMKSrp70isYWXeXgOmmJor71qVmRVwptGTJtv9Rh7oMEPLZFpE36dexgyxVLblWR zTpgU/pqIE2RSuiUJLcmD7mcH0GOKYe+fgQn9JSVYO8pUOFytaMTJFH5RDCLXqbWlZj48ePRj/R5 qzbcljxT6rqH6jtEaWJ7x6AJ3Z2svR87qNs9RHR7Qwv0zTJXEIbaxeh19AZ/AqdFgQoN5pqrV2GI ZVhpi0GnFMFN9FmGeOoFu49hD3xKrbeaiDUwRbGkeUeeRmwVXT7/HSFsSOgFSBA8bPLdcONJ7S0a 4FB1Lcn0wI67YloDmJUK5KrWoiisWcpmZxNzWsCmxduIByvGEurszQeIX2M4kX3UqR1GKSDaBc92 EC5gd13D3XmKuMPCcSSP3nRIoUUUTv0NIHanYxxVwYmzxMd93If627Ds92R53NmgIuZ9rdpe9jI5 Llh69l9l+2GDRqwi8jNknWzCIAjTcqegiUBoEwx/jSfQ9osOemSt5vJ1s13jMyxjzcmxUP3ZXdtw kol5ppcJFK2wV0VxwMC5b3BPItYAEWv3/CRwIiPbbctl9LHDoPu/dTQ7S+/aVll6aex/VEoRaL1v VRWkzdmkDgXD2zjG4binmOU2uhpQfKkmNspXb1ppV2uJEZqLQcN4LY4B5M6YAQkrYdnqoHgnndA/ XKjpiFmWrTwCbrt0vkZheRbu5z8pf+P9J7OUXbvCRqO5JgAmGxLd3nHMXJ6gjx3TPYHKEXEJuNC0 trfXBCAKxibASSD/QgOWemtqKCFP3tYK/KK/rV3lUmiTsczjQIPOwKG2NmlC9fofo0BiRn2ncDtL uQy8KSw0+H0s3WaxMqyavD6rmV81jL1dmEpA5jBCrpp86LwG59SkyL4thXERYGfJDwwKUcZ0bwpY SMbCLm8oKIqi9Y1g49o9cOUBcmJzbLOGSRIKrQVOPTmAJ1/SB+lbrp95aOE72yTgJsliyjo7ADOD s52oFlSWtU41WNw50kDNXdY8MsHXNMO1eAQgZka8NDxRjBj/YkW46sotObiaoZjPppzf0hE8kr9P RoId0LrQoRCarDSNKEUbKmqqjXIGUjP1CfE0P3rroraNDSB6WKRvov6OkLnqgHotpBiW6BfEyhxP nM7CkfPcHDN9F9dYV32j2ps+liRi/p8sBksXMMuojshb57X6OxhCbJQK6MhKWPkR4UcmMQpht1Rb ssNA0ZmPVLX3PxBRLqCJwTM3jvpY3Qvjt5nwXBpYm+qGu/XxUb1V7+fLXCaNg3Lzz43hHh7kyDkV a4gS9sr1bUQfIVjnwSK25zT4K8lMUv9rE/5Zadh4BbJzHWQJcUuvdxqcqlFDRkunoc2v+fZbPGT9 i7ATb0F6aFVknWlzIUqCPKATnSddTCi4mQU2HKpi/ytvbRog/VaSUM5YtzSMAnNpNUTt08mlUNIi 0+H2HujrQrDsslmdJ/WWcXLXJvWun0WCe/dZ/xTMQsqdfQyKMkEAqtIcPqPszi/K2AvTaJ+Kanz5 6K2/tJKarwfxefiHN7w/Y/k2Dk1m+0ynmVPmaOjMrKOBKAN0euIJRr5WKG4DVlMt83D6Vw08zCnO nnrKZrkdaU17VbXOcwnNL3l4NVomEEkV7Kbql2lUJ8bNFrxJLiM57ibP5ss8ZfwRQEjDU2pLQKZm EhpDbV1pvDhZ8jpSHxtd/nOtfYYrJffUBnfGtE4sV1B1XV3L+D2QBMox47JYj+XQHmBuwOPIAJ+h cNwAyGJz4FI3oKydn2EzJLx1vXplOvq2x1Mx+vW6dQbw2MBFaspB40Ts5BIpn7HlEKwxtLgNM7a5 wlsdfRnVobhrUXMgC+UAl6ptPmbTS29K0TsYTzSrZ89YySSZq1z+EpmE1IjhnjEgUzOgfJA5NwPh jfUi7tXmLHGJoulErpX0I1NzwqCs++Z9UtInxYEhPCILrYBkspxw4KFfbDjKi5hWmIzbJlFs0T2i l+ZURuBHZCuimRnEXg2YZnsjhMc3c0gY2vb18A8uoeb+njEtFQzmvvy1NeFVLfWMUi8UEKhkXpoG LE9lpCqmScJi+A+uFXOTRQEC1xwPd5jUsKt1rYiRl+1QiSgD/pN7WtJd36xrPU6TbeOpOcGwx1Wa WGTqOE5zzLVRA8sNXKLo+JgNdG00I+CBC8C5ksIkpdWwKrHB8kXFf8mhzxn6nAPRApde8NppXNw/ s7VLygGWBqJkcR8l2Wpa0VDprEBiE2Lbs1piJ4YhEZeeKw2Gc9uGJuK9K8PrZPMXch6gsJUr1n9V 1MqPKT96MDeMAgOESksAy7iFfiIOynD577+C/OXlWBeV9i3Qif0FCypv1+WTavYA2aTDJEZYoUQO 7q0PuBGWeZzfhbdu1SGX9dxMkoT+JXuhtGKMnPHrwJrgv6SGHgBsAf2OCpdE7FjnRbYiK4L+sDUo 0lmVighwQdq0AnhWd66d5kT0K4QsOOseJkXAcmigEorGopM4gYa+Z9p9Oc2X6cYx+ZwmGZWp9SCX prZWHuTr2sTAS/uxkbE/aE7Hmv6IA5AohmSxM78K53kxVRkq7I7t9tbacQQc6P1UJlhF5K+09x3B 0ucwXJQTXyaV0mNSC0aTmvX7T1tQyiJcaJgIFLrcK7tqcHbcCcRD8NTS0uDmRHpgpPXyfGfqKq2w 4WFN5HVaiNHBUGzhsOm9K1zyKbJl16otaSVQzqganT3BgCWj/qEAUK6Cnl2wgDScelFX+JHXVA0u ldPPihEl4fKRVlZvTY8AGM6Og//twdw7Z8OoN0jCvXK4Zfr4s2ZEGdIxxcmtzEq5kFdDe47vyuTY GVcYuRmKnK6bkFzsQ7bqe/7yDgGs7yFded3HizpATgTRy457Jtfjxq3Hm82VHRbgo/Wn3K6/LIgz n/7eGq3eiSQSMJp1nlC5yHid4ibl4JkDXRMwPMAwZhQ8emAaQtQNwOvtAVNQntTTUU119s+p35Cb jMaOocaT9p8GzY6o4uYCalqXm7EWf5OucGKEXPniqHfu3KpctmKH3nfnu2181R6zLP5f9o/V99Tx CiSOcRoarfHYqkmvD/v/VGk/wKBQxP49wv4LfMhWVyfERw2Or4EDXB29coqF+2bHhrGXDI36TZ+W PMfRZC1hEHqYVf/toL/Z+n9N+n6DutZ8/C11tXZKeeV7xcQCeP4o9fCkgqZHLFvGJznoXjqdSe+Z EGVAacyYPXCrLRNaBMzhDX5atjnOF7SLGvmP9TAK22hI7+ceG/OItMB54aPVo3tGWZP9nRO03HkQ EXKe1nc6UlQFRLgS0jN5l9q0uoQ2ApT79DIzCihTRchhzyGyjv62YxshwI5ZNd53Uc/CpHjn/uj3 r1qBwsy5mXleudGOXy9IfGONe8mdghNP8tOdaNWhgXDSMUWpaujntHX8t6XtN152kUUPH0rM8x0B AYT9zSxJN+lKwL+4dokK6QDdHdTG7MUXHg/crli7km2YDiglLoCXpfEdhrKFS65eQRyc3EnsAw2y 88WuZPYU8owbUilw76BJHOBicOTz5wtoMAKN70I0LtAA5/wsUzPSQnpmfo0eKplzM2kVhqm26ZnT L1TDt8NPUBtNIlxgogh7F0mO/Zda/ZwtsN8j84oCcavKpUEY7FEjusdgBFcGqJzYPdpN7rP/GnTQ I6yoIB186gXA/loqvpH83nlZr0AjVEiBuu4ydyAH24cDshBG52V86sNUHlV/hgiemmuo/F8B/YlR qgRO3iX6q1kji92Sb/gvTJh5lxhbsDMw5MVoNU3qM3m4GDu73zDolzdGNd2LuD0cmPlRYmSfdECy gWpYIwsMUrNWehgCsLpUa37C6c0NRpzGVqH1RiePUPBHwp+ToINVGBt6oGJP+nIKKS73sw3p7ic9 c4rEfECOf7YJTt4xcynMxhQg74b5Av6avTibpxByfBDFbNmpH6kIWSpAFCReKDNhi235FLxKSIfn oQ5uRAVLyx+DksGBfIhlpVRWq434Uq9333weRtrszoGughsvNlWUY8DGsyv+rP471+WqjuAs4Csk OkH4ttqiDzPIzhy5ae0hxPT/5Jj7WWPao1eWsRKR/j0XprYiPbr/+TGZ8xOxxyi64jN6JpQGq3Df PhvpipYYq+3YAmw9mdIaxc2QdzPtfUP+ALf7bIdn/4rQO3u+QptM/sqL/YVjaePJ26kkEJFwfx+X /N8A3Cw6q/4/+ilSFZGfguG9ErGef2h1gFMmhx6WiCPMwQ/fhNaNACYepuPy9sFBoXt28KhMJKEN JG+UpyxBjhOJVHd+oBGlXXEPKn2iFL9X+/Amsw42K3KRibrjgEdVDM7T2pM/eh8T9kyuxwCzK1L1 DlZfLXenZJUqg6Am1z1ghouaKx4Yg1axjbrkIJagIOS8Aqxj7bvgK3H2+BVeGXgj4/mETixqVbCY fl1z0r46zkS8I8nHsQ+LmsTV4fLCk4XvD+FcOsIMBfeYOacP0KJjM236DwTnW73gQoi8tyle2JFN Log5pXqpaCFVrV8PkfTSNrHqJo1qBtvlZxrSVqCT6ki2rjST26sBEoaUBzKaOCpTq32uXgmeadJy UGy6aO/9vXmREz0/HTDc/mMF9JBZhuVwvWMkttAVa7eczga/x9MAY5jQGuzX60XmtlczX2d23Ivz wTxz+rp5gHrxZbz/ABfL3UBselrIUM9rbUTtQmM1g+AnM2QKASy2tQTcDnTeXipFkD9NMZ4haLT0 fFUqcYSELzrEauMr2kmam83RzMyVZ/NVj51nQbbCXskXIMU4PjDk/iCxjeJXNo11ygjLHoXnegwN JYeeXCe8bbp6uUs9qoF6xbgSlIWX4rngXh8DQscP95k+WjnjglEJOqbm65fHY9Tu9+0DstQPNNsL LLUXMz3NeQnaMOA1IiEMNM3diltZGZWXG8rcWFXfxMVHURAaktr0Z2LTfIXZS8CpH1AzxIrpdk1B 6K7tToCyLtlpGnTAVO9knejWqQdZz4raKcSTBrVNSvp+sHs4LLxCgViC5RS4gSZaf7zJW1P/uT30 HVfbol58Z4EWwKJrHQazBD5hqwjK8lf1YJ2sunLFEEUG1+XLeTJQZIg5FcorWQ7FPZK9sB8C9VtI JL8N6lME9dkdIKw6sqL96CGopxWdK0U/e3lZkLHUOXmfq0YZY4mZNwhzyrOXHtthAXEvTDncru/d Sb7K/saWZC/jQHfYa+olrWyMqDedbNdveGj/Q0amtp0cQsMslgsK8RFD+go1VWFX/uTplc7if1Kl 6leww9j6mRfgG2Q5pd0W4qbeyntRujd0dlem9I/OJX61ltmMUsShy4Q2Y71nWi12jNJjkSuV3wwa xxZmpKZU+/uKZYyhoMH6zMGT+zEZnPsN38m+vlA+EmxgOzN9bip1yTv3WC/lxlZxN2E8dYsdlGqh PBx6uBtYX1d9UnTG3afCLorVjAcUAq6v5DAM4TIZSvVu9QW4XLELOXcxjQwYxpzyRuKMotn1fxMG oRbgt8TBvkGFl6g2rjXPnGLbiqzEvTe6aW/x3Kvist1C8gJiRFnnWeq6LHC4YvnLESEy7PpJZ5Tj kVN0qPtUSG7KZbYzB/M63uBXdTICRQd2LWhcCBtty/WJv9caxpdzcjl80Zy4saYgmXg15lXur8bm FxlJxO2tKpgxFyXiDZCD3UdAfSHQs5mud7HYxqbjGY9NXwL4mheYSDGs/CI8ew3n3ViyfAmX+bCy XkH+9Mkm70Q+hPUHKZdBZGKq4bAFU7srCjwRm51jbBXDdtUwikWSoVvilAjliND/w4+xyRQU/mz6 LrL8Ko3Gn2H8Ozc2Rf+PJlDBFk9JQDELkxdYnJjiCcYmwTneJK0j/MPipjTZWdxNHzdpZEaFid8O IA3Lq9YRcwi3F+BEHqH5AWPfSbS3fXozsm8WHXE1srEJstXW/vbdDJE/JSe88d7tG8kd20iXPzbB 8nQZvEg+TzmRKh/2yz6JreyBCn+diQJYCCtSuiU3oNBkz+SX7mZfCVh+KbFfqjswgG1mJU4fieke BRd5WoM+QsKLAYx6l59fbjLqtCsEe86C+2zEkLBhy3jkHHfD+6WVWdwDcYkA7Uissq1pCFqx8kva ZvxS5w1bCQteO7uMzx51+fcxYQa+W5e/3RF1WG/2mCf0LyHJk/LTH+wj7xu5W02hASPfTh9MKljk dmqInzivPEA+l5uKlLLdDxQ5pTPm+MUK69M/luaMAVu1myWeHlcfzVi1YFqhs6TRUbEnGnIJlDra znFDDm+yOfhAX3LYA3QtbGKfSP/chQRfju6DsoFqL1O4N3bxJ72id9F9FxjD00N8mfpjIkNuFxpN 1NtqKbMp74BSTFVw/t/WRjhLWwoBYLREvK/jKAATgexuKAUzjdsDRr+vdbyuc8B4ITB6I1hBpnQE LVB8Hv9/Urml5awcFHPWJ+BmYy1xKBfqVN8EnNacNVB+32cXhrTgPTObNwC3csUrNXvzki46MJv1 sGVqmNFR4WqFJXT99qGCkyIknbe+9g1+aK1azLIr9p1nTWvN631RkZezDB7jCKt7t2iI4vo+cJ8+ qfXfy0Z25hUJX8q5ixarl0EMZjlxevGvofsRszopME82DZ05SqR0EYNkrMBh3eMr7XjLifGiGrEy e0XMhpl3+1VRdxzAv6gvB/2bSVcjThZRDfod2LgcCdPjbPYymO2LCe/djpWNXgB0nCr+vVNAgLj6 Ls9Xr8n1uRFQLTUpSxdca9sAKvOc8wLlygQH6dIvoG6BWuUx0pRhfYJyIHjA2kIXUywdFNuL0erB d2CjdBawgTu9W8M8pzWt/pLX8DTR+i6edhK9gI6jDnm7z+VjpgZCkz3mFGhgHuKOkFmwv/7SLX12 J96EH71wj7X7iaBznYOx6H8q471IrAn4nfp79w16rc5K6Im0AFDTA+c8iszjB4o0vdJSd4YLY941 XgzyEYw1pB0+B9NXmSrEs64hoUcVDhtsM4y0cE7D3Wn+5CYJLQJmX3pr7eIR/03XlENoSSUMWn16 DW82lbThzO7r8t8H15zUtVCP6EOB8IVMyS1ZUc+7/3U/znhYawVEDAdhgYVbnNtzAj5400qCjUhr KDvLmbRjvVU4kUWym8ehB1JnQJlxmR+FzwBVgLbDObR9Hs5PzDV4drU3kOBKsiQdjZLJzJAaGpUY quiUydhDewOn4spbjrtbpEB3UUlG6e03Iw52omCaGI7SGgfYyFKZX300JD+7texCjeJPFCs9ElUu /8EXzGyqUlhr4u5HLsDdjtEVi2yg4HI8YqCwVGzOLVtoz0/MAr6KvPcp8UMhKqNgLUWLbFx5ITXG wNklxbR1NDy3rqD80jeTib/Q33IoKoWYiwQN1pn6T2MymjzPIoYGmtjB6IXE9BfKZsWEY9rx7f+g SqSWfTHoVQGbdjXlq8mI8EYMVqhw/iS2oLLHO8/yisFcNVRh90qYm47sIDnbbezI+bj7Fh9TK2Vn dPZzZGrrFK6WHOtkrykwq6SrXJM44KXyk8oyj5Nk5qD8sWFaVn2a81vio8GtXjuSWfA0US5Jmm0F w7bAyr056oSqv5eNNnQ7M63ztVp9GL9rsvyLMbvEEt62uuKlgK9/t39NEbO0A3OGRJ1dTIOOzbqC 6V7HJ5kZNLYonkj4+m3sU6n2ytPqcWGnIAJ5BLAamKhBxbesw0qfnS4LhWWyJ6kyqT4P93ymMNLc xDv8xOAYy9FIs+3QLrvjPP6t9zDyO9Z6/TB7gJlaIy9pn6yUQmaid0+g7s3iHuaqkTY8wwYX4Ve1 kGzytM+w2feqc1SgKuvFnYo6SgUV4fCzMSb1jix+mPNJNfdUhR4ZFW5YjCGtkgDc5O8NW7hVnY6O s6Ox2qCZHY1t0o0mggWsA/TAVu7Xh/UZvapgmwYnJUI6Nfl/tw+aE6M3wbqznJa9BEgRoHDyzetf WeHnTSNKFPf5dD/Cjq3/OV08Ie1iUKeClDNa/GiFT5sZFghoyy4zSh/a/OxDHYkLc2sZLML1qLxO y0MZMXv6mOckwqoJgqQ8Bq7IjjunzxxtxKBp9gXBq9KmbrIliWXuz0OuJ3/JZtp10sybTBq2UGu5 BMziDubynEKLAIfohL42aSS2RMqiooXvmXNdjoSso/QGk5aAKEabJpStb6IC9Und1k4EirM+2giz rWEq1po5ggpnLJpmI78fybMqp2wGbro/91CO777SA2Nd9vv3JCYuoAZ6+4TWr4bEQbrVwYU0bNjV gEJP0m6uq7bgpQPf1ZALRCz+57pzudYn4AjkxifsZBa4fNHOq8on4BUDwU38UnGGvBZax09rPmpS /1OPM9/dm4bSnScMk8Uz7WWriGNQtG5XEVYG7JD4eY/IPLQx6RsIFsc7EhhsOeCMTZYpi1wZ1yxe lN6JHEtJYpzAo4UkoOjhp/bEZ2c+Y9yRZmxEydVbVlLk5H+rf75uGn0QL7BYOoMjbMeLMgNgVGSE B+kbpkcJcGbsmCj0KLYI2jucuXD57Yzrlgm51T4aM+L+CxDaF8c7V5EuktwbdfBTrX1XNeZdFz7T 0vZzX5MXs+mYNZEWAnrEH4tXz8uoOB9BhiFy+JQCeJI/1cPDK0OM7aNijKK5u7xH+k1uPRLAJXHv L1B4++zyA3gJVjF+Ay5diDU8kpeGoNnq4EZR9Ozee/RdumOJb58VnTYOVTf9WhlCmoQkgCopbUwe LYlPYQvHJGGjC0ot71p8jXwUJdjoOcJYWIZAYjCJTX6qPvgRxPmDT+0eC2cYzC9TWrP3DljMPUHO YyzbgKigLjf8vVf2758Mx0ubRLYnmzi3xT+Jmf6u6rYdKkfrk8a9IdysLXU3+RP4oAoCqThMrXZx +Er/GdGn3/o64dFuLwDBXyrgE/PhP6EqEhHoB8kyygoXpDRkBCWI9446TtwhYingrlR4PlCRjUpO 20ye94MAz74aAmOnhJ7OqNl+/t4yISqxuod6oNObPZf3Dt6s3piAlV18CNTCk2gCo98S4C5IDfEw rFOKhduV6JMKiN2bM5B26CMbFpAxPeLU66ZiyNcXTxzro3Ti3J0RhMUJy2OLxNwwSVlGCeJJQBQL H9ikwkGGPOeUPOk2IjNL53KH1xufosDAWHLNOEYdmwLGuZTPKpzCUhtlbUDZBVw3eZvYbq156uhL 38m65eB6UzkVHBL6F/9CvNZiGrbTdZ5kI/ROWAVQO8jRFkP0NdFN7XhzVeyotNAtu5POrquZtuul rKDq6aRBzArw8mjvybWJ1sxeKx60Ggpts1Xg1u/A9IGpkeINoRbqg5jXm05RDOoJxGJx2RmjcPS7 pFpJSbuPhUHCkU6xsZHAZ2n77daxek6Zs4/p7RH7PW0KoAWW+wC+tBIUwugkxZEjbmSEUtDtIeMS QyWL1C55qfoOkyepDGY5Yny95bOiE5KJEnj9oi7oLjJVSALeTpPt+Mjk3fUYwweHbo6UV5A4L2v/ zom5gSZXkFbiIkbTE8qbMidWUdNOmVo3tfsWwvXrjsqA+G5OqaGR7FPrbBRGSrtF84WEF+uNyNFU 9f1MmGSqzy30ogYbCpMjN8HZzyBvkKqrvUNP2978aAK+uKj7yC4wTFkidBKv6wx2Ka9gIHN5FhIi H2I1rWJdG2cYylFNlHjwsTZmtPkaIQrKlLFFgF3zDrzeGgi5ESOpwQkPlEYcnyY0UtuVpTh4vxCG RlZr+Ie4WTbjx+3kg/A8hM5eBpm7CBolqRsYMU9h9Ape+y/Q32REB5LTHtPaZjWXeaiEkVdQgDXO 2v5eJgA6dxqsqeH7gNg5E2oc/GlZFfRp02c957uHaXd5n1qKPolUJOZeqWsGzSgHQYc7eifAs/Jd +kLE97OBYiil4+NgwQogVfrF99d4kc/bc98tOJRbhGxd56wvO/xgwxd4qeTT47oIXRkWOl4X8Tqc FBU0ZMlXiOvC+VR7tuWwrxjvTyBe5xtjqYZcl3IRob7ca3esi+N4hfAlEnHfWcXzqI0lUWOTIl/V f1ro4kDjmj0JleEG1b/Gwz2ClqI+LK0izXaMRX0CYWdBA5io42GwoyNDHykcAKdjX+yacjRT2IhP 0vJPSo9FeyjQ9EUiyfrBts6VW+1zceUtYF8XoZiQX/ry1o4ID9h/1BR9tbRePOMO9AUTEOZpYRBh G/IlTqezuvz8RZqdfmXL1+GUJ+2YHH8X/127h5osRitQ/z77CtuOoxv5Xw69pm37laesjpOx0WSR tqzuR3AqD7R+/LwnG98Qs9LbWqM5ysCdfdvBqIMSgLRfvv7Q4UVS4KUzkvNXvx957pWr8ZvVSWOE Rx0hOe+RRobGz4USEQVHJBZzutaOtMRECYEBaLth1lCmP5cr9jQMkeqS+J057lIChJr9ImcbvwDC aauaf3FIGiKqkNYrFjhqRArFhzohThyjcSdQZA+nanWWBym2O20I72uR7PCQtDDLoVl56Cp7Jz03 +poBYdnt/FpYhXXNTpdM9IVYMUT7Xr/d3j+xY5Fo6OSy95lw6bhHFc8z4vOsgXT9aNLEM7NXL3BL yi4OZrSPfQRyffUEWWvF1yMrG45iax42zykXg9qhkRaDq9bOmGkiHw51/unSwOKZxK+yjYWQ9+ki zNFzqb3LrXrjZk3agqeDbJfx7m7OomN9VIa5h0E8lXhcD3CPaZO9Tk505jd2vBK4ge6ylBE/K5MX /s2oZujG845ARcoiqu6qQTk6aDEAVK1/Fjn0IzWy1tXQc94FsG06MsrZShDPz9XKI6QhYgt5WQYU vX01plhT71btMS0NfHsUCnI5itABbN7XkVvYQHtBc/znE+DX1vy9NUrQMNXLSqNjxgAsBJ+b7UdO 8F0gVtoj/vF7IUOlaYMX6QLRIBLLw9n/M762n/T+Jo2+ZDRxyDrHBPDr1sJ3Cx2qIP79ymXtyyMI h/GIRY4JRsMADlBOORHwHM+UGbYXeyR7Ea7OvVMwJmccoJEdv0pwYVxXxe5xnep/RjrvdXYpi5ii uDK7BrkYb8eWzclXTh3COBKQy5R+3rP936LVikGdyE73kCpM6ZUB5s4+ji2a+nfpY6Jpqp9X/pul mWIeIfTFAL6ntnyROJV2vh6eoVszZgXR1vC3x5fpJxJkaPfTXUtMIl0ZD5aGRCPUrnsyAhf4c9wy ejIno0G9sjyJNm3p/ZYMof7x/BsVxnTLgIzLoLzKhC87VVF3BfQ1v1mG1a1tNK/rqIceLEHjqfXw ObgHhCTRWLwDl+/mmSJwlvvrGebMjEbJycUfDyQCYpoYmZJdDnOvXIk4TVziCR06GWKpdHsUjCwS Ta/lFibYZCWfA8tjV/+A3j29FKQ9UnuzpFl9T/ZXwGjp1QMKzaDnKJ8hd0enS4E2wIiS+vvbT4gG Wj0ymi3/ZckTWE3LfuhEBNBli/aMW7ARuedHnkT1MzK9mw1RVG720PgikT++lxuUUi7TWgWVzVLI 7/lE7YawLddm77JsVJGljwUK4DR9WN+APmEiM0xCpRxkv5Yz47ZzY0LT7XFA1cG9XFQQDn6dzTrb N+Z/7Dmtj70B7iuE9LGzmOALZzAwJTzizImLAdA6ioyyiqjf1iVoUhdSiw8LofSWGgwWtkx3L688 UtpizFbZp6Zj6+9RsQU1RYV9TQQXAQ1kw4jnWSk19I9pDtFEdzvGTS+d3+Rkn87POsmwLYHBSv1+ 6XNi4Yfh+7QLRC5GhO1j3PTtxc862gMaWgeDCNuxtHTLefiCEiPiNh2Tm+aOq/tG6ptfPx8IzGqb rJlZ9/4upfJldLU3zzAYQWy/BVhkZmh94soa2QpDzJXtnXvt68pUP9aZCSmDxouCT9wha2J0yEDd gPLil60Yt0Ygb7G9qHqQN1U4/z4hwl9Vro3qSDBMo3NddGvrKS8qtKDPGbYpViJsqsL/E1fYVJfs 0z4kw8dfJmWi91BqbatZ2U1uAsshnrq1rUk29nimN+w4olxNqFnG3QE9j5xltYy52dE1FpMTXP4k lvjCOpR3WxmZXiUU2XKKDnYbFONiQbi48kUzPEqViQiPn4LhbO24wpNnJhLXViNMXvWs6uApaOvY Nvht78RFguqdBLdie68NhNDQlic6Q5c/TNH7oEu9KR3f5u2nqStKPSbZHdMAaH5qiQvTlti6N1tL Uu5vieYLxQHDT1iSIDWujlFI1AVmapLBpvv2EAjluiIg8nvC9KnbuYX7A8jnAJWrexa706Ha+uX5 kWyCwz0e1pzJoObAUgpbFIIyea8v9d+xqBRssGgDSoEpG1HcAFeFD4RozkhEvR+R5Q8nhxUj5RG4 sBZfW7vQLaOwMh1AwWTc/IbExOVtDVcv8rFB54POeNZr76NK0pC/gEhSB4BZZG+pSts8bZW8rm3k jtd0nUPfEHOWD8X40HR2Nl/1Cw7L6XVYduC7HpEkr7BPa0mBRNDi4T2n+iQHIp9Tpaq08J3GBMD4 /FUe98niC/8lMz0PHzMcOyRyiGBdLAnYVwOMts1GQl0sK125I+8KzwoxvoWwALNJkm8WH5X93hGw SruWQEoY2S1TngP47XPuEiHFY1VWGN97Z8jsmAT44MvkNg04rkEzv1Z1Qk+kSweJqystaWktltWh jVIPz3T8ucfFCszZy+IO1MuJc44yJ8+dPZQI8bCf6GE2ZE30nB5nCINvbKtU3yJwtpQYJzx/i+rx 6a5ZXGTWqzrbYp0rgvpnrF86biJmAnx0LADdYWhug5GFgi55ToJ69qxb+Z2mZ1mppoUc7/IgBv1G x8ZLy5d++1DvDM5BXhjaXWsp8Q2jOVrIg6+8VW8/pLpdpICgOeEe7F6/PFtqxAz8nIY3uCoXkv8y 50DCCBZKxRdVJC7E5N6FOJfst+V/mHivm9tv82N+i5i7mIaDugKw2g+ulWri9P6tzEW6tB+gu5bG QDmg2fBjXL7P7xCuaFyDYh4yW5pil2Lio8245xr1Afi397XN8Y/bnuzvR0whWl4JVKUR3LbRFSfr x+gSANMKsO9yB4i64AZFUIQIBuSZQUMmkhdDdbJ23bsayC3ZywnT7iXjQCYeXK0go0/gVWKfpgZ2 pIWdk7x6VzI+9cpEszOaE810wl8obCwWR+SsGRWjqyRs9qALrqdYKeNGs2gtUJeq+wtX7T6dtCxW tOTdD0//YgRILDcAPvMRYutIvWZUL9u66Ie5nM1wJy09cCWAXNAcWRz3bGdf+wPdNEJftXUWXGNK EyS+mqjNy4LrROnDD+2gGadF6dQaWHTrRNPmMdQ8wRvNMRlmnrwQL3yktyapq3u10Q/Q8EuZ00Ix Ha1chHNnyB6vytYAQKurX2Hneibzqw+2m0yNLp4emU/No3RkwR03RryqoYDiGN5uYHh3w4ilwkwx LZCAnWx7OFkkNlHcTdy328+j+Ote9FTdRIX4p+NodyEfuJeygAbcx4ztzj9FTs6QAzCAiEf2aL/K n5boTIrV5+JsoHq2kuRP0J2za1Wc7pv3FllIdvwz1iKAGX164ypO/dESgLQpR38fOrdsZB4zLFps 26GXJmGzByaCYfT7rUtOswHOrUFUE45d6nf5OI4FnIqNPhEf7srEQ8tckTjLa8pTvUSBf5cPYbdA SqEmoUH4HO60xpXi7LWOhVktuIFarbWIprhWOeZRmYjVeIrEZY/C+as1uIyt0e5FaW+kG8LqL5mc My+lY51gROlNfBfFMV7QnlYC5gXjodX/E1ob4t8yRS+kxFBrqjSH79KBgzuXt6kHz4DUy6lT/8DC qRsFbGQTp4BbAIrtGvcbb2GcCiR87kC6i6J0gIpIJwGrIMYYslVZoe020zyofaaLdKF8e7PWqNOo nTcL8UY4q5oRMgKvQX8qr0ZtEJhuO2m1lxX1Gg7a9l8b86zFWiaWuaHjeHap3kGUfRchEF/Af9Kw XGcRWe3F3LgrvVtEyOhXn9sxUKF6+HBel98+T9kREP/tSoxzDQXxic1zrT6YvlRJfmXyQMZ2beH5 C0IxAbTqaFwQaNQE/hmOsygUcXaOOrOQfKptLTFLXfv1V1PAORpay2hODe58KELVo02UMw071KqI 1e4haOpV4CdO+m3LxaLw+M/AiXiAb3pdi1WOtvFlMCqHhINGjcsCMjin+yHQGk6jlD3JiYziGmsW zaNmmQMfIwVray8+722VCP6goIlngeZ0J5K60eiewOwBya6/F4gsIev9IMCz4iJJGy7LiFJmyJjI ReCGk1dwOJj2a7ui3xmAkBSECE8f4PrTUU516APYBkujPdN/cDOTB/cCjnEj2OiL+odVQyCLjHcw rs+d8HmSy7yaQKI/dVlTzoYINy+wfg2JknuiJcE6KoZWrC9S0lRv0fRwHddo946CQOaZaQafbBkw SKe5Uvd3q4ML5uNKB1VcjSFz6BzNxg5ZsTd3HqNUb3rlZalir/daVbETnolKWh7uAOix73U3zeu6 Chm6hlhRW3qxqe+tyjBwvHQS4REfos7XJN+b9Hg3/iJzTzYlqgqYiZVOMJl14WBU+NWS3L/Zl7h2 KznkIX9Mqxre6oOV///j1z8Iq40Pkyqybwomn/VSXFB2D/1xoZDPQlK16NfA/fVfgWqHn1InhpBR 4Q2QHoX+RIL4giG19jI01Jo1PBqt8NzczlvC/rJTE90t6tidUiq2K/FdvAQjKjzWwZDRwBpw6pUJ L6blnIHyL2Hy04Tme9oJ1ANdNEiuwoCWcxuL9wds41zc8qVkBswgj7XCu8Mb8FNw6eaS+2X2yk/5 ldlInY+f0ILSTGnxodfQQheBv/XelleiWazDCS9uYjOuaGtpiTWQki601pRRGV2jqxPKvgJmy9lu C334pGLMEP/tM5/7AEuSBKbripsCfDV+HXQpvcUwqT7oAP5guaT0modpXjDWsnT4hqGbweKCV/VL iGpIajRFVq6oRDOnv6RFkwYjmuaBGME3m9I0LZAwU8inmZnJzcXLYYdRZ+vjVWNr0fiaD6LVuZ/K nKTQgMFpQMgTjOplL8lhGPzWYDlm1zBvecySMqTutuToDt2q76jOTPY1N6LodwZWWWDz9iRBhIbu XR8cgm65/FIQW3ZVWoUAe1V+AGFLGr6l5ZMey/WfBqnq+UmF/F19EzZcueOG7BXZW7m75FmiZseT qhk8HYxyeUKZnswSwxZsrLfwL74OiGQK2FWgcLfaZOAHieQ5/3oaD17DuWsjlucgjeCdsGyWejxp kTUDPUKM2BOmhLVZSUdwxZwKB4lmBGnjB/EmABd4GOgpGeYc1pdOSfetCeLp9ssOFmmfcHk4p9Mo iujWVbR0BxQlyatuJdiKW43pkmNWM9uy5sMv3/dypIfkqBgMv/26Rlr/xKHj3QwhJQaVv+PAAJr6 IJW1ahLYUB7ox0I4AMOcz245PfLkr9c3Xpxpsd3V8Wv4HfeZJk0gfj14HguSdBdkOoe6NpeG++Dq HHQAHRINmjpYBUoCl7Y5TstPdI6tTotifBGkztKHeGIF+4IuhOJr1RfAAG4tpvq44+nJ2kQAk+B3 Pk4lr2G6y2v+XtQ2d5jeKPo00IoLv3a+EhOXtnCTIUXsdtjSmGBPKmNgdbM2m2AC32jKkL69qMJu Mkgdapvn36XfElP7763Tm8H40yiMXZNnSqKIcSDe2SfiOMbk+08OSZ9ZWP5xI8qMrj21KdWjIwSL H0/C4Xkat5dgIJ6XwoZzqIqdyS25SgnQJz1/8av2KgH48IchAVKsJsWX5cBNxo1v01OyXDAzidk8 T6WXQ+tvOQXWzc4DIUfw5iUcn/EsmAVdxkZLWiuLlCJTHmjJrPgamUy3I/ka+e6Dz+DGbc/rF8Bi 0mcMc0C8nBkzaccLcfeCk5N5g2MMfC53+IQ3yVfAlOG4mjEvyEDxVETBivLobKy+yumSIzxqqS8v c1mWd5dZbWlPf26d/hOF9a+cd00fRGqYQu2aQSimjCHR6Tpt+f9vuWQtm35epqBgVqP4Nv35I/LA DQwQsmEFmxDpneSU4gT5zXy/JXemx3jHzIRQ+VXQyTN+LCN1K0d+EmTLzXTyFjfUG76nGPtJsteO 3QvPFdOzvaaPwnbpdZeAqUMJ6meY2dEOB786bY4O4g+vBZYCIJeKK7qp2Rgq+BgGZZJ9nakSRS6J 1r6oDeRfyUAZ48KaNS4yWpFkLrLt4YHfXoTzrAyLkYB6umnmMdzmu3lX2ClWBBlbOqyPRSmVlvOi xdujIFfgPoKeHBOibYkb84I930Q1jWb/4e1RD11MBR/keBEN1G65PqcQ0/YN0cAYALMIVcopGGkg lynhW12cknRTD9oVLKrNCzZFs12Xqo+vkhLUwEKnft/RSRekrErFZG09CgkAb0eorXHQ09SwVIkC JjsHS3WC79G0uThnYsT7RvnsUONXUppmzhGfQEcbcchZZ6PXwBN/gUkK1Z7BtcrySB0n1+DZtqbV O9dU0fcj3wMr76rQDLgFqdIYv1hyEKrcxUjH6ZejhAvc1Y7LkYO1mzu1v0iKOffyhJvrAjGm0Aj9 Jhs1BttfPs3YKFbHawVRpWGvE528pz44jBnI5won9gqKPxAUgt4lBohgtpWDxmxhME1V2VtWLGZg xZlQfmXM/oNY1HBFvswf1M9n+q7fz2wAr7yubHnxUge3Mktqr5sukkYrxojo039S6lv+1sDsx8Qc IFbL57Kr9fZHb4ZNs4RPwHvqnHto1oGAvhJSR5lop5+0W8/mPA4pxsJ+YsizICn4LQbnhwkVwpi8 9EEjQWGhJQ73A0glnVqwgxlzf2cf/v0C839l79vk0a/2+rnL301nqYR/J0lmpxRU3DnKmcgigPXY /lTJ8iLZD9xQPURRAALl315Oz9zzomNTVo28XxbnVbx1hA4CN9AqHEh3Ag/NuaAgU6X0fhCuSMuF Dr3oqaMSzpZZsyNY0cPOHuz4KL3/v4FKVbOG4t0ymt9ttx16x3R2G6bXfZLS6wH2VFtoR1hjQ8ez 7GILxXWHG19VOPKN/ySxfR17XMu9cjyEbVobOQCUehVKMh15Vxu+6H5K0q3hCC7hsYqlDgpIiqk/ TyMv4LYVDjmWsmJQq1pxZXxcEGC/mFFLRIzXuE9KKhpYGU5acmbNWUg+fjQ7NwaDXqgv+mwOhVRc pGuVsi8BZ2jrG0gak2iQuXMnujilBNZALRHsGqAedCXPxaMbjGZWGARm5enNdqBkxWD3Z/zJeRIS gGNj7fRQMzLRedmcTml8CB1BiJWswIsGL5l3u9wuVtjqGfwCyHwQPwtwvWIbFyrL+FUn7Ar7wIYu H9ePA1KAbWNCJKzMSngSD/Qt0lFQawyHuRH7txnvxIT+Do/XVm6sxnCGINe5oWuf3zTMuNkwvFSq wRQuOUcm6U/4gUpd7cm4AI/LxZmYFtZDC/JJsnP7sOlkjs1vFC/jhy6rVcSzYY8r+Pj2fF/Fx5c/ 4XyZhrSOH0pfCefIZGwYLab/sHLtHzG8blIcMa1niNQk7G2vIlGPaYQ9kiNDKez9YGpU5b21Lopq AmR/yuiPeJ73PVHHwKRpXoWVYMrSn082hdJOs1hgF+rKFUz/OGnBRmMiBxSkSrYkPu3Fj5FnPTL7 NLCyykbzkoFPFuDULcgy+c7RUcETSnzthYaEd+5M6TKwku3RQ1vuwp/B6utK49EWqcNs1vsRDg1i 18xtAmlWsAUXU4iRDdfCT5GWAStHdSQ9ApFM77jw7ZWg57vMwRXJMonKriLOJxkFjkrUUeMMbwlm 48/lkfOd0TmqDeKb2xrvqwKGmSsXYOMd6TtgLbjmninjdoafIb3FUUtky9aekUU08jp7xWHiTyjx YL6XiOZ7+Nktcppc3LVCEOVBkH5o+904RgcAiHHXPrPrpkmMZlHMMHb0jcxLidLWms+AgUXcTxK4 Rz2/L75jhRIWV2VAeLg73BCdX+K5SwsNhAkrrEnU+OkCWCMVUSumepmGLDZv+/3ZwzGLas7QP68m 78uFC12LClgcFH1SqVze7MZec0jn924ufe3DjWOoENyhTJ4n9jT22SF5Vsbxvmxy3RbKmakZm2ax fO/ohzGGjp7dYMoFymRxM3JsB63ZHPT4UIfmoz+BnZLTFpu2UeYJ51ip1ukJSdBrLLzpJG7O64i8 Lquj7J9hT+Hl5VTa+nYqjs/pQtBVJykUlIxom3VhbIFgTB8uxVW+/RCcycPE6OAAmV20gEDZkd64 kIlgtmkiZnxm0NCQxicXYPHxn6lSaVXF+9SM7sx0ek89FjvF+DyZOkQUEYYtk4IKJuBD8ruHfg26 u72bYsqr7D2h7JEf1EZZebrJO3pws3pwX1SCchNr0z4WdrOWLfsGT1hOMd2CQqEw4IHt/4pNXmpW 0zXLl1qJczX+X24Lyqe4JRd2h5JzWNTXc5omYa4wNacMgN5QwaN3AoD7QV6ZyiktJhioIasx7P3I h76oFDr+jZ4f8JAHvKPQmqV3rQhZqLGoIbnq2X7cvQxTgrwBMz93nxkVZn6IU8FXTQ+oeXTpNwSa p4Yc0eaGmoWRYvaI4sizCzbCQY7JRJdjWLygCVsBTaYbuDhPOl9uJVqVNX6ARU6v6QYhkW31NfRt YhCG7BnBkwrqa6VLctvA+6Pb95znTWA1x37qYvUp0fA1O6HVi+jNaHjfbFsxl3moD4peLOpg6GhI BZz1I7UGbsAZhgvdCnHEl52RXt45+/39Ao0vkfmhb4/V4VnPedkIm1JrgDjj5BlUtxJ7vKi+O9nO SUMgwj34Z40Lb4Z9HFwJaCjJwD5RQNs0y3cXI60hHYM1xc75OmJWHBeMaAVbg/SI08ADqAOoKXMp rOm5azAzJi5+bPHubkFu7jebEOph6HV6/D2CIN/Km8HF3nMQMNMTSs/D/vKoH+/l1bNv+mDR+JkK dy9wn5bfEQJfwGnWnhHP2yImqVrNb4iMdpQlv+krqSgQEudE9vwX98SWPvCKtZTPmUTKNSVCHxJt DFh0IS6O2nhsndphMVcYuzVJO/DoSBNs1vQ+eM0EuPenxl7Lm6ZVldqbQnI+h94ocCdKFus6GRJa rZvC/08goY9S2eR2QYheiDYiryIUxu5TAmAZWQT7Vk7yKrHxpF5t37wqrrfF+Mx7QYRUQW4cIAkR 8KOkr6PZyy3BClIWgYsaVhBQiz4t7v2NjCWjSUNWo3Re0zKtHUcW6tNMVpq+KaOCUUw8PBCP1MdX SXQd06ZO9D1p24E0PoDZ/E1EVUDiycmZZBigmFGb7AjgtnJf6zu5lGogbPkykK6xVQxkoYvUSu00 UyDNna75F5artaSsRzZ6TkFmiAlecALvHhGVMnjvXBUqzgL80P7UVikDnKz1Z2qpy3cAlTxd0wea uDYLmbqDQWSWefIfM7/zlgKKpEUaty5ESPCxemdzbh5bfN4Jv8f1dtT1NZ4EC2cNq1U5C6Af+J3n PdQ89Sm/douW1Trpur5z4VtTb+e4+WOFTdewP9U7JzpW5XK7cyE9Ni6xbvORbLdvcuCDpD5+80wF LDiGCIbOqPKl1VN0FKwSFKV3scsKbfingsR6Tr8kNxmbjY/DJd2WHw1Wfg+w8sKC0rrxOhnOQqW/ d6XycTb+nKGXHeuy2aqPc3tWHdV9OsygeJxSOA1Kew4lolcHRqHkHwjcTYXWT+ziBFkv4WP3BQaY W1rZr43HXTDRJr4H+IjGPpqCR75m1UaWpe5EED2mAIY2ElaDmdqSxwpBh7uB135j0w/VLcFFm6ww KeeVDiMoVJmbvrGz7qO5O3y7r68pf26IZ0n2IRjjKuC8rLjxdKcXYFZE9naFTz0fKFBhggfjkn6K SGspfJpE7JQXLGZaR/bvU+q/au8sOahOjFTnZY7d8L3M12Uj4NMdqX0C7EVDh0iB1lkwA2XD/TJB BQBv1BYWUoseWkz9OuYWBnh7oMlE4hWX8tJmz+anFt88jtIVKdsoCehw7TbajGfcCE0A3fbTVKKb 0TPgjXimnVX+SSxrPZoybyofwLrKax5FLqPpOdrDDE+TMDsyPaYQDZ3yRw+lZBrNprEAzCbOnEPv uff8yzIG2idDIMgAF/wfpRFTu6OJe8oAkr9zgzVXiX0WuJBuM9f0Fp4vpdqwj+2vqaiRvZUWATrs ZRGbc0w4JkaYjlU2scp0OgVTl2Y4+admT9Jo+1zAIXMiMFZvuN00an3e82bRX/UeQOIBqEcgxQb8 GcIxYdYYwGr44E9kjl5SbEphYkD3yKQ= `protect end_protected
gpl-2.0
f3538ccb59f3e991ceacc40edc5cfc67
0.946728
1.841435
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/scale_logic.vhd
2
8,443
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ksI5rSDfcAAkAoDpclJo1iuPD+5b5NuM40EcVndcO4hcM5eUNMTdCyaWRy/XisgqQ6MR8uN1X4+E Ak1bnCMPCw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block aewafqzw2KbT1ulOZLYia5pRfs06hJGkNhefL0OjOfAVJd1mAg38dvIkpJSUc3/OUvL5JqPOGAB+ lVBKAS2H87zY1oz/XxWhUAY1WZ2Y/XRDK90TcPLEH4DCDLyWzbAEKOBIt3MshZgtl7IgDb5JKNz7 rm98Y4K1J+N7S0sxx0A= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ONESyfYsFB9yo/j2mRaHut0MibMGcXqbpBUi9fcra7W9rWGC+TSFv9B7KOlnpjo79nWSO7kuvTDo d2YojfRsH02SNYaOLQsGlH4rxLboH5ApejByaFtYtUwaVXjMSj8vF8xsYTLl7HJshSNgLKJgjiyF IOlZi/0+ApXQooSz9Ls7EnTqG+8bCnLFGh8kccLftBXKaocY4y3Vqxcd0gfzhlPEFzUexS1VZ9lx Nb1eowttzvMIaGuj/GVAH9swR7Lr3d0Ck5w7n0j7Es+qAg54ZxAdJFIKVH+uWdm46o9ERjMPT22X z4tCVMhy7LeMnyt5Hj+n9coBP39/YmUE1cw0jA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block roEH36UDaXxORvhEb0qym4eKdP/YIClzShU0E0Dn+JfjM04sc6gHCesRjvQZ370hSYLyu2oSH2vL 1lAlUmSjdi2nMh340yybQRmL4bOM5+zEUS5SqTFAAmfcQ3Pv0umx71i6orP8d+FRo/dOtRTbh2f7 IdwFfHbb0VHhAFIPYME= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ll5/fozltyH6ael8qVBeM95dqMOze+8YawCV7PWny7p5lzU1YAiqGJsv+evly3cqK6qa8PUgfPib cF+12jpZB4BHXyRewFXVk2p/e4StALJFm7paopdrosiReNuop3B6Vx2vJf3yC6nzesMAOH9Ywy8V dITXXZU46nYQJatDz8huPCGmzsLYlf338v7phfeQhW0R0Z1gVfNliM0oOYF6Ii9u2ww21aN3sROt xiw+8yVlUnWxq01XqUzRl2arLTnIu9SrlBcu5/qNriZ/8PDhjJoSs9D6hFtxqgeXEkzhy6EFI47z Qo8ywSfDlVXZ91oISCvb7G86caR0Rc54E8PP4A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4512) `protect data_block VIReqGXyWLELI2R03//qlQ2yIYEvOgFUAukecVs96mt4RPniOM+vHwQBCMzeeRrCVo6uF/1z7BR7 U0dThF7TgkXbkqAQI/lMROORyhvJ81vGfiTND+XRcpNJSlTfSuwplOGlqBJcUxWEqXeP7AzirzJI BwBgpe91uRjF0wuKC54TAnKxsdZRvhD2ETiR5P8Tf+2k9B9ZMBLvBE/sLGseqOUS8sAhEcpWnRwM 25mFJyjG5E/dkj5dp5z72Vj4jo82AviGuONisyOFdDWF31EbT/3Ywhu2hqLQjHzxD43wq6HLm/JZ qbotxSBzmfzAlIBwHcURFbQb1k4c3q/C5nm/XYQDelCzSo/4VzwEIlOj0PFnsRaZWzQxciQB9z/f Kc+mtB+IgCpReC/0jCQOJoT8GAG7xua1pypIh45xbqZwin4xA4Q2qgPvU1Hho59Cm6OJ2kRaBVQV 2PZYh3COHXkuAMEw54NhqQu/D6V2SHR6j6ViSBUHacUTw71MF+oGcqZqr+UYZ+f1IFT1Pzw47xhp n8cGYsxEn+lrbn10BjfOmz8Pd5NtZelimtiJa0s461baXxwTl2YBzs9Ib7RImCgBLqdCEML1QSrb 0jvREGBF6vqMj7AvtLvOQ367VGMc4c4PPbJNfLczs/jnth7v0XSxeMm3dn1Kp+dODb3ZRCSmVCly sSHmfPU4Q3I5DvJqCHSKSjj5GQ7Qf8PPz9Ij0Xv7Oe3VHcfqv7+EX3bLQJQPYt1p9M6/zsd1HrlG +QzS1XlbeHtgE+eIKeQFJtDU1jAnmCBaGb+9k0cSNI6a7SzJKyYhNZlQLOEMl6hpykBffHzG0Ua7 ONvH3LXLHAbJlQjs1nPMN0/q2gm2N/YRqeaFgv3xk5TvmMG9VJkS5lyV2/P6/3RvWPxq8xlznO8E VLOkxdjaiPOBGWAJrijW4qT8d+V8vu7Q8nBsyS+BplHLdpdSWslSXnUQ10KA+/TU0PouUYH8neRs 3BE2frjB9FyrIHae2r8GGJ45dVeIc3jySrE1pj6mZYKlndokLqOOz+LZ+Mo1JndGEnuoo4blqeBe G3h3osdkuw7uV6zr0ERKh5pdRGWDtzFXFFPBi4M9wlcLpZbp4AH3H75d/XAb0BOM2dGg8VEJ1yTl AO3dDlm6xt5dunsjH9RHOhFf+2I2smPU89Lf8vufNLaetwA29WkPm872m+iTJC/Mi2vio9d7Gq2M lb7nbeVdHwSMnT/Xl3YhssHpXu3Qxg5CZ3lTiEEn8YKnHRw3/G7YE3HJy5Z5luVhYNNMF5Mq3/no JdXMSr8xCUCJyTKiAl49rfseliznF56+PShCtV7O0x0I8zcvUFwHHDf6IOvv4Jmwp9r5izhhrfiE y4TP9y/XsaARTasJPQ45Y1jDLTY5qHg6cpznjY5NQlseiQIx+t1xGg1UBREbo/VTc0sT7RjfrSrf nbIVfNHflEbkpkDfypJ0Sh258Z78DEPdQd5xQQ7Wd8ahrSZuk+Ua3OLu5gLKX1V7SIsSp3Z0uemQ 2dSCNZChuyqwAYDGDgJuv8WqlqKK7YXotqHYlysaZVjsP1CSGxRmh2Dj/Bl/aGKRfdHjA8I4sTxS awhxNAw05Fj95g6m/7Wfnw0sj9Afsd+oLRodQnm0rJiCZ+v0BIGzt1yrmActbDmjVrp2DMvb45+T qm2wGPeOClRAaIp3yM1imYyJUa7ImZqTYeL12dOKn0gAdpmziuFFhtjzP9yTmVuP347WMVyyY7No X6MNZVu01QaJVqfHCC8zSZ19YcLWPRYVY6kBTg8GRPPS18wbQxUCu0elYIKqLJqHPm0ObfE89JRt DnsqmriH0ydP4zTygsHPZ0gqfuXng+kaeUoQe0RychtL9bWUBko9IISAVPXlEgSL+MGM1kDfw5S0 TQGZ8q7CFOtzQ3NX/+4dGu8layUnjH3ywzoyL1Y/NfX7QuaHTl07MH3EsZX5mqboHeqbVUTUc3GG RkTZo5xXeKCH5mpf3XNM2au31U7QRfYKBgTSz1uhl8OPu/r3Ay3LMRVY0UxWntQIUqLx90P2qi+B We5Jdb2xUeK8hiHPC4Z7Gn7xuL/2IflISQj3GCc3z4wTg5+Ri1k88MiimVokl29wvK7g9jVZf2+L XxThnBgSzA7FNEQ8Y16LpxK0xKMQzleTJQL1CUxxoBfKOVtPVgMvRBBEkPqjb/9BPwsukuxLDSuh 5lsR4LGlXOT1x5AeqbAYO9NrDzGRqwLWXuJWPhJjecfJhkcJpI9OEqCC4WLUG4/8Edp6q19fQpBI PbXNvfI+9aDNOom5p/m/lfbZwiIR6NDIeXdBJ04PrfS1Xssa3gkwAPOwjOaFTBGR54l9DoHJbVSh iixu/hMw34jqT6Qtnd3GkJ52boj/I7heLKk0Nqb6K2S0e4z9n3bH61eCuxUO4aIFxk6icBQmPYns 6m7IQHodSefvnmV5jVTKHLm0YbSWjqILGaHhASJtHgLpIRMQHnRZMWtj4mW1+ooLVwh6IpP/P3El r7WMe/Ex6voXnTe8Q197rkRcT+8dhSpry3MCoJ9FYb8em3yLhqhPuJ1fHiojFiQqCQ6VqN/EsdZI okiON1EvIdq5qE40HJII2Ny5efl1f8WJBesQPQORsZWx5cfyoYZVa+qgsI82RzIuaj2caNf/BybS vR/TDazzocFLfHdN7W77a8E03+rDUMgCWHdsX0FpTlEFXU3f+5GGhz7kpWhxlJ2NN0jJbld9bbah vndweoIncthWHgTkbuPRkk6wxLVfhSRDqAcTiyogEyVwq3lkMAW+h8UHFjD4V5gfdl9aC7Uatiee qQ5jl6vlvp9oTOqUVaSJBW+MWGEFFZn3Vj1WB1Uh7VZBUkZAzUxI9DuB6/4KmbWLUvh8VMfyi5id LQoakvlX7iuA4fRiT/A63VMJ/vyDh+UMofOe4m6ZP3EkKKJNTfTLLtykQU7Olv7okAKRQVTVxMN/ VV3RKunZfSHH1ON2KVlsLIt2bmK+gauE3MRyw2gcxsjJMe7kngiLndlREuDTFTIMYro5DZ2A2Buq uuDwkRNqE2YOf1+2zBf8uZ2kx8sggf1ybC1Ev62ufI1Ql53Z+0X8Yz0t6ScjNumH4XM5K/1Zg+Co DrQjLOsyWSjS2pHCreNrSV7MxDxdxp+Ip0iGx3N0JkNgAFin5T6c3eW603wF6Ib8fyX8ZsJqGoIi 3hNW9weKUoSR9R5JjedZPJQwzFTBPCNokDoJWalPwAq4R4JlldJJgNuVEJc/NPkVOXgZfGDDiqBp iDYMkJeoO+lpbCpuZR74tC6553hUIo5zntQ/grq/M2x0Rbdy9bZDVBkKdW/f4XZszhPWCx31dFWT JjqFFVstJHX99gfhVRlWzT5qK6sJ4NNkPbMHntT66aKJlgHwTFPrbDBYPmSaOGRmbZHy2Psj83Jy 47xe584EVuljWyDEoGsAK5Ult7MGxpT/ZdNqlap9sIiWF7dwQPx9VolID7Ranpt7qWn0K1bx5/x7 W5Yy5zxopLBTfrumoHILI8mq6ufzRoelPSc8T53ap84ZF/n6zn5lm3QUq/mKVzh1AfDcpmjstPke UJZe8wOP8v1sxT6u1JxXeJKztS4lXZp0/rJb8erMXijqyJKIGX4I9qwGUHb/YgaeaCkVob4qtj3Q vj2WEiKbLcCzZQ13fCFczOv8vW7o5tRMY7LkMcm3xiEV3/rvwsb8cBJItYtfrw6ZQ03dc8sI48DO k0i6+XKhTriDvQqJJo5fXz4GHy957YcpCyHJtnuHgarWl75zxJnSnq6UavkTMaiACVWMoUlxHDr4 IeMBOrMA81DuGuTX1CqK5os5H1VE/HgsQ4F6dFH9vCPJZTsi3Gy6H//2FSxdjbGsobN/t8/1OxKV NaxMTyh8+bB0D4JiQalIWFjhtAgNNmmYRT5uZ2zYwTkmcAqF4QlmAmRVwMviimYGMX5qNA93/qGP jmTdadSrjXsyDhwLFxajg6jv+EWLteJDZsk3HTnb56djzT0TWoJCZDOhUqxbg/pA2X8HYO5mL6Kn Ia9Q+rHHC6mGy8KlYB9ZwlyI+g/MbkM8Fwxmo4wxkcWvYR7IXmAmt2An5a5kMiUJfVhswTMv6dnX rO7l5H0eom0Haug8XDxIslsdaYrgM2NSKR3wXbWhxqzd0T45K0Xaqz36JkLabhrE/QFIyWWMXB6D xhcNVYMIixreD6QVpMMXtQZz6GuA61SJVi1PvkF57qh4TiUfSOp60fo4qNrkg6px1zZSVpROe4+K iIbxlvbVWxHXEAnpiDRXlYz91qfzt2EdsKBtz9LSx1RoX0mUX1cfQNl8Kigd4eUSjLWwvNphlGnP Q5uSsrEET4YaHPzR6096itWvXThdFdHkmxdIUbMuyNYbbvn8vIk/5a/FNLzOMYguZQT7qn8Qdy+3 3U8QUaQ92iVdfoRyT1Xh+uAXUNtP0nzARIpVE5zGdgbATsjfsOlmmbqoeNA+I6nEsOQKZQVK5Dip +j0zITv+EAtCGYjPaR5N9n9lBU9U2GrPZ65KIS2jq3rd+4nOmxGXLE2SLrpG6NEh73Y5PCEamEdt JvcKp6aKgLVzxdVPKVCGhSfvzuEkABNQYZGyJL/YSI8XFZ2G1tvHTw6pCAQhaM8sEKysVR+5XbPC CmT2wiM73tEyomZ2zKG/mbozJMt108X6xbmK/uKDNob6gCSOlSMCixLQGQvO82Y5yfN1ZH2Wj+Zv mrABTmMDNT1uxYdDCCWrZxGWRDUr3TxNMpZWMcFcu30pqF/E14hWKLrlrhBjGXtYB47v1Mgww4cR X2bmlz63kkZv7ZssnuxYnTUjh/fAur3+rwyAu0Ru0A7+Ep4gtoP2pjODqG+QARBVHQh8D3ujLGPA qmuuVXoIsZo+wvKK5iJpY0eUnyiWUpgZb2UgK+QeBr3p4sgLU/QAdog8DIVT+CQyothEtL49VOUs kt6+FVCRhqzOmmuZ+zoNggC0EVH1CdwbrRpu4OJSSBDE9lvvcAqvCjVUymdQ4XovbCcDslO4ddR3 6/a0RA5FfExRIdqTAPa1hN2lESgm6xQVTX4syEtCEl4IH4CD86bDS8fnMYbWmwY899IGxLM74Ebc bbM+VgyM6fRlTbASbU9D85YeCt5lclfN6IFTqBZGKLYYFPQxyfZ4jQjQ75awqYkDo2Q8Fph2/ujI MDODR7wyVFZLMrU/goE8GBPfMf4XB2rsbK/+fJbFKQLRhbkD6Dix6stDTpjOFYs87bY4r3NYGnWm KxucSIdI5FHo23LAImns8D/PsRU9xxMJF18ZCuP7+SuhzPbEaKM9xVT3CJBa1s0gUFEc9TswopPy JztEKEcAysP0lvsC1YlcrCzOS9vZG5AnCI1tYo/R3YLjEsewuGN2A2Y4VCZOi+knz0JaAprj1FlZ /fkkuhn1499zWcdSyaLRDEFRbLTciwxJmm39lspPiFNDAr6bt0aC/1H8C8DUm11ysxLC2uVrbfJS pkyJ1fv9z52T3AikzwpJYx5EVzTUNQ9xVZnrSrYHL5ksZLqpfdoXWzm7fXthBkIkESmm6XhYsca/ JHAQAzPVHJE3872rwzBC0WiduJk02AInqZhInJwK0hG9nKbgzLeZJbE/2+R3Ywr2ppR5E1vS/1g8 dswho7V0AgcNAMV0a4uQNNTDpGWLdm3qsiVnrvx08sc4KaDPOSTogw82I2+h3ylgtb1dOsez9YGW nH05IgPnhIKUj60WrT4iTKZktofC1+d7OlWWQlS16sxL3LxVtp1Pak3xMlOtw0wu8aMVXu2Qo2gQ kD94/Vque0w/6Rogj18d8WF+XtRjgP7SmBuJcXrqTf3TP3E4Y6lLlGqI8oOP9tdBoES/vQJBG5lz oeRPdojAtZN63GZpQ93x3TDwSr15r97IXX0cWT+K38iF1CqdBS3nzzmQH7bXi3RirqfOzlH28ItD ax2vGLk0PcX6ahCF9nhslwNdTsrSaoFOVkJBas3m1a2Iz1OpKZP8K7xqE+5gcsF9GTkaksyL1zqW 8By2r7KMtKj/ `protect end_protected
gpl-2.0
cb80a4cf3d055e8bc5c9be2d7c53d061
0.921
1.911478
false
false
false
false
UVVM/UVVM_All
bitvis_vip_rgmii/src/transaction_pkg.vhd
1
4,823
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------- -- Description : See library quick reference (under 'doc') and README-file(s) --------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; --================================================================================================= --================================================================================================= package transaction_pkg is --========================================================================================== -- t_operation -- - VVC and BFM operations --========================================================================================== type t_operation is ( NO_OPERATION, AWAIT_COMPLETION, AWAIT_ANY_COMPLETION, ENABLE_LOG_MSG, DISABLE_LOG_MSG, FLUSH_COMMAND_QUEUE, FETCH_RESULT, INSERT_DELAY, TERMINATE_CURRENT_COMMAND, -- VVC local WRITE, READ, EXPECT ); -- Constants for the maximum sizes to use in this VVC. -- You can create VVCs with smaller sizes than these constants, but not larger. constant C_VVC_CMD_DATA_MAX_BYTES : natural := 2048; constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300; --========================================================================================== -- -- Transaction info types, constants and global signal -- --========================================================================================== -- Transaction status type t_transaction_status is (INACTIVE, IN_PROGRESS, FAILED, SUCCEEDED); constant C_TRANSACTION_STATUS_DEFAULT : t_transaction_status := INACTIVE; -- VVC Meta type t_vvc_meta is record msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); cmd_idx : integer; end record; constant C_VVC_META_DEFAULT : t_vvc_meta := ( msg => (others => ' '), cmd_idx => -1 ); -- Base transaction type t_base_transaction is record operation : t_operation; data_array : t_byte_array(0 to C_VVC_CMD_DATA_MAX_BYTES-1); vvc_meta : t_vvc_meta; transaction_status : t_transaction_status; end record; constant C_BASE_TRANSACTION_SET_DEFAULT : t_base_transaction := ( operation => NO_OPERATION, data_array => (others => (others => '0')), vvc_meta => C_VVC_META_DEFAULT, transaction_status => C_TRANSACTION_STATUS_DEFAULT ); -- Transaction group type t_transaction_group is record bt : t_base_transaction; end record; constant C_TRANSACTION_GROUP_DEFAULT : t_transaction_group := ( bt => C_BASE_TRANSACTION_SET_DEFAULT ); subtype t_sub_channel is t_channel range RX to TX; -- Global transaction info trigger signal type t_rgmii_transaction_trigger_array is array (t_sub_channel range <>, natural range <>) of std_logic; signal global_rgmii_vvc_transaction_trigger : t_rgmii_transaction_trigger_array(t_sub_channel'left to t_sub_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => (others => '0')); -- Shared transaction info variable type t_rgmii_transaction_group_array is array (t_sub_channel range <>, natural range <>) of t_transaction_group; shared variable shared_rgmii_vvc_transaction_info : t_rgmii_transaction_group_array(t_sub_channel'left to t_sub_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => (others => C_TRANSACTION_GROUP_DEFAULT)); end package transaction_pkg;
mit
a882ec0363b1f67c48c3e8e6c9230922
0.511093
4.951745
false
false
false
false
keith-epidev/VHDL-lib
top/mono_radio/ip/dds/dds_funcsim.vhdl
1
662,527
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2014.1 (lin64) Build 881834 Fri Apr 4 14:00:25 MDT 2014 -- Date : Thu May 15 18:05:39 2014 -- Host : macbook running 64-bit Arch Linux -- Command : write_vhdl -force -mode funcsim /home/keith/Documents/VHDL-lib/top/mono_radio/ip/dds/dds_funcsim.vhdl -- Design : dds -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block d8xvwbfVVOwe18UXp6OIppOfMlqR2kjI/C6xX05FTHU8t5J1FuCayg1b8DV73j0+lrSU5NbPke7J wKyKo6vZmQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eHeURXmQty7NeAv3XUoO5qZy5wiWI4KdVxtm2GsoWgcVxvm19Vpj0GV1w7gFqCWnA4FOQTZuRczj Ij8Zgd4djaP+0m+uF1VB+55mfNaKcPG2LmiRY6n1d+6aXiDzlcGYYizcbBz72kRf3eOIqxpeA4D2 3Z2PIkm8MwLtPGSJ/Po= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qH9+GhW8bT+j42lWyvygK5/6l4trt1BCmWOpQcKA/HZx2kAGsb+FDG/Xy6w33wIiMr/qkXwfaeaz zlfzzUtccPjNghsznvMRED7lhG+MVvWZ9dxb/eJgA8z59jDK+8wSykzMrx433vlospEmnUeHAQ+H 4dfYGCJl9cTzNC+uQlFaZQsxHSBPlOlJ0GYkyCUnHQQjAEI62DNG0kEkyaiojOK+3cvYSaF6wa2m I1Cx0Gw1ktdWILhOWUSpxci92nn54fp2GViAZYTlm0DB4uFKOskBdOQytDP2f2b1yNgPb5maNLgm +O1ey7vhDLFg2yHH9hL6wSCP3onvhEE46TJLQA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PyfKkUL3/8sDtTLwxhpqedhayaiDS2FNnCfS6sCchY9cwD/PXy3suivOsUKbKwOiyhWnF/tQl4Kq HzosYuk9tWTm2j5KKAjvrbIuKxPEwXnj4hRLEObKTAhKWjc2v2evf+nFlXCB529PJsYPSU+Jmqkr zAHGbiyeXTy5GwBCfYw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZF+QB2spbWlec/knTfuPrXaT+v7qNpjfq0lmc40Eofb98i14vOGTUx8PEHILvAb2Z54dFdacNzrB d4Uhl9bKx6JU/AkvN8zsp17drYaDzpZrkmxxlVdox34c9gk1gp4pRBazBCiUTMxBrRL7kEPgnOmk /WE9OP1QAhhZeA5r/HbSVnK/CEigmHINLCFfC2uepHTQbur/n29duc7Tjf6CS4lcmDe7A+tmnKFC Gf1+66fm+kSxjOLSIhPwC80VuQ+EeB0rA/PChtXN4H3x/F44vX92xjZ6F5Sx4Jq0NxXAC/h845YU 20Yd7EW+jvXAgaNCRT5u7w6v8I9bFKrVlDcgmQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kNFo9MrqNAtRpwcn/PnaWX9x5WK/O9Vc5tEHjvGWg8x02BV6dBlrYvH/eVv8odG/DhpI6f2DxdZrf2rB3FZHgv+lBin56QoDhIinzwbTaPZDQYLBLZbwxAldcreb4F1HyNlWVrbiv1zplW9BnmxWDPU9hbOd/YDRvrNDx4JAbleZsMoVmBv+FihE+BRJtGpj7mYeh0IrKdkPubkso379YYpYff0hmsTKwlMB7k43an+iMD4pdDTUv4dErpiZ+nKqSjohV+JbDw41QQxIa59+o54gdLldyv1c2ak2aBFFGYlNRxkDoGcqZ8NppK9tQUUS3OBxI8bGbW1bO1pvjebeuA== `protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128) `protect key_block xyMnCi5S6pvp57JwHxfBwRGD1wPQp1W8kYNP2x2O8Etyk3Lxum0LY5MJLHFVlVRn07FjzAtfyc9k151cF5hBXHlFIMYjkMee68Vm8dhZiU9dKsEu5kBN1IHVR3fThpnQu4AtpTrPofnm6kj3nHKtyDaJ71cZvjmZvoEp5AMfPo8PnTOYrj8usTSkbiDDbdPnkWwE4Ji/OmBUFYJvsc0on9UFj3TyGVPecMP18AceICujofy0VO+jQs1pJR5Uxw3Rh70Nmvr0TjMj73ZyiaIXh84z9BBom6MhkAT4WPuXH8t+ZGSzezMYPfYAiCBftVIMdo/xO+4Rd+aId1szYikTHA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 469904) `protect data_block UQ0hYSqGC9tjRMDnhmYKstu1aZuIGXotGMxfTVz6WNcp0bwtfb5Tw1PKf6KGTetRHncbVDqjcxSj hcSZVnXF/z8RJ67PJmaCVznPULhzcG2d5DDIcamqhFgywwI3UeVxuLqoWNoGNbXcF/uZrzhuntVz 71giwUcMstauYeURh4RPcFYhsLAhV1TKe68Po8adGfURX+d3BwfA7JPizXUNcfTCOBzK1KFqvom/ VcKO7wl5BxfgK7nqwW5a+f7qWJ1BOy7anZcesVynpMA8Mn3jQQOR80zJs61Qm0Vp1MHtjGJIPN2W cKg2PVO5vmiYX6QVKgPR6iicJpExn+hOPnzgZWT4PARPKyDySddRJ4d/hmixHBlM8MKdAevV0Q3q hlev2qiIofVMoBK9+OMgrR0EdLlQE06sXwuNZykJmazZ1ZKmcSEx6JOK/wmNpJQJL/AH/Fo6Goz8 c9Mnx4BCxH2iCIFoTviaZAbd3euT/xOBgkI63j1ee0vxbgpWqX6LHvyMk7JeC2iO0vhDZ/qTl0xQ KXN2KOS/6nh46qqGsTCb97HuXdY0uNAURh2nn+gteO3UIhQu4CdSQUG8NUWd6AMnMaZmVsXrmhWb adzqb1++O1OGOsnAoWatkTgNoz1Aqe5yPHMfujhe8Vo8KKLWOWlGJJOVwos8HSZMnDQrYH3acy5h Htj9eB/gcS0EXR7ixIjhaVFnsepiyjwywqKlkYQoYzE5C7m8nHSrNv+ennM0KGwkzMqqOKSBhxTn YeR5gWbvFEtuLBdVgtFrfXfHq40YuNrPeSLpNuSWQPHKBrvTemA7vAMNwBc2Bo61d8gshZNXr/O3 rEkvzXvgYzJhsMclB3Fns69DwiisVWU8aO+NQ+ERDsoFTADShMKpD7u+nEw9O6UKIuHc8NNXouUF 745xADXTddrI4W6CiHek5C94NGAHucDMYUp4Y8YYLmsw4GCJEibpV2z5JwqB7j268ZsIL+7fVEIy jAPbI9Qq2HpMwUhge2/tSA+Qa3AnNFWo4IAiBYLCYu+svS4bCdb+l91Ho1D2zGqvbO41PcGmZCt1 ttcqu96Y5ULHeCyzG8RRfc/cap06P9PnBWuQ7l7/ClMjafjI8sd4vU9BZo5O8KYAewIxOn+IYAf+ mjqNkFWCDFQkqgxS+q8OdDr0dHZKDpsdQmGLHPwsZj7MJdzsi9Rx5plf0j8f7LNeOQpgep4WqPTE fh8TG1icAKtZnlcgMP9IswGP3ruG1M4AacnyCAkN2SA0fhDhQbFUVRfl5HmEURaK4ZNmVlV+zfbQ tQvb/3rAXyEQoeJWUXKlt9Ba4akC8H9qj19abbdR/yJFmNJ8Gdgk9vCaeGyLoWlLgCSQMqirMwQe XRX6CR5Y0zMuxqARVJWUrVtGGJ0CbdQQOii0WGvKzw01RLmTrly0WSAYX9smSp1ALa0o5TFUfGo3 eV+cHnxarSDPxvDIkj4EMgMPBze1jPsKDUO+YPK1cCsvG6j/eTou/ry2PBk+y6dngJPnA2bqAwQS aIuLrJDjxSFcrCP1IEeG3OKK7RkHCTnGsPTR4NbhS13kZgqrezIF6gF8YhIsSqo6bEyeYnJrWFtb g9A38m7aHGo4O+s8hRsF3xJbC3gloyCT7l7uGvfb4boCcTWQjQHmQa5iqUfL+w2XZCHBQrTGWkyb 1FAS+SlqpFrifHCeGjiBWN4u8EZpTZ+gLH9asWlXVxrqk9Q2O0vKFBk8txnbV9G0K3yTAWhQE731 f36cuptHwYlI+4EWTeRqWkWISRpSvHs72wTacNm8BzavfzHyRwakzDcZr7gwQ3pGMS3KB/tSTkEZ pCdMg4GEUw/qK/CiNFS5U9thPLOxY1aZMvfu6mKHURFysg7u6EqxNi2H+CXFoYc5GxPeDnNU17cM yzzrM+B2apHD8invDGuPDRF9xSMy9SS5GT7oxTgELg9d2rGsRAR40KAo3Xs3MI8TMpK9iTsEbDf+ 6pCnqt2eUpU6ONoPfwb5DGhHwNeWD7LV6IsIl4wIacQ9PCRJ687mWXeDnptDQqxE4w/fDSLU490L yidLLXTq1r2dgChIQ2Reiniyg3rT7PmYdKH/yqO9trlH5zLMpCQFBeI1I2+mZ6mejncixHch9i4Q pw22SZa6N0bXw+xa0EbSmc5Kn35JPzE1puwtYeObECS49dLqVhk0Mrvw74JKoYSF9It2ULdiI1Hr Nw7/3B9zx6cxEDb9lgiG0ObiJA7rmEhCQQw3AFsh3UQdtNYTrI/Itvzu0UEyfJzV/cKJ0SmG+c7U VnYaPpUbmdGdRZK6osaGphuPA5h488YyVkbTGAl8kkPH0gs2UhbsgqL2C8mkwr8GGIoNMeNuh53G ue1PwhYjOcRM4iNqUCaltu7fGix4iZs/w3X2eM9/aUExg8l2Ad7e5iRhG43bzdrTC4WTVKkeuSCq Ch33C+uXpsnKFZ4rKMXuVZWvRVJOZ2584g43GL/DUSnK6wEaNM3VIzqFyPMjtERuHkhUxH7ngkGp 59D13PkuL6DSD2TKIi3JSO7s0kOrHkgMxerc7vcB4zgSwpHgK6uzCavEq1wfBouW0CxfYOwS6nPo MXqtP3GG9JLKfepGnCWOHGY0qttTy3XHncLmkh9fEIg55rXyrpdAzAACmvco4Q1k5BYAMfAszkLL 470VQgFl2PDdBfSdAj72ePx+STA6+k5CEILrDutNZBXmgDC1jUZydDZuxIgeXgLrpeE0Txgwa67O pt7zBDSfF7tGrVrlL6pMaBfFiSjgE/tOBQXLUwO4i6Pi5FUTSL6q7LRt2Z77VCKfFFQqrwwTcbHp oCu0DGna1ww2Sg4psyO/XRfZ5funkU5LBPOs+IIDPKnw6FuieiB6KfJNfAbKUpXEmgpB6Ns2DMwy kA/O8xn1eFwmQ2qxZR7rB3vunlmVW0mtcpnZJpVe2NCqPaRystfoJflHQqMmBvjJVfOCaSJI/1Cx YVRqRQF/+i7p/hR+zZyb2TI4Fm1w7AVGmxgT/24Bz0idv6qamsKzPV0kBwax5K19ujgdCZbZM+18 Nix9SDPlpINYDV+bKVjVBWWeogvZItg2HIiJf7xxk03jwRrV/u/tsl5Y4B8YBvcFT+oJIu9B5upp 2bOGUFYSx6VQHlu0HdSyEnWN2R2Gw2QRkMa7CIAlDwnKfQxtW5V3JhXTk0zKsJNscD6LTBOr61o3 R3GTZ6hwEmfqAPDugGQN0GtrIrfOQ2ZrgCVt+ehOXVamxlOQ9lY+2dQgAuLeiCe08aqXGVcz4wfE eXe62Ba/dJiEb7KmKC5XmVeQ19e2/mUD8sZZvB83tYuUEycdC9DViAYra+9y93H0rXZK7OeI2t2U xB6SC23loG8Bay8HRTaPBGRpzJz/3jz8iyjhjMRFTs2u7hoXkRx2eYbvQU0EKvaBbJb5JL4MSAOj qcXJgBDREI8numn22AxJgiygFK7hxAMahGYsd0qWnamckGtqZJNphkanRp9Onf4hhxmOeHuHX4Dm iZCAcCbb+2kca+lY2DPRMIbFHW4XZxEuUk+bDZaZAqzfnOzBnh5UL/bZ8i5DAzTz2jgatFfNddWW xNAU91o+OGrqm1El6jgPin5S0HyJcJB3Ia1/8rFLJtvtcHzhD3CcMgrbow77iuQ+XPPOyopke6My TL5CuLzAkOnrQb+rM+wQjvyR7qKj6yNOXaduANdzLGTNBBNNk5LZHYBozLSkG8nZjqj11YtYB1AV joEy19100QNE95TF55jcoOJUcf/JciNHG8YY+GW4r44WMDjdhHWVRnFy66KjGp77i23IHT9TR1GY 67DxmCBMUnET3/3/owh8G9VMfWjaGODeK01KLoSmlk+9gaFY0eE5Z7vd4qUyW7hgbhXxL2cNE0tZ z2rhbb3APbKd1dzoI2tSZ9ai+/1FosN7u98JDirlGrSDLKsdwAoSZZhg55sBYugPH4IfSDqdk+M0 OMl8VeRqx8QIYnxPZrikOjT3QkSxuDGnAfk0OyfMX/zu9r+Op49fDJu+YS0PrAcwivZ6RaFJCIKs vyXGOX5WmmpjZhGPsiFysEIKpYjoyOLIDe/J8F/gcMP8mCQg2dOIFlbGpk8lTuzyak2foL3cAgS2 iJPnNwjy/kYC20DaqDP91NjVB9DyfzEA4wHifZRmMyGifrO+utBa00IrWe0kdJdIiv2F4ita4j3i vfJVilYQjGZvGrd8o9//Y/s+EmC/rcQlDULN88mKEEolE+Rkxn09iens4pIToXbag1SNEbwLqOQy 8UGXWw+fRYK4Uv3B7IgDaeptp5P/cNso2jLUpR/PLDxPM1RimrKBs0iAjFYU+c4bQZPEQknyl+AY K0QtknAR6bLx8TUnGAFmx0RvdleMIkezF4Rj6HVQCsxq743+zf0RDGYi7xBYG1rNNasTrJpBBwXU sofOq7R1zO1hFBFh/vxo5J+eQyw09j9LYRKSWGY51pKdC4xLvX6iKPtIAr4EwQVlolQn8I+qod6A 271Oa3iN+92OL+bN5T5wVfIt+yKuA4ANSAgDJtamsHi/d/LpdEylMVh1yGnl6E6PpeXcgIUqvZ/c mldgdxO/wUMPLOd9VlrtlW2s0jZFVfK2QPQ2tJDKFclAVUKBY3xLZbvZapWwn6/ATJTtgOg+oaRK kEtHKvAU3Oz93wA8RPKFQ+Ombds8WXSDX2Cwjtfg5fvJhiGpPqV48UKFIUzv7bt5efxKvm2mdzMx ZEJ5QsyViaXcUJw01hWDF4eeCwYPbCwibqd9eLMbEGNnBdvEKPEXGpvPBVih2RowdcgwHTNabxKm uzm5eWZdzX8CSVY+sjWpN41Iohtb3rBvkqNAiFFryk4HkQLbMrHoPZe0wJaZWywdPtWA4sDRF4CN pc9/Evp2RrUwXQdySPxOQcHn9KfjU9K5AYonrkHAI50YmP3Br05yoz8CXeI4VoJ+MYbR10okMdRa O7WPyF7re2Ch0URcXkZ68lrrwv1t1Z1Id0IfSWS3ZpVr3GFEJxJ5DqNYel1xszZpAIccH9qhPlLe 9oDm8WlXD/y609TKFNVtwnb+HwkKDvynuJWA8f2AycQ7/KpF2ntE5Y1g9Eu5WkRtbIElwXpOXgnc zsR1vYrEcYPywPPRG7A/D5poYKYfpwV6Qf9H6h2AQ2WMDqnaYbz4MEqizTsd8KwP9nLsTK+GwF5C A7mZE8ZMdxNJeWIJlaIzRkO0t7h+IXwJAcBzrYgcFunMG/19CtAV8YmUTy+EBMFTEK8yXhARsSvE cgb1nZtFU49em6aqxh6f2llsTHPcYmjpAZN612qkHbwn/3PJ4UxVDLFZ8L1shBlzS29ALmc6In4b 7EEpQCf2BSu3DbzFGad6OzpgkJ0VOn3FJwmHSYShmwLtqslqVxRTxUTIR98WtT9eX385nLCaG0ws OJ+bzTTfbo+Ro+KxHSAoEyTLZ+cVLqdo4gdPv2/oQkeAa69/Nu6cDev7+OxlRJjW0qUKGlt+qN0w +iVt42/4y8FS8A+qGMK2GNBLMtVeJRTjT4yudTk7MX19oSUwX81WWFgC/hqnfjrmA9AkKO7wRE98 ah+nUybIrBtPnwiKrLUtmOQL70qshIjNfBPLLNA9ySr66VC3eB+5OsueoqGYAP2INP68Xh2DWQIT YStqQWdgiptIMFE5fM0KPtdtOuOvFFQeA50JH+iAU+UBRF5ihypki3Zd9cnpo9SDKD6n8pz98nyd diekgGNHu/cxleH1DgXR5XwLS8EUMXKR0YXqKplC0Do2M4lhynFz5K86jHEebDi2R2TKnoDK31R1 AiNPgt9ip7df6Cy+vEs4EfoIM44+2tHrOwM/b6rNTZy6steXj4+dMJParCO7lD+GQclrIli0Iogg T2nsXpatAOTKviBVN5r6rmhnYIsbJje5L4DT1cBkDyKG8YsOucAcVIcQppa1NSYMHU4tN56gkiXL ffGSoVR94p9svVzHcFQr5dricf6d32r8sPBmx7gr7QJJiofb2v+iMU9XWQINKRFIeKHNQl7u9qe3 e+pWfTxtxUr2vogfG1wI2holDpeVHcIJJ53hMdVcfiV96ypPp6+3Hq5k2vL6AQ8/7/Hwu/VxzH0O 2K1wKmVYokMUuy5SHakLpIXQlu1spTRZZj1JLi0L8X/n+2B6Tv0jXsK3NLZcfHuI/PSFOBuTCwbs a8FCkoKo+y1S/Fkf1/oeupNsw1uLD8/IS4pMam8XUy1a0svg8pfCfHAQGKYEBg0ENu+29Yihdnmm 93nuZfGHZGfWor92N9oyM68QexFDB2tG4YojLwpuVsj2RzIwsbsY0+kokmuPpCUshGlkHkDou8kP GUAkc2agHc81Hr4Z/G3IdDHsTy9BeUkyW+q6V4eQRHcS75HROyu/szjBgHU4Y/83wZF+kW/WWQMi bqKcwUL3YYZjE9FKjOZSQvgWsMKbkShCMjrn9n6KrcpHXqhcxbXYEC06eztH2bpm36k7KdXJyqDm /a574pzYlqAUdRmmjik2MqMgePk/6KAxB+S3s8+w9t/LDZa1b46EJZk3TcW423qo/r3kuuUNUsES 6/Q/WhVXiNootseDZw9lA/VhaGr5Mmuf1KetJQ0D1dvcCaWnBl+nDLW6T/BazUJVyJqJ8LRVgBFN su0I3djlR/4EmBiV7cu1kvO5VH5e+OnV5VaJCNP0lEi8sRARSpm0R325utehqO9xAiM9vLF69IBZ ZjGtoIbY4xLMHIVZG5eapuSd/Utv96Re/z9FmTaMqxmVDjRUUW/9vPj9wrtkvRUSQdMqqn8OnebM /sFXm4tehpRjOaIe/L/elBo4iXd8feiGRH3ex07p0VuDvXdLds3CzEi2Zqlj5E+h2G2N/oFpuZ07 sPJbB5+44hm4FvrjzpGccwzLX+WAQwNTfYYPClKidNnEOlmpYnpwSo3714hO/ULJhV+upM/8OQ8m 2TGYCmj7RzilysXvECn92yMTIxi8h0b+wf/XiLxZ48AsZzbEZLso/BLlNsH+I8ZEwo5j4na8qoQa xTpdwli0iHWnKt5mLby5veaR/+Q1H7hzQ4mCwsEnagQvSfYPFe4rocnYxv74HdPXPRoO43eAjuLv GHU8hMXWJQlYDLc2DDuCpDiHeKcHIpIRUwKN3ynQzlrXlCf6hzD2ezDRbF3iIcW6JMrvru+UPIIY 5nliKZz/FLki78nAQjMA/HYG7E6AhFflMfMyWBnkRzVOSGNjHWkp7zpd0PH6lGMXAlwylLBfagtC f5dyueAUcKToIsQSBeopfz2OIGqzqoCQmNGsTrSHep9qesO7mBanOf9RIFuHl2AlyVqzfTHF1qyY cOf5eckymQsLRljpY5YgMFiLu4bQGYzmIHgV7oWYgLNUWbWdW1upGJOS1uZsgPnFXLfrjWtZO/T0 u8xOW/mN75wsOd6A1iVP62GWegDQijK7ufZbrciWawNLTnvK7e1C6GurGMlIfb7IefWVE6a/paYX x6sLOgVEb5g7bpCw0I+iYtA/zSjxKyeixBWpzGX2qxxYYsGt0gihjBtd3JX1E8S+uncJv+abDaSj J10bFwGKeKIPXY+TzFM1RMk21NX5Ooj3eRxZ3Tm35n5KXFlvXNKAemZsdhmZl15bVBYiRnaxs77O +zUvVsIIQbGEp4vrBHy5z3gTExxr/wWVdpmyzSaniWGXkmx1wsv4hYZ+Vpswos/MDUtioEMr7AJy g/21ePQAKfK1jjMgT3jBuOeBivsVkcw11j7eZejRGdNzXmeNMNnFXJ8hK/EutYPdgZbXMvRkP5EZ o1HcK8xU9Op7fgZXchyw21nOIE1EM1W96AufornmWMnAy/ffcNwMpkb+1PNtcFftuw6scjq2tsoo mQNY7D8PLETyDfhYRK4EK/t4v+zxMkOQZ56EP/EFXQfoBKxZMNuotXduMlNf1puhsQp2zvqToYlf sm/gD7OhJYvn2qvpyl4eDuEzK8WcfnUf30mYuaMW67IOSfNxsVjD16V0u6clkT+AplOS1EdnSQ9x Ou6ns8ivIf7V/81Y9ri+DvVkTSHTIR3aMdkr09RcfQb9gxB9Dqh5VeOyTnOvTIwkbKygJuzHvbY8 slubzeqkzJBvb+F4lFeN3yXnMuNdpvG7aAAgGSX6n9CVryCCHvoEBDsHF5iFRlOaKJiiEqTK9CDG yQBUwwaS/BaXxkOdfX6nxKLxRQkK14A0yOv+ciLz234kim1FeO+bQu/VjTGrQHSbpLgYWE1slju3 x+trKdzdxqBPXcIHmfzvo1/vtSW906xBvFMPsps1G7gdXkPLiETn+S3baABTIy/sNKpStp3Xwlwh XdgzuqfIXF+iajkQCfCvaoV/IEwwZgDuLPwA7WZWei2qHGhnsAKjAnKvlhohJhtvQ1dyQx2nZl05 I/3LaDa3VJytz2wIs0FM3kS9uGqp81NfCSsoCw5Th3Z3sK4LiNn3JUlDs08jQwm2kHcLK1sZxySV A2HfwIlovim4zRRn1RF+lH/OnluUjdv2bfoYqxTK13NWN4AB/i5KKAQUfuRe9C7XpckhTQn80HyB CB5sUEeRow4PClv13lLt3nc7UPv9360DQMR2Z5S6afNvWgW80Xqfgrur8UD6iUF8eAcvaHGtfMpc eF1g2uvILuxD5DpDNDeQ2eE7t2j5UQKBe2+FHmKdHLJJ+qGEUmC+gQv3kje5NKhcqwmg0BuVDSB3 i24qw1DBKU2FLmlaygQ4RZ5fZwuUcEx2BIKiRV9J5A2QdpmBOmlwUawjLd101fkL2ATesGHWpBZD HvnML2LrtEQb79sCB4T6fhMUMUgmVqXwJI1cADU/Km+zAZj2XU9GmM63QGD3IvKWapl5HvXPXB+h SCwUU8fEWc4dRtgQWK0mUdDvgkHSM6NWk7zNhil5erRyhuXiOkEUoRC+WXpPNMZJCYYz5k6bxbvb 5K6INgb07nViywwGnXdrNf0Lm7sqUHrzdMZDP+HgopX+LUyYydZ0vtI4MwmRF8fF3wYus7OZ5uDw LqUInLnh1EbODZGWNUkZQZUDnws7V99q1sSdJpFqJ74ydB/LbZCJWzxzaP5mkc/x9aWp1ma2LaVN CYH6ytQDfAhDDbOnHVQJnYc7yq+SddRelR/yhvop9y6Nr6JgJjn7taLOXA/tu2LdCA0CG9uCwo0n rzm3TG7gYiDnEPw/vulQltJREce303ImAx12ggwsi3KMRId1ul4u9g7MBtdt5XP/NyKEPhVmp0Ge bctviYB1P7kx9nGjkSjCAHwGz+LlFPqsdchDeGzpzjk9TpB1XV1TDfw8S4mrPoZbso9WLYNnqlhb K7t61leHnHE9NFQyDrcNT/jCzw4KMRCE9ND65QlWUefkEILh0Kek4VJcAm1vOzaMMwXRW8Su6gT6 BFr0gRto4tLprUYPkfcUiAsM9Zi7BFMmIhmkpvTjsMf0WvKh708seZdECi+NqrZowoXeVnCLlCXk vgmGg2+e7yY8HAxrbnt44yOyGJP2lQ6lGqPKuCuTpy+NN9Y/UMHLGdtJTBQ+MvaeVpq9CbuhyjxQ zD0rMvZyH2lUTD998RvWbTj7tSeyvZ++qdXmQ9krw0lQFiT9yJNyrlS1CCnSpWwFtr3/w+4XNMT4 SSHCO6r0/kR6cVj29s9UpR0C90O4CiJHvfOiSQQ2FIu+qzyyOcwNAXoGPpX8lQ+wRpgKVwMTXiNn cd+lo6Kb3gcsrsnel1Hc741hrcyMGZq1v7Fya3i3pR3dS93nzaXz8qbsE6NSCSRkcRpwwkwbRUzZ PIBJnVHG20D+gnOA46WK/WOpcJcQlepJ49FKl7rp5XrY1DlJKdx/eAGuTVE0AE3584/P9j9nBgw7 XaUdQxJvAEk131qGrrdqVoLDVDT46uyJaFNiUSj7m4W8o37WULID0F0IxZx4JKVYwanpXLO3EZCy NPkzK5n06DK6Mj1282PIYR2sfAdT8tqq26QDBBmqztEu1U3qwWzGhkcfP/sIXEzhcAG5kyDdYUWz PrTbBe3zWstABJnaCCJoplL1C3+rmCbLb87jArYXoJQMuIknGchWZ3KNEPKfNy6/LtKJvMiJbawC Wc/1XS7A9EPt8728pofc7K4HTWpLpIDD0tOGtzQItGqYYi9cH1thWdeMwNLRMl79uPzgzW1V4Dq7 NJNvSJBC7KvLRSYLy+DnSmQ3Dy6fFiPDMBq4E2RH2Zu+8WETAE8qu7Yc1qh3/3PyhmfDR7+iphDP p7R4HmXzz2yGZe89jeBfTxMNfGTvWfcp5Gba8ByEgyzph9/wA2dGFv4pypzTPMJuuvwTIsY8H2V5 ufVcs0JMk8eRFOCTRVS4h/h+WgI94gKgLA+ZcExCvbVOSjW0muP7MUgeaW3IM1M5KkcSSqccz7Kl ppnx4mpQVdk+5oySOCqp03Xdu905c3It1wMTqsOYfWZbG+w0RHbkqYz35wdf+T/eST0+rZ9AQYDu aHhfhy6CPjwbXy+97MuCICiNV7vrC1x+sYjxPQQ8G7bhxDgCDe+qmDbn26IoxS39onOuEeEsrDie L1o9OQuU+Bm9siOyJz49e91GykzueyDULgpTguBz9JZ5Y8ordoApP2n+KeH1JoZwctS1CXyfhz/8 /yK3t3oDYxDHvsvVzjOZLJKNcOVN5EOk1rW/x6DPl0s2qRnTJgp3HPoWDsHUr2tXP1U+Jg+nlJOy 10keVhmGIN7dh+KoH6jlnxDA0N77PJ499G2Iq6a6uvpg+3eDcQc0Q9Qf7Lpph0uQei/8mH3jrTfs XlnGOhzxPE37l4wgNlOKvjo5b+biVQdGrq2Z7cya4BWyVBSfHFN9m4DWePi7M1ZMnjKZ0ASRdHRG FJ/WJSWNsHTbVHLyvCWcH4o7lRm4bthpMPm6mNr3lNibQDZGB55nTlPBMRXp+otylsy1JWReNa56 zJgnq9emZ1OTYYE47gIPttJIiiu2JKiVd54KdyqDIJ/wbOGwy+I3pb7tavLZi93XBw4u7ADkXYs7 mUGP2QsJejRXXuYnTw1XbOUk8If8VbogdjTiQcDLiJH0XlXXlfRlNrjGYS0l9+78+0/MuAWj7a26 QnJ6Wi7Qm6WSi/hQCxtjTz4cex26ZkEpyhXzo6nnBRhfVvqw5cOVtBumIN1i2hS7UpxG4XdfKlv1 P4Mwrt3BQDifq4Dd4gW1i7LGwFdJAeE1Nr1+2g8MVkXT6G5IkbZnocuwgI7blGS2gHoDxm8FJYR3 AgpP2tBjQcK9EQTyPVZ43+/nmYhiYU+Y98O0Hc0aQjrzrncsalq+dAAdf/ZQOaqgluUvMw5t36jE +VmUGPBJU/z/SbAiSqn7X9nx64C+E8oLpeuYyJJRnoWPt7PMMYhbR+lwHwM4wjGxooDAegXer5Ys /6Pu6YrnAGt1L9fAMf/DqssZ6Ty7DDRXkjx8LbqxgyFRy5xEKWsDL2WLV/OifHZ8unmOLhvPKe0W iBdCZn02tbMZMyasdcqSk0drL/BnWrQsSTEvatlVLoqKHAFwxEGUTYtX5zJwkVHb2xSgueAj5bsi iUQfqyZSZg9QRWBSX4V7Kmg5Rb3VOgllv2MojU3ZsntSY9Txtc3q2GhLtOoQe080qmvc2L/oF+6Y mFflrQMX3qe2U03y1xXHzou1zxgq6kxJEPxCFslqUNOzUjF7Mc7y98woV3tzaRQTCsZxpmvQOrmr XziSFyQxiDL8e40lNTHsqX1OuOfiNZ7MP1lvVuYPQCov6O/Jv9eSdgxwrHKFh+ThJ2EUsjxp54Sf fSSQu1ku0MO5GU5ASW315dJQIE4t02ek9PtItev5n0yRaFqIEcTuIvjpmrcMVGfzdxHXx+9GPcDy Z9DRTeay8QSu3kN2aCQj/x0hXklwKz0lFou5GX7CFsf2U7/iICRZ1y/ekXowdVnICwBXw7hVvQ4r LvLEBYg6buwfRG1T774eAK5Nu6Ybj7KXR4hfphGkDQnG1hWF9ZNsQ2STPP6Dx2N85UCFgXiW8E8j ttfgMjMmxAzS34giQRwnYY+GihAMOcxfvUgcyJxWsq+A98W1uWtavtivpk0E144qn90+Do1PQ2I4 v+s1eEXjNT3Qqravz/5fVmT/UQLI/R8WCmEpsOhjypB1fMC2/WU4Jg/cofSdyUpcsr1JCErGzqQy 7yhMTgyznW7tiU3jNQBahH36rccjst0pRdnMz7awJZMcCmcjyEWiaTEp2Sb7ZSr7BidewAy+4VlR 2Hdg7CD6wEqLmP/98pyxRWqAtUyGXaDeyfjfy/KR9KbK/BpwD8+MR+a7R+DWqHIhHYdqaSVhHmCt rISTq/M0FNyxEsrkbsr6z3jkM0PjFWQquth60F+8W2lsvLKKxOMvJxOXXFK5wbWUbGYCmRycDDdD jKUTDbPyzeLjN5Olbv45ZjEurJZjAiwrKp0FF8W7BzV4YRgEMPzQFIqSFKAjKp0BD9jV7rSKRYz9 B2r4LSQtsOlAxE0GFbyFMf2X8EGNt89r3BauW8gNYszGayKrTlyRnHTcMdMmWkhLrieABb6h2wbx uDEVkc9qCYgjWpQTSMAcVdVwcTvJvxXOMfK6BpPeKR0FPAOYvyCQ5PjwydHneWwolkdqgdxdJ8Ex zYrpMPIGwQtgliPIjazKTwXUjl8FelHnZPiKIncsnypWpPglyCv+L2DbpcjsF5mYoNOacEz2SRma 8LAWBfBrg7kGOva6EAyRTyIGwGhoYuMhdYsP5pP4Kb/yuAyzA9q/0/jKCzjPMDjePljpAGveRWnF WpBb6ySiRjnM2dwugmE142r4hGcOYGghBXQt4BtOU4/xxfXZsiarsPNXKA2rMLwvWms2qwwXYDXn MqUqd2m+oSq3NadEtct96GHJMuUfEasZSISW/T2GZov1bqcjZ3C6kn7SBDt3pFAoZzf5kpRyfs0J A08yQ8pYK2de+XGDvj2OblCQWhbueG+fmSF7vcZ8x1hofTCpU/447CtyPIO59MWCblyTuUFh2Hwx LBdd5e6UGGU73fdQa55bOpT4b1x5fCEdeZBtsyqgkv6NSJeZ6ArU64gka5dIoiFBBeUX+VbNMqdq VukJDxR8UnfRehBJt/UYCdlKY+CpM7h2lRXSJnuBCZ/uiDr+3xa+FLEaT+nj0l3gOPd2bMwL/kCG fPvndBGHF0w3hI2FnwdE3KETu5+/fdwCDSGWaPFU5mMTbx67qkWHCIgBxnKqJRnOwdn4YHAiO+CJ ctH5dmCB+fd0bFF2na2WsfWlVaLE4RCqMgjRY3iigMfId5CHQgLl9gA8sIyEUpImKCH9NO+1J4fZ WpEsudCFDzSks3elGF2CoQWbZQTR0k1TTftp8uGvOwXjAE5KoSb+gE4rG1pzen7LP+w2BeqxClCL ykNW2f4CGaczaa8l1MjKgsKY6KR/p7yoISWQPzo31sIpFIpiYii7qw7Br/zBUn3L1euKEOMHQJhE 6MEomR8f3Q7e157U6MUexgCgpMv2iKIZGjDfNHjht4GMbO+fFZBoZFPTWQqP1lCqFwPZocLOw/NP lS2PSZDVkrYru/RitWlDqI0MR3sFy//AH3cdVaVIjg5VVI0RdiMBk/OIdGhIiZKaJWMOHBiF5Cd+ zuaG4mZTW+fU7Q9GyabIeIEPim0mhHwOIcTFjyXQY1AcrNq9bTumsI/0sfWLgkdQj4fXIgq9nGiR 6ibNWST/+nvc1m/D0kLlAXG1VVaYB0yUvbzicprwo2M32bbGf2ivkNB2LWk5s8Oec+YlDB2qTblL Q4dSfNJa8qoeDC7jIjaU7wra+NZB0ReZcfSWt7x5Rdp71bDWM8ZzTf9/eyNoQnjHAhEk9IijiiOv 8fDdcRQ2cNz0swJvJlYMWvHURROqF0SJXYeo7fvFkA96v2XEwMS1X3zQptQhaCciFBxPsKeZsz/s KJwhPE94SKI0SlArYs2gi7g0pD+7lwbOFyJCYASNs2cMv5mZFNYmikf4342V1FFYuwZGElcsqvii W4NZu3TWBnjoKi1QfvaU1HJCahHvruR6uGS4lX9EAShq+avtJHtOOVNwPwOZyyR0elXZ16AZEQi7 z9sztgzPO2a2H/aQHi5AVnBf4nEOn6Apop0LKSHLOUKOsE5mHisiFcW7flabYG23TygNbIga9FY0 r1h30CSRq1gpYqAFq5FN2RtVHg+kRkFpkeuQS26fuG5AIkEh2hzVAYGonpo6bof9D8xSH0NokGzY qP6Cph6slE658R9GdX+043a70C0BGQTri/UsCu/qOmFlflOr67SgDTzhol0yuUfEZiUt8j5JT5gw 5Sy3is8LhqMF3Afl8gWP2lvRlfh7ZGJa4lA4EQzF3S/7LphGDnn6H1Qj14TQdg9iB4jxcIeXHofH c1mgWDyNo6eM6g0Ko4WS2chopaEi43G6OIg9dNhXFKCdySufHG5wl0cTqGak1e6Yj2JNb7TPKJv6 3kP4DswVSnM06lie+k31KfImt8yp+gzBwFm1JifIPY0BF9s/NHyAbT1KHesNNidP8VlmROjbWx+p UKnaW9bBHB5GDvuk1JBFvDsmvqa2tedbHjRxiDfjb4wCRZKnDkk0t+Y2kmC3zA0AAP4iol9yM619 wRC0StX2hr6bjwGMC4vHvfnlsW6VxW5hMeCWL0I2CuW/X7Tu9xI5EwxvF4NqCg8KBiTa+yDj09Ng ywiM2FzhYHpV+WeedCqW9HIinwVVZXbK361w4P2AU9l3BcPBY81ebRUpg+xlUP9/5naUHbohJ7B+ jWodcbGodLsPScb/SLzkkYzrEqOh8U1P4s2ahg3M1M4HSB+sbUE2I0VcW3mmkUlqBdumXzGqfytc 8h0LZX+/qyMHcirIX7tlaqukFTe2oWyTyqsSDp/1BogUqR8kVRCm0pbYiEPcR/7cI2uEzCMGswa4 3Ju4dmRSpLfO2XFTTa8/wb0LnwR/hqEOTJkBPCcQX31M72okqrkPuGMfc2Y+HhIf1dWkK4mB7qNC 6130Z0X8b3aBuQ1b/97ySgoiQx+eNaEmyzDxzu6PEmgRaSgasBTBx5gMeVMjmJzS5TD/WER+MIHP +eX/xpdk66SPYcwVflRLv3h/r1RB0KoaMRdNoaX4Tri2CrgMgRf/KjRJ1vwqUoRg6bAcHwAktpjH S19UinAwzD86xfsMySUU+iR7RVletTvKndSR24ywerOoXl/XuPRzydYBNt6erKI91bwu6yOyfF4l tS9kv5Wig/Bucx9LWM86uLdZvGqRztvi4bedHLyUrUd47HoHA/lizBcbS/vLp2mV123AcbL1i+Wi 2d9csD4ghDa03UgRUA0xvebUHyJuTpNQqANiOFoNe/2YxJ8Kv6BxJ2CuXFRHn3BQcC86JuyhF7Ju AnCHdt16Voglhv3suGOvcpm/RHmzQutsjy/RKw0VG0d+7J2dGIyBfE1TEWM64Sodr9tfuy7DHnNZ /RkwRhbeTzVMLu9Gi8OuEa4QiTN9QEI4EBjmixAyNbE17I17/HsoAwaDyiACU21JxsR9d1vcbY1o srZn1tDWkIBQxL6CrDC902fvyMwiPL1lJgt5UZ2kvMGtAXyK3OzdCPbCdDA9uZWKpCyCohw3oZ/P 0y3CHzYRi96JEO/JZyLPVIeCnflpcOQZy6drJ+SJzPL+rAFQeGr+dYrofrtdKm1GPtf6JXV91+Db f1+3gI0CDhd7vSeuNGWB5lVH07bHm3YxLxxPY2JNssUSp1zMqWA+3SqEvxsPDI473GqumSp592NQ 5F/a4l7JrEyhHkNVT4JEuQIKpxQVlk20bj2HHhHafqIV82fnqIklyjlKHHiypLsuywP7X7fxCSoK +2H2WlmLjfmnGqI2xiGk/qlx4F1La3UKKt9PD8cLi9SR76Y3G96RWdJP32ThS5fb39ZjGUwnhz1T PFSULXdn3ftI/bcMRk3BcQij0fsfP9p303Oqj+aSTAS7/A89n5FDj4rdFberrsbvZUBYTJZIofwA I6bnzM06Uj+YTJ2K4HmHB8JGiGCfvO+Gdo7nAq3FRyzOgW3Hn38pBlR543zJjmh6SXMBEUugemJY NRLnzXNhxiaeJR7Z9KL0t3nwZ8XHmm/H0PrdJ5TXrpBpqo5B8iNwu1U0rak9wv+maqiptJYzn7AK HKXtt94RDUVTN7bP2ZpCHF6tl6Of2DCgE2i2ocDxq72vudkd3NP5Mf1KvtedmvFvVyFvGZHQuIK8 XTCRMZPaXpEYI0kIzxJ2W2pQC5Z9cIcSO3Ydv1mh1oUVPS/6nMDX5USXUGaGi2yQGwwQOZ0zAyba aUw2Y4ZoS6p0cW15m7KJ+3WKeqFMdOIbVE2zbEvtvwL4rsfXLdyRbFP0XaoSo/D1NUG7oohhooSx oIQgjAs7LnMoMW9WCEtFUiFBQYN7EG/+GV4CHvvhCVfxPQgiPK56Mue/Owq3EO4v1eLalfHwJC5d XKm9D5DIIdsqs8jdrvLdtFknYSHq0f9PNYToATfzBTVC9z92nEvfc5MgsCoUTqhQLMflSDb9Vpfs U9zDaaTca1C0iJ6ksxhDr/kZ0tjiw05/cgXxnw3Aqe3Mv2U4IzNIcAi3NjcfM5r5MjSOyeBQZc0w mVb0YOZ3gmdZOZeZmIFoh8DatMOD6d4928PNcScJjLhexZU1OefFht9KiaajrOXlEiKvlKvR9rnI ElKKrEjv+gZip84OCgcSE/YoyK1KS2rlkwg7HA+7lLjj8Ea7ed0qDyeC/RUU0ht8sJU4tm8saNuu X50kg5JMslg27W9fe1AqApLk6j1PEXskgMCWQj0266lR3jRjF49cWlIw8SqJPy3Cue7w9IAnGsaX chBVcS99XcaCGT19BosmuwQ3oVxgZau9K9ItQn2TKPm4yrksdcWvr+c/Fg1bp/ZhoJGq3nDvzS83 sXj//8sj4M25neFm5eGi+AJlnhEDL2xCUaFbPT8YYBWLkA/NSQ40GXoQ8Q7BHKkAN3d1VltbZ95/ qTDQXbNmesJVC+dl8Cia4/FtSgvZtLggl9JJeTwXV7suKRIphnSrY6kmXfpQzYhn3RJ/kIgIhFDz sTThMIuQEmyxaYVSyP+bghMerOgChX6LgMrShxlM8bIrHAvd+Hp/KebWkc+owpclWog5ByWb3g3+ uxO5brSXYMv7Rq+R3OJu58sbrZyJvPtQ5oNKfRB8t3nspp7JJjJ6BkeCeIfdEm+sLSuZcUvi2mGI tK7awASjqc4m7vcYo53W5AKHQ4bivnS/V6qfnt1Vk+ZAdTdERNRmP57MHlmnB5cOFUJuZbY9jatv jE6pQKTJzUAtI9bni4KFbd3C6BjFyrC/nT7NTruCdnYeqGgn1pBFrqdgyFMwoLW/8S/YNuqvJ2gP gLhqSDaWe2mpqZgiHyDMROznGTf6olOjyn//0IrmuuXAp7JH17Bxl1NilZKxTfebJAoivC1tI9PO VniSJ5TUqkQ0Tp3avE8XupGjl5wKNcYTLxz4nhhllLQlnCkncUP081OARTvrPd6nWLn4h2jFgoCA eJGxEDFaqSujpB3DtJ5JeY/DyhkDa/JDGTMuIPKabi/Jo6UnNU2wyxH+LZVxrUcx4anE2JNL+pCQ +ti6tk8BdvZ4yFSJSCy65zp1UoqrtSJZRseRJ23PVQfALQ1uue5LhHzSZ/aJhZi6ABzicF2XTliu u9DPmTBRzYUBS1YpPvgA/srSjAT2h0P525v1cfRXeQsYxzpWMpSvsRy7FuRz+Kb/e34Sbq14DdMw 1YiqW69PEW+vD1YwD+KZWEa+2k1/K7mDcPGx8bJX9FSGgikdnSJS9EjXiet5JiLviVtuxj04PqMH +7JlRJSN1ickrZlGJaEt3IMvxz9BcAiJ+7kql4fnALHJNaGyB/BiAkpDab++asQdSMsL67xVEKvy mTV8dHfk0S3ZcWVoT7yfiangKueY9PoZ4N8OLy83Z6Xv+dnKVI5KNZO65Ye8OSFSG4XDezgoU7LK 2XUeayARcbe1+zycyWXIX8JZ2rPrjVELR7UVAaNJHNgDyKV7Ty1DLEIHZ/NUlHT1E/y2KZt3ohHL DYaxsS1bOhl7+hCUj8qy+eWjH4rXed3rKgs9lLDZvqsOEwjoChe176op6IFblhHgCx52yrTKtD1j 2Qu6gITQJa2sIb1lsxchtPb4/bYkYkb+467Ihgcdvts0XI+hs2I+Cf0varoIk6uFdoDNHdo2+aoJ PyIDt5GZucs+4LGRkIr7cXVFGznRMWe+34iWT4Qh/sEjEmJI/dnCie/YzQMZEWNKj7WkDRuZku90 cwZ7DvqMh3fYA8QGPOeSV3M4LHXIom0dLjpBIbXi3u6Ktf24eG+F6BmIe2k0G/QxjyRqkHcmSylv rVCHbeipUPNovXdi2MzwIIcia0EIiLmMr6EXVW7d4BliK3czLeNeXFA9FmrwSy6JNA7j6d7J3SLw ztga3BFissZJvLZf2XbFV7O1EVyMYHCBmiWD4bNdAGQURm26GHYp/7Z7wApyKm5taHNvNy+bVxds lbYu3dmKlSSs8v4n/SIcRokRSdQnkgenZSaIfg2jlXV0+UaGu3XAoC1rZ7FdaWSsGwpxm0o21res sCoUr04tUl7S5dyjz8Yc6LukyDbITUJ94V6LhMfWdcSSgZYrUI1YnMK13gBfk0szKF6UghVN5VkK JXLushe8KtLDsBB0BFHeay7BexLiusPV3T0Tq8vBA0QE4+V6Gtgy7+Wfl/nsXmb291fPy2rZ/WY0 1NmGx1kVXd46JzPOwH3JScPPwYKv8xcjHh/iS40RRgBC7k8A3vn70r1XQa5BysnG6aj/dVANIYEf LIpiQP9r02zfcZrEVq0kqs36H7TUr9uAPx12cUHNHkjomfGW35q/ihO0grjv6YATRT3dIPGotdfr rKV1dsa2YvC+n7LBr3t5a99TYRwJnArPw7UP0axgkgespfGhWiaZKLrg1M3YmfjuS28wBgcGro9g aBgtZ1KASfuyBM781T6/QgFKiJRYGATBX3lzb2QrWky+FcjlqDR42bi/i9eepD0vTMTn2QtHBB63 x70utgoj7UOoBv7Ripl1718mmDJA/FIz5RCP1xgELqa7APOcyLWwYdgp8mOvKz6vorZXQI935Oa3 4fjIb8XjQKQc96Dydu73nUSX/FgG6n2n+8mNueGnzigvOnWsGETFQZEtEb3FYY8mTCnE9JQPSAN6 Nsqzu2LgsNiuO0ItUMKl++pdPipZ1HvCgCEzT6OKdfv/U8We02azqsJuxgUckzaVuzDtErpPAnXZ QRwoTecCCLwzkKkPUCYMMrh1eyxUXUNWwJoRzxnjMqQ482s8aMhsgNUDUjSHqXeKQurP42jFcgoX SMwSTm6lDjmZKfAwbpQLHzzAybTyl6W+QVefXaYDI2hBIq3thESOeSGLoyJ9o6kFXBseNeV+uZZZ 08It1rELJNXhV5uRXXV5L7sYLGjZ+x7/Lf61NMcBBsL88QKtq88yFgBpNVYGMdPrcChsTkZMsWz6 Q2hamLLqEBOGol1tRKj9Y2Lt58jWvt2hjEdm6+JYUzgiRIsp7ipjRuh168k+HJMJuFOBcPSe/9+E B7tunbEHTlNynnQq+CsFHfuoO9YaTu1UVS5X2fo5eDJY3sO5l2zcvabPo8x46uRt6tgfxS0aPIAi yrsmnUSEBLdWUrXse1WAjR7NqyJCxOCJ8E5Rm4Xudvt5Df5AkmEtzv8q6f7QFgOaH2wRHxRg2hJb muIwiXR+InKeyR5/HcHWC7DYXMesjtU6tdrMrHeNZOphfcGcUXCbAhRf4XRZz5iWbM/M0hYWoF4z UX4WV4yAvAayTRMR+qT5wTzcGxphdDubRiUjZFoln9xXgz/x/3QBBC97RqPSM/4WN2+VgmylN8Yz O8bX9F2DUu063ZRz+t0Qk9UU+z+un7WwMJGqP3EnuyatHv9K0LlNSs0fOoxJVOZUrs2YFLdyGLIU Z+hfyRC0MHfBYNKfBs3B6D6dI0+K1Evq00Z+gN06OHBygiFpC24Y6fhLPFFHmBbLCB4hMO7iH3M8 3EAhSnk+T4aZ+JdsTiCaxrNerCAukbep2JvnN7yR/Kl5jWdHHzI8vu20FRSQG5I4aEEEZw6XAFXz akhdcNNT/shSzQz1r9iscWh0UtG8ShmkMMp6U/nHVH0hLeQALUSVLgaY2z4liTW/gZsNQWbufgJr BOmk4a9+cHvquk2DR1RARKGluVA8hLTH2HUfNLSXd0S+PwLCPIIT2a2QdrufW1H50Tv8/8NIZfPc GmJuqNZH3GZS+C923ARWYLt/7u+BEItYU5MMzZogBrLKMjh1wVaG5suLQ7wVPVljakzABBxipUWm vFxLDP8LGjVowxb1s0R/fBs+TnsMfJR7xXW5ztA9Fv9e8QsyNgtjQxqyjlo52YhKiAmKEYZNYUJF W76dee2zZIS0FOJwjsJvubprOlF3vF24jMCbmbmOUntyOwWBcJy5ylep3X2LZR235AgweWlwpTDX K9706d62l8oqpU/G5DPox1FQVesBV6tB/Vszzh7eRUWiiCgoedDWozFF4h3zeBbzdIQ3NsV0jjiw sstaZQq8r4PaJygugntx+FdNtl8mtf7w+89flERoWTzpxPr5EZeQMM3p+smPu8z6kpzoV6yuKnuE cGWll/iw5NP1xFPbhbP/VYKQ2G1TtW3XOute4hnCo1duJRpVeW/08Kc1pStKxWjKYB812LgiQo05 AKApcuxSnpvzyxwDGdkGRVVTm/BWHp03VtzjV/o0U+Z4RopYysYheE/N7NeZQUuEtgVDRsM0YwS6 8f7uuhVIiF/64ogtqVoQJi5xETnS85tGZzXMzG9yjxZIkNre8hZMsQNRGIgB+X4rIQITzyLrWn/u GeefjxHRHIpHbcbNFUl1iHhP8TR7TCirSh0OuRQb/TvQtzLc7tGYj9domJBZRTTn4oL14vQmvFTl ch3Lk6vuUZTEWmqoQZ9w8Mc8038PFG0ZU0LjK17/zsieC2g3i87vKzSpqHBrt7MtjmHvknAGoqBv zVLpWaTw7QoiGupsoUB/jwbXNOJxzAl+n6kUpGY4J3JWQIZhULAAiM9ZUjC5A3yWjj+fc9JSHAVE wjNx+x/jTkE1zsdFb89ZM1fs04R7rkxouOglpENfmrHWRIAIlsPm7mAAeW3LbBsSLB1snqJhNNuI mx8rBBcAWEnedaZXFcWJUmKZ6EfCPil0R4cp9pdOXJvLZPP0S/138KfYzvZh2p3J2CDr9pQqexp7 VWwZwS39y/Eyyl8groXUXaO7QPTf8+0LL2smNUuvB3343ZdktfM8hdmS8yxLDeAZVMIss+q3i0+I Sl4fngJyP8sQZyTLym1/L5AvC6JSiWy6lhdUtgIj7lrUo/7TAuD7ETni4BEeqQa1vo69GVuO3fPn opp7M+wQGfQJo/l53HLRhwqx4+K/6ygmHuyIVVCaQrgm/C2FrcQjIL54mh5c+VSYRGnnV4MImimZ e7r3JKDRBc3O/5Hj8di1urvLvi+dtb/PSmiN7Bh3MY+KZ1zH3lHlIJThv8DJhAzUVjyJX2u+xKIH RtbQD460KGbCGKLiaX3d296mQtUT+KyrgwhjiW3mN+bsa5+WaniRKlmsaSF1NLFy1sDyTROA+g0J 1kHPkLATp0dPKwM4ImkZU+b8Bs259BzIRFZwIVej+pQtbgIUwKRIg3gEW4KjkmzTszyV2qMlSsDA IRz/LH3/gGeQ85l/n8eskd/ocIW1ROsWlVWX1iuTTEXHkBmpzxYK1AAv18PdwhxacWkq7pYwe45V Rh0w19qaKd9kv0HeeHJVXCrokFTy1kNL8pxPRCUJ70AGixuQIqXrSylh96Ia2MrUn1fjKE8RfRR4 K8ha1FLfAkK+ddrvkUjCA6nfIl7grsqkdOTnMjXYES4jfZvh26SFHfBN5x771tw/BaI+hBg1JYbA yLh8YQdXWZIMdCsFYUtb7MfZm3rPWUqRHmISWNrvwDwBY0+GSGpSUfkreJ9/gxxc/aKrr1kOzwHf ZgDqspLMYq7KCSloZLmQ2L6Ix3NO98lA1YVjOaWaKgL4gEOCuXG+XzT2XR7W4eSAACfzDD7ovFA9 KoJg0hqCI7qc8tqFUVcvXvybvJS15Iix9vgvib4n5J3EfvjjKXmQEvAavVYZrHjJv61XtPbJcw6m 4eRVBUjkeIaGzgzocan+5GZbFYaHt7oC3vyRthE1rsPtYMdvs3awPh24AArnAGfoCEBksLuvpdqA uDKMWRbXekWJ7RXRU8DQt2FGhpX6RVMuIfRrxFRGt6v2ehFRleopBPzdW38TY7r7r3MoX71V3E7x p9ldXibwopCql+LbKzWymgQoYn3CDyf/YGG8zniQiLepFJmmff0Ap41vTgsSZ1Phf2letsfGVxoY qR32w2i8jn00Puv5HqTRga+4oJEcj489hdDKIOiBA0bEmWLOIWXVfYabcQDJb94pPef4dIquqQzO vpPI4aGsYcf8b1MFSw35ku6o6I+UV/2aViZlht5esqejOlND3dCm8wRCSFTYeO+x1eQZI03puSLu sDuwVUICfMS3HScSeq9e0dqlj4YENFGgJRpkfVMzEhPOKGzsIi713wdwueKl34Efu7GgW5bDHpfR DG2DdS91i0EqgkONv3t6MKeR9rTjVaRzlWEapyr8WZTJVmsssy+rsrFmFML1vlVN6wRTCinrfZi4 CNRDjKqHCJ1fLaUy8lbP2qBpALH3mhUAR+qOsOtct6NCiWrxm7N/yQCZ1qqd3PEpZVDC6JplQdKI /J53bU04w+AvULHRUXUtXWTBgJfouapAoBHltWy4phJb+DPGl+4riw8MFBhMDh7hrDXKODREwMkp Pym2q1+hXkOxefIvcZUS/1ApyKfgv33FAAOfS95GpXXqi6iu2q19G8GYWNL2iY5xJRpdpbY3Z024 9sZ7H8rJXOHul0zYX5M3tU43TFMopyVC+lFhFwgaIracJFDMGGbdPdcYU34y73+1MATqp+xHSJPV 6eG202IZ1KYXA+TAwZzHK72lb0XSugq+OAO2SFlCg5Cs0HPk6olm/BuRUkg3AeZldoY1QSxbu0Ih nu5xMkwk+nX66HyOXu5Wrt4TLq/AhBI4HxVdvEh/gOt29zjmqrq2tKORd+xU+xphhhOdncSy7PKI A9FxIzW+tfsbIyvpyWUh/GQatSWf3RXlFTQWrw5XFkAAS5KgfzmQ4FNbG6zgQaZWB9fr8dx9b/no 4VC71A4e8yVwj6QI0EH3ivYa0QpIBYrsUOg4qdUa0PumGg0Z1XPMI2Rt4AYu3rfwcRMbOxn/QJwX LEZQRGz1gbk6zdsCoGM2JPIf334nyFtvWbKP4WbvLe7JmZAkpebcVpTEi8Df+5razO3Yk9mS1q4W GEKq1QyxzQZae7uSUFQ4VBU03v2hzRN9GLdQgR7RvQdTE8F+r6zny6yUPxKreFjZpmnimUMwJyb9 Zdz8EVE478Gc6xKMbgLR4gkyCDnAWLrVKu1GJ89fXGAquHrtRlK0ECP06AH5mJaFCepfNTu83K10 AioA4uBezCPClx14e6O3Jv/8igX/zjrcR9DOmUtZJIe1KYGpA6PsXn4rt+oelHchXw2LkJH8vuqE jgazjuBL1T2AA36U3574S3WOmkIsyt3RQfYVXNw/8s/8JUINXXESjQM3cmvbK9mXe9iWXhqibgKd znSHiGXfvxJZ7/OXdC5FgMVsXJtKWkOZc2sKKuNFuVE6J1QTUXYAmxZh1B8d0X3d5SAe12+UkG+3 wE/1dW4wGmV+QjzCy8mOkTrHIclDPNVlozmgCCtzCxT9JWAW/Cx7FhK9cpWTNVHXWf1evqNdcbtd e2nZI1VGehFkStUwsFJ3Swio7QNPe0Hm81tSPDd1gNg6Ru4LBkSVoiQzJxCXJToHTvrN57c/2Blx QamNym1rDgSEH7urcCqSbPJFmjk2a4zkCZTwdWjGz3HHdJhJo2tg5z/7mm8xOGorTtFPAx1AOYp+ CHzgITafFq782g+5m1+SOaU6zWate8dwlrc3FCZEMNiokOvhud0yILExQR5wv7d+LnXXkqqMsKuD pqBBOVmKwaipfiYbI9kX1l+ALiVF2ucPUfRdkMpNzMpdPGDk0wKPldUR0B7aHuurY99pbdl3Qe5+ o0gHSfqeizAWJEiNwpTPHKocrMvej32LCyyZwwihMRMc2vWSB8/WNsT+kPIzwqsd8n86gIc29avH SJAo7tP3R5oSUqzuJBTRCVTFqNXY9AhCGkHO52fttIjXatlhSKU3GIPojLViBYRPdCAJeMkqRmbe 5lkeQTMlwiaZqmoxMlIgrEFeJPlZn/cSSzVg0ZZdQeTNEW5ICR3EKPdj32Eiwj+nxkISuAlGHvnY vZlZlxd/4sMAGxpAuWN+4M3YHthWCDJPhK/LNeNNulrlkindP8GkcyaZs+3B32M7bGQv3O+Fijqc L4if2GDn/YUc8fHiOemxi9DhJL5OAWrsb2vUxzseG34q3gkybDxFap5wMPm8S6DDjLZ2Ep7poNVW ev0ouXvZWXHJskn7RCU0R+mVhC1DzlDarzjMt41vTxRD3Of5/cqRLIWIevTWKXDyeQ9gG43ylsH8 MlK3+qh5UHiVDNJEN8z0J5f64NipIkU74j+5gkcUmFEGkqZGhePzGH90+0x/QWGkcTkmycvVTkkK SfqVlAWxDT+rFqYic+iIMUr0n0BunYOEVydbej1T/d7g/n/UNtN/9yBypvqRaojKCsLmx1+StFnF 9mMGlM2fV21qf5R0wn5ihsNKHu+fm9TsCA3ueBtTVbsbUokuQA97FYiLRlMmvWZzKt6Ys1+B+xgm 8sOJ8OIdHDtge9U08jrAGDBjwwCRY0Ux1TH+SO+OtGMEgcxZn0+aBNSbArO1A6hNCOhmH2wqnj+s IcCutOw0B35zgCr4aCv/ARIu6Un+aTUq1UzkzE2tCw/ngtFr1Q7m/4KfpD//n6Ll2g+qV1rrLD0x vt0tEQyeejSzc/y6b4qwhG03oLXdleWXMRhH5slvkZBngJoNslDZJidDsU7b2ulRhbGvX4oWT4Sv liIYhwMb7l9z1gDu6fP1umKKzwP2QJTv5u31zDuVt50e0FAh0EFVemrHsHyA2dbPdhlIFr6yHBhF WOqlI6KwHzmN2Of+KOy2R9twvSIPYsu2QhkYv8r8d/ksC6zNcjzdxTwKixeTPgkgClAEEQIECz3f ieALnBkpMNf35oFWEIfPlnBiXDv/p5xxhw4/y9U8HYT/1gRdy39jUf/L8YqFsY98MmGog6dVFdXd HJYuTQyxKqN/lDYq/s8/GbaTUGEUwmqKPKULN5LivQ91hgNJ4s6ea0WxT3uwadY/mD+aXWqM+nra lxWdVlhimgH/j0mSUX2G3DMRgSVKQegfIDCKrgJPrpNfryhSIsZD97nZ9rPJHo8Nwq7pVG0cq3cf Ew9KmNZOiZDHr8uSd5C1qhyjFRrexfytHzOd/3+Nps2RQG+dnmCERuaEcDox6nb8XNJNcE77LtDd b+HnyJEitZC2WJbtbDz5tnRb2rpRgkh03y7kOKRaIWXJ3kewV0LJFZtzdluz1XKW4T/E1Wh3mpuy P62C+yybNp1KVCey6Z26S2duqVbZRL6uODo0ivIxU9sc5UP4epqcxLta3ZwU/srmuWjUVVJDFcQV P1jj5biJo8WGzz0bH7CLtHGoxvWtz24STNQo3o9aZ5v1474CPe73i/vrD05uSFwg7dNF3MC6s0s9 u1HDiKHUPTelJcQqeiWqTJlgEA9Mx12hwkRClc1tlBWU83zk2UGZI3ykwNxx3KnXcufAB690UIsJ m9BtSIlEgTGo7TqrH1rBFCEOcYXWIT7qBNlO6nPmoHldZrjhxZo0s84PVA8L+zMIsOEToB/nig/G od/D6UJQfbgTEp++SFJMYBNwsN2Ngdx+DzrLym55P5qdsS6G0XpZEPVF+H4b8WIFybeUmicDzYh+ LllgnUZKf+OjqVIcISiqjaGem2/Mo29AwNGNFu1vO5lXTuDJQ7QsCYO8b9L5neKVhdWuuP51hc8q 0qs9tCbrPNuyb3u3K56r+7TwNY2WoW/MIY+Y3cFdr9x7UoM5EGUX2mSMFRz2CVoiO9hqqBTrt3Yj PsOwHsujbCbPGtf4Oa1itmDLu3Y4wDZhoAM3NtM3IsB2jNXCEHGvnrnjXN/V329RCDk27W4MC57j 4GXFCzKI5EbmgCnQQlE6xlz2Vk1YFGaR1jckJ/Gvrjm+Prd0YopZLW2zX26FWcYav9VML2sXJVGk eS10rFdEHXgRWXhFKYZHXtk7D6ZclxrW4rG2T8alP/8BoE3Xs3oDaA8QB+za0acry7KUs5+NJNFL 7Pi63bo1U0gE7jndaweLROqOOldmQwSM2raCevd91wAU+c5IQ9pF8EDOzuddJP3FW6tl9syt0a2G PMzNmEr9/DoGAFikV1VmXPFS56tgIXC77aDQ9jh4OEFvRZO9DLNXEhdmM3YBs6EkHpOePzkmgxfz dC0niNcfg8QuXHbn8INcdcsuxYQQDhrblyrwJPxNQvilB4kpWthXw6cLmgIIH7Xsy38Z/loGcSSr KPXzM3lz+wzPIEWzaE2mkNexvXu9mgsCr/Dy8xQe2U3XRw8A7x5/VQe6dNBYu/gEkcxJ5IOzW4Or SOHe0oOiU8aVwn8Ld61pwjABOs/SsAYK+7UYREb1fCWs838GKioAuqKb4iH2LyMW1snN21b9z1cx zOzMQe3Viaqvi+N6flLhDPCE2XP4dIzpEyFjP8DpI7YeqA10WGkUxnf64nKYHe5Dondt3i3P+TwP SD+mju7yvRNBkkgoKlr30Em14HOZX68OCKFiAMjR9Wd2OTL2StKa3zCV+r2xHB+wSKoXm9ii96Rx 1VENcX7A4ZHsHN+9lsoTIWcNQ2DOhVKO8qvhEPySI8Sh3ThIBsLEKbBYZ7sPpmqVk5KJKcbHXrVv o5QtFfpNKLty1rqve85EBhC8R7aj1keYc4293VKuNoMNm2CTjG546vUQ4s+HqfFfMgu9emhl0LlC Qf6pQnR7WOmJxFlNtftCdTsR1LOmjOmlRTu1Ln/m0iEjuuWLisZLHr2euv7VEyfQeNKhZlY7BBf9 CEwZYufSsc18GxJICKsd0dwAMFwfSJl0J9FP7OSyOd6ECmWLSFhZGOAOvSu2DcnFec7HNZnixT+r gYUs7wX1KB+gYywXGgs7XbE0wbUWIpp2MEF1ye3IVfZ15wSHznf4rTMsmCFoDOE4fptMoTGcWJL5 EcHm81WNrGzF2zvzD6JkmY+fpDfkv16kVILD9FFgTh+tldtdO1uDo6Ls0ViDD05krKR50ICQZoJx cgPhk53W+KouLeWj240abu8cxkLRUpz3nlMGuUxGF+/nRFVxazSVJHKo0RnMlWgYCiU5Cq5LWeXt rFms/faIWgzafK0FyzBpB53sT3S8hV0Mui/FGab/tbhD3/vWstr8G/Bmx0aZkZRhN4VVegfidEqG vJa0SMDPYeLl8A07Yrseuog68g29GQp09u5+nISCIilYPAKAcHv9dmrKtrcCPjmYBF9mweBrvLy/ 11Ia7Ex0Vjv8WbEq1vrAea7QkLMh5mZh+Hm+f99s3UnbkNsSmKe8KRow6/yt81EJ8QdKHpvwrE5N IGYOuP7/kH2rC6S0e73JVl1Wb0F9TpT0ZpDLVoqLh6GrpJ8+/bu1SL4dOe51mIKzuCMbCbQ5Wdf7 zdjX5uats7Ci7Zr0RIFhaTAl7bNrvjs6xRGj99zWOZQe6wDFxJ4LWOGZh8SJcWXCeYUEZhwTTR7w LGr6nrPAV02OqBCFi49qs4u6dD/4RmXYpnsEx800RWVcZoYLY/EK0SjZsFsTlS3youSJdQ+A5a4o 8PsfTRgK+VqIguBChw/NnrrWNr/Syq+9gxgTBFvurOWsdELU/0Lzx8Yk36ox5k5y8OEH2SVzf8h+ wpBdIyioO4GD7ZMr8TNwfB2oA7JZ18kZ4+jJxNjPMbxbiHdpdr071PsY7Md14gZvzY4r0icXGaMI Jl7dcUOtLqffa+/wBmr5I6ZtpipKQZuUiZSHuukuJy48Dl75CzkT+K+FgyBnvyrRK11obCkaDvES KJ6DOZZr6ohR3fCYGMHDPGel4g/mqTrAS3L6DO0ecYqgxAKe538hFAi8SbngknDySwf3xlrs0F2u GkXyKw9J8WRpNtDCehSrgqgvMlTLKtoaoP2lgT2AURemW9SpRR3g7RcakqGTcSANdRpCL/hMkkdh RrN1C69O11YzTIZ1r4knfmOKmwJkXU2O+diJiogZ/ZAX5o9jyAh26nmzPHOnOeW62eM73FqG6vHB 6LaeWCM45CaQp2U84imlkaXs8zh+qerEZANGP3UOh7qaa/a4rplTEEqHb85uYS42dvCN3+V0kLQz MZEhGqj0P9x6So/o4mtcFUPc1wBFewoENNgCmKlI5bCbl1aYW3gkxwnrF5z4GDt+ybITMoG5O+pP ktsdw/ZLnkX6CjWlGr0PoZ5EpAAY/wk4cmuaLiGn9mGuDxNQ5shYyPjiHT+3p7bNrXF4i8hCk+OX UfqKr50pewPFMjb3iTZwxvv4he7jF7DzSioJsyCO6TPGnIu6idQ6TejGhgOf4LqGp5+MiVr0gMS9 AJN3/02qWHys3mtrkghxK9l1jC//37k66fD3IHLv9F96GOMFrOYpofKWxA0bKyOVmQDzaGxooq4K 3pNik7JkTkh3INHCuTRZL0pNYxnVZUZpvv/LbTw2C4gEESLCZsyDZeB1KsU+pKCYCJONVWYYyaRi YOA/Tq3hkT+qMDk16cfEG3bfBGpGc4i9oz3sQkmE0GmWfkn2cxTEvzwC2/nSUKIcLn8lWDCrgl5L ClOERIgQ9D84nAxMl2ysB9SzBb0HBwLEHzWbcbl1adWdG3bIGz5gZe8jTKRZZQgTehcH9l/bto9v lv2VAW3CzliqZ0H7N5Pt/XXY1kXPCi4EOI/poQYgML7IQ1BAD9YajPt3xZ/Xc1KqnxIgdcyZv9F1 WkSl8kTl58hDSmJANIQhce/4LYHZY9YQvWLLE9SejqinMkBpIgbzk8rk6zGIXdvOsd6cpeJNGiC9 /3hLABtT7BXnEDDSgx9PziMRLuhiVSjhmYGzYSZDIs24FWWyE63LUMxEFEvV1HGce+O8GOF4/XVR w29le7adkpmJhdN5tYUgiSEfWVw26cg7wr7oHgR1OXA8yCiLH4kX8hd+rIQdjhqN/+VBSPvXeJZD mSSYYJK8vfQXwxuBrhqbswkaoI23KbFzDAbfjtcV1q6L71c3omGqK9dGlGliE2fle+0RmhlKxQeg Dzc5wCxKRonYkpvCGz0BL9YE+9dm0/eTKDWSdwodPqYsHwVt5AWJSUYfmRweqh4v3ogHK8iI2OUQ 7Q0Ug3BLC7wRPRU6iOWtfjK7qtAOlF68I0S3e8dBnOC95iedkHxgIuo0nWjFHHSDDEiE2cAZCR5J wc8wsUEcYRN0DMZgQx1FKSuoSiF2MErf7YwqBekk20augL0hU0nVEMqL4WhX+YEc51mXmYf8+8jU oY7DVRZDml+NsSAJGEgwjvVtfHSxIpxOfMltUzlqs3vGVwBMlddXVYgfrJaB2YzSTldysZaC6BMr g6Jxqhbbkj4sjNugTfF+qFxF3lAX7npRQ21O3XYdKTKmPmwzQ3T9DNtlj5FuK2twQUukximCXQSa ctjSU6aQoO/3FJhwTF8Jjr9HgshboQq4ACcQ8pBtO+IwgHiQJVERJK2y8F3j/YTFBH5Vf+jYWXVD 6Z66NQEuRt9xN/ru5ZgyEXetqhn8ehI3Ygi9SA0qaxZ/kG25Vme4ieoEZMlnp3ugGR0aCF7bdztk RMWDOkfihXgYGMoRhP8rDlKoiwX/h9LWtCpTP2olUHJaPY9SzhJj1xeccpE++J5AmYYIXDwqd5x3 MMXcF0jJRG5XRC4d0zEOu053NJwgd8UmU4JOgV070LtM+rf6Y6v+ZLwfixJHNPMXH26Oo8oorkwN o4leD0bxgW9BxzLsxkr7ZMU/U3LmCi3CIsfugA1iPxjaBM+undDxvp3sEq1wQU7RfelUwhCmkzXn R6p4E+EX1RInca/F2zetArj2ID3FeUxY9uB6avbAQisOvM5+Y6+XTFutaxBNPZWuL7cAOyJcrko7 OH5cXDc/uy9JC0BY+aqgekJyk/InqKBp7fYjpQ6RPSIUZLSit/r4JMaIh8yYJv8PZhodpejGvTKz xxrOuMffes7PDyJuUs6WcQJVNYdZOG+5n7hPcvHfiJGq6R9kMcr0sYIP1rg3hhGetEStrylms/VI UGy81WMuJelPt6pQWYtS3ElVLHo7o75CZv+L4xSYSvULDgYK2WNXATxCofMBVF6UEjW0gFzLLP83 yCv8hXBKt5yOcqea/sL5b2WzQWLPrne3XsGrK7iqNQrkGTu7siJd/2mb2StEZK671gMnOlHpqiZ5 kpDP0UXF+rmf4wwKcAbwZgt/IDzvc8eNLDniThD98PI9Wk8MjhZKfVVpayb3TNXF/cekNk1W51ZJ VHVwmg28btClNoU9yRg+waiIl+JLjZwLFnqVlYdsWYt9khHWWp7adVMM8MClUIbNVVHcgtzxg9nr BoE85+tHy9fv09/p07mWDrqUso3v0Y3WdyWHue0tPn3uXIquHJU+CQz5z0OnmcHOEKkqkwMZOIYE hmGj7qm5h+YPpwX8ANRP1vHfXh49XmxfRibj6mJ9RwlJTupFOSz9E9ff40vPLHCWo/5qadAkIjJf okWzaKo8q1zGJr3bTMPdQJabdeLTJV2oyk5tALn1qB7hVupu6ZprIc1ZKnqppKW4xZP8hVAzelnf 8RDytsG1EU/MiJgOXuIz5Td6DI6JWcWnqMkwfTvnJ6wZbvqA9dRlOfCnWKu1HdlszT/zsfNc9KNY 77sdMqNG4sSW8eQKQg5831L2QszS2fh0bylHBEXNW1gMLNZYRdQH77x4uHGFBCT1WZtqgh2PQUEV v8fDaouVypq2CkyeqAUVkse+4/Sd3Tnwon7cu6QdjavDtpVgsT8cok/msSq6Lcs7LqkjC7Xz1Et1 OkBODMpKgcffFLkLHxI01dsgUifTl5BxEHZcdlC2QXyoSFY/gUMQvH4R+yFvO3Ne39AxKYhnUEQ9 Xtowf1twd01hS3rr6BoLtaLGuOYYODsw4+BEvFPuiCJXt2PK2roMwQ5Mk7JcvCGPR/HoxBbcwI+0 4z1Ouwmpt4a/0GmV7RSFhZbqO3bI7UoQPOJtNIycDBtQ9lqNUEkKM9b880CPHGQhijZVSP9vrgGu gIQe2G/Wov/ZSAGHmM7Kt7n+flraoKTFw8Xg7A6mt2IFtHBaydDEhKyn41FNPho5+aHnyLoAeO1F 8Y30C2qPFOU/0ZOnSM6lZIXQxwnCvs+tpgXLYYsLZkKLYkFT4LdygltMvs4IFxFNEGyKhufT6eqS SY8IMeaFvUvi4lLGPM/Ze84tfLAjBr9aFK0J6YuMl66V3Oim4MV7l/RBKmavG3FTtftrOZcZI/UN K5rPGYijl91DjOuPU7CQ+kZCRzfA5mlg7IxMhx9HHXcUwg5wAybna0XVSqpjvqMZ8kARVN53gCwi NcmH/m35gllD2xAq1iGn/PLcLGsY6chtPgZKYXV80I/GZbHgbELLSA5dkSgM86mQF9R5JfIVZPJv QLeiewdIKr99JKXHbJi3pfejdp0/Npm84sD8T3xpI5tkkJpdP+y9HBZKQ9Jd9C4DeHD7IEVb6/Ad /+rOaf3k2xDU5nSzAU7zjE9buAkMK5UAhvN/aU/+IaNvIVvrfw83NpBO7ck9FSHT7nu9oPOSvaUJ acUKgCswC9iYXaiLv4/qdP60X3jgSd1x2goMB1ju+WKT+6S64+pg/BxRjCaYqd7/o6fbaDWlLzBn EOC+OecfqTRV8ArikKci54pyfrtbvIifZN4NR3UI7GXw422eAdZUkyxUfEnc4NEiFHhp846tbIFi 7QkXgRbq+Fr5la1tmRIhwXyxzi3VzEHv5umsu+W8xA4Ttnsl8aCuYdNBXyYm46+OqvGMmjZ0Fnjr Hc6rQu4mLHpiH3Nl3ZEOrkKbQDWS+b4UAx92EHk9mpjGU61PsrL/Mf9o3/C9s6RbznhdiIZTF7W0 g0c0jQVaEXV0VJmBKw2wHlVgaF8K4jXuHidPlhzDrgrhy2ruTiTYpVrM5Kh3W7iXrc/V4BrokQF0 /lA/tsUby5Ui00t7V+Z+cPm4MPF/AD6L7O6UqsLgwWVv/9SHCfgu4r7797g58bk0NtHD3bnqRKYh lPISsfYSNj5i8W26Ws7JYYFkclUesqvSoXDzDe3UGlmpJ0mszWAoGZOjBgBiGz7sPXcIRZWBnCKw ivlRCiTYkgr2vpzqPt8heJ+Sizd7uonmVEXNy4X7albq0Mi+Gr8mmaH6/EPkuM1dkD2fmYnNd7hK PXexisLBQntHHiTVgPbpMH+r+tcn2GSy1nO/dZACDu2jrLyb1NQjCeLYYwKX/i/q8f35Pp5FsTzm +l9X9RdzfTgIafdt10/3ND3ovgzw7CpuxNr2wM1QGagxMVkZOXXyiqeDM0s3PuG/KFU0SZUefzMi kAxu496RrfWAqNcPG5cNtl3I9alYWDjuzEEQb3d5bRYR8X/Pp5Jhihu+lmi97JFbvgLWYnnKcBv2 eRfprAORKy8Rm5/BcDlBG0eVu/aQkRhGyLtGeHim3rOAXzdx/en6MGocHnCUA5vYWjLvgBlseSw4 3EGyjfFzoaGtkU5KvcC7O1geVzASRjr5A43cT6qayNXQ/2hjhGZ3rhAvoJuDQ82XoMopsRVI1Hfi hVh0AyEEZ2GYK1D4qVLYSTfwvJlJy5SwsQjrg5PLlC0IPmpWcq5vizwVu3fd2IIzgWgOEPy7yyKv WIM4FdgSBPp+IhRuUBOKKzgfqLAih0qrzroqQaCMY8gCtwjDPMoAVrIQHOCOeZX9X/EUA9H3DNHi 2E3um5POPPZswb60WyAKFKziDoddxO1mmzpgDAM4kL+8yeNK9J5PkGbx3r+U6M/+CtskOtjePMZ1 rgFVBxII06zBDda4qaU3mg+fnDnAKzbgE0wc/fmJViM7ZxfGNobQXGxIYvKiYkhIRtG4n+gGMIV0 xCMGK7SbO0ERrBsu6M3pONEzViWPIA2O2PrZiBo9JIIR7iZXOZpBsurrYk99cmnxeiRTk9L/soyC +EGCE62bBcVFcKHOJ5l1AsGZFhzQYcIfpOZOxvQ9dEFJ4a2Wby0r7PyoV8bj3pQajr89isEKRaAa GV3f8TG5yKIzSwFYA81IVgjxPrMGCUyoaVbqQn8dmCAvzk8PkqxRTkmZoVnfNnRhJ+mhEYHnoaHx P/Sy76tdZH3oeVpAf1zuis81dGKYMUgqift1RNaajnsPDCwkNwqSnOlSv+1mgyg+tBdtOT6D9XYt V2XjCrjZWeZxRsEUbscxWPw/LPXWgViVM4nZ8rfg3FjaS2VXB/ufxIgXmtWqDs6RdAjWHC6YV9UG 7C6G03LKAPStfhgf6WS0DrVXERpPkiAegeXk6BZUaFMLRz/AXIr5NMkmvsHAU7y0DutsELfizsFZ xX1ekVthjkgrgdNyt/A+9cnTWTQSuZq+Y8XUoyEKddLp77UHbFA9wJmt7a6PIYTu/apGKtVLdb3v QwF+76B/KQyR/ilWz4/50nPFExpooeJ8OUw1w/MpxOZ/qFVgpQWkgBASzPfYw6x7Ax8Dp4Di6alq qzGtr8MphkOG3cx7VvKgyKnONDTCYi/lH81WKsbVQLckts/tY5xwC/dl81vBIA0yMH3CXdUGffJf ZwyzPYOZl3oR44p7SsqEcHrxAfCojjzdpRitt3DthvuEabomJ2To7JJoEN3OA6AVjRYRmk+0Dnde X46HUyAPMfE7gHg0hMsoPTFoXRTo7nSWvf+8SAE8BKKEzgK5NmnNdvuzLZrgRpsjUNcQWME7qtMx /5Avmk2dbTgFSGoyq7hYwaOosoMOyEwqHrSR3HwjVhmtom/BuQNW3nm8bj2f/5SPCW+fidGXoW5n pkeOeaNn94m3/Y5AkdTr/JhC0P0rr5PfnUY9QCCXit8IRTE/jmZ+I8gcjt4EbgJiGY7/x/43urbn HE1pDdo9+9llgWmdXu3ISDi7FPke3Wqlxp+8s4w3qWKTSYAaivATUk9F7Fagqi8cS9CtTbXHoX+v 627fLfKz6Sz1217WlE68G4+tfdOLOail8wh40uJHZICMq+pDtAkAOANnLfkIAU7WulcNePNiRpKw /yzQGlQNfbSBltSpdae5A8Neq7Hxk8aAGpHSoWW8e0Kq4YziXvth0EnBRwx534HlAIxUlfq2i1wW K/no+D3GuGlzK2yhsnvzdMfTC/yQLvtn3+HY19AEXPvjbjY4Fr1kfFEV5akhZBFRrkr+bTDGEHHI q6LUD+y36LvA5Aofy7SuC9D47DBzr2GYhoHwgLHsmVrwA+Lr/ZcNTPXG749q349jHi+LNL+J2kej /ArZUq2wdX96dC/EZoGG2+LwIsOme+8R1v562s4wc3+uIlCPtacRX4KTv8pppncrwmLqc+052Dtp SALnThWhOApf2W1k81MQTZuBAgBTVgdHmZxPRunPt/7ojV73ApeWyDZfEa5kNgccjU5f4LW7uRSa h7btfdJSOHorob1Uget9c8+wE32EzD4f7LYKa5nikWdsydmWaRaeP/65P3Qgch+ZMQEbR5EMQD0n iV4tJWawP5E/MxjCoMkfTS2Kzkg4hdUqm7D7V2jhjqbEOhPqCI8/hmajBp7cknxPqg3mN8w02zYB wdE8mzaByfo0Ue+D05Xchy5cKTluxZwMRpkb06xVr5HpgzU3OoyksGc4EtHaqU5cYv6UzXq83zcI LGw6qtxnzHsmxlrRiQD+KnGOYIypSSK+r3ZldZcjoxWX+kXtsgRuH4WVclVQ/9LZG1ZqH2N/k9DP nYWlxs03VGeUdmqFRMz0isv9y+jv5GlY1eoRGJQSHYUaLN44Hzni+J57PRBYJlhJGEuUGddc4oYK rfBesx8CCJMQrchX4WlFQIKv2Ohr57TCRdNpo/jxVf/+kTynqig8sbwnF80lAiLGg6Rtix6P+qRD inS/rt61QUq3YGah2uD/BLUEw+WYR8WIROd9PKO8iPrPT97+FehrrsUOOrNs1KZb7IdIr95mpsdG xovjb4wpv2eqpaa9kqKPFvZZtSkAHxc+nPypd1D2hwDvlQPz5SOsaP5i/rJ6Bnwsv21b3k+XVULH uUU1kw3fqWmKARy2x0ZarOSPVxHcNvMQz7kYr3z7Jp8LXCeL0+V5CSV2UC0gW4fSxUACgI7QRc5t XLU4RNGTYzmX6pjz2E1gt24MjbsESMTBU3pcYoA5is33mYYWoBwnDdGJdP5Fxt/O3fFwPwsJg4n8 HMvYhI1vwNXAGK1ZMcu1okJFm2TXOpBlCXdo65zyAjZFNm9jdKIrYtJszvs4mtsJ8vTsJfmGCs4x a4D/rwEDwJ7cdGg5ELlkbULHPpTo4Bkt8+wpM3iUZukOMg+APa3F314WKxalWEv2m+JJgqmwakAs ErBAdzu7HoOsOt6pkYk0tXHapkpDZwXW6g4Q/i9NxpO2k9FKLA1nRinmaw7FOxVkFuJCBH9zOGy1 kgPldvqz9A7QbHH1a3yHR/y79/sbWSPNstRodZjekEr68XM0TAFO+l9MypOynOAUSy4X+a/7nuDt IlSrk2RZM/TFKx24RFBSYBmvSfQlxx6q6XrNqWqrJ1reaaXQG8aNgsTaz8NF7mTZBLjbj6zzxdyL FT+4X7N45Ul5vO9B3ukGM1tXnbE3tCbfjfSF3wpfVKGNlLgki+Q9pNP3DRQJjoySb6vRVg3cyOxt 7+7zxiO15WuWmQzSMICo+neG/kU0aWbe/5j+Ih2qKc3C4bQrgSxzjET9FvSlzXD8K3r7iZxLoc4a 4rp765RZcjuynLCvhIyfDGS08dfgg6HqUsC28Ca7k2IpGYgcT1aT55aI2SrqemfgRSQhcufJJPfT 3P1demkF/V4qsdvyBCRWkWkVV0Sr01aRA+0lVY40fz3+W/I0iJhCkrK+bV9K52lpJKbXZKssQO7Y X7uLSaGdRuoCCqNiJ7UfEex3MkZ984kLSBoBKGijzcRs3OWG7hRvoyBzRFfGtRJMX/W49On6MgaN cmbLO+gohFUXmmBcOlfAoLOOa70ZfReOuOM/+ILwdv5KKER125f5lQV3Dz6Do+i6oq2+sYs/HVkv F/71IIarNEeW7xuUwQ4cKM3voRYMC0IgIUjFZcF4CSBB0tMpveYsQ82o3rXnE4CCuzl70QUy2oAj cU/mcTNbDlk7PKTmseT6Vg2j5jKU7EWchTFUFg5/8COUwpAt2MxBS6+RRnsyuxc6Ga5UXl2kz+b1 LWHik9zTfqlCdJGlN6DdhMzxFFhk0cWgwZG9t3joVo2dJ0GErsdvYg2e2B+aPJGHzYsvVOeWN5o6 h7ubdK/y+yNc3fC1U1ouZu5f5RQr+xg4309ldJ6oXRWy5mzZYSHdcOf2ChSj7f0u933YyXZr6Huu twid3LShIvs4Tqc+uySaeYb6xka1Xc1IwvOZMylo53perh/sMu7fIZe74mBtwwjG1ZbBGgWCjlu3 tq7iAsVv4q72PoVlHa2DmKI2nKh8/xekN4FxFMilAe9QtdE9L4QRvHfU6c6c8cED9RaiWVzNxjGk sYuYsBth3HgxsyS5L4KpdLeZeI7M+90qyDz6AekUQWPflZJ+ag5e9XsVIRe65GuB4/GHYEltLyL/ bqS+b00jX8O8nd0FawIdVU6j23UldYYBNpSAcaB44C1NLp3yvHURIrb0dRRcD8fa3UKEE9veozdi tIHTGz7gQD8KzF+VnY4gCQlWFIxTCsp492n6B+fu/PoHlmmZSvorFjo8XNjCQfP/X0oNXak2+lil 6zdE+LQ5tWPSt8sghXRoCdlRF5y6fZY34gy63vRTcbTif8KurRKqJRQSmuXzMscWrj0/7SUl1hqA uTV1Fb/LohkwqC+MGVJVjuLUQ3HYZPSgULnFloFrCnSPQMTol/EerZzitBcSbj4lX7mRgWxEjiqj myKQg2562bz/csHYbv3ZTr3gm1CyDP9luqNn+hZvBfgJLRKZNMVRcfD7CO9OR6uyl1zH2SfBNI6W SyYgOymu/YtvLCNn5iPRppXhOQMOulFfaVY3I0qAJpThcwSIcT1NB6mtl8DyHBhmfDfNd3cLIyPq Lm6vwEAttQYUe/LmXv6fiVUxpWOoHxV0HE+c4bzO53Q69nfsehkVYm0SSnIKW9C3zEc1KePEV2bz J33ie4QassC523SSywu8CttMSPfa4Fvtm6XYF8HsNndOmM0V31/1pS3pXP1D5QhPW01yCavDtpHW /PILHV0widQ4UNPlA4LDKtFKbuAYcPj3wQ251MhkEwJE87P1fZDbjasRBsIa2zylN1ej74kPsYRc F0aOL7KpfwPpWgZfqewN6IBp3ObK928fYvETStw6GNWxWZbjVJ4ni1qTTGfw4gTBoOSJz0NtVewd C9ht1YAYZ1gfUuQeRH9OWhTu5q2EbRnKMFeRM/XsmlCCYmobYkbXErd7C143ZShxZm/OJ9n0TKTX pSxmw1lxxnTDE6KeA1HTpaEwAZcXeLYCE5AFuQF+OPffUdUbNkxguU0bUSgFHbz6orsGk7UtyHuS JT7ufH2yokwgYhO/yvtGuwfoU0OEJXrQuKLI/xMUzEjVdsJx4RGXkyzN7vuQlIE20eeb+wxfigB2 LfBlCzC05t9ejEyPcJMvbsO5ajeI6Tyzq5FpW+8NDlF/MCVx0UuK/E+6YaZKO8eN/jWvhZvbJvm9 5UXXAycBJiDINrMv1H4vSWwG5QcLQDqeP92ce4WMAcxHU8KmMp1WS4UT/N4SPO25oyMVqBp7/AlU nlPAhFi+aAkCM+yjEFsdhRv/sgnoSTagQXseniryNTV0pjldtWT1DFpvSysfSNWpoCyyxkbqtGpv /eivioPxIEyVfgK8GUzlDPyLxlKFcHjT7FRXxX4vRbzCpxgmxcE4OI/abMgtZRPAkDSKRynqdnbX XxfkGAavpPHD2jTVyCsTUHJDEwpQejERxLOH2WZJdLIEniTVCUOAT3n5AE1hwEYphJB5rUmzFg6p Fyrauc++UbIIwz1jO4DjUPAhtQ+aUNwjlhc2rz4SCPsLCiDs3Znut1ngmWtp3HuKVc0elXparJGs xOQx3oUA32A45RGDWQkEc0fAmmfm8N8+LSAYi1a9rHE/Ty98YXsf7QfWWDDaiuVAwli8hFzjlg+t l+DK0qhpUleWSuMshGy0hdUmEe9AohjOLrS61ThaO1oz9H9fMt8WbB4rjEsZy8sPrQW28dG7BABX k08npEkCacoTyH9iFbNOuLh5N/gdu/IBTdxM40akr7Kl9rV2AS1099Wc1EoQaWOlMoaxJLUa/Jpa oCZyPkIE1sBLZO8ITdzzxGN7xq5a9wnIheRIdUKJLOLW2b/y6U5PJtfjcI0daa/bxkVc59biFFqg YaM316oXccSVoVo/yIsO0+A55eOyNhU+tIrjbAYiu31bWT3LVoAwCOTHV6sMcA8Em4D/v0rCLCsD RDhd/jx7InqggYYqwzH02IZEu5CpmqYfqaPj5yWon8DH03lorEVGtomAoYUKSYkDRXfFx8oP+xN2 sUXfQ2MkC6Y8b43Ew1DHjdJmTFrb+Abwf0OirryCtmuJvQs1Lmod3zyejtPj4RonOuH1DWavOIqN ObSUz6PAOd9sC/K8PCTmkgV9t3aXwsLjtIhxlgbrVMF94ehWnTTTVOZ3lC/0eRy/XYGlbTjyN5Kz m9Kka3ArR4cgVQNco+Z6R3/znPTS0QEhaDLq5RQj4CiPkvmXqtMaQq4vCnjeDfPiSoE/8RJJIXTx Zd2Dnqp7h7oCuzdrgla6fdPva7HshGfEKQFcQ7EVbwXgrW8nR/68AyUG6JXsGiVg///a5a8WIFlz I31sQRvUjzs3j1WFaxIXQkwJgBhWW6SOZex0b/snRlo8P17iZyj0nBdI0l0s92o05G+WEmVPkkKR Wj6ot9LyEDZwb4Wc1vmO9kLBRbtcAu4kioORuLxc37xoePP8DiDWlYSO4anPmPzxrbn93vIJdL/P 7s39Rc5EvttgAIXPhS0a9gIp18yK3PnDVX+A2liQa0bsq10PlU56pd9SOtAq4bhTeM+gq+9BxKOz f1Y47k1Jt75gKaM1WRVYKNQYA44ChTQw/ZOUbT42xQ4IL2FYtl6p0bmK8ExVeT1fYhgv5e05thFu 9H7tw/HSQEHL6SS6PXsGGD234AgU5NP1Z3isDsqWsQxs2ALjV+yIi/6+PcPL8eTvWEXfBRwLaZaa OkTA47u/EzhQcsXvp0thE689eIoRc/PW3XMmJ6NGXBd9MU0m42Bu+hAYq/bs5+xJip2uMd2RRuhv V+C//c3kMxaArZAxj97ndcYCvslX/AL2ir3MKfAN8FTcSWl5DpQhSQ2+ZDEQIVLjI3OGoOolExz8 OfAp3NPsUGB2SbBEf36NSyR0c9FFDCdo8qcblBQkX+ytS6Fo8s22nMsJg/clrZncASFmErsB7XBG hd+7ntVmYKcu5Wm1E6hUxJhlsCvyf4MH58P5OiLZZ6BPuY/xF9yswHa8L4wlgN77e0hE4MUr/oVW PRrBJD6J1ADtRJ5Ll3JTu9dkmn8OGLIH2aTPCS7XTUWD9ArfwBM5rbWve63WWiMhGNw/4dOX7O8W M23CyajKv31vJegG6JRX3t5FPxTPMSVK03vBRdDQIt2ZUKy7hnbT98riV0KPzdZmDo8XzZUtJRcP zKfrnZtCkMJl8Dj2X4grbw+UahMiVAvZe2kUTUKPoX1MusOINpJh5UbRzY+hdm6vDRdUT3S684Pu uHC1AuDnDrqOqSIf/rnVpBLvuUuW6lCP3XFMC3m555+Ouj6xWDFb3XYfADtFg1OkRYQEvjGrpDZX ErAuugBfVQ6S5hYpHs/sQjfsI8r0kIL+U7ezXZlu/6ghia9v0i2xL2bpapGm8EatnRQTVWA99ptB CMVGgv8YlQvXaQmDk2rTWRbGmHrnXi8QHfnnASU2yzOhE8DfXZHh10GVTwqQfbRZYUMYgBNXdL3+ Y0WNfpYElp+ufGu/KONjuOKDdSt89VDQznviL0C52XUZQqn3+yc4r8PKPGt8bGldFFrsneH5+9kH BesliDAxgPuPlsKR0hBhxzJ5j3muFAZTEfyGLsMMsiHr3hV9cmKLySJUQU10XveUQIAy7mv0B/tu idnGfYEjV+FazO07fu27g7DGGbJdHmSCU2NXPMidExSyLQGzxV9LLgTohjumyELHFtlb3drNUaTX n+nUjWGjDfxpdqRUPrQmieGBZ6xg55dfMG0/CspMAVBalFZgKyMuPD5Jka7B5NzMrmstrXPbQogi s/BP4kjZRj7sXpok7luQm5Ku+2BJgrXU7N2CFbCiwDL5X/TxLCzwMMdTatB4gFQU0gthNb9aAxjH 5XtMOaelfJSKSd6R7+pJujwQC0SrRBUbcZ+k178OB7u66vCOWE80ejcsLvVVYRm860sM386KHciT drpDMVETeIQZP7FW6+r0/vN8T8Tm5xl7OKG/JDtPcNXOdHRUqPRsxQuFhldQNLvxrwyhJy0Ejg2k HDWt4o3eyElqrM+rFBTbiLzoy7gEWYlHS8H1d6j6nNVxoKho3SPqrE+Id/TmmguK5C0c3wiNG+DP qua/MatMxVlSqiQ5h78yQvL/BiZH0yeFBI4a6Byp5QoLJywkwBMCiH6Pnf+h9GpOeCS9fO3xlqXZ VE7ER8/f+z3l0ehQWpW9K5m6+/wLU0zQg20wONtH+qnqC+EwjDqjHFg6Z9gIr4Gi1aux6X8DjbIR iFPxELri21bNNtZsZdMPSeRZ4HBdfHEF0h4G1Xhkdt8WOL+xSMxhRWsVqVMvHkNirjoxOyGayqwG 8JHmSF5a80pgC3omGWr9l+us+CALl+KAgISvqrWmhBJQ7ZFZn84Raa/3zRn+3i4iJ0RlVUPNzI1c fQzSQDXAUiWnIGBw4HPZDdZ7XXpjSK5oUmenNN1PyrW8K3M/OdTViy8CYdBWgqG7AvqjYp43aQ6t j+cJU/QH37ZQfkqawpH6QZbxreEMowyc4SXG2gPRJYvR7rP5Ne4wKu/JC9aFR9wpN7uH6TXnlMzc NKoDpWbVJBnnwejQlPpm5VvCxKIe4Rq5y8EKQweSax/spYizdXgKkFmEDNqGKXfzXGC0ncfgcVL4 zQm4jHW2WvLewcD0N0PDZ+8qLCIM60rlOqsQ7ti4zhqR+S9ycPtpbs/6/sBgm5B5offdnwxSKYKn c7uZfKK48nYVobJ+qnaLIO/C9t6cYnhNVlgLOVz5QsiCKi/ePQcVhrTkhNk4ZmGDP8BdLHLMJR0W g9eS2VCn33hfm1IdVYg1d9IKE4wMxy77fBw7aaJWdBV39piUJ9woZsEq220N/pbR+ahhyDDutnqw 2mzqeSJEBlFEAmyxwAM1/+ZqheXoE88QE8++OcbtM3uHwlsdLe3zrggEz95OW1fjxBtP12JCLHMu 5aZjvLWTu2JocVgiPRzk6zNGNYFsJIuBGkT8y3Xwesug3am35VyoYY8UuOlIasW1Xmh4ZNN1CZYh gu6gMiTnOHlTFWZciLu99aGrIFItRbvtN2UOMlLUnQTx7Y32S6NII6hLEZD7CoSXCNJl8/IYzn0w OWWiVbfIrVJ26gZpns3CLE5P/0qXSrdjkd4wpGW9nnqkS249FROmSMsOcDY4yzB9Cx6dFs5YJ1UN 9OBLGSKxwW+/oh0ZH8YNF14xHz/vtAJmarp0QDwtsXtZAW+lfibxgc12fhjRl6o0eKF0vOhsovjB 5iXt2PIu6FCpIvtcfZ6DJesJuTBDTxp0TLTzRzWq7g59i+MtL/KbFP5HjbuhHh3vtzOMBKwQGf3u RsfEB06MTAH2SJ0BdLqw9sJjtKf5LbSXeYlEYQRQkhN2aw7+Uc4ALWt7OzPitv7JBxZsOmZfedfH WobCFqM8sdxNwFV9jvXhQpC4z7x/UIYCXlW66rc8c4LBGV3rdufn9ec7/2d3RgwIgNHN3u4alqfH PaA56ygyuIPgbx8BEHTCkcJ8McBxIXqWa2KtE8IRsJ62+WP34XnfOhaT2xJYAe+3OUCIhkm2/KHg UNQ7e/VeaFwbDEBKzx7qG2KHmXDnpFoIvhKJbsN/M1IgdnMjT7pjy8ezfEPg68ssePQmNcMZIw74 AKfc+VcPjAZaDIoGD5JSJM9xZ7lG33LbpURJ62IH/dU5VKr7jte6KLX+HHyVjfAkConPDAGZurwO kDQkI7kyhlibs8GW9X3zZraK4ouff7a+w42Dx94AoGSO+SOcgx8bi7h9rWalIy0HMvZwA0pSOT4/ BVozKNI3qK9D3lIWfg9k5+Y95gQfVujiBRWUzCEuJoP5/TVPtUHOBWBfLAFSujgdnMalolspaBrX Dc3hNTwfrMTCx1oW0EkZ6SNfbH7PAt8lD+2pOCyOpIfO97OcBLCYOgnBEd9/mEJoFn8UChIshltx 9gn7RLnz8kMYko5cYqvPnHvDDOowQlfqse4aHSni3tBn/6SnZjvG/+2mZQxbXRCEVVg8m2hd+dwl eJBRk9r9FAlmRLKPXpg1MNsw/XUGgLN6TdFgj7+zvuwhzK7IMGxVQjt7HGluAVRTEBse9WoVxXOv aoftaiVCHli6fFvxM/AmRrkjhKmn5P59BhF2DR8alfICCOUImZDEpnY0OFIp0Pv35G7bWfd7ZCZX 9AQWNsNYuvS2VIVTYs4BfPOg7hAMI5g6cOgZ/zjS1oiwr7/vXEKAQzTSayjAu/AppJcvQKIjGsrk uy66lSeOi5zec+LC93lXwZidKeBQG66m5ALKbAI5s/0rOL+IK5HKup8Upp3E09m9CQ0BLhRCPGz3 Toj0e8rVRQ9qPNyH4Ft+uFAVzsem59jIQZ22OZaLq3lqETMFJpRUBRc3UQSG09UfZkkpsp8VH1uS auoadqX8aEIvOCz2YkdqiEGbHDAbDBUsIfjTDDRjvppPprR+TSopYtQwJlxKCf8k1BRa/XpRuTGY CTWmwntFmvcLZzXu4jIQABIdV1zIO0wNKn75xHgOR8cc89dnP3rZ+D3AG6Qc4Z/JIpYN95C9zqE4 ulcZAOoG4aYkq1klBR88f2534dFtbfWvCHqGYZrWXr3d/qSgHte3yPlNj9C3T7jhdhDbzF3264yS gviRLi1MJO/iTrvPxJWhjQjNTyu+KZi+35L0SBWHphcYVoYeEK9ve5pNJinJOe1cEzBQAHqLgKHV cPW8Zqv0UflqcLf9lzAbg8aJqwyQUazo74CKkIvScUGsIx4KOzDFymO1wlGUb6Gx+nRPO680Ma2R o9a9leUtj+0v77VSLNNhToLoR2VW2CyOIHD3XM0egAW5f+c+aEfbpBxInm2ZuMB2golIfV65YAwe 6UYFr6E2Me4sWMmqAHvP3YbqKdjHeR+kojPONkGXc/nCi2ig8tnNW4axENu6ZOm54KSaZCxOoOei 9TQcwLnM60RPzUzUye5odqyAIE3b/Z90Z+ye0zB0wfd5IQTzaUVVVqj471Sh3M5O5yAwHmgDWUih pww+g9vKaWJiydEL67zPw1JUUYvgF2VZLBcfkaIRvkHqlT36hLV+ukeny9LUbckASR9FM4ROTQ7h qrgOrAf3s8NM4i/LvCiyg5N5vGhB5SZK7dY4JZgziLqlWjjZknt78arp7Q4vkFkZuFt+9U6KEH/G HU3x1AsDIrjGuf0+talNb9bWYQiNAzRE82fQECZ3371bDySftoN/si+ttPj2lW4HmmwiNLIEhpw5 L96FY1EZqvkmOK4HKAAk53hFfek+HH5gx0nuAfg5LzQB4+iIitz7VhQS0SG4znpMqInGQw6QSYk/ 1pZe+DaXrULRv0B+TB0VGpDQwMlhtuDGaBAxKySbeKSrO2/4Nzws2o8BX3MDWqIN2/QqtyEf8MZY LHCfVAWnEi3LNWerxWqBtKyDeVC80nfIDfpf/dDeMvO91lX4IUQdyFTehPmbUZNEsYzp+xH9yVcC g1h5NqlqaayF8ZPP+JdU4TVa6BEu1S3FuMsnsiRRLOkJdnnN+SgVMs/6CeuLelLlsYest3lSoSoJ T4xLb7uEmlbQXap5MlxG38ns0O/WMj+9BaHRwF9LrkSyFTbjlbnuj5XE/pbce6b36p01W3jeliQd 0njQcuuW9WmqqcARFunUnOQjum0C2+1if1QFzY/V6OOZHUoH7VlylsETNwCcxXZLlftQYajZRYhc OEpbAKZPjySw/BpUsAxyuJd68u9WFg21yGYchZyWaJaJ2SYthodJ78wzfkit5rcveOGfSpeJc4iC mNqesxhTjbBWP1bCyu1igLoRODbMFtmFgCryGEfGNAMI4OS4BZnL1E8mRJWRnv1/9m4huCTeM8TE ySWd3dqCMq6EiYgJh/fSepbo2ZRA3S7Sd5wDl+5RXZiWGDG0Ux6HNucaLlDP7XEZvapyTV5f/dWO xOL7AefguSF9694We+zPUdfK1fbVVthUolKKSRGi3ckZyGs06xeUSQBA6/dqrTxLzhU/2aGR8YAA bFCgAyGknjgRsxOZfLtGuBDJcV27UuwfYY/gMx/5xHvh3ZYBBYFVxwEDITq4KEsNa+tYgawdsTlV 7gZ+cPAzVwwoyzuX7NPvFbpezTlxrBxEdwKfEf33l9euJz0qeNXxowsUzMiFtCk9A4s3icHlkFtK 4gxH5vcO/IzWuMrdYSeYaFdQzqhSuCyAoDQzwkb1x+WwqywOHntkn+9CQ2ydqQhVbafr6G8BOonL 80+VKc83mG4RLSBJORxG4Vmd4al6M+Vi4QkX45r4tb0wjviTeUyLwULAo5EYcjXdtkEk6vXUuDnN 1AbIzEo2Owm/M4kWT18gO+ECRP5ijYXcZc4ihBna+WEd6+UhU7rPZXcWEx/CTp1Ibq5ImbZsPbIb 596kVnJu7zrWirqki1DzsVgK0cDKUNSPganKxS6NpQu6YUc7qwjTFCoqNV4kQ6MPFlqXuSoMvyf5 R92Ic0NANmg0HXZQcQE4YZZn1IbCab3AvrDCvz1+hBkBqzG5pcLJDsWnyVX2zNab7Z7ly5aSjGt0 MQa45sLtirYyoAeoXlCY3sUIRoLMmwvTklxBWLJXDvy+FbF/jLNTylIrAGCAr+1FP0yxqYdsXRCu CMqT2Zioa+LHBvAv/qF+6BXFnl1cMk9N7IVFFLBATb4Hnd7vD6QKXaLx2ijL8ZNHoXL9IMQXCtxM VrOBqYMKxJvKumbmvVBH8wvNjBIhllUi3tD4d+SMEsP3nbbw0Ay+IM46D+uHQAoaVeoLcm9LQZ/N vieD8SakBW/g+LCH7uz4goyUq5GptJzCo0uJxE/UpMekcSIf3vSfgwaMgz80kDzjAp5Xp5dNLZlw yQ8aWWylYo83EsjejII+IMIFQuVssO+S3uCqQzwz8SrHjWFQYebgKhmEtMK+Ri/DOgVuparz5+uJ 9D6s7VppEuRz1CYLQQQXYmXejvqHD428ogZihgKmC2CkAsJ9DceANpHo0HY8NgQo7PtpkKwzE8JM d3rNWOW5uGeYpiIfMpQRMrS+dqdV3ZWHA8WiEY+jPT7vh/RLynVq57zKeuoCWPycVll1JCSRZzeO 7JFeIn8snVMXqMd/K2D0IWp5AsdH2zqfXzcxwl2FZ4wQmqKl5HxDFxb1zuP9poubCjW0C1OHCA5u n8rtuhN6TuMHOl3iDb+TLTzi0C4pP/BOR/7XVWkNS5cZqCOBjY1gOYxGz0SgXlWCbzU9WfYaIhX2 Qx+GyaNQXCObhgQKyYmfLzyQ2BN7HBXRFz4iUQh+R9wI1X6hr9l+Z4vPmLwGzKgZg9SJzU3QBETu 7ArGJx16novKxONsziByCdBS3tLB5Qwdm7JG2JAbOgPVHfRBzPvDn13jrp/MmDOHynuIjIjRe09H FYy+WV/4IpMJsg0yUy9F7UrB/lPWlnSQNQUHSUmGiaTOognDz7tEUszKz0RMpG1zPjGT0rM52tsg 0/YTmU5HjAP2hzz8pKdBKpvBwBJ29V7UL6wCGSeel1uU1xrZnHFRI/JpaD2gyL3kQJiQ3t5k9HSy vw4BygqsMH09zvK2ZT6siRv9PJjAoGwT9RcOhoNJf7mIXwSI06r9CuhWt1j8XFonRaaFIT3qw1TO 27jn8K78HzSjWnayCJaOor3yy4g20g6NJOKiSCeJllr2BiKb2y4nOcul3J5IyBBXVJCmZnuSCMMu EgtV/dRRgaQDC7ovLfnUl4Sg0xnehyGhs8+bKGkJm+XJamSMhhk8cM6Jo9eANOkDFuR3jLsnLkgx +8FJRRs+RjsT6XAuGJui9mZ4wMqNrKL6uTn3URQqkj/jBAQEZGHrtPFSUTAf2thPxvBJ8nWRpAiJ zRK1zW4VwHAiZb9GDiYMrGZX6Eek6L9Qfar7/+SkVzpXBkLqQZFNK2v99Bxcz5TN/owX8NDkX70T 3rhuXmujMbJ7yO2aeSi+0q853WehwcyQfot3Ysc7/YAyePSysHDsaY/GCEJkFmhiMHeifmW16Ik/ SHoh/R6aQF4pn/GgnIGC0vvdNpVWFNqONGMF9MH+rBmdJcZBHmalNVVNezwnTcrP5tgTu8/MA0R9 sS54iTQhrkyuo8BgRgks76Iy3Ik+2CqN2l0jXxOAzLJ2jtOLKRkaSFfAGj2K+Xfp1zkpAQzjlHXS VOTQRExpMliYMcW0dvjweT4p/qjC8V1wJtc/HTPPyzj6IOfXk2hDiREBIOzJHJvjNiJ2Qs08vlvi hkIQMUNkeK/Z0QKtfF4H9IklM1D4rG81M4WhCCSyKvscofM+zlji4+XoyStEJpyuOokBozNUkV/9 ad7hXzn12+HKBUjnVXcVnqS+vZCdZHhv46TS8G0TY/sK7R7F03MJDmeYbmczFC8P3JO13n0PgHc+ 6Naz8aObTXfHK04k6RbDtmMo6AYM78466wdREOb+a810Rd/lzFGgv1R6/5EDcXJPrtp+yQcxkyrn JzBQkZWdkQXjF2Uq+B8ZoeAZbjhiR7FF+ieqJOK+Buj+VoAAzQYK0e/2KFD7M4xHiO/8XLrIjJcc MV4WhLd1jhK5x7WrbCA3Co+Ohg2Y1qHqnx19ru4rUQJygQ5SD2FmtuHJhe5zO5ZfLrPzkBPgkEi6 fhsRdNEu1M8BctMeBoGkXzvGDdG3PZFhmw3wUMJxxj6DecCNhyuz1MMosdJdNyC7L7RbKa1M0hlD t36ClOiwruGORsYlFq2Im158VPCT2j/5IGm0ruFQjgnDvsBsiBOEaH4pKDYPwfct+B/5G6VlkKs6 AjR5Lpk18GOyjRKDmgQMgJXC5OpES/qqGh57sP4GGk1K4s4mwzUSu9EcHMY3HEjUM++I5zBwDEDL B+zHYEADd3DHPhgA1I2dgBFSpf1DNxeo+MApQxYZuSsl+D8wi5jnEkQ3SCj2HdRijwM+X+qQ9aWj 1xvqZhcoqThjapHaBGW4Yfkqv8dCDlEvH/XESygc8OyhTIXlAbiXWZPFXN6YILpol0RFQjR+Nr8k 2O1T6FgIJ8TAEAbHWzoDLkXfeNQOZFEmFnzBdmejMqAjsGeOp3POazfgjsteVmL0gxs9CyhNTxFU NujD4cM8qEnL74obbNgjorAs5tyRM12II12UNABK5lD7gN4jJJXm7B1tm/RfAd6nhykj4RzRHJoK nqeWwGMqHGWZn5Cad1XNQ52SPrpUYbdEBEShmC1Bwgh+akdJccEbUVyaSMeukEr5eDxMHS1BMreW GcqWsL7gRNEdJSxAaIZiBDcSU+Uo/+7s+ZHKoRavWwDQM4ayzTGKs1pNyw6gf8jmE1BTIDwytydD tgVLTwfMwPvzVEvMfDRdd1BJPdIIjuQl2vWkvvYh9P0Y8CzklzIngR428Y1O+HXavDLaVWrBXYdj JdWrLwo0uaERH7PzIFkvbzD+wMdV2XchtrXCKky/4RM2F6IwKfxR3peRzrP/dy/sblKhYzBRzXnN Q7iEhGt5tGYqczdy265ytQOrpVDD3KQFa8FRCQEOORrPZCFwMy2WcpHq3WLbUgzt+G7cUwi3MpkC m5NRMoRAQlClVNwaU8YuOYvm88MhRR6wkuLKSfHWlivPHLP5SvTAkRGq/k2UtSnmozYjTXU75mPC 7spKgyR9Nlg1hX8Zad9EGN8HwdAo+VfLiu6cuW/4zNSMuIz8qzgU6z/j9ysqPADIWO26V18cfRiq sY8aRQ0fURW6sZdWZbxJ/eK498FEpXQ27BWrmJLKfjSK9ZNHOUB97MLpnXha/y4boqRtJAmG7x5X VDSboAaF93oVbnMoCb3/l5mMnz10DxaHK1rIG2V5oPwi4iP6Doo/054j16pK+FLyYxJkeEK/ho5c cUS4NHjrgY3KIIuLUPfgXnxpQCD5VmOycF8jwtS5VWoUJOtND9MIRixpOBMtQC8/YISZtPBOxJaA E6QUXw6S4cNRvIuXqs8QSOmvxpxc434Kc0yNb7vQYC1PYd7HNhQq/GNlXIj+S86R+PB5NkcUBNae morM4vMbjeJLmi3BMbkeChVRHJSDsWDD8PNYOlUlHrTatDZsPY+2K01FDELA441KIQvxS0unWRpL 0UfMrflm6onWVGPHbHLAA9RTmIrxYHOcEqVljXJemY49g3gF06dojo2J+6rIO85TbK2XoLNXktMD /31LytNB3vDK3spkR6/xVb4H0CGU0BM9QpSvMeK/iY5NntvT+JsY7x2Tsylszfrd5pambIpoWb1P dF/uev91xJ+61OoN6AgMTlDtm2UZDqRRZ87RIJuKvxxupV4ezAMDprIzbYbyTKsxLFMoeKs6bkKG H2zxrHq69al8TB5vAFaOL7R3lXrOIox94NE0roBcb/MQgGGamktlQmpgf+0ZspLd3octu+HdJR9x ki0hNRng8E0RJKykeuvM+7ueFZV5c678XN0C5ciFz6CP/11jie/Rdgch9J5cBp1I4wtVroSkmM0X d/RdfyjaHs8fpBZpZoZtMEIA9M5qjx1fSKX2BjXx+mg34WzDzL3iw5SRwkX7TqF/YmzUvGhSvRqM siP2P1dKRrvDdlAkFQXbIAy4xyCFlngLymVti+EMg8bcjnumujf0fc5ON2q7bKvJThILjIeHe3wc pmfPJ7aPICQmX9HAUNjSbHVVSu7/xqu9Nh/1zxNJpRUh5umKsuBAChsUIHoBuVtA+UIF+vJWDFQ7 Z+vWyn3RX12/QMRcL8zjtwfHPyKeZfxsAKvXbPHhG73zKnB9gy77op1svlgzgBsRYKvOzmokRklP q0d0/XeKhZIVHfmppu2A9J0xYP4JjrEQhDEFtxlSi95nM3JjDFV3UJRe0LXSlYfB5zp93hGNsY6b VuOW/WD3JiAJjHJ8D/6M+S7GKA89bXK821fYrfTCgLv8iA1xULnBMGZLljTaqTfVQaz/30RKIkbm ALOzsNP/ZIRWS3xKgRsVVNxTuDCyfUg3bBLrJ/XJ1SlfsCztlcXW/D6JD1kjqYd0rgZF+JvBTn/y Cou6ZSuNVpwIHj3Bok5uO/mG0I8coh5K2GpZkg+stPp0cIISzAhAkNW61nbCqr+vMbTuZlxfmSCS 4nipPVb6/Luyu9AT/rwiNxNP9Qq7TZz3MvDCLehUYfQ6iv62IqP82z5ciGNRnqEbssYfgRtbpNwS pM/X5JilLmlKzU4rWT1D9P04hoqBKzIVFU2DPdEPJox5XLOt4NRRMFCIS3zohza3ymrke/SZLLrv +v2fwmGZF0XGe8G2/t0Jah5nXz4dIuQf+2TxCXu9LE6pa14nmuKnkzT1rcCGY+jMdsHzWCC9M2wF Ps05QKWgdZC8dHA0kuKDPaFSv6e/N5IYnutb+LeGpzAobEbox2EplLdqkxllH2hFR6lvuuEw4WDf AlpT2mCZjNhXwDGH3eRam0VRboy071Vs4gB3P14ZK6AZzi0XhsJhrf54fWmStIycrx3Od4+ufWZ7 NHLmrMfc5uuWv5LrgD9JAx8fvfY/xO7dKzsF/uC11wRV8PANULtrQ/GPOStDpPsJ12XMCnkKAl8g RoorDnbM4kOCtQpUE5luy7q5ZuR7FWEQB8AhktCrer/TjbEB7JIOMLZzBb7FNbnZH7SKtR6vefD4 cvtIrIKIcocPl+Sg6o9y1jcDIsI+YW5PHYrJaM3im5EHzaLR8kZot+Lq62+bCBJFfNF2RZVEdFJn x3TTtJ8d+fBdxIp9lHOf3p8FcIbjXf3CzLZYa1+kt0Zm4I1tsJeYV2n4JlJx29OnDi4MHUBHzN6W S58NiFixM5FSyuXYiHMv55LjJQnXfBEyY1suj4qa9inj2IT4HeWO+gnWe2JxuyxZ3Qy+HIBCOoh3 rn0J/BCCmAxrjSyOh/GDgCUyF6z4utzCbV8/Z03s4ChYWdK8WdScJ2X4z4N8oGv+4DvvMo19ufgW uauXiOdBLGk8phpP7JZVUErQIFszT8Sk1A5pSoKv1kLyTKLNN8NaDCg8WY/LsSFG0VTSLLYlZgzY Qy/mIqtmve2iFVKvIPrTbYMKdnVFA/4e4iN8kc5FLFSyuQETr8P2wbKLlpQ0RyYNYrCYrKOF3f5O GY2MwwHzyzoeLrmgiicLbzgU50AIBNV1LlhxJZdjSww2OnA/WmlWHgcbst4KKb1JIYS77y3PLKHt rkl5YFUln3vcI8xvpN+GXKpuBwTxCLU8jh9+BHal+1wMGv3Cboz6i9OU2JLcfTtLURczwJWroICg aSemwPrF1PA2q+bUCrNFkCL4C7u7WPPxUZg5M4GkSh3Zs83DyB2H1Lvzos6xVZ0LotTU2qHaojhL yco3kdchkyuYpyI7gRDXzIgO5gmkSNgiHTrolUfB3ClJbwWb2BvcE6FotDtsveN5MBuO8D9bOgx1 64lJryV0cSmBTb9Pl9nqTS0mwr8JkUGxyx3AqfCGuLte8rtUjYqgyOkSth5D96F8KkNjFMeqYDvE AAuWaiwN+JbO2GlX0rDsjGYUXey07w/132RTpm+M1l0i8dDeHeRm7KG16Xv/tJzliQ1tzUXxMzfJ Yo+q/KiZRyPdgOQRpko382vLl4PpGz/o7E+CFAzXgGXVPkZv1XizawACIemqRQxdR3F9ETYr9xWl SU+0bmeVptS4EhDJVu0ZvtczI62D5B0JN6LflR7mc17P0qH4OtPmkCMksMRhx0n1Oc4yEVHAj44a 9hSrI73/fDMjLO4JGoOm1LE4ZqLDO59lYzElyc9diwRYGhSI5/CQolL66dKyXJBIf/W9Em0MZNfP G7GhiOSVcd/JkVYLsvgn6MARWOVpRQOU3ziBlTZVzdt3ajCkKwIUGyv3iRO3gEui7/0VxztqHtGe uSKqspbMTKuo9HDFezl2XuLt7LOD0M+Fpm9JRdMLz5yVhCTdLmw7CyItwKYVglnD1BDrhXp44Y9b vMgf1Zn2w/1bTv3bpg/dCseLz2IVb4lylaT0McTeeibyl3BxCezwmSkbFDU/SyTi3qL3LGTnEQLe b/xzd4V4eO5uSCQrjRnDuEfZJHuahKLLIuXUB0Q44jKR0se/s9DKN2CYjZTemKLvOuiKDq54/yak aEY8+fUgNRQS/1DY7IaP4Qgy706tUNtxIBUxw4i2J4g43diC9Ymcoj9J2Thp68qAsOBjTbsu2+1I crMSSeUsbTUCpKE9IRKIQvrusPp2/p+1ltxx/XkJV5fxZOq3B/I4F5F3YMzGEM+nPhhhj2zPrPHO 658gYbB2JKHZue1BmwP4FwIJt2k84itoM95+zHi2NexhLGsM+65szKZ1H4DNeR7RrntEeKVGuR4I Sx/yxzJOaSe4vPE8B85GKF+dfgUcnYk6V65XXwsPhVzIRKAo8gD9fSJ4+7Lt1+fkXjJLu2lR+qRO hrt2lxlJ7HVbkD5Aru7oqdq8ig9WhXlt3lT4f0wbit7RAskLvlu6S2roSQ1DX4t7yCX7WaM7nHev i5l6pm8tVx9COferfLhcRZ9U16/K9dGcWHS/Zi/NeTJNbJ5saZDg1hnrv7H5xPwY+hkW4dInQfH8 HLOB7KSt8X8567BCX5mDFga+TTWedRr1Yo5duyPEzA9W8CJ15PIXAbQMOeUaWf68frooKGBqfRf1 Z0HeCaZKQ+cBwlfFCHzm8TXqfiw0rcVagFT5QWwAoC9kvcFoPlMItn+N7Uwyit3s41NQnC/v3txU 57Gk3atN0Txh0jHgOlvwMxNyvx8GtayxNIvbTUkbqgee5/C2fSzPiSmJrqL5v2gzzJJGor4lBTcH GCiZLBgGOGk8iZ/J4hO9yCcwUJbq98/1kzsqFijvTyTF/FdfxVJKFBbiIA37IUfrzOZ0l1faPggH WWShCzpNJ7bbpFfAuNtpoZgwczSRFDyg35/qfg2L5sc9VmhqOV7SnxXXY7pHj6gpI5eijSzJSvvA Zm7SD7RuxGoqTuXepJd/sEZWN+LxUkf9MfUuviKjYTJAD3Y5U1KTNjCHAGJ8sEIlszYSJGbgLmXZ bHcw1SSdFVa4IdgZdtOS3aeuEGWdRzkAMFIx567+NfipaJOE9IWUw8mq7H7lcGBuwuHjYCAUU1tk I+xgwH4nnJkMev0n1R6v1G3tzA6151WFIqlBp9aEBkxk6mB4KgYQ9tWFvXYm8xoeYeqj7Ub/p4Xx YgZj1gndkzPSy9lnFA94SZamtoY9Ixv4RJ+Ow0/7/86zpWraJ8YQsc5bFtcZ1so6DztjeFguoAW/ GcxGi4exSpMNksdRy2r/5u3XuEW6Ya5z7jUebJYYKcIdVgfk03Z2/QSq7+MmuK0rIxclXeWq9p0r BdwRfgt0qRimZWUE4HU/efxRzZf9gUpCbICjpVDFb0Q+qwneT4o5u8b9OzcnnzfBHVBbeAiRp0kR L9KImyYOpUdez+lD2XLp2YBqjYsy/JEuIYg3/FksXOD170a1LmOSntFu66fjRLD5CHhIGbAixlKW MggaD1gnyAHgMhDirB5ZZfzxzVQAU9ScuTEM+Nsxt3I4L871uFpzUzwlHw8j8TOwjhJ5c522Gcwf foJiRejClPIoePUzO3rGBjMt21+XDSRbzO8ypY4tFjw2750fs6fQylk/68qf1RQJgdTNPz5Wa3Sj DR5Fa+rCkaNNc5/8FGwjvov9aIGpijIZcr/+KfPrf59KIBajH1/Vr6sBCA7KJWCWTW7alRG4yn0U cVCXxWKtNSLN4NDx2W7ZcWT0U4GAFZjyHBOjJIq2AsJheY210HQ26GDXp5tvRnEiWYzqsJvJ7Pg7 1MOR8rfYn+JARl3b8ptqjMTiU/+mT1CUAGfsOak9PKqD6M9b3WtnWSwTpZ1Lj5zYvdnf9C3DZEvm LmpxJ83uvYzS0bAjeq6DiOi6MlBz3qoZl7ku83/0i/ngmHIrq5ux8S8o28+cPFm3TtpANjtVVkm1 zp7UdAOzwGEnwyRmBOqWqKBKjtDF1NvEE+PfS+eL3pa7KhkIirD9XPg/jvAXCBCo8KDKLzgcmiHn oB9FwxU54ZcIvTczkJzkp485sFd0Yfjm73TqmNgu+UX7Vwfye+V6a8rRaW9p8EH6UDd/nPFV0PbZ 7cNClr7qXZELGS8SvY4yeTKMbsTLP8ud7SBBboVzOzX9DlOnWdIjNAi0P88zxaP2UfzlotKT+7+p DplRgx5Z+/lf5/VA0K4pQbro2fu6sXCx+LyqS8oYRs02x/MoHLtuPZQVecWE1CjKt3DLHzG7Kz9P JRhie+LFUTqorkbPI/tqWAc+iR4YegcTZlgp0Au0tqmXbRtF6xBE/1SyOwaMHedHdTngjeSRynLe Xiw+ijWnX4wVscxsg4EliV+7Z0D8Y0OBKyeLkwrv0m0K5zwsTZozH+W1KuEkhKK8mgTdezJth+Ju 3DOPUoU+0ymYyMw1pLfkQp8UzlBH9z6yDxUtqJXjKXKoWz5v3UcN2bXILu9vZ1EGUP7ws1qA+YrB PyTUvDGbziGaRX699cXnZjfkRH86QtLdMIDlaKnXMw7mkSewJlPpmxztP9E1LHkWPFsGRpfy/ua5 2f5WGZ2WDmnQWxCP+1hi3U/qNyE98zjVXvSiaDgVCwMCKnKZ3AjzYJA+vC8nbHwhX6VCICk9Kngr mn/6k76CxgL7oei8NrvH5vz2Tm7aBMROfkFIrWZ0I5fj0tdUTUs14QuzZREqY3dI/z2LrZomZZ26 pFn09r4tEDi+WYce9esl3VsmEE4bnF4Lbny6FjzjUrxlSTqvt6tJgwuGvnd74ZzfzGOPbt67096m ul0ld224fnA3V0MO5Qxe8e820cIGYaffKGhRytaktUb+iAGhFwmll67xa7ZqYsA2EjYhfFA526x5 +El7ypbg0IvH6fcumv40gRZpAs68itsPX72ocwIwcQ6y489FsBmPzcxApGdQYGzhoZPM0zHGXTku nyoYQ7VKEfMYo23dDPU3ugO+wgCEcY6XlGlA81CeLnog3TLsiKK0LXTC7rZvIA304ciIifWFwa4E vnC/NzmDBucdewsRNCKHYfbUzZVfQUQFu9I7Q/pl3jtdLYHRiIE5CIl844B9lfCdTgLuZykV+KeC cVrVr5Drsrm1Gw9mxtgyopC5sbQq5f0HzVyrN4mttjHt9w5LuW5Bo3VCv/N9Z4G9wJoYk9Eil5X0 DgTrBH6x/r2ZBuu+dtk7usTUZkGrvqkIL7ENyUw6pApfPiBrDjJqL7xCC73WnTKV2iHMVysW0PXG aymj+g/i98ctoU35hrov06sniSG8aBn52C6MY4HMDhG935Pyvubh52EF1meF8/7xBH4+35QRfdih ZLYYBDCuGvNWVBHL2Kz+oCHIJ2l082Z7AH0la4YjQT24oW3alRvtgm/tWhU3g69ytiB1JCU4sQfV u1Ew/VJljHRlf4aTA1cpE354Jzhu1+aZ8ubNnjUj0Vw8X/wZvipGmK1JvL4pKqaJW74/qABR5D5B lRHppuXQyZvN+YqUA+hVenjGJtlarsBvSQjNgig8DwvfFaO0sAKEh3169V1/p/8EXD4lyKs6fKNA NS68hRN+yAsIDLpcGMH1B97h4Cw6qKMQC2fSbhMpQJu7k8CXTsoTYd9e6Jv48zWOPYwyjZjLjqcJ NgFW8gwyMD1BEtBZaoikeU+99YVuvsTSSg+BGbBDnhatxMXXZzFq2LCStFRRIBB4DU0Jflg3lKgf tf9f409OahR5/VS2VeXhyku8vJZT81lkIWp8jT0HZRcOjd5dRPq0nyDSjNdIQxsdMMA2e71h7RMw UlfMg/f9NVliLjd7JRxX4Fmu2N7pL1KQwp79Z1OSVVlXitMJLRGKwIInIuvxiTB9l8roG0XYjAaY CADyk+5WoCTAnq0SmvLhx6OLOZ2lwxlNeNbgP5TB/sf4eUOD4J95zpUfnaiVbjHYjiAfuK22f98x RwBTx9bF3ktSdeXiik5lnpYYsfMQc/3OxxocFYPKDtm4r3L8C1jTgwjrK9FXOP0B/oq8XNMcH+BT x7xjUTraEqApfTRnKrc/beHwUwbNdofJ0v4174FDRcMOc1ZUY8Ez1B7FvPhdjWULZag1ch4KxPbJ jWSnQWAVlv1Z6HcuFum61l1p9wS3bE4pjSmqATHBcG8hSgKc4QZVzGsADEQYvGbzuIdUIJUpc8ax +mPg9xXjJh2/Qwcb9qmjzr0Q8LHblhpSf8LSatfXsEOzpMUosIx0gsb1HUOFFpZm460T3AOC5oLi tXD7poVvE0tZCK35b9XaMFw3Hs+p+89LaYQY2eBo0mdC7G6d/Hh1H27Y5wHXzrDUTjpAinD5WcnS 1Nmvl7PBOQQdRIf0D2o8WeE7gZUFOKNiEoYi1HX07M8kguCSOSHezKR4XpScmTVCAMzfN31XHgYg ikqRFMXrPX8YOFUehIW9rVhb1RZ7QuMPJ6Pl6rrYgAOybnCWAh9PMm+O1xlk/9pEqJe3vaf3Y0DT zkEmNPuvltjE0GTIdvMSmygRKvce0N8Iy2K0tYPuuk79iyT79o4e1YydfkUGjSQh6b7DEx6oLqkb WnLIh+DUZNLvygKs69R57sVs/TVRZfs9Bo/UM28cMxA5Zb3vF06N1Ch9zONv2uk8j2mVwOPJdhD+ E6JEk/8IH6RImCYlRWza2xHpvhNP2XeZ0UTsAFQKO8O78zRWPhuD2iDADmf0i63opsd3VV7vV0S8 IqF41yoAwBv6Tvb3c7dRhZJyxtYk8boiMYb3Vk3b4uyU7kFvGbT7CKiK+5Tybn83+hRCne/D2r/R ooRh3PXMUFcT4bsuovDzVIsxXXjh9/cnM1B1s6XaTkvhCiwwkZi58vvTwBGvmG/+nmuBUBwVAoIl V5kTfIa/+yNPfaCuWu4Fs+UpDl45EMVRuX8HtUpEIXh6sFP7PpP1MoH6gq2viHUUYmC0QBhbJldG dAjpSl+N68qB9wUxJUKuh3xuABkNtr/cUCu2UydGa+QI6+6X4/YoAiXwqoLnkRWA4CpxYo4AWKb5 QAqNyOz8FH3LL3ZZkXff4mZL3yky47b8X3k51zB7yHMdr2QcdEMZvNpArAxVYpylp5AGNDvnIk8z NdD2xNiCJzBJlQOpmEI1vozsFqQOSeghBrSNLoUHbKDTE9/waAKNSAYFiefcPyQUOlnvQ/sRRiiR /7VWON7era6h3CEtRbyOi64ZWHZgZI99RM3k66ZN42cYeOXn3WTe/xiCk+ux9C2HnLrFjZXEQSfo RBJn2+3BtiYCJ7TYzOzR8z4Wbhq7Fq1uDpvjvEBfYKfrLvbTO8oVrACKGnWNF2SuTaEb3F7jZHL9 EfkwOfS2kn7MnfRtH9vkh/tFUCiURXMkbhyinzmxYVjQqjkpMGAUzHnaJd/9P+hKxroVAZYwpzLW qgG8AmuuHfwWNNmkPc2rp8+yBbSZRN+rRAYuGKDv46YHT0XVX8omgrPIGg5ZERRk/UtOc2k78JlD Mv4QQJiLs4d6bNSBtMzt3NDLGYCy+oUxOMZBxGCqfYjE2pyRQhKCCk0ZAIaaFyM/vhnLwOH66p0/ ghmCW1zp6E7sl251wReU8+cfSMkbK5uU1UQqDiyOWLgg+8z8T8LBLGko3npi0aKUIsG2mtlO3GjG snjgbXpuocJcCNcHOS3A57cPymfVgtUYhC49GC15JxI4CcIcPfHAJimQLkczq6fk5bVmxEYYRFxO 6kf/DMmHMz7/3YZU2I86YBpztfi2zqFkv0WFgKvNaBu1hx9GCcHqMy9a4BFgUv3nRHiwac1BwECR SxUmod54lbZ4Vh8fxe4AXvxeeYfuLgJ2ZD++9xJrVWiIhrxWtSPZyO2PBFe3E2kF3qWBvP0XT/u9 jwT1fHxGS1c7pXb5/zAacyxOROGOe1n2fqjg9f1QciCluBA1UkJGJiH2qSEZhdvTTA9e3WXxDyZ9 q257al68YVUkcapzWZr6Z8BThpquXEWg5vybH83nHzxOxi3kTEkPqac9u0l2SE/OnINd7m4AGhRe l+X3a46PSHVczT3kPDfofgoTnLZvcYmSvVqUNX28ln2qo6CShchfG6/w3I2D/yKTdZeGGahwtD4n WABhS/IRaxaJOwe+4Q/y6w75cuY9CaMkZOMO+JywLSCAP+s2jxZXPQEyCMMU7iLi66BHmlqfYt3R vxE4EsEdW3Jk/1+jeH05FL0HuRu6zOWQhL7QDAJ1p0nu2QHdSJWj7jizbbDUHF7putSdKcWC7pqm jbPTBbttMcLH4ax7qmndR8k200VWkZnV5pDpoCCghKoee9tJ/pk2HyZMQyJBhLinF+b563O3TdxU 5ndYMCVSBx8AFjlnp04MutzgALqUpN53qFayhq5fZgNahHTdz+iuPthuTZYfl55fAPDlwxGtBbX9 b9EP5aPXF2Jj4dIQ+OU7esyzdgF+P4FKSR0YOZOHBFnQzmqgUqmSiWapM/BY7LJYQvFJddkVIHjO bPvDSJ4TwBHTbTNjFrMTa0rCXXfy0Kj1i5p4F33tBN870ny2hCiTaH8NBu3bX8w8YYZMG7wXqt7Y mFsndK33/kgmNfOL0pbwgHJt+0An/HQyFskbyLd+r5963oAOqPIRgZTk69WVTivut3u2f8BAzrWU K+afISG0i/SZ8h7m7K3UhUdommyshDsUZY4mlLgXAUyXXDU+7DLvVQCh2ZfZrCs+HONHF0cV5On1 4aiuty34ViUV9OxspugR7OAIUQaT7mXwHUF+p+h0VKXdN6ahJlFHyQ8oMY/6e0RZ0Dk2GZ1kYluJ piUMeXdU/9hYIqqWzckdgKzQ2By6qUydXkZA2n65ggEK3Dk911PeWChtXBFmCmHuQUOxcBodURYo zIJqiAiwYV8cfHMA0slUv0/xHcOsRfVWUGU7q0KZLq1DGgVP7Lpw+1ftp8Q7YN/nwaYRLbQ04zLb Y+yYEdXIGEI11/HXEEzOg1PUhfEmW1VB9EfUL4fLExBVwzJF14dwbzq4yJ0eyZTN9S78y1UNfUpv oP6fabznXLW5ScIyncg8yVgfJ9kesJpzbeHLXHbw86zkzK5HOcUGHKdAKTM14zjLEanNMv8uxlQW Barml5v0bzo95U6KCzlMaOMcbE8RsNJzsZPw7QmOqooa0pAC2Ee94CRIuHAT71+awUPlO+K7R2ep iK1XcvV1LZkByH6iUDidnEBz/NwtQ8x833OpJZEbzS68D67o2XnK+ma4ZtsuRPbHOu4UPbsivwfS 6GgtkD+5dUiFqcUMR20CeXl/RUxCiIZlSQC23X31pmPpABqsLvahqphx/MeR7MIQxW8RGKbtH7Im iHL7EMcYQ/OGxGeLnBc6sP2uCt3OL6IxNWoZlgzO1X30ZnTCwItcnKHQDkuXntz81aPmJfhE0VER I6zJj7RNosnO80lHcf5n6oL/7VWKfP+NTuaqliIsZ3vA4uErHtIGMN12/GdGsnB1tXbKhG3AqAz3 0EKfiDIwVbwo+kZhyHJMwDyj4O1oKEMptaTwPbw141HmieB0uq0fiMLjImLo1Z0LbgoF66WhYDCY 4qh2QAKkSuaMl2Zpwpj574P8mL7auGrbmdWRqSO9QrdOdpFscEG3SUxIWpgQuc7aWiyKrHR4qM+G xRw8pjV26xh3OvlrjZ8zYBUJT5NMe5ymUuX6IbheTYVxRrIj9xYXACgc3ufF+4v+plOinm0XjEmP jSsqC6v5CHgPPF9kjs+vQzJCeWn3FrNnDLe94mBKL2jjdPsITgE5DphTfQWMooC9CRtZbQzJ+YY0 EJEFHVO9CtpnOIwbTJIZXx92q8prePYnLLEtx0UQOe34q8RYJ5Eb73N0sovDneO+Nz72/P/OZn/Y NMBcc3BS8Viprjpkwl9PvICAuVOJ2ipEGoegCE+Q2XclMKHcUtwsT9SeSf8D6UEG5oRES6ITeIjU ZYdtVU5fXDbrvK/TIhKS8qxArq53CSkGH6Z8/41Ug1zr420nVP3Z6hwePK7fLFmos5MyXcTa7GVg +c1YcDV/nRM3vA4q1DtfRiuqjR2dEqBxKEi+TLy5E4JksRKRwvL54WgmyKRrgFjykdX+lk8VW+rQ o5HUFB3tQjQ/6Cb7fKwwHNd/3x0NnMKW41ndzUEkgh0ZIVrbbEGORx82YflKSKg8V75OTud0Ilaq A+3REeIiOmbbzetYaGQ/gk405QnqXl1O41/AZJnKd1rKyd5VUF5w6E636A3oyAdcbLBh4IE3sO0w G0XsP2lnCGBqSd9WFgoRZl3DVbdUCv3NQyCSqWlwgG/KmyiGOLuWpif2vP/iOynhn41HVoEj5zpi v0kSqN3DO3EPGPG3TH2ewA2QeUR6lSjr2NQgOqcJI4dPr/2yCft8DY2nqlwkoaah8FRhwVApfHMH XUOTp6d0X/U7E63IC/UjkCiBMSZ4MKYFZvxodc9kV6jT170boxEPkz6sF4a19CikZOCPxuTcb0i6 pd1+YnyJMSqjuxdy92pyBj/sxklWA/kCCoJAsyYdm86kGXi876H4M6XDx/UolRPXhIKqN4xkOZ0W pEotyh7lUdT+a9tNbaOp9k+n7kNmLvTsEyIzn3DImGS5WbHXdYUyNq2LqOVzxy2G1ddB7HlXtO+T l8KwqcMTncmHKUmBLq3hS7d5GDMnQ3xWLqjzB/2rjDhtrtW1NNCWEjc/UFpHrTMaEKtkR1gtAEEC mEXcASCmPBM8L5CwFCvSEroxVrKvCGH5Pc9+OoDveSV/Ymx436YropqrlfXwPO/NvB6myDFGI7nV bBm+YOCNGnB32aPdjRDor677bhWnmDhkR6YK7aaKeSqcSg/Ah5vY49r8KxsNdvdBGC8uf8+fTAFH Y82IFX4IKAQ/aOJdBBwoyvtKbSauu8YxLzUu/CifGjbqnKfdwEPTdvZ2bHRQpr6FaZYiHUTdr9zZ kHzfw0zpmGf/vxk454j3LuYTraheWUwMSUmTqZ/6vudPoaEWAApebqQO9ngWTefYcd7T9jBBYezR 6wyOFUAp8jLbKUUwA9svwR8IVjuL7RJ99A9eMLp0aWtMzGINf7Xk3K4SPkvACOMrRYNnAVACtuPt TVTE95iabXsvv0OFEiaXKoRMB26Pp/vG/k8W1Q00lqyypWujNyKePu+j1UiAq2qfktDyqDJSTZ7V ssOP+XIER2ZECFQyQuHF3rTeR0OevJpjyO68Q/DGGju4Ed7e04UxmwSsMWdd6J2WEZfuOTfB1eKP UaR52GB+h9Mkqu+p2M6BpG9Dr2RhIAeR2r6saBf+xu9E3wQXo2/+esVen7qlbm9qlcgHEerf8VkH lBbv/EESvPfzFJ+W0Tte1iw0cy5mO2ANI+HaepFN8K3916rw/YKr8U2XNBZduRqWXmYdiBEqqsg5 7zuhNMuVe0RWgbPo/Q/Cax5k1OpHBeBsfA863lCdhMbLikNWU/hmeMssD6dYrti6CopE6RTFWO5l pyTrFi6D9sy9KgUJ/GHcfKpM5rI6pxfj1plXTcBdSjsAy5e/g+6w79DFjCsF3qa1SIG7MsduyXr2 pDimU0UOwHvfQX1zeXPnLEqozrcfKaQEFipZusKV66zJQPHGpIKHZAefASAm4me4gcHT+pHT0GQJ bBgiJfTNO6c4tWbvDuaITQGhXJmxhCnQFNapAVPmRACK40MkIbJDJPS/xmBvmHolF13WVfc0GQtY 0ch3czrPO9Bh/rreMRicWJKay/iL+EUSvzqOli6NByCBEA/W0tzezUs8YflqRr8wMYFtYmD9uhxi o5ZvU8iw2fjw8AOKEzgXILYmz9qSDcDPx85cNp3bfzRubqZmsZ5YeLezTYEsXgUdq4s5PWrrdGo4 W8Ifnc8C7+f+MjvFRBFdcvAh4oQ5fNJlBqy27BAe11L4h3TdRU5mnD5pTZXUtp82fXGVbcIopccc YgIi4eQFtOfEMyH2vRluvJekXeTfdD3MXnHGuARpXwJ5bQN+R5qi6wrLRMVk/zX+4a32YkJHM1eL iui7vJRvUbck151p62J2pRPVRgwONSjNdeZjDIEqiCaULOKqb3H5GxdMX0E/n5LmmRN3eM6F/7Rd iMJhk0ze+0BeYnb93v2c6HYkxGzP6ZtCkGBXKQn7JOBihC6Go7u/wDRAr0BXNj1K6pPhtbA2j6Wi Q+jnvcIVMiRfyEqBRYBr07w9zcp5SuCRFzUkXW1KbTiHgkIfjiC/ceEQnvbN4qum0m5aGTRxblQo ArG+HnkEvqedU3I/4s6VC3+vb25nwSJ5zX8oPp6AUHFD+6LhMxKZqSqEzLlrcPG1NE+sAjxFn8Sq 9j0F+qP/eVAMhLmILxSP0gauZAMDrjYjBiQxFIMf3qNAsjBXSkz/QEJkZkREnGFYrSZhGsFBGc+D HiQJbfKeuzKG78lHk0tGXeuYtImGTWlXcXAO3dplkqQE/psUDSK/6Mj255HUg4oo+w3gG2iGoyYm qvCr/1AaD93/Nh6wouoBdrXArnj9mSlTp5dIKm2qXebbLu6NpdzaRGQvarD0l3dOca6yVPi41TvG Rjq6UDcOZJC5ODEwFdtnatOyQA9YMPx7V4Y9UbBVJwkI8DxJkvGN4mDlDDcXlYJ8bP/4F/t+SPr0 uIELFXxhaIDQQhyqR03dZzQMw89AgPc9xgYBy1a4mnWfqtDLJSAgufYYHFgrcI7I5Imr/JzAAIDf YO1Zzo3TZqDIg55KiA9QQbwrCNfyXcme/GE9XNuRrTmLwb/4AY3+DP921cCeYmR2HBJLA47p7Gj3 LgnBhNogrI65sc5aQWtE4to8eoEurHriRsWxn8RS0c6RaTGvSvR66rnQ4VWaTy84zri704citpKk hIlXM2RLUAeelw7Ge8+r6UUkvrg7iWNp+ynZNg+54kQtcRKVsr3mXgWt5jaVyor/JEaU/a+6Cjt0 c809ldngUcJeojoqrQxkOWBDwF/uFUzZCJ0/5y4qksCpSZC303AvsYnvYP+rJiZq2zoEMLuNf38+ rASU4Mb5d9CVFpcaAqUMfIZiV48etTWTS9ZJPxlu3TqkBTfB2tJUWbyUS5oOwD9gRdCmOlEHKWNT YIBscQJEniMjbPCst2QMF471PE8+IjTkzwxNCkCmTsxjYN9bwCIOb11e5OUVuZ9RA4gQ6KA9cvqx Jy7v4Z9YYrGUcFymyattXXhDKjZGJgbP2EVBs2oxNmwcAWfxYq5wtCVwctVL+Puj0oIS2bwxE9cp +4KOoYVcIm6+ruNLhwLS4YQigpXcdpzFjs09+B8/WlFIt/2vXXT6ZosMHP45d6mNR0lX8hc0qx/v ESqKcM9BQq0g0im2YsPcf5QImf0njOi3YvN1kIzwoGqn242joWfMH1i12+u1/E6aVNNQiTfbiioI jWkGARUiLFiAyeFiUxH16H84C8+gEJQtGasbC7P8rT4YQ26qGuiZwdH78QkmAEpnSshe+7uFJdUC xk37BUx7bVl1NSRWq/k77tUh9lBsr3PViXKo+4WolK+yo2Y5buGkqL2CSvE1SKhbtBKvBELB+edk oAOsmP3r2B+QXjFthHvLljkhvNawNSD6aypwmJLhV8fqnq6PuZsgkeVzb5VNP6doHQSRNEXja15l rFImntg6SYTd/5go4fPxy9s9Y+A6bsMr18qYyLp4+vlxDaCkMiA5i7DvcHOrEDsINVDfRTYjowSD 03REmIWijlu9KpLZrO/8P/DIWSWWIzYwbPnzzS/TXcq4LVIZ4MDvqnM5RJggmSNEgUCv3wqX/RCx S5h2h90xMmVc4QBRBvZCpEs79O9KaB7uDbAGleoQxx3AEcV6aXp24vBymm0Ipu3X/jVaBITXDkz2 mm85KBv0voBcBRhWVBYBeVwAPcimnFSF9lfstqrhAG88evZxiby+lEPNDgHNALLuztzDj0iT5REQ U4t3LMH7TVrcG1gMVM6lY2Q7JgYZjwTeu67/43ViUNi2KFx2aRCSv84z3Bg21BqkoWRV1XE3vdyY 5zxdl34h51AiiwgFEpNN49sXNDGyMBAb4LCG8ssCmG1zUaI7s6+EMK+3xaCv9EQEgW+4DvqUcZpS sApUnKy39QRMe6b7gu4dedalvsrE+OA4P9/RhrEzHo7DFA0E1zln5/aNstRXAFF0px0pg+RI2Oab V5OTaPqN/UevCUWnL28JaI5VhFf7evbM7olauzZgGT3FVTK4CTj1MTNvn6nYgioufR11LqUCKREx DQyUyskNuRWtDGCcflgZw3AKo6OHwHsQrjVyJKxOPWyKMCnpvqD7b5+vyaohY/oXJ0BunF2rl2mN OLWVe1iuC6tHj48c3VQiFsKLAVArdxxNzegNN6FwwIEPgcl+Id/rGBzpXGnmJeIRuAvgow3vy5sv U/tpubh/aXfgi2lnSmIt5A9ksFEorBvAPM2MuK6Nr8AY3HnpSzBYqpD3+Jt0LUO+iQIqBJEl45tT V7z0Mcb8cj8Bh/iawkRRonLi6HqQyQRAEpMf8Qc/3E5sawOsB1hEDULCrj9B4x/mnzywcXUJFNbN KLErREyDYVecAygRqmM7D5DUAS42uA/JGqmmXNLBZGs0K1oM6/NFCy5rCrqagfF4OJ1Kv0XBpVCF uU3PGRgdZJc0sv3RNJRBO08d+kohbgwMM1C2hgEJV+W1Dfm0xR4NQN/1cAgyF7UlmqAvBrTneZ93 AMl5OGijUb5K6rNpe3NyVyGmwLhTKbNcC4GPVsB9769m1/bZ4KqoRrK3FoGaW6tQEDKVXfuq2Ko8 kE64YMK3RpPL4x30ybH+fRSO33wjUfJQuDGCYRmpq7nS81+gWRzeL2rKYCmxAYhy/6gskOOAuO8A YviQosjp/3jHsbLX5z0G/OJ9CNbvybG3jp7WsOOSPpg8LL9EtHeIF4OPO8j9YMFy2ofOjHR6kQAm YxqEr0n8sWC+0D1DJ9g6utwLpet57cM3P9vQLT9gclbwoPkiKetqM+c6aHFmCbnpBkPOzreRff8D eTpfK1Qizrbt9uvFa5Tg3ci/TKPBwednShfXEPYywzSggEiep9aZ9B/cCGfU1vI0tJJSnUmDYtYb F6K5LXbbHFaOyM1FVtorzgCpU1lNA0kIC24gPbDHXWjAKEmt3GI8/pBP/uOQQ24CutTvER0Niv6u lM3YGLpiukBK2K8Nt9nd1vH5ZOvR62rYdqJfAm21c082RwnbJ0VkMyIvDebsf/AwhCiKmZRQ/Vy/ MO6htpRnVv7q+01qY1NgjGmIav0Ev1TwCBWhNyEgMnKaukFfPDa/F0UiU/7R5L+5YqdpW9fyZIXK UorN4dot/JobJ1OZIId5jr8HdXn7T5wVKoOnBV235tFrjdGjcP9Dj0gBJSxGptmSS1TL+yYUGZLQ r0x564+TnMiBNo6mf3gNowg6imS2oZqgAStcS4/MbfJS6qjYtjbFK3M6zX5DPGek9GjowY+u1ObJ ZzaF1uE6GJTBT/Rm12cd+5xADme4ColVFi51a+BSVyyG/c3DwnwMaLu06jK5NsTHvJnILjWxYwD8 5uDRM10zsppX7rKcXzgHy6gPOhvDSjCfJTBiAGXS+0n9xZPkH8WRpUDeLcP5FtM60bjdpZceVPpy nh4bAlO26iL6wRu/ZjkjZYgLVvIOcRVejTDm5YaJK/0wv7kiy1WG2dIBeHrX4rb/vwuFdTCRCAn/ AigiJB5yMkY1WnSatmRhmkFQz7vy55QWpZxCZv5GAAO4MELpo+kJBz6TA1sDdR45N+NY3cwb33T6 lRWiGeV2vQ24Y9JbSCMPnv+FspPvEVjhM/6/RnVqW6iVI7Kf3XJyi3l/8oJjZDd7J0QG7NwfVGd2 yxVbNXBHoJ8QlRWa0qyChI9F/ht4JbteJt1NiogjTinghFfG5vR9dHZxQvLypYDq6Kwe53BPyci2 me6JVBo873ouKuqbFD24Co70N0rF1HlIwUuI5Q36IyiJumd16kFSuY7cneh19NAGCng4K3Z925e9 5pHJg0MYksWddbGyFnSk7zPrd8IHprQo9BM7PJLFVZ3b+XuEA486XcvbSGWFu4HkAH/hpkfb+vLt hH8om55wU2oiHHdu3x8BAk/hzCNQvHcm2scw4FTVK/ycf75rsH+dr1DLGejHrSV5sAEBVMjlSwnv qzq6wNQB2BpW1iDinI7GnqOicADTiTqA8g4s8FCbjB7Njnw86E3fSnQkUzAA0KB/luBcay/nTlHO XSnP7Vgv9vvGzOa+DCDv0mDH2kaCFKKJ9gZXBKgyJGgTgTyghhi0ngv7xobLTxLOxAbf90K69uSu YvBtHMB7+HuQkC8b/AnGH7PAEu5sS770ANwgFdgpQ6QxoD+ockD3h6J7eI25aUg9E/Y04I373eWD QcRw7ebyAsQ/cjB6xT2jOMqRjhhX2cI48CNEdcFaGTvuUU9Q2XaaBp5r84lva0jCOD6+B+HKwepE LyPGhTawc/TrHbwCgisfb9bY08dIqkHsZ8sycRqmqqZG7wBjR9UmOWSZwT34lD0eXyxzf8gdNyAJ pWpMhkRkhxI6m3zQVi2E/+RdLzcDSogUhWYNsM1GhKPkY9VuzMBkI3DgJeWYmCZ5a7Tk93RtAAOd ERkssdkkjiB7HGXVhJwocWEUGsdsA0gV9J46pjlZxc+ntO53aKhThfdtz2JKGW8KYGnSIoJ63LtQ 1ekmb6GbvC4WKBh/9Hpb3afa5BxW8EU7ZhQcGUUoEVcvWL64N+gawZMwrsX/1mmfjK9oDZ+HQbAe dGsDUj3zahIvkHYToDqLnr8Jx2oyiXKRwl0MMYcZakhGY2/bPhOxdSgwReP+UkoyhFGImzPU1V7v PHIysC7WzLIUuXt7VIhZ39cc459++hv3XKrFwpSocGVcIdwWTyLM3uJbd7ZlycBIFufa77QobdTe 4R8bCRMGHMZgTn4OxZHYYTAAdaNVGPSttgYJL0iPp8E9Y2ASfd5NrSjwFhNV5pL9Tn1/rV+UExJJ f9qV7ujVdfp6/RitAkHo5xFf7lX6+tFKqQWkNHxu3fxO0P8o4EyViId3fBnA3kjMKFvdyxRCDdQy iEJ2RPcbzxf+tloWoiWZdIy1ukj9DtZgQuHimvxBTwhd/mioofTowGH9DzTZV2dWVldrQ6tSFLdT RNoucoA1mQbzlW+S8r/7vlHuU1zF30DhAzYqwtsEhGilNRa5WxLs0NroT/cvCmcTSR9B/aLBHlJW lmupr3FpVempU4cGNT39PsLpalTfeapRyHPv+XhIG9XD5qm+4J+mk3lWXZwSpOEmUTVDHaGevyTg NdShlrmnfihMuA+rl3f4ah4fdFHDoiLBSClIDBpC4gNxpj54LX8OoZ6TFf/U/kaBt4DTDq7yONBT 4R8HdmxtjzDTLgXftCpMeS+AGVYQeGM3gjk3NEbCeJMhL8ZEu/Nu5/iHgKlJHpGKCaVlnntUIcWM CpqR+xs94Elqp9fImw+s2gWFI6pHnIYc2zxseElvROUcgCmeYnf93F8vhWGmPBG5mnqt+a2ZEAP0 6484iNKtlIkFVQpCOWL4EDvYIWbTDwRa0EVwHOX3nNifIDklqQhAELj4nhn5TYdq9b/ODPlNV6rR kdTd0nSiQU3fO6pmJDrYvh3eaV6XGwMdD67HqQz/EzaboMesd2OKMWlIRzy5xGPcIjHIgwWVLPTJ ir8gtliBD+MYFn5uyWvicBwFIqrXNuu+WxmBCO8DCxVpG3wD7Dt9lhB5ljoGt0wfWeE1t+a8GExZ MTl+WC0oF/MLLh+RWZMCyACAx3PNhq+URBox+68zWEsktTB6QU0suE4tixvin9e1YiZEHhJuirDx 9WEVRjFSwaIk0oVaNksMDgL6+WU5PyCk5UznEg9pa0sErGLO1Bi7JgVCx5q8idapXrQbplAgNLnC Dmb+SkXcKs5p4m6UICGLlGTu/qgNoPlPGnB5w7lK118U4i/KTdfqresnzfZdgSPHLFU2Ya82HoAc j/8z5oCgEA3qtrllBBcTLilye+oyqNOGQmBOxu6NwVDqrtn7tD2Dg0tSGLKNOPNrXZ2aPsQ3lEm9 wa2UL+azMJbPa/OHZzPhlbn776e7ALdnkgfuP18ZYnwP2UZOXgyMAvvIJjU1V6w3ehHSJ2fC+hr8 gNuUCM9y96h3/WtFBZaVpHge20uy7AybqHjSSDX7MrycSf5Mc1wAU3/T6/gYUAbxfNicB3Lxz8bE uPs1zzLIYzsHy2Ku6F+UJKWxJOjLa8gYZbtHfLx2oEdBS+WRxmuO+GbgPmjMi1/Ad3y7BgkdDjCU oyc/1Um8DRI4+jUos7H69KZKRWIyWnzekfDBjGbFG7W2UttfjMfAOXIxOe0cVNMCvlsrF6vEe0Jl olhoBLIEYAuhT2Psfib5BtL6UiMGd1MmdbaDu53544QbChyeGhHJwugDpe+Zt6fkEhLspOTDddBF XYRAQAClpYWvYB2ov/b5p6WGitM+nbXeB9tPZBim7aHu9LICa44NUGX67fUhB8IWZoXpr8gEtc+j /JVrnPfy3LawUVlVqFfowGHsfFh4uzbtMTFTn6dY1MklgFRXtQlbUt1Gfs3PCqxcX+a/8Ea6avuS AFPL7JfjzszX7fFYOcKm6cmKnid+flrexDFWTsdxQ8WFT0W1lR3Olwqfxj/Yisqbn16EGj7JCZ5E jHiacc5Q2PqU5A+8ncx8IbA1+cRqg6XVNcG0cSmniurxyMRPW1TvHUsMDbqMY8rYmKxyNJiSLbqh L36NCqrM0HuTSHVG2NOBXl68VTOaOW83BiE3XTHrlNlWOYmVZ7908313Th2fFofVUbbXCBAGh7f6 IJ+vWy18Yv9UiLoAR/TmLUr/3ECMbwGKLCbzt/HapD0bNfXswUqLTNlQUNBKduS7MQquNsyO//5R QWvNMG5vRw30F6EH9KOG0PpRrff05SGl8Ldz5XtXX3fAHBXwdgsN7+1v7dqy9CGbop/lbsNR90e5 dV4JoUKOxvEQhmGF5vSJEl/KIFu2OAEwliearl+M4Qdt4o4WXjXKlFLjS5uYitcn4ojuR2PoSv1H dzRO5f3yrXEnDDuKkNICfOaaXnFJqDNGwI55i5ttbscMseYkFJd25vuT8d4TSDnoWs4wGHeAKKqo OjJioNi7dtDpfeMHu8dWRE4B5Ccz6BTr34X2+ejS8A7HDJD++VnYtbERNEQ/Vkg/PAPlurW7zNSU mIJ5rz69oXU24Ztq1LjgcXKItkQGktmyt0yZMqFg+xKd3z7sWFXkeyy/a4JdsZYveaWm99/A7Shw ChA8iAUHFv4ry8JKjopzuu3wGVzWzgokDNlee2IAw/BAsvHNypb6jYaQSHwpsK51HODX0t/7BINq g/mIiKTP1UK/rMB2gNEz/dzslYZovyLXGEa0OOgqtX97135KFkFJg9oFOwUb0+d29YM8JOr/EVzA IHcBGyEVOLR6BmnxuravJu2GfDdmuD7/kGNIuwumJn/zsROKxoppn4IEYQUzSmWagnzUMmsEbOQI hsOraHhwszPRbWi312nXtSH6Cm7OxFUAITFncMoZJJ2xcfQ0qWJJ7+82N59MOr4gDfB4yAA9iiyb FZBmuz3oYrDJK+wupgQfv5sfuM1eyqeq0lMoGEJugwXqNUh/M7BhQ+7ld4X1wgV6atBBgGOMO531 FgJGxhoulnjFKngsVEDkfDeSu5/R7rZ7zNn6YKeh53WCDFS8XMQFYujMvFXir9XmM4Gv/xpu/ujL F2UGdvTXaMhXn44ilGr0lJFL1EsDdekiic0+fe6HeSIXnUIfLPo/j0hXGkcz0W3BUdv7u8PGq05/ qsaKjikm4n5xcy8gkW3v3U7hhTWxiSmNyl+Y8dncl9qiLNSSPvVQ91Mbr3GGofjznlLQ2Rwq9X+o JmMSp7AmasUr601pix8IBabxq6dpzKPicLtdZQvOsczCRM5EgDvTNqS8podlb7LUDJ+J3SXOCEU1 sqWowx1Mve+FpEQXkkdk7tfQSbQ7TR//ZLwncDyaHGo5f2XMDjesuRthyCGsoFfCj2G44PWPWH66 KpJuIAuQORswxvQasXHjIJ1e2Unl3yIt7oDi8kmkyhJNU1zyP86qGH2+h1wHvBCYuuE8/HeZOexs uUsf7AUGTkCKIBsi1co1VWRasz5NQ27Zsa3BfbTIp1qy6g110yFrImq0yAk1q5iTgp5WMDPfv83B biObw4nZsHuDEZtLFmVt5kqYnRd+/lq/osnMZRRam8hIW4WFwS4CF2H3OpV5E+OVn5vkchUwJ3xW K/oh87ZkqPHMCgIe+KaZhWusQdzTj0oKZLf0Mz+i6VeEnp/LWl/xkf6B9fXoSAhNrdH7YMBo2ieO /9Ut5TswoSfxrrrzV+sfJAQH2dsDwA9uOAxBnMYi0UK1tv7oDI7G1cQx97rfM/UBN5N8+VbaP/27 j2yk1gSqwyNSKsgXtoqTQnFup8pqMacFOCKXgfycw7od1HSOPmbaHVuYKUvWUwtuRNKcRMVKiZ1C kR4ufBgO2ZgXqXrioUJG6sryHoy1ioMaNRnZ6J6XPcae3Nkn5dIDwHO1YU10G/hLnK9ElAyaTS0+ FyW8Vk3svWjS9MzN65/BNObGm9mFF/3xQwXOIoE9qb/mZ6sKm1TzGXPGNZEw7z8M4nf7Vcsm5s7Z 45N4WiXAseUptn/GfBJfaEihoelI3dwI9eR2KadmzwctKGXi3xW7tvCnd9Umv0Js2ePeeo6AzQXS +5snTCG1PFY5uy442b5miFkxyZInnP/MZxquIqAuni5svoujPYcPKb6n3wJ/IN1o1qZRSDzXBtlu SvH8ua6DEukTYHn9Bs1crGc3Kc82oo9Eq1MZ/UQrN37Piz1vpennFJ0mwABuBiC0H6NunFbeZ82b lDOxtDrL5v1GIWrDerLa1pP7Uap5ABlC+E0B697kAkkgZsFKoQz7KhGaEOZ0SOwuAkmu6F/ni+de AnyFfqLd8uUpoFQiYICBfpMuYM/pQlQvQm4UOPi48EmPC5kpVsn830hwapdkLQ2Pumk72Q/3M3NC gJnN1QMJ5+tUX5Qs9V0EFgBDKbhtwzrywsHv/RU7lc1UFdHBRKwBY8bBxlXPD1Vcd6A94NF9N1TF DDISi3E/veKLz6exQGl/hPbzzhCF98rmxP/NjHcWQ9upvd+KNlz7KYSDtEg7boG1+hHXT128LnoN GXhCGPAUns8qJ8XKnk7CQqxhuEFe4/sZsHGhxBPzrBtZjAC5olmh9cRLWuGGxl4HN9TP2dkjtUpp G1+OkDJ3BYqwL+VnjLM0zAvvK5vchEOOCUYLEm9SKvB7cgHPz4jsfvAfsnnj6Rv3aJH/C3ofUY63 16vjXAZXJwwTNEJxfOfGXqB6gcFIerKErjcLJadpMVigh93VdVBG0rGX4Hm+PONqjiDLYp7ZpRPv Z3jQhG4TP7G+tXIffsqwzbXO1jL+IEXBB68z9Hs3EMy/vXzGYEYoEln2iZ416iWdgWOUe418u2V+ ShrTHdeU56XGYjaJQ8Bnyz6uGyMQS3LLvqAbdzRpbDS+MNCFaM/jsdy2qe8fvtKFr/LHmX5zv77P JWLrmo/3GPiVbRCZSorhPYX/R4nVBmXXRTiQx2jP2wXFtnNTd6ed2y6xW02YbEf2Jliu+Y/vib5W vqJVk1t4YSDAS8yNwssMzX3073l5M8FB/fVUxGyRLmTnEDQ1zoJutLb+fLvFBnh0xuig9jAd5nbm Ue934qg39hPrpazHFSdmwHwRgef1fYLjx0dF4g6Vx68alKc2CWLy/5wby5s0GNgiGSu45oMk9PS8 tamgoME13p9+1Vec9IhTW17mP74O2yViQXW18/1b1N7Cb6hkdkugSL3jX7b/OZRNHcPeXLsyhbpH pIbGcZQDfivg7cR8WGDl+fKnvW2zM7guboCPq+c8Ig6JE+u1C67FNVjda7IuQTrnChuGaduV3ffl NFvS0kv8sk+m2rleKa0rZBMiGDSD8ru/Gnwil1+XYp0JzDBZ81wOn0jpEeYWSsMaeNDjnAMwJ22K b+9kuMbStLESlRXTIBkZizYj2B8PDtPWdEbtt+2lzu3DuXnEfI/krU0ghM0dzvjgE4jFSm13k8VT mzW4qWcp+OvGjdoKfMtMpaVcJfp1Xhus99FEphgX8pPSTnysKQjgpNFZ3+n/swF0U0h3Ofze4cS0 9553UO/xqeho4bF2prNLvHKd3dw+Rwe0XSCPA4Yjq+Joim5LTHxsgTbbgYJ0hhzaed1mldJ8hZYN Kc69EAjxMRHmfeTirHZHJlBAlwbzokid9K7aIDBbsWP0yVexu0PqGc95oyxEru0vH2t81GbN6KvN pV9zKgCHp5QKqKh1iza3ZqfS99MQRUQT7kOHtHk/i8aZDZ1xEgxfbchlkHRhkV6gIuhMWdfcWvw5 +dPsgPgd8iphaOYh+pX6eXhpm9vB4WS+GDtMQG9dwAnQirJ/nxHZSoXn7MNjbrZJ2kT47jt4QXmj 6gePdOYqhft7fCKtxS7svgD6MUzeiOlSttPA1/iL8On4IKXyCdN6O7BzQd4qCKpbLr0BKHrXmrKn WrJqiKB3jrMM6SoMYBs7QLuj0+gWunEMuCe/4xaebj5VtYsxzNXQwBWzOKdM0d8hB2mZU+3LLB1w L7xEAaIy12y8F7ZT41vL8eqRFWxhnEt+e9YYqWYZkYGaPylIz9fkT9cx7p23qC8L4CdUBgQb2Vqa NlhmOUy92qb52JfN9LXPjFX1iqplT1dTzOqDyEC+34EvEWulpmBTHElWYN+ZgL6tz1MIKwaZzOjV xwffknUGuPLE8dk4nnOwijvh/EaHEbmCDZBMQRv067hpBF5CNqCBzaG+KrQX2VZ81NK15OwWLKX1 ZizjtDby76iGtvDbAqru1T/jn05MH1RQor5ELceUzOhQVxRSkGq9iljJ8Lmo4f9GINIZT6IjZ5ox gbkHbMFmRMmNBO94jQiCan3/YTYIuEf92+cfxaK9p6UKHFV8tsCgXNuO/yBI8VPMUmuNFBm8p50U eCDVITxaCqD+qtvmLnmmIp2a4lSmhhO67lL50tr9bhIjQkfC+FGlBGOg4l4egjMvWvWeWGKxbM9y oiBJRL2TdQ7ofVV3Rb1nmkHeavYZCziHb1uK9D3PxLEP5NmQNTs11I0BSpWGlP28wspZB/kFlN3D AidpgoNeRLj9BPri3CzMqzQBdvS1f4kSTMww5+itEIQjTsqTnGu5S011BQtA2EK0dFr8wwzBtz4W N8TgjPewFD6nINzcGnpHMijPdJXAumxxVeEaLC1Qo06o8hCTPDVLoMMox+47pNfHmhWVqp4UR4O4 /lHIaLfXAVXtfYwg3LyygPOVi+OPziRQpF5shi5Sfp9LIGk58g1oEx/BHuY5auK8DLSqQGNJ44Ly YQ/q6BPu/hXTL85Fd4k1Zihz0bC+DiuYGRxNjW+IDgIGPhzGN/zX7Pp6XJbIRuq/cEavGzgV6DOh DEj4PyeKYkquOh1rJGHc+WZrALKO4v7eRoXOXCYKVlJouxQl9wXKrH5Lt67P2WooxewMb0gVQp4t pxqo40EYxY3KbcuXwRms9QMZxLCWdm/Y1OuW/qG+8AzHaztTAkRulyJt5rpu2x6H2KNdXBwTOQ5/ bQxauApOq8vbTNZA5KheVBy4cnZien+nOv88oRDYQecM+bi4YLnyQddE+oLDqcmSQ3hpUZgJMRAE v6miGrvZ5FXmRfBoTYzYkKu2VZbOKD+/4BoeN8fbu9BJr24qlv1zQ0/U/KIlKaAj/b50yAw6fTD/ NOYMkcRdP3k60Y9akIqpVmRfUdBdWC3CXKmYx7Pu+euM6iJbXMUBU5aHfKI2R7k6qNzoO30+SatQ JseASVVj8MqdiBc1ZQiXIUN489sROI+YRpIhvWvYBY3PXFZHxbFdc52i/3/RfJGlFIXXXBh6F8uI 97aVJJZ/v/5cxo9n2IdwiEQPwbnLZV0QFOYny7ys6rIMaonElo+T9xNwDsUQeQwBZ4d1J4gGMJer vNI8rpe6e3V4WUeDUqBASRzpYBp/Z+oKuAT2Rt43nymJQcK6jO04zRtpLET6LkdrV+/YRie3Zpyy arEjLfPuGAjGlAI05+z4RohBczas7vrUIP7W3o3Kijyd80d86rYcb59uKOAicFFwzLaLbZKD332t 308hI5PmFmSVjXQnhgjDb993csn3/khWvqj0Gfe5yKKGMHiMajtY+RQvKjEqhgli17VsRj5LDz0c qCy8aGKNRzrBIOYcUgEhWNamP46b1J2xuCauLDQNeZw8EbY9DdxtOiLao/fDQ5Q6T44f9eTosFUs 9Qwh0wBeN06mXN4oTP9HojvIqIoH25Og29vgR5I257e+9KDEYoZp1G9LtLGyS8nt3pbRXyAjpTL3 EQfaCoUWwi7/nS0SZge5KpUEH8n/wVdSsfzsW8mFafYKdLQax6O5A96zvJhutAWNiWwptG2bI2OF gICvWa97plYOke6NDyGA/GOlGeFY/SJ8/KvW9FFNXD0TRCb8T9r1b1Gtew6EmsnHpwlwRug2Ymhk zSb7TgPs+QK5fmHQe5I7gP6U+lxo+zc/ripXQjvgkQjoC3ybkEd9KJ1XBCFu3b8xZPAU/eCaSrmt sQW/s1d0CRkR4N2l45aE5HMqSkG4KX435/RNVFn7nEHcbXzumn9S9GgIf70SDqrluQySwgI69Tcd hmhT0UaOIUQKMdXDGE5JqVp7ZrW+CgDfGXWIQYvGLF+Td3UCKErayZMRDDLNk5PtlExiFuZznbBm h8GQSM2N9Q7geUw8BEXJ35b4xHkFfQ/tqBLAdZHSRfuDZ+lKY/+NbfEMirAI2uZzNQK1Txe0ta92 KE3X1up9XD6F1xxV8QKIkrSCtGqq7+vx/liTKi7cwYznhTtfIIAOTHFWkVMqZnQuKBQxJMxlqF5m ewt91q2vCasiNhBb8fX/X5FOg6145ROSSKXOQpJIuyMGKRZqhdvvAfvUhYsA9sJtAWjsGmFG1h84 JqYc1etJaaontkbEYJ8bWs108quloX5cViJOS69s8FLPjJFXiFlZbDkNBxjgXWYK284iNU8aFHcr hGPwWE0I3d6s9AacRQWgEBRRrxMHhzuGyqksLXDmpjJFBvzC5tInT7ztFojomPAW62Nd3pPuzS+u KBTMm8hCGxSmmLcwzcggI3HdZFTC1qK41dEPGo4oLo1U9gB42m4OvrW0lxziBoE56RLzHcKlMCVT P/mITdLvJMOb2C7oixUaqA4maMa0kS6el31DZDglu9uRkjKYlTouTb88/asen+Ep7gecgBBEucV/ cDbpM9/5MomqbdUEEgNPpxRiiUHw8VxFQyXS5U01jOEpD3vFVpgMXbhdwGVfNsEqk+EpvTd7jdIn On9PGSWKMKDUoUYw2/tgxRleeJrP6dnjL2GI4GuFlLNXM80T6khVgIOFpcGN48835TXR9RpsLc96 Iu6fmcRv3EGsWr4m2DbxWcMoVosqkn415zK18yLso1X5ze28Re71KEwrURFE8FAJ28ajG7yp+83b E+sM8iNumvY53xR8OVkyCOkBipBCYmVUDkzQj2y0i2bW+M+TprG/tZpA/V09dDGkrzx7gJcFwCkX 8Atp8f4ZaKvtycit7Ra6bn475lANKfxrkTy/ANtOnFI+aAXdjw78OqfLXht0n50tfJGZ6S8YZIvX n8UHXeFEG5niYiLx7h0D4iSJtHjfauqZcAuwih04Ka5f9ByQXc+0M2MjUd4tfLtOlUmCvo8s1flt tegTp+1vdRYn/NOLq/jfEbZa+/6Au0fQj8LU+oXl3ISfe2nxbUgxla28TVTvJfheNSmLm0ik65z7 iUCjPruv4o7ig5o7Q5DO4h8I7NNPhArY127GI2kb/3tGfcBO1X+MBHLeaWjXfCxfrJbWpz5nJv9/ j2nxc/+kCXEKDl/6mnObx0nCz0aHcyCKB36W0WV+ZFZDPrrlvXbBpaxIdzsIWhxBFmDUze3aViJz S0kRR6+A2HaD4zLYIsspR9JZOwFiBRRj7uBoQXpOTVv3IdVGUGO4xxulFzC3YICVLPq6aMs+EMO6 dKL03smcpVEBPpYENitYRuDlfkBhHf8x80zBRnAQ68pp4RSCuY560ZZpiuFgWWuOtmXX1HdjeP1/ 6QUPIndRB8keoEpQD4vj75/cTrBM0RqE6/GM6SWKEUu2gv7enWyLgfkot7p4SDTMWbvgDwNdkXBM 3kcOQPTuzM1x3BQfJplPUrxK038T1aYamWwLwDW240FMO0mZyxH5WrUDAeFY06DPKbQUzfXjdHxX Hajo32wCT9EeKeH7y8X1gXxFR/2eMWU4Oq0w0/4N1soXEvf52zXoASarKWxH3uTSjGnf2reKnqOC OplPG9obtwkZgS1zKTa5dATP1s51/jwaEzfnN2kcXEAVADI99EdKMl2eaZqbdoxoHEAm4G7Poo5w H/2D/hbZEYqbFmdE4XelmUExzuLb4R5SI0u+wMMtMxlnv379XewR1wvUx2cikSybSJat4ig+QPHV t8lL4tRa5UbrqmjnpjpzP6QzbPEoebHKsdzfL96+m5ULkU7j0GZXZEMHYo8fUW3l3GTg/qiJCsB1 xGDNvhLctqg/ut3ziSjqwMCy6og64Ab15cGDBau4avVu98dsD1EywPUKZLTv4M+VEiJXjaySbbSe JmffnJH0VjUkNK4auzUnEbdev035Ib5j92Vp8YOCcUD4tkd1mcQ9WYvSN5zrJ6QgtD76tRMyJDNE CXZFjWeisK+jhtx9IiNSECxHhYCl4YC+9NryYDKiml/uhLLRSrA1zFr7zqDgECjbEt9gMGeMxHl1 eXyul+2NpCGafRrsnsr9udzkr4zBlOMEwi618DDxkXl39D80DMKrYFUiW3aGrRV8DhF9PlkDpyzZ RP/hIi45uBji13ZcLEAd09mig6tM31e2xDXpFDPBPJ4KvXB/SwJ3rnf+enlS3jD+7wmelRIJNxC6 44WWUwPp8eR/W9Ok8bKViPodeo+UObkoJxyXw7G8kxLOtRE+0Wk6qVk/WnIhQ130HYHCtyd3lum/ 9zHkw8WVjOmwwqR/GhS+hYsQ7en5oZoVzZJTrTY/65Rh31ydTgJt6LTPngvxjp3DvJTWl/4Vq3N4 xntPoiP4EcoQnR5T+F5ZioEuAku8cBGh97E1u2B1Wu6c+dqX278zD3JUEAm3nm9Rhj5IxJ3Ut21c s6skuV+b78HxhE/WeO+CahxuOVME7FhZ0PZDTQw17IRAbms5JUB/I8w8GSqzTdgUy5Qiy73sMZ2k 5afpmqtN5dcwCNmyZ/PpiGc74dA/PvC8pbWLPLTTnE4j4RP01iAq6xbULEvywMSoaRZeK5TrZwsD Ss8up4XyJfSoYligMtukj8zQi4pUnZmJhXCEKKBy22VIsKLNU0OhfdqypBAIyOW2ovT9k8mu/qOY W0SHY9eXbi7UuTH+8vBrzt/d6p4oNjUlzQfHMfA9BLd/Ah1IPZcWN4vvTPgzNRFuPRP0+sdG2vYm Fn/NZbWFhMgpYNZ1JGmKzLoaA+H0f2uGdbHOOO3T79/AfuUpMlc6egAXgzSYEBnK+xL9uK8v3KGO vGafoM2eYDiYX/J7NSFv+hSJm+FNZYpEFkR1S0Kft23zbzygZ1IeigTSFnj/A0kzH+CiEKqUxjdC 4/1X3HqTZ6X0TfFWe4IwcbS3wCKamePu7jRIfm3WsHE4en2duH/yJQzFk49OhlUwKP/VIAOBhTFG /ch6fovck8j6Qjwwu/YJoDxelA1kB2SSYDLi4QWQYiGiUIjhqvgX1kM51tgIBeb7GEeksAdPaWMO GIB+FcvixNEAOux3FeGbY9dh2KzWKcz5Zm7VFhAjeyabgJZZdWLX61XTbfejogsKjlNKaskbhq95 PWUJZAnhSx0rebtuExZKOeBm8k70v4PQspEKOzzkEOHcy/Pwq2Pf4kJp7LMaRYpj85yKlO10fcsp OKDygpVO3ByJyfLsI3orTgN070vNf6AQ85KZAan4IxBy8olpfJHmA++DfEpsX6ofi0kDCDVnWe3q A/fy6OOT6ococvMJXtWWamgnUTuJ1hjAya8j0KvVqKqf8Dhn/1eEJBo493zCR1i901zAdS7gBAMQ aKCxMkllXACetz+4ovB/comHjEgB5QalTdesDB0qh+J10lvU9kLnuWwTGXoy4Acq//d6Ue1EVrXm P3yIvmipONmsHQqFXE7Orfm/KZxoaN8UPVSkH6ShGEZTHqE8a20OofARFbM8+EO+hzKT+hT8TRsw lNuLZL4lNngnVQZj6JlAY+4+3eVCzWx1914MIJak6yqSuArqa28UBExuS4x2Ogz7AyMyeMFEQz+v +gQigT5tm+8p9IFSuP7A8JOBK1xPcCmZYQLTn8G4rZ39xqGbTX9PPOXYSkMXjmjLTfMB/98NfCps BR4EwHX1BS76E2xSnlq7/fKa0i1pUE3z+hHH8oEhvKut1GeZkKK7hCswymYbUkgjbV8fL0SVpqpR 0vCJTgYfl4NtVvD04eR1ht00cD/6QYCEm9rFqFlzAhV12gQYVvX16OQ5LYqU2311U3RQTU2fRQvN vV2UeudzfU94FcfT0dXVsug8zsQN8ladqxa1JGqyxW00uZOhuKEJZcrqlTS1iyrOOVR8u5rKFPzR xRXrFvfaxs11Czqfm6a10sNCF4SPCGgctL5fI6XY1oiFhr3hwnQ8o0PnFVWE5hL/Revfu+5hREIw if5MlL5YZPSHnWvRBpp91mJ4STpA9vKba1d60Pdc/X9MN2NVYNuN1EA5f1cpNq2OxJCkrUdjJVSJ TNP5ctuMkZTEzWikkgge8iWz8QVKsr9/ozR8qitEglwlQK4CsoyPr0r2LekslDWkoOoBzS/pecGE 1NzdrqFkx7JmboktgA6BkofLHKuHlLgCM8fBopW+Bx/czWzVsr53rQ/I5LggM6VbnvWwBmiUi0Mp BONAXXt+s1Bqrnee8yN7PYVJhf3bZFZb+9mH6PSE+1WKwN7ljvXPwzB6+xnbE7qY/v/WVyKznXKd XCKcn6h12+ukoAMhcV/pXvkjAqiqyF3jShSYiZgrEYt/Irww/8lDFFBQYMbRSzVnq3oqhKXavhV/ B2W3hBPhWYD7obPbqSB8qS4lycPnI1PEwb5m9FZxfo+YVHH+ajcKfe/yz08y4QQ7BVk5z5UAyLFg THMmN371b6U7GJF2z1S11wSil2X0t7qUA8L46NdGfAyPjYfJC58kg4GU8/aM9m3xL/SE17aNsj5w DBnf2TAP4SVCXkh6FoO1eJHct8RfK6Yks/T2UFlh6utw9tDDEIUPD4e/qlwZkdrAhnVzTqzKdW3t aYVL0szQGF1iMrbs3asA2EKGNm1GQIhYtPpXTL04OvSNV5W7Z7SitZJtHagy0h43U5GsGIejQms3 czgqOQanU+AzA/jRRek4wJZprXTMWTcdHXoypjE0rJvip9o+9vyiZ4SX2qI9OPgLBrvglcbdUnOS +b7eeGv8Rw//P/lUUNoUAf+7ai6MdKsoPmfTSPqZzO0dvEmyf+awDFG5cnVvyclT5uaP5VUuglE1 GU0u2rXOjF2XR6YYRq9PJiF+92HUpMEZJzPr3DTB0mS2igoV2rzprfVWHlzgB7ANECFGb0MhpviQ sNYXFndQGj5uByoYzmhCE7iozu81tGYMT1o9EjMFzOTg3fM8lavWIat2FSsO1w4iDiDQmJ1I9Kw9 AgPWFLFFBvt+3QyzZNJvaLwEHzy9GLB1bwFIulkst+dKrKiJY/iXC1uBMTq91xymQyVOyXJU1zQU St5sj+ksvRWdccCTreyaSgngqL0pwELy08Jj70MG4nBCUwWfZt+QxCxlcmFoVw5A9+Amv5ShKjFe CZaShXEt1jjBZVSokPRXI1tfuaiwTYtminscF3RbJeMecEXNJDRtDy7Uli3P6oJsVKAqnjdNgKW8 CIZ9PE9+Js9aPqr7rjgZtvs2P3teFl3AseCtcvLKvU91vXc4OBb/Z/iHRqhQGkzGDtSpMoZSO853 fObEhi+B4zX7qk5i1cQVsdZhdQm01rmpTMo4FHD/URcmx+b8nhC3hV70DAMLmT2bOa2VNnGiHLeh 8k9sOR+R8W3W7vAMRHqc3lL4NVIsibvVntwvsC6LkLKG7Qf+chGKcio70hohEDNoOMRwAcL8SAxd mVqV9A7L2dXm4oGtU23/d6ulMuSNkfOn5Bl7lxN5+5h17iNVViK8hkUTKm+ulz8w+BaWJNwAyXOV PsEfaD4TbtOJJDQkt1SO0bzLICBgGyDo5AzitpCdlQD4fFI0+KDbFtEsS+bV/LBjt3X9WKNII9JN Fu8KKGUAEDDenoUT/r2f/sWAz6eJ24wQG0eu3lLEnM1XBtDvQPWUKAGbJmmIMzevsIPdEdketYWx YvYPiw5agI7LAj40Q71GjQgFQh0xFyEAqUCnvI2e3tJ3UseoJmGeiFVFgpoARChMNy003BqxVrTj hY19m/tzF8HAFgd2bEd6SV2UgOV5HP40oIQ/MQ1CPH7Hy6nnwVlC4CjLOhb/i/fS3en2WtgFyS2n LjVnQGAdtB5M4EPMZnFtsa3LA6RTcff4KNBlX5X6RfQCO7eW+9NfczA1deFOCaU27gOp9NcEMDa2 x7ktedC9jWZc0r6QxXT8+lJAneZItnVJqF9i/Beo4dLwlgKgZidTpR5drW8peUdDeW5ibWS/Zhvh 0Kvpj32KWg1mwGCrddztMGuDrLAsI8EFe47Yr0Hg3VItyw+QbpIh+7t0zAWKGVO95NeldLg3MnMr iZ+H/Yw14hRHcYqQayyuLV3FsSxn1AbdCOvAjnM4IqKb6MpxiSYqmctUm/36oC9GPY3Iqh7FW6Rv rOyIdmKei4jKwwlQ+ZG0SP6o46AbItzeZI+3vMl70b+s9/IbHrcpDwTv5CQKoRahmbRW1IgogvNA HCCYaC0HCyyYjdBpIk0xHWWIL7TmcIQhil6NohI88uNQLOWDcC+x6bhQwzevUvr97wgJ7/VPH7z7 8z7o7aCARPH5Hk5g+vFtx6zsuEM2r4L6EvdcQGmcPG+Xp5ENZJEJubLPKNM3VjJFDN58GykJZ4hf 9qFcO35LQnUniT/RvaHJ6XOVXEGWxFwofPYzTO8tMo7pazinrUZXIAjkNuNu9E9jqL0n88fKsSrg PYw5nackOY1R/8TWKzWxf44NXtcLpMXybQ1REicb05X7yHaiv2fFAw6aws+PSvUFxaN/EW7BqPU/ gtXwepYurOBMpmY6ZXmeZZ/ua4/OGyJSdSNF2M9M5WDsoN0hB6bto6kxBPT/J+p9O91ISvMCPCdm 7WhmCTuRIUblQ68spS2AXk7l8Peuh7v+Fi6gGsjmmE1ZpyKcXzwkNR8pKuG6plTtG/ARu7uqfI3q wvY0DO+amrmyRwIHc1Ky7B7ZTpCBJMHswFUwV2GWwwu07M948Byuz3FRtzsoN289rHMrODYdsjAI 9H61hiho2kIdwo4AidzFAFZzVSWPfdL/6X3vIQPgovStUqOeNIMkH8X4ru0DgzvSsCXp3mXeNtJt gHwX3HzRhDyztaEM0286mlkY91xyh3yK3z2a/Gt1Oon5smvbyUU8uTBhya9WulvXMGFStz+GEkwA TevBd81cNyb11aGV/8vn8Po3lJHxCJF2by7UI26g/cO9iYu6wAsx7ETeXY7hSvE7VAoWZ2DaY/pT ccGevR82I1m1d7Zxpjeoza3yr5pewbzHKqoeRbvvFiEvacnIHbk0ZGbeV1M3omNlFG4i/Z+NyRSC 7FY4wpVktlTDzL4gJMhqBd69RxTQKwi+dPTy4yDkdbnFPaLrHUM+gIRhgxgUtY8Td61JWs8YqJX8 EZagZGu8ZZL3xgA3C8vHuyVM8kHGOUaQQM/ROedQOQhwQ4oewTBwZyQQ3IHsSQe7lfLCY8CAGPNV oczP3Hp466imBeA8nltBNzSacD94RC8DsXWb0UfH2OvSEJ8IG9iO/ku9BYGvQR2GtTUQtheTmtCc NmGCCxJpvAKOT4xywEePD8ptVhtaCKy1bUGeoHqQwgFLpBsxnl8lK9pY1I/T6SwiONQBs4Enmdwq ygcazGdrmFme/wvE62cDPcLH55wQzuXUCmpZiev0+V8tjokyhZ5RowlZ1aAxIw2hpXspXFonA5o3 eDrjdnhN0Vog8+tzIBR3fgy2Qz5O09vmDCmPAoo/GpYAm67J5eB/oSYPYDBf9DWmA3JYwAgI5pzh tSbaXtKCgDaBSr1aPrhI8mtWuuHT/ucKiJa3v37PGy3JjP+9u9g1JNDwHc/JVONXDpM1foFqc56s wt7TzzJFGukzakbEeHSnILepEgTbYSA+6hBUeNoa1CcWMU3rkyQxpzuMube7Ez9q61YaxnzR8VJ0 7bVKKr65BI7CyL/v7VLqbFow3nBL2FOy4zBZ4K/dlhmfUgldZCfZRaE75rzyYL4lJSn5p8o6t7PB FnFBv1Spel7OWUox38B+VuaZCf7AFIIkTFUhnY5JF1Auc+lVirs0M81GcJVK32dr5QTYKVewJJK0 vOGySjyLyS08knPKXGkekkDt1PB8TXmv6KbdI2xPMKCsXBl4zcUOtwNzXNahr1hG3/drzlyVOICj LOPdayiZn/zMsnHqiJevLzg9MoSAjrBnlB2106xqECeg4vTmaIG+9oN1deZ8R5jLgyOWWiii5vBx 5Iwsz1e1hDpVaVCRpb5wRXnSEDL63C8y9dNFcaAngFlxp6t5pxZmjeiBz352kFIiPmgH0t4QYx6r HXgjuo1/zVoGOqSi8HImId/Qq4mgVHQvO4vJTSDJoEgkWaN9aaUcd06AGxGO5uw6V2/rgjHwLUvv 9Y+Is3RddZHBvIFh6UybOFWDyCTOP8MhnwQVvzVSAyS8EGGBTwWsvchLryuMJ79ndxj9cz6zWH1t a8/NzDkJmNGviuA5d4tVH7dgXmV03SbWyT8Qcw/GSmtEWCtcApRQgpEfmtFs3Q2GuCnZt9WJ2X5A qnxu/IOjLG37m5mTiVXebznrXMoQDWm6tsO4NEW6GMlICQGQK84ejzmABIuFl4kC1QEAu+Wc7vKA EmHLY7rde25wik6+JkIDCS1wQbuPbyx61vjPBmDVJFNa6oJniEejB9gy5qjvkfzJyfGKU9KJBbwn TricQbSVLsC1Rny0jtcoAk4UKbDv9MsXhrj2TFoHZegrPCph3ATrw3jSJbhX8KSL5Dp1I+uEDefm HYHfE/VNFL9csy9MP4FpYYQpuXBH9DW7ZsbxCo6KaBORna7nQERYeAgE+rqMHqxZqgs0m12jbQL2 MLy0Vb7p3ByhTDGfSgmv1t5zsxVjh/rtFIDWzDnl8Xq5+s4a4Kuj+KCRqqMHr+KaHqsRaZ5m6W/Q Kd2KjV6Qz6pSobkhIanNMX10RKDih0SuW/D6oSqDMQRyKi4rT3LoHUI7C+l7Kk1aZBPCStfKWfFu Wi/lg2HS1AF+QUPPUk1hMiz0Lb6UjCiliylWvE6PdHaHSPNYCmDt8emt7+HVBXIp78kc1nHXg8d7 /8Pj30PnrEayi3Pmya+YLh6gKbw+IhGl4g+reh6IFR/FnzC56+bCTAyqtiyypXxV+fS5/1EitDef pzn6imH2vMO/4LjUS8H27DYFHPqs3KJfEPnk+TLpJ5dpRXWGRVFQahFnCAy4AWy7zrZrPJ2Bj/+y /2Eev768k0XXOUQNpSAi2sXP/yp1re7SqerIndyvQqATE1MBOfAn46ROUsrQF5auz2l3g5nQ9AKY vexF9JaB5NJT4vtIwmLeQUK2v85w3EkurZxhRkNoW4HP2i84VBdyLzP2xmQ+qwF9Ps+DRDSk37g/ SVksr8Cp2rrJv3I5Yw4ivNgjUTX1EDM/0ZckHxP8brXkRnE+MrN6g1jK3mrDIGzbDgnB3KoB7+zw fURt4Q8BzJiGNEkxVhMQI6qrOAp4jq/1oa/+ElLVgKzmUtlIopXJhn1iD2esT9D+e56ID1prVX2m JfEA4/TN4LGtfoQBmedCNnggVXN/hCbSbDafxNaaEEiF5KmPI44Fab8oflj4ilncPSDIzzxCV4Gh SbQsNdz84jDomnVOXwtIl5KytvhywXgNRbyYyufWTp3uyTmhAsip7VSpsi4K3qRV1NegSciIrysQ 99Kvd1GoNIiVvAFvOReXi8uWvSHUu7PfeqvmumoyG8fCJ65qXZNWElwmOIInYrFznTsxWdx1CMGI T2CsGSr+ijapOMuNFDz/ZArmtXm0mzHJfb4O3PDtowmQaoEhu3vLbLVpLWPhJjVomXS6HXXW3p5b u3THBHrw0cUyg8p1rMhsYH2/A1I5o+06C5PDe4A/MTD0Zp9UwO6aI74cCleOV+5TOQmLy+ZIv+l8 BEMHvL8vnlKXcKIa7iH6Tm/ieDfrBpgPM4akQJmZlMZ3xXe4J+uXSEl+3eHtGUWB9NE30HQhxtpj 0Gp1kTNfgEdloVW/2554nnfIDmU5Qw6qDUyLIwyn78xkBimdYKqPtxm/pGN9ywCfBDKWC7mDdPMH wOziditO7BzqvALX669tcW7+jJOZ3ZWqqTAOur5gTF/1i4Oj80aUs9sfs/Nh+ka1Yl/3cHhOGXFH 8Z2w+6PkVyDYjq3EnBndMmis0Hq7F5tmKZ1zPrhVsICqZeKGN/BZJ6VL0e2URIujF0ZBp/h/uud4 ejZ+72XmGLn5wgfTI/V7RPYH5EthXhhZVBEz96XselTr+162cP+sWfSuvIr51d+HnBO4LmBaCoqp sBK9Q8IU7qA5Ubfo/ty9/PMcH/FGNbO0nD7kijXZyI/TL85Mae9tEm6W87X75104obTAWY0lu6On aqjfkKgUj/KhCLuu0e3+5e3mI6P9VqPGWO9OKNeK/gt26DgrY16V+OxwISkm48xOoGcZH4XV0coS VEDLG48MZXiGp/V70LKOTSXC4VKcfl88P5SokDYnlRj7DyjU5PrmaKEXScCTYvWd0WJzykLJaItz HMQs3osQSQZk8K/J7CDs9uTaTsmu6RKG7dQ8duWGrYpN9KLb1xC8j1LVsPIeClj3+GwmHnaT6Ir5 R4pcE8n5OWhZ6kU6v8BxUypBrl8zj/KFRPR5gUO6L79266SxQd1LOCgIxmAyRVYLeb+qRHHR6itW 6YURWOi8/biiijnq31LOjoTudBaMRlChw84tgWcuk70J38WQzqYSI74eVvl076i0PEi2PsFh57sJ sMXGSINDkROKulXkQMlREx6SvUDs1hEOhTq6ahjOlnguA4GqA2GORXiK+xNVB72Jg7s+1lB9DFq1 zJIsBLQaW+8fhOn+uE5QF+B2Hn4CTFwlkvNQFo/i1Retw2whJyzwhgoLi22mqcydk4odic5ff+Gk It0Wo4LJkEEFX7W50ojYWqA/FIT/j0OiaNbEPZnWpHSnXFa0MALkJWW6vhGy5ixaHFa4xPXZ9ncI 0/jNFMP4DmWGzxx9AzirKWHHuNZNMXrut8ujFR9BlobCmRZjx8OOH+NIzsAYejPp7oQaYxKn8ECu cO08/KXFBcgtUT6ZvYGWk3V06oCoRe6wLY1VKVZqSe+OLIhywkWt0obaFT1Iwf5twBdZpeQO2dos KEtgyGfCFP54Pi8TiHu47Rd0fpMn5/PnIKMxeFO5KiVGgGeglsur+TqdbOuRY9Sp0LZRQ3SW8KKT +PdmWgzUH4TYIBWXTG3fqqF1JcmVJ2sJU9q8DIy5QfVfnZqA6BdgFT8PyclN9ZKGSxiQhrMJmJ6N zhJnvIX/nwlt89SMQ8dHoDCqhT+J9k7q3VsUCLxjQRGkFnkGFUl4+a4ilHO1CuJidt+7yiT9vNZr 4vmPLIybDXVf4pVPrMinnhHOKNJUKl47THC4LQCztsQHItpjDpXj8mks2/EGxxMoXjCjvV38AwyV B2gVqlWVu0rfDB38EQu2VVjayZcnYhyF/irQeCxJG7Sb9q5MRH51UP9g9asBiYb5cLM7+DlI0LMs XyjT4y6tGhILJYkZYZ9L0KEYJa4ES10nbZ6C7qndZ2gOYvnPtyl7gAUQhXLCoiEUR4pF8m+DV668 7hKem4MeAY0EjMwsK3xTU1eNpdqh43fDd6Kau6xPAvNMx2GMKelrTp5G2NWA3sKEklB852chyUjW TONeTAc+unwsBefAZNPXTpz0TSNUm2VDgHMofw3UAPD2ghcI+eAfQktbmax0Q6Afz/nYcsqnmGC7 t5v6uUR2P8fwW9y6J+eHJ643qb69QuOPvoRwez6OI8Y8DjJjZzZMHCBP1cW5800AenZD9uLwaQGf Kx7bQ7vy1oFwucQutowwNFQGhEanopHm+ohTDwEyObKzRftbmUpq+axI55XDprz2RIucO4+NaleM qolZwCInhAuElorIFeFDxFdGI5niL5K7TpJ6HM8eJVw6Z2iIb9PAWW+Bt1z+H5I5ZkKhroBIbko1 rwxkO4qw0wZfQBB3uSFKeWUYdwsxivK2IgWJGjT25hux7pFiPhvWcjtrLWSLg+2fi43PVyd4MAbG L862bMy2KARq0UhsGb+An7ngie1IM3W4UCg2IVXVloM82RcKKNzvW401jpjDYg88/WDZEDyd5jn4 pXQK1ZX33NZT/ef3OA53FyojglDiU38fC+fEZHi/JB9TSxApQ/HLmbwkrZdiMUPtXwyfMl+Qr30Z BhK+zi/8M4V5+ZzD9PuxWYyuCpezgGRiLIHu1tEKXsYfg8G0nGArPrkXMrX+B2vVhWJEAM+NMJjx BkoP86HEzgld5ZsBzBDgkkwd+yS6QjseydS+A1B/UomOX24G3+ExTBxHyG0PBkVDgk+HeEsvlet0 hCzezDnbFwqz7Kb4irVVv/gy2njAvK23aDxwMECq/zCHr74CDWFuMVgfUWar2zmHD7+usHDWUO/J FBHQlqW4Rlqga0RrdZbLq7dXB4+sl+Ilbo7EetkYDJiej2q+q5pfYyrQUZ4OWnKCK/N87uuy3mLV d5PTcE1HmJOzZGKgUBvn2857KkgDo033LKftyJB5M3hRXYEh3KRbjqx/bPvh9IbohEPmtQQDJsLj gUf8mPucoZIu2WLOZfIUQ+VJznK70nPw8+2oYDdmQzGWQXStOxBuDT90OXiG9YnDgk7xuHK7iVHF bc2jBl+GlpMgPi3qQ0ryRz9Dw4DG7jdtSd0EuvhYdk+d5X5NS3dkYs77QIXPC8CogeAi4R0pWNYg ZSS67g4CWikHf1BkdI62E1FV9TqtcjawyytvP17AFlIuNMTV6+2JboCiCmtJa/ZjqdGVHgWC7Ad8 pNhCDzzmnO/2SP/Hna9Ze/U4nzTm7gLDXCuOQ25UMOeCy6NFu0Do36s4NpBnnwvNnNdQjOsXWAfc SWYTVbnQSII8l3H6A6SHOF3QS6F8Q85ylXIWnS6OUK8EaJxDtWsbfM2DptTnoMKDd9C2V5F98Brl 8yKy5TqGNsIKM7WDpOCGVjfR8JyABlVVyvTDC6RzNmUlT2tyur/D5E2jCLgLrFS4XGWu6dn9GvgB Fw0S8LphtLLAJxqJxSUDz5Rvefinnqe8pXEf4d5t7aD1iKIdcLiReiw7An+vpOZI21wZH8QWb1jf /WyvsYo/RHAODxbB9OvrsVS7rHjx5u/SwXH5IknwqHo/QTdYFp0wHmxPfKVxvTMy4LWiuezO3ZjT 3jP5g9JbjRdPG28Ny18FUe1XwujDQoHFXWvkhFXjpCyR28jaaEKYbCXbq5c2v3jiwdyj3QDD0jjo 8kmA1h2U4SlySNUzUIeoTeKYqBk0qNZ/6BDQKb9VNZcZ26dT6t6+pYLPQ9smdTgx5Bluk/NY+s6S 1HtWb0FCeDiOYRs5HAErhDCvu2r86WmyeYFhEiXwBDrLGudw6aiiFexM4UMmt4bX1GCP5R2HlILO uk/euD+M6NF7dzC3iLI8Hk6EY7FC6r7whb05aGvvXXiAZvhgyzz/FSKYb61Vs0tsq37qQnSg28UI A+UKq+mSDdSkMto4jqxg7mAfmF04UZ3fdCk4T72sfCMkmV/onu+nTs9DesglbHiVBC2awFJSE1O4 Zz/fwfWjeei7+54ISTTSydlTq89Oky7f80KednbShA5aO1LCpLUCXj9m24XmXcD42aoiY5G4J8FD JiSZPh7qFdXZWx9sekrlRn/TPLS98VrqODFIFO7/Eu39gEtFrYwceY6eel1DTnXzddoHHhQZJzD4 Y5N4GXk/opBU2Tkh7Atl5n/bSLkRXKVZRiv1kC2uR1Kueppe3jghwnbbiszNlKgDyEdqS0rmBfUr Ttx4U7WwIel30MCOACnIlOyia9gRtnQBGOt6kRl+tZsks4rHX6NEr4RnS6Ev7YroPo0DnqHdwDBU cCWBfLPYfRoBxDW7QDiKs/rZfLQl3mqcB8cAcvsfFVo9/XJ8UR6GzW5w+mecCHX0Nott2SqfcQrq nSj8Bie4lpjXNs8ASsXYBR342Ox+W6TLNUNyNzVw9NemWO99f5eFKepwWWqG+xWphpvEOrjWfVQy l7910JiHQv1KhBfEe8On4x3Af2rMr0t4f7PxvHXRdLUwGmV2deQx9tXldA6s5FPREdoEokigdLlm an4yK9PxOPINtq5Gr61QglmCeNVQeMTQ43gISGbrYj5FfeJyPB+cLq+uGzhQkcwiYEVgS2ycswza tCE8AIKdWrzKxBYYzr23/9cfMQCpkPXhJsvmwKOmIyIKx+HlQmpc93V0QH32uL3pc6i9Na7ulZz0 STwk6v3ob4BLQYCmHzJdLzD8WfzlQiEQ3zQ65AkV99iKzqKPLFGuLsCNq4mb7L59/YxnPGnWPgfD jWtdS8lr84dhtZDrDaCz1Ivf6thSNesa8Z8eqymZwQL1Dj2KirLIB9A9SCfuKFYDTD1yiFCh5lHC YKYJ9TXMwwISXFiUw001pYkuCjechJM7stNsB0Vg30o6al4I0crlU7Viwkv5J335QFRNpMz0AiQ6 zh44XMHqKY8wg67CDPSWKUBiHaSJ9/z9UKTJC7sXn8y+HE3RpY7kNIv457sTnGgGackLw+4hb3oN cGvEAGooX0zh/Rk5z5jr1t3qHSKY1ME191fj0DFLV8m+PTJvScnd9ta8MXwFbZSfoz92ISMv8sTd XDWzg5ibbSTePN34fF4CAVHB+0bH32Rexpej+A85dzLsUzP2z+e0tcjMe/Q1AKh2aPTdzhpjHIsN Q8cW5s2ROZAlGmU9InauC/hZ2TMpZGWxcm+x4aT5JSGlXxaq6V2pZUIKaomRW/JNVLCelIbA+wB9 CtbLoSE7uj78tCIOAi2DnXyciE5AJwSBRWxYyptlmHlUCFy5kCqYC4cBiIcsVKD9xFL1n/c9iWoJ pLAUe30YIu+eSQSSqBOB44GYkdaaM2RPa/tEx3kfoX8tSf5FywHRXeMBbZMFhWAiEP14tDE45b8h nANTMj94Di2W5jDIGaRalaDpiE+uNYaeyx8QkD67dUPSa9GGjVmt0K0Pw1+ReacVEWgpqd03hopr ETwJf8UwS1PQFVxXJD6xIGeRRv2Y0V/jIUg64bOOmE+58+f/3kEJA2kygQ8ESF6fibK5P09xsvDE LRm61geYNZChvUK+B+rDBWun9+xO3fRIQLnknof8/eZY0qnhPZBNNDXbB/SDKWawmuGR6qMKVhzt NoQVBdWu0zGB+7h7sdyHwvvgZrsCONSo+R1RytqQ/HCVhTfhnofZYyPVGIuXaS6+uXxn8jOdV1vW 7CSp0RAge+Bm/QfsSVvCh8QCNGGrZ2/0cOhhmQ0YBG0k7mI7jD8oFxPwDp6xA8k7la07/kOHqWG6 rbTQP3ZxJOBH/GdPH8IzhHF3/oMJxRh3lNpwgnqTvq+Pvwxnzs2HwdHg484E0urKsNAvj/XQKeRF Sk7tiXRb2ooSR3m1PYGsojspmxBFyL2Yiy3NpjJDDTqa4NqSNOvQFmW7QW7GgpF5QMGHbfvZVF2h j7LInwHys1Nh3nBDDg2BQCZCIb2rIIvTvh+5VRcKzrnF4ClWGzJ99UaokHskBfy/3j0oxAU7VdCY aNk3AXGomf62y+jE7wtQUfjxL7rhJus7F7ZWmqtcJg9HuP6e/azxtZOdzMcaSPvC2YtDVs0yIDgk ngLDkt0D7u5uDhLkgT1i/w6s5u4JEegaJgoS8aU9y3sxKXc6KrIwpDvtG07mp2TEYC2p50aTAN9x QrMI/ApjesCG9+jhroeZdOZic9jOuthSHZTr+t/4Z7FeL1iINZdRbIVKJccUrbfmmP1eUkS0MRXW cilKgkTb4+gsRJt7E3RZ7un3HE1lU7zh3zBdbSiiMK7/xVhnf9lziWzrDX3I334OKDEPwRGMU5aw /xgr0efStZL3JSW42k3jZ1MhI9BwArt4DfGOyDUdJjQNtZOCGzUCE3hchdPCbCzzTFHsooQIiTeA q/s4+uW93MV+1ylDRY4vQ/ZlYLbr+qc1euksNoN7ekSZ5XJdkLswk/lT5afCbgO0KhcWEiShASvr bZ45E38qPQes7oO0flBeyvLGQvA8c9w/fIiE/XLKv2plgZzjrd+I8H2GfTCrdjDP9cGbAbqSF63T v92Fcy0E7NNsR763bHexrsRaml7iI+tsXfPN+fjn9QLVkgnzO/PsPaUCPCIWC13iCcDb38gijItq u8g1GH+U4JKUejpb516yese17eiUhnB8ojzhgWcfm8ucivZBcCjocjvYOpLg8SzSS/FyuDtZQ9Ci UJOBifz2W/oRKW/mUODKRMFHWaO+J707T1pKduGcrckfhVZAUsdXROL82Bh4ddl5WuC/MQvkdMlz 952TAhLFTXc6bwZYZawiKAqvTJ7N6tEtYVmCl+z8NycoodxLGDMne/7hGRZ3sXMrX/lQawQO2Qja 0hGAPanLUGARjmcTzG3BiYcO84OxvV/G6raSCycHB+utT4F3O/b2uWYr8T3rm7HOpHcFNTHNVWh3 bINULVHi7K3KAB1Q86gppT/K4x4CYWVolQaZxZ2RWCpDEXGHg6Viap5XlCHuv8MUDDWGv+FHJD4T HUhj3qefTlSMjmPlcyVSwxNUAIPHgJTqx0Xb4J5kBA+cPO/P12Y8wraZg5YybdUtHQSZIxZ54nS7 HKXO7Jq9JXv6xRRpglw61SDPUDUZg0yBpZMvaYXF8KEFFuR98RsYdwAUU82VTlP4VmhN+nKCbLkb huykm/XUbNLpV+U5jU9VeW88k+eFFOjOr4l42/VGnuL8VWUs/JVGzwbrX9R5o2AHvLqH3gcusVPs VlKTHJJ4Hu0xZZpD/KKietvXJnIfM6sfy8FFC+MY8c2Kp9+rVtxdYhWMZTu0Ov8gYIXPSROhgMQo B9Di74zzJ26tqCPokACIW3DZ7WnaYTSmRa36nCYNqm2bOYWREKNFZ6JdxtJc6NRiDAcqQ34jEB5+ rgCRtRDpwJgVvPWSY4PdhdrVJy1KhFRm48M9ur45obpskMNWpJj7PgNb6aDdcuLVSeLY72RJFSIp +ZALf3Ayg6ByqICAZNynL3C/+QgYEl8n4TkNIIfzs/4qil/P3jGGv836WpaO49v0d1Z/jNPQ4SP0 MnYOhZaEF3eluAgnVP1/WmZmXnEx2GPBER++/6pAY+vRDv3+uBazbCRY55N6nTv1P4B/8zqT5kpY YO+mdkmEvcg4ZtI3LGFI13UDK/Ybc562MLFCMBBPIkd2IwYgfwybtDNSF0ONanIzMjocKPNRO/ez iMdLn8KZk9DacRCI2Xq3AKAzPDOy/ULjwZjXUbEDp6Tqv0Lrvgn+1FV0MQb4F7PbUhqCv9tOFGQf a9Hljod+L4d+hULJQAkDrPTDSMyMRpLcgOlXn4qynVbVDEs400JxjZbnltL+h1jMyuzf1JCa3Tm6 ZJC2LjlqKQjfqL5EgZPDTyvF6Y543RNiX0m7vYda5cnanqRvQldGouEM5RPDsLbtMoOdV0S/S8oA NPP73DZVhceGS9jHrtthjPCyUP4IGQ40KXDigD6NKdqtkn0xsEXrF/zP7e9QJK3XdDi1l2cNKGGm vwU1ms/X7jdEwe9vVcxb6084E6ynCUBQPk94VhTl554VgyaM/N9OBJtfcB+LFKpS+4GG45VJwG7S Qh11aOIVL7FLQoZEh/RZu9IwUcni+exjDSWLaGVRitW8cp1KhQsYCJ5V5YnPND0Z8NwYteUbjEtw wHqMDgqT1jXyxZWZ2YA12Beyd/9dspl8fNn2/AOc2VG3BG/lpZY1CdKIA0pYJut2edasHBy5pjcB dTLL1m9ysXzwgpsvqiui6zEmSFh5H+i+t0fTez9LjJJBc0CCMpYx43E3inD4s9N3kWukOjV1m3df J6GYCAjDq8gG+WC/h6/DUkBLT3rO4iW2gunX5suARkatJe0jhwAtG9ofNGjQ08kBqRINhtc3L1B4 Qgxa5NWq3VsTnfnEAbwiDufNlhgKW18ctNLnFLWsGo0wbqu+TwXhK9r52665KsZWWO+unNfYSpLW mtELy7Vc9plX4eBs+HP2g0Fjyw/HvWGgUk5QLAKNqur6Q3WpJqAL3TR+aSHzcwVZrGcRmtw5hunQ jbEAgWqe3gJylGQrQmdyr8IxNcE375xQilcDCl+bo86sfctktPWOhHIADSHph/OLhLl5qwXbg+uU PG9P+nNHqiyftvdc627AxrXoDo05z/hTDvaDH4fotlKNIvQC8RHztwbuRkJCl2Qmejd6CIaSsw2F EX63VSjG7z4vhOdLVPhRIOd+gd+TyC78ckpqZUDhp8o2hv2867rf2a2pQpcmeRkMTzOqwHD08NCl /DjZnpSPFwzmYV4fT2Z77fGszVWMac8Rs80siA+lAF4CI5/oXuqGUBiKdy7Z1kFqdGvoeQ8ks9ae hjHXRj4EtUPe2xXZMSnzjSox6AA5kuGJxGUM7PfRbfgMQX/nYhMUKepkuwINARioMBvrOu5cQCdA ntdtSZd884RcTyS38C5HE2bzk/d7g5zVVEp/Rp91rPA3IGIHW1hzaX4VgzEhRh+8IubKWNhmK9Tr FpDffwWGnHv/1BPhgcFiOY4CA8tvqPf6chptHB+uhxZk6ZRrO9ay8KK/6XhyySgyu1txpQTVeN9w tFUPz64MyILptnu+CvwCDtbfyu922jkv0YO2OcmbV+KovoGRpTtYN7da19CKbFsRHUYLVyseLlH1 X0E67C32VtkCi/IFpJ4vPdwXiWgpzJNeLsoud9y6XS28SSCS3lYuNCq59b1RVwZ+5nRGQ8rIysBu Bf/I+nwvuMdzuFNVabC/ni1BhTKqBra5c+Q1bdhMxV9AezSS/eADLJTVR+lLqBjUKp79NSsSF2B7 13exRhBrfsdstPkNcZUZVo9lhLB+ECmDMwLbCyiMg0KfJ/SEF2ewmQM3u2AOYsu9pK/Um1isWCSg kxFwKqnzSBxBLNqxEzZmnjjp2UWOrMXTWt91MqV+4CaZBECViGW7BDe7XbyB6zrnpXaDxKzuWSBz /PhsfrtgKWduXEtFWlCAC44iUYP+qNpoGc2ET/2kvyFFYLcrn0jmqUtzATM5nhX7B4VbNCsDrSap MNRKJ1SSojPSqEmRkeR+AVytUSeYR4Rp9Gb496rd2eDrfpW3x0bossmIQ6kuEwkXZGO+u+mA7xsY B3NDKJgCw/vrtg4UIhEq3eOzYT6M9A9EXu3vP1GAPEtYCn1QnFZdUzmct7mNvR5o2V0J5VLhAquz yh54ea2g2cmbFXDnggC+dcY2CDX5a99bLcGvCZDgLZj1DGwekyngQxIu5o1DbGu89h1Bszbx5i6S 15p4FmBhm+aT2uKoZn7OOiWRpW7HsSxgSj196jwIOEhwje2+tQ9eMjxt0J030G1HQOH6YSKQD2ox mt2cnjXPDeelqwnBaO3k4HyteYQZAUwilkOpmbSOBFErbz0qGeaqC1P4zwqzsC/jBgPjhyPLqSZu SZQl2AjVVCQw/H8YdUXf9bK4Iwb4jeXRCfQ8ZzGGzy1uD12BbKW1c5tZbTq/fIbuAZgw2aS5smx4 YxxqoJqt33fwM6LSJKpptLuRzHn6Om6j5bp0iFm+8lSvGDsQDAvDvdx3WVVSNkJiaFWmh9voyrwn JqDlwYsogoFUw/3WJpQfL9k+g1MdmN6w25cqIg4n7+I4MpjtDJwoHK8KouYc90jfwTyBPbPmmk/e 62xMQwqHjr3p8xfPY41ocFWC6margN1RrabXTZYxVAgLzaDYWM0qsNoJZCIFZ+8go7nCz9V7kJD9 ZhFNjBG1y5ebzi/G/b3xbRbzPIYHlccuuD3WonefwF6+rE515bl8G0KNKUH21JGC8onZE3W/lyJD KrxIqCq2nwsylGVkpBACd/JQ501LDl83HAVWwwnDvz0LWMEV32i57UBnN9SOgP5Ch5esnOj0snaX pTmTyhHSQWk4CS2W+hSQMtlB/wLJgUmRuH4FwLp9SUshH1KMZafTbyzbXbuQt3+qp2gYJ2haCpop PjRrK6RzcQ/AHsb5tiKogwkCLyWI09LSH0foZ78apJf0LEBSCMQADSkgfz2JEOR6GZvqcZNzqKZ1 l9paZau2Q+7ozdoimETC7cbyqmKXrcEgzfR++M1tq+tBFe+SVMCD6Pw9lwfXiZzD1LrmuBcfvQBX iPE62cY3zWtYr29vwmy5DLm9lf2wZghuE97bvQrpu8xJqXBwRz5l20hpcg1zI5n3AlzHDVJsVvkS 1swPu2uGsBo+EuEI7D4IMvE9HwHOT1ac18nabEESdbexAmtzL7PCwXWWp4bj7kQfnBrnPx3Xj38P DV01eA78Zye9yFA/cHMhqcSa+KjcY/JhV8VHiuG6T5GGOsBH6RRbur6b3YCkm5Ta55GnrSiXDcqm ksSQlt5BY63lEvIr9lDPP95LaMRmlM8UNJtBf+W/j4tcHMdBaO/ieQAENcTjXYnXG6ISoAyubB+V wWMARoJfWIyD7JfBmH6DBxPRfsNDdb9hfyi99KhGW/pjUAN+shVGmFWvJMfa83YCXdtn9aO4RTxx tbttewrT+HRpWFHdiSlgnnBYLEh5YOjQqQ2iZsYsgZeODkXJpJ08mZAggXLpj1iK6OHwFhWup4Qd yQ2B6PClpW0pQQvkzo7r7l4p6yRk7BRbWRa7dszlXF/MDVXDIy+Gg8+vTf3FYVWVQFYEMSVghcXC +UDNT8Ipcn/rPpbncKxx7W4UKkO2mBFFFouNJxL5IkQM0QvNhGVeDPVJ5amUGpiitXx9TKh0F5fQ urK6as/dXoeYl9bNqXV5lx8sBPCmwGjCWO9DMcFpgG5CwO79kf2ZO/qoez8ezLaDYeoJo/U9SIQw JKCSzyRlJ2GYeJ7lh4iJC7jY94NztFfUVWmNPkopOO/f1YXqX7E5O0IpNkWy7nFiVA62ZQuFt3mF TscX+bystGpuqbKwaS8RGPeG/L1u/Uwl7mHLE1YHMho1otJFv+vm4SSCqArbEUrhw7GCC8S790m9 ZcqdGVeYpza6ufMRBPceWj8iCvUzi9qoopl2Ck3MZKBQv0b051QM0QyqHmOJnuAER0oe7SB3enm5 b7DpCcTMCw6QALz/F9uFl4bAW6Id8fcG+loDJeg8XXsaeciNJv5cruOlGWVBJNazYmpv0E7niEs0 WL092r4yBamhchR650tYjltnD9f1XK1g57PhUOviX2lf9TQvjIr7OHTlJ5VkAFNE+4OeL0ONXKem Utld3dcWBhRBI7JNpcHea4jNljEcrji7Do58OQeuadQ0Ua/2ctMVgW51a8Absx1IGjNUUy8kINm+ /BF3r0DxxjVFKVk3F6vjuEE5F485kxiK60ChlnhvHl7eW0+nXK45OJhmkswNXgfzKPB30Szwakcw 1sa5mEZCoQzgK2ctfpW2ju5ublcLuEE+C5Mi9GfCrOtTdDLzg9Uc8//DeKF5SC2Qa2cJdwn6T2bz AStlZQMJfE/wqNNNbK3XkHirrIIAV9eILOFY1GaHD78AlM7uJXaE0eZxr3r4CmCrRih7s4EBM6QC Ey8pyhZfIwPNeUR9//OJJ0oVFl2nh4DUlG1ikwn31H5gG4w4AbPxHUBDkUWjPWI3JFdqol8eeRtF 0mCcwg71Ya4Elfa1LJSzgPD65s7k0Aw7ruIF3xiQ8qdeBpieTsfhDTQDK1E5v1aTzYh7Y1+emFvi IYpWmFGDcew6Xg0yj/tP4HGXwofA4NImYZTSm9duVzMrkY29E1SQ57iUM1yM9LSKHRv9oWM2hF3w +nD5zWAhXHkAdTaKaYZQOiNwkFNfiWA8Xh8X8nqkBVL8mZz9mZicUtk/kyQNMxET67SgtrSvh4uW 9AbAknZK+M4iCuAb/1iL8mgkKv7XMyjXRLa6BXZKL+F63fZHlWuc/7AAiP+edLZLSaI5OioiL/ox hKUOvQ74D7ZcZcbJgDmGdN/ibyLn0bkBoG4YWhkuRhAuUGbLutcmLA6Isjb9BbuIuZ+QbJUVgXWu gaTcdd0cROpEwhzG0Zp7oWmIH+vn5RtB+fc1SM2gspokVQ9rKIEJAV4pISgC/K8Dz+jopRiVPPHs h2IXSEH0M+UgRbMIv3FKHNofL5ozrywuhXM/0gnprZCUCZTz3cjSeH54hHs8s6BbFJXbP0nHRQcx diHYchDg029WawlDnAGCsUnq/BGfA7Y33oDTjotDxV++tRP3NqFhrUNCXT0Nb93MVRQX7n0t4OXY 1e0sF34gjsqdlZ9APhxeZaRYTmUL9gKBVuAvWZSO09HTgi3jue7HqOtwGIXwxBAPwMmxbTb+1FpM dnYPER57oP37bxiHGVt5yhAKun9ncbSX6ohOqQjc5BBcUP8bOuuz4V+yOxguGFOxgrOX2MlGjpqG dKTggHvyFp9duXsdLNPtRN7vZ7H7nDQMcEIr537LZzvJKdN82tI3sIFb42cyn4aRUNgYGqZNWzWZ td8NJk0P/3gAA40p5fVcagVbPheJ+dIAma3XagBwD3576LY1a2jodcBrEprp5HL/zK0/A6R4w1hE LzqwMC/cqdE7hhdOw/TEezPQ6rTHntFvmPepwyUPUggP67VvTRL/CLOP389lgznu5J66WjXax70S NuKnOyPDaGsfn+4qmW69iLoLQaWeiqFi84A/GUTMiTnq8e0j41/kaZ9FxUvHaphfa96qZZpQNPwj I+SUVpmPxeHQsXforef9dYZA7H294vKifnbl7vFrYT9+oXIS2j1s1nF2vuRKxrU2968jXFl1mXEx gB82tR0oAq76tidzbvRkQGQLOPFGUMBHSi7hRg+lpNCYcAzYSfKFyv8oG6dGkuFmXtwBKn3QVFuO q1XUs897G254F0zvxYl5VTNAsPJmf7Q0BTnRivE0lKA72bI6tM5e7i6x3Av7JTXK4Ar7WA6KOurI LXnBgrbsY9qotIXWZHha9HvFFhe82rRgYS2dsqbWjFNSsc7v2Dc+4phmSFcNiG8KspFXZVmavhMZ tjycXwzYOJqjYfNywt/arGgFY9DnblP28YFkjp4zuiEe+tYeKym1PEvvWTiSexmqp27HP1OL1HUR drCviXpaHorySkdfwZUlqANQJ01LYdkc4Tr+rNlfsNY+LRzcGQcZRfZLsKHot/KtN7fcuX1mPoWy 9y7Sh4nRrNXCQBoPjI1na+rzP5cxDHo4E4o8hwiKr5zrzbHslCaNfOtlJxNF5X6H1kSZx2kolqks HubK5BXEzDg9+pYa1jB3k52cjhkLeYM7Gdlq5CAT3ZIDpZmAopMaC7qcU2LYdrxxFYxMd2Tx72Pw 1cXloO+ApYLDsyF5xBMNNego+Ksb0jIcoZWAdqzd8/QNICGGWaZeIH2eeeRU8lm1PPZzhUlnHfjt sjuirsJTVqP1zHBN5bCagrdsea875XwDlXdKphI0t+7Zjw0fK0+sdmtyoH9ZUuFetWBXIFaU20LZ QOkyPffWkaq/xLN3ZlKpFCVGwfrglQl20Lk0LCuL9t/RmuyBI1e9cHSuLmDSk3ngSivsL1nI7lpg 85pT5AelxSsKN5oLT4KCGaGJIqX3vhVWruDOTGNZC5FwAi0rN3TJafzdLPfx3y5oxdCEyGfUtkon N/Rq86k5+66BqbckbiGz0hpPJ5H18qQRcqNUrjUU97spYC+tkXSrJYegL3KvZH64rBZqkTediszP wSdmuPRcSElfUjvWa6ulZAoj/rORG2VbGqVNAvg/t1HUle4uHAqJXpDNDWxtYOcLFP8FY38av7/m SjNOdDU1CC7SNzDNlY6bgqmIBADzW9L0EQq+rBOPbcgFzx/fH2x2tJ81HxfQPivAF70kD2S/wTUH CtMAPK1pg8OKdk3w+UBBqtoh3Ubc+U+hijNO2BXFlZ3JwmdLClOxMuM5PorKf3uzAvLqz6kYRjLV jahTDmPC2x2I8sJwdau9a0+AprDyEUr1tUmylxg8JM3iYMHFEcNFDKsyW+Sfp1ihoTNd/jJBAcvr 3PSq2x0uDMob4ds/Zi+btnHtUwc5/Hg1FXzh21jxaykYAIJ71vi7efaSEl431DsUenmQFxw2Rv5g K4tD1cjSx9UzVYJmgU32uoN3GRu/lTQamx7vP5qMX1sUoKxCUu6PlfJx006p5IdE9r+SkUASainH bqxfidvMy2JvrMYIeaMXazesX6Opf6B9sbmaKlxZbFLAksyLlYBYzFsfDGXdTA6vNM8OAfrTaN9R tvseSmrO1ZYXuPv8SHb//PHUZnMsM+LDZVA5J70FqxrVQR32/QB19A9WSbEXlbNMjbZ/0xCvGJWH lFRwnfmxFhPRkO3jgShnQkHKIcEl2QmMFwQeGFNAIrb1oNKxq9cX4zJBGTn6LJVwEX21LDh9rWvv NR/T9u8PtHJ0O62yrKECb/60sXfxLLv73EMML0oxth8Ru6qMoMGaCd2FyCAXHPqCnerWed90LX0L Q1APr67bmFxjbDTirig2u2ei9C/BEme1vp98yWuZRtdsqMMnXGBuhA55UfHDAsRI8uqxXdsECE3F cSBN4QGESaXeU1X7SO/BEO9LKiHyoCT8tkBGAseX5dSeL+vQlQmz4j5FVbAzX/SHH44n8QrtPrq6 RYjNzjgIf3ndxEOCmS1liK9tgSB/dzbheuBPmQ0oAbS1cYEypQaNTb9CayvJYrJ8lb5/j2xM+MmT YIL9NGeivWkpJF1HP3J5bKaP45leuUmyGwG3wPx5hw6lhq6SjpxhH6NH5fnS0E6gUUVAgBN8S6x/ P0nRq0y1hPF5nLrQeJnOh9NXNA/3XQhLhF5VqE0I6izWyewXbC7rbvKmuVIeJQEIfJMFs3jVNcP6 AchR3n4BytF/mNtU8cPyK+Iq/kOQt25cpi+Aa34eugn5HxQ/6irOIyoODb65MSX7TVErsKz4wTR6 0yjZzbMlt6cXk1ZE49U+OFZ+7ScjHNgdxkvG8b2euD4YpWnYMyx6B/dSnhp9x+f5Uadu1E6b2uB+ uQtI9zpiDzJby88eduhguCAXqQiNv5B0/TQlTRBQaIS0LUkeC2DOgI2Af191eYMYmVIExTQnFLd3 54bWMWYdm6TKvgdJsT3zNus0j3VWaEvZI5zJUUYmUdjGNu9O37Tvh54khWeWJJQ4PtVNUWv1EsLZ dgh/CqTCwq7EHzUFfwk8G1j4XvUBkZqKYroOSvYI9l54/opbpRbyIo6kk28f5zHymF/0KFTBt07E F7SyRgh2K+46b/CCMowqrpjX88WwMtNe+W9CpoYmEAXN2oQX3WGeiekvqSZwjxbfoX6Q1D8QZdzL QF6CK3NT3/otYN4ND1wj5w6w6wfe1TJBBZx1tD12xN5gBL1aj3c3IA8UeQb1LhR6LfdaiHAX28C4 kbt/Yj2CnJEPtAJr1tFGOIcWJhawW87X2461fhci8ig50zjbx0BS1k4HT9wY/B+eTNGgUZfZLD/b Kg5i8OQVTtyY2woGvyGkqejU4eHu11pYllDQ5DCTrIfRePI7qzogBwspitdFXMwoB6aktwNs+kjO KKzd8cAd8VvSOfxsbdI4Jxcd7LFKcqGu5K4HqL7AXiDI4NPJvIlBx1fsbw5Jj9HhHQFuP2nMF7ja kSPYkqkArqvzl9rnSAlQC6JUMru9IJx23TlCsD4+GVsfjLXg+Yy+Jagpq2x5hyTD7FI1FpCJDgt9 udTowjbSL2q5UhEWD5YmpRFvCYSwFrgSicPDCjpFkAfudr9RiNmG7QsJBVmKDm3x5dUvQhviSOcK 0e1l1HBeFCjoWi3aMEilFRmYGUXd7aoaKJ1R5sS6t1PmPW/2V6QvN2pkNLsRk4BjziZ7y3xq96vh RM0vTye6iF/uaPqg9yqALUxszoNv5POncfnCSMM1hZGUQSu4w7TzPkroxNZfIUn4Flc3HU45+/tM h4JnFlj9yPzLsUOACQvVLiz56DxqsPmxit32RYfqVGZlknAliRvJT943HA3l6W/OGmiUHJ8WgUbC p7AZhvMSfIrYrV0AU/LLNOHGJgGSNorVqOILGRaflW+NXP8EBQOpD+pq4e9h82czBYSqUSjJhpS8 3zm0tnGgMmcvxV/m5EIooaLWObmm0R7+yPmG/7JXcPr+7HlkecQxnBof0r6qFzgrrvVZZRwSxh2I KZKGFRG5fFEO5ayA4oCXoZ46XSIxZHqeWVh92EnRyOzgBV7N48VRph00N7hq6r+q+HtuUmxeJMPE S4kvvTdR6UZs9ZXDw4vlhU/tUapOCY1314mso6T2d2Fu354dof6WqAf3oyJYx/6Y0dPD86EHMLNv fx8Bb8CjIbvKh76xH4QVfPogx20F42ESCuLYi4ESpOS9xCJ6urOn4FkKhN5qQWHYieaGMq4LwhNX GLCawZJghSchU9SeDo++Dv5mIzCnG5m7v8gAnIxXGtN12whNYMi3GIX0h67Ya13IGts15J8yksh9 iiG84l4EF1S+6UDLuh0JWwcZB7J8L/CB+zcfDNQ1aV1CJGMVcP/jkx/OoPysj2OjeSpHldKGY1OX bcU4wh+rmreIqMVbDsS2Ts3tup+HdcYz/TjGagbalI+ddEJ0fLKywHZM/9u5jYR/ci2Zl4LWh6oM u6x7LJQrZjo6cRWQ10Fr+FddJpCemeMtXhtpPSzc/pg+74advfmCbUn6F6G+g9dKB6wY9EjEf2M/ lvm5CcUz7kG1hlszL/2SiMBwzatdXq68BOumnRyf5sXquXYZKUMuB7eAa5i13/+jqDOle+2B0d7U CYHwgvmbuqB79ddXNu3Fx/YI9QqCF7obgXablDFOh40f3i5u3PR9VsHMjKFTnxADAPsltYXlGVIu GWf3OEHccwMSIoZ7+BklrnM6bvybm7B3oZ0zLZvHA1XeWxa5z4EYMvDeiJxB/YtwPr66pfQwYQM7 xDdA6GrmLJl32CyKz7LzQtH0n2ENxuN510e5O8nSYkonIwZryNcZ5hiuW0FaRFmdjmfN0ocwQUj8 YqivaL2XnSdHCmrmqVaGyPX2jcqfNUykuYbYv0pnt+eyTY0BLi+mF2be3C4v5IF+yjFT48EhW+rs PlZ/Rsfmz4pBfXPth6/zCmZG6b6NoP4YuLBaZ/UqYofB7Ht5pS3fRBS4DstreeXbLS0LmOMKlLEU zOYApBKJWPklK/zwaCEUmImcPj2+VX0589TVC+8bNdKmymznv5ZfYPT+b7fdxv7hE9pqbMEsSMYH jUdC0xxcC4yJOCPb8PzcmD6rbRhjG3Vy+pzhvkH9aMYz3HeyFLFiFtSn7yjUZejsa+nK2bvZxSAp aQ46bqVDnT8Uw2Y6BmsQmx7LlzZ+OcjFnNV9N23kj7RwLBWaC0TmS+AIU74mfCK+lKwqrfSwn7FU ozfg5jvSghNGBSibawEmhgo8cvDo6CY6qdxWiDF2bx2lSmrBc23ZbOcD7QoBrfm5CMcH9S4Z7HdD gMo59b9Vy/GJQrAwHeUbEmM4u1bDMyoAoA/vT13e9zaSuDr4w7G+JBzhkbBtwrWmcoC0CK4W87rS 7CajdhsH5ORBKGU8xwm4PF3wju7RiQSPuVGiD7Cyc6x8epZgZr3dGhQjh4GzejrwlTUFcevPBQp1 CkeIeWy5Y04+4zPzKBO2dka3COLI5uRg8IdV3CiexVUFk/t0ATOlsYS7rVEHEQxxBdemhVnNc2CP kmOQ35NuhocnPDII8rxAemUOZfcCJc0GQs3WbNCoXlwiO3ya/cb/EET2ejjHSxl3fHn2Pp3QvQye DilIPIt2/aEU8sjdGzyZxYk1N7sbTCUlWimDXxCdQHqKJH9dXXs/lrqNcpjacf3wyEzJcvMsfVXP QmbKKOzXmoJxgu/xCj1gl18OALtpYNp9ewcLG6A3kbDeA479LP30gwdupdMpFMyiu/35EW3OS19t STOqQHyu0X6G0o1OwA8cxCGf2CJcJgNhF1tDDFHeHbsSaiAg//oMQr5O3qOPe7ZJ+TcbR0Lm3b6g TlTp0HxBxTucY4axjCEc01b87fIguZG71KaOZEL7dQBRgNWJOta5G2Xh6YzLKls2KAFHcJXF83nu h9hEQ+xIgHJ+eFzs0PTAP29+UrbMUjNKlJB5agZ83/gSwsDrfFmA4i5o12EyLYg0tGY0G1zi31Ng wEP5xH9b+xg8C427JUVXQ+V5oPCE/Zqv9Qli5WZl6QObH+sddpGAbIlMJ9Vhz0brpPVGuxjLlp1v 00BICP7oZFVEGLbYJRlJJ4GOim6+GXoFggJX+vtY3cs5mxvCNDYQYLa2kgo+KdzYReHE+7v6s9lJ bxP67QDF3VL1GFhNmNfSLraMeFNclmHotv/EVm3ek91oKZz6bF23bZ5oXf3kdNnQQ8Q+gRabi4BW qLnk5DlU0HXrEoiyj51WgsWR0s4xgqRAkLnIgGEG8SDEK5CN4PF438h8fBNQFTcmbwQH3UVAq4BF 2rmGDQDY6V9ICxguBtg0+OLHAJ3+dY4i2zcs8SblWh8gAj6EPmPpIAzZwXQhPG60TuvAdI2v9qkW XfsZhtn5f1ZfEouU3aMtHUtIzugCnMlFWWHkzmzl+Fqw1FZV5kfqKq9k66FynGTMU1AJtF0fQUlB slBueySn8Xs9zL5PhshCkajc1YFgos5kVFUiXZoRUc1geLTEXsiO0iy/Y46t29G1pofbP1n2fI8R 54JQotCVCNK8VDdfErxHPBHm+eXScMZ6O7aVYMmUqmPrZDIbxFLDBdPZBimvGZ7NMACpzoOIu/Ul qRQDv4QaVW0lqNSm5JrIP2zw+nJkYdCWFjR43dQIGSPjp0izdrSo3RuZ8qGEcK1Bo47i3rhJOnw3 hz8UFhgrKMgSUhfqKMwlXQefL05doTnDCiniI0L6yF7lB72pSHjoiIDMVV8xi+iIiOZeiJkV4ZR2 ok3lN2Is+XSI7H98cbJbtqcMv0U+9PqQeMmi/9lqJ7Lz/ysvVu3Sa2CePbsiXM+Oim2ATO30dy91 jSteQQIwJxIoIkqPuOrvYVUNAbxPh8YTe8GvMuNp2icjCQbFNSnePa9I1tMTTO/qvxHi5VU25zEU MGqgFlHGn3RNxRSoQfTJctr0U2DdF8RrX+FZcFoQQZV8OGSP1rg9mV8SOAGDkTZAuWgu0v3p0rK1 uH3p7oeSuOTz8yQ+Y9WxFvm7Xc4CNdIsV7dmCTGYM1FSiKKjJACtIf8/jwlLKdYAicQxu7Da0oj6 +uqEUI/5OrLO4t9LHJ9j8va2rxrOOBBQtaQQpVj/Wc5OyDmkVAJ2RsTBhbA6riq9hBH/Fa8kAYLC ezckPlVRKkyss6+pjJ1YLgO69QyYR+EK08I/zXj4hs5Mf7Kgh/JUOQFBUCeitrFiB/6/0+torKha t2WJvaC9vryuT83yWwtCyBcvYHVE4vdg+NJupYbX5KuWXlroxcyM+d2A4YjTAw8mm+wsNsbvD9Gi Ln0tRsglFZyDpHTCM5MdHrDEWkg/ZXUvMSlRlidLvJ5iDWGW8NXotG8J+GfzEBVIM1xrldSrUr5h d2XwSW8N/Txsrgw1o/G2swhoI+qVMYe3fs/3fEqnBF/etAwUrMikz4iypOVfayqfMFTXqNLugSo8 sMq1mKeun2zzSEwHde7l47AskquS2ld0ae2voXkgZWs81JVMVt8Xpl/5X+dBFsbRePk8Zp2CXBu/ +XImSwbZ6vuX7lKeJA9fKS7eDw26QCHcR1V51rjXI6qvuaBIfIOE/dg389SutlY8yZ8VrKhhvTsv psN4PiFpPXFCNcinwTeOgcY+pTzhsG9akY/WJ4nEkKxtiu5OW3xNgvUt6KxbTk0J90ooElIXYku0 /+bfd50DrrQJL0IiAq48RFENWxZypUMnyUHXn//SPxbWuczegmdfeY8Px8n0MDXQwRUrzKyk+Pl1 o3XTCRM1mM5Z78bx79kivcdh23Pf/3bd5YD2gN5yG3cAh8pmTNQryaCYEQdzCvxpV+Ik1pHvNUne 5C47YECxXIWxu4jZ8wO49pwSxze6WXHHJJ+QaBVebgGje2PbBqVtsfygQZStzKLZvz6el+m+k+r2 z/HJCuvu1l1sQby8d0cDPFNTcCVnmxlq9OzGJPc7e8aCTw5ZUwZCCaNd5/qs2VHC8lGyWf/YGIV7 MvluSf3HmifYDiFtWJ2UM3LbH7p7/AV0VjfZWmzeUuwdK2hki5a6y3N1C3t80PlrMQ2C6TC5YvwE yZiLsBnsdVihngquRvvpWcG/7fiDtHmuJ9DcxbpJ2enoeCuzbVECPFvxVteWR4YAbMgYOFeR8ucL WuBi8V/CAfaMYsEn/Laj5dJspJVmfFs5LcFE/IldwgYQtp96OmLdOIQ1AwSJcF81FgSPs/ihjLJ8 LAW6FpHvRMl46f1tHp25b4erTWLwL9TV2zNiaT0hBYF4c1eiOxdgsw+H7p3nQWU9UIu8c16Dznzn lb50Txn3Gec7CKwEcfzc/51ZrTEi7H3oqiInCYEuwbLjgDmC1MeNa60RlASKWFI8Bg8EfSAIzqut ehrZjJj2S56PYGCVce7MJckRQP0GMqrFNsrBK0aNh5YJUz3qmRUPztlJDFAbHiNDkJ4uF8cCwx+f KOQN8JJXFpX+DD4FsQGNd3tpetqxM9GwVZPkU+V5y8Vn6crpn/9W2IDBteFPgOqUz89lHEOxzWRa sRiqvjMF/vexgBGdWLP9qcF/d0wwkEBzvFDWk4TOu2Q6tRcfCKS2Kgc3dVA7vVLJm+HUKVP3tYHS FQrbDLXS/J6ozNEPIBOX3L3U1ShyPq2CslJs5vleIQkVib2ifv5Vcs6EJ+rp3c0NQFAvxm0IXRmr XNAeKEHxMpP71k4up2pPwWNlPtVDV3B/RKn44qdTUeq6+FD+9OVtE1OP+KgqfQ4YgJvwI9BnmpEY Epc37p78dbE4dYSbYfXUsii9dP0148CUG41zfr0VwjGmisqsZb7IvHoeed5ARrPlarmn/5CQ3Zom W1YQAVXcrmqwcSUKQpTsZgfe0mvWbK9FBPLBJXDP7rrr7KJPUge29EtV+hDXFUbLpScmKBVVtMQ/ s2O+zVOtfXDF7v5eewR+dhhPtpS+FGvnkfkHRhj6QKNJFTGTeJokAFLmYRZ3wQiCX2Cqcf9tBXEc D5paUFjb4SM866pzCJ++1nKxvcPWT8bo5SEyf5r5QDMOjMrwClRIWaM2jeBuZ4/b414IcdzNCaaN gzpvIeITQifqBo4QbIPl0WZOT8mnmGX48j88j7Td0q0moAdFmN4HvFbKWk/cUJ8vlI7YVbOoMFiO Xo3CkOVfNpb5WqqkhmEfkHY3oIF9AWawp7+X8iRkZx1qGzqgOmfxjVRaAlLLL/DEqIEPAYGzHMnA Q/O/ZV60HiI1C+FsMm1BQ2msZYLjV051d/ItEczPScLOBJ/LsJod/qC1TelXauabDEZWJcCas+rS 09TKIwe6yosnq3C4UWBZ4Vtkn/K6aNE/2toe2Cfu/ztx1deo3lQOSiz8faBJU443ifJnCBxlRZrx dMc1NJJuInVJs13QoIEv03m1xt9bXs7UsBS5QaIii140qlpL9155XARrp1yXxOdEk8LI/XG3xgdt oUoEqAm0pz+zi5YBfutl3VaBh36U8a+YFesp9TzvadrH8D4sPnkfjfVXfwW99GRpOjyVc8XoiaE7 zenltpImLvytpTQgAOgl+i6r5RIhKtY7DYSCz39JjNQzIWfE4Gic+1GR8Xd+a1UOarKGT2gdsaY9 FLt7lxzxVlmCgGsbZY7sbMk04K1/C8jKyoeoZFKMz1DKy+hXaENLwufYoUIZyLsd81dtR5kUixVO AMh8PXLD2azTZ/uN93t0falTtes7IXtrtU84iJXVqMbQLYOlUuyPjqU481RNUFFAF5NGcR/ymo8v yWG4ncZCKLh6pJuIorkdqJd+R/K6fSv6pe5aEKcIQeOxJvOxrW7wJeg1GFFs9KI/HOTh+fpABkiT qdOTa0GDZ4NnA50Hv3KKmOpcSidEslQsVADujVUEkNHU8LxxT0VXl4uoMD4pirTBPomIb4Xrz+U/ 7hYKyIIMuoJGi/u8b8qMkde9pH1qsoE+uHcHAGg48dvQIc3xzaAPeiVxJM9cUWndpUm9nO8DE+td MMH/KiiH+qVr6b+nbWNM+tjO/MEIcKot88MLAApLUZrWfuYzePyXFcaLbAY3FTDBC9RGEtMkbe7N GdoLdBdAhQiSBnkT+DDHw2SxEqmF6ZFJvak1/a/Ihd+bTYDdEmVl/gKFCrnZKHfA35KYquQV+wRZ zzHmFnOpPEuQq6IztVBvtfBa+O/0b3/CLc+qroJOvT916f7xgz6H8+C0ZfTmrLAj2Dn99dXiuYFJ 46s6G9rAdFdFBmrN1TxbHZpTJABOos5Rzut0ompCg5lJYIDcKp34WSfEaCVok3ZqTVBgk2j2P6MM i03UfGCoXbKH+jx+xpXmq96J3AVKiiLl4uQTls0RyQ+MPU5+9+8YFwPt4RVWopZQIVoUXf/jYsoo noHC3LEU24MwrvTswwY5V0OeudHt6yAldBmk24MdgvhUY9lIwy2mx5bi/7JWWb26O42EzP5n1Mv3 mgamr89LnNv0x5Fm012TRkgRbQdnEOQcHQ9NlQm18CenRNbtwyBIpc8+22uUfesi/WjW/zFIwQ2u H9fVyhcewMmG5YvZGbiDzhGE/D6HUpAzyC8wTMBUVL8ZHFutj2ygXJPERoFyWrELbSoqh5FTH0eW IIjZRTiCHko5FyBXdHM+S6Mqb7b94A8CjFfPaxlrFAkmyYtCuqMNXv+zR0pIJsybZ5f3TciwxjE1 OepyvDAa/lveYDJySVWfDIeS5AOFSwTxvRJM16umZZ5AYQvFpEGFq4LZ4Z7i0NviEPp3pw8qKv10 2C3QBA5Mj2MpJehuQgOcrWOGUkEt2pKfPQbIu+c8BafEF5mM2+AUwD82PPIye5guJ+qf6jW6kzic AVPN5lJIlBf1pE/33rde2QDsNYMkvTjNrRD/tHABiFOk8So6cIQdrs6rY3kv8v0MrsT40OXFO2Ca 4t7dkcyD7/nRl5U3m7hR+Ug/w+NrP+I8WQf5jFkJtW69saCScly3eC0rok/QokKRxKK4ZuqA6xpJ E7IdZTj4zvcc8Cb3SzGhCjBs93o3HJfXqxbPnQb/vwgG6Lkkji27VcZUOV31/BnyafwJLWQBh2Q6 elIa0CB7v0f8t4C6weqo7udf2CQhNJ77sm0IsR36VxCUBYu0gaLErHCJsSuURn8ofV5eoxjwm2kb GI9nk//QLlFZ24krF/l+J4ERVYvlSwT4wTQgms8udsG7QVfwHKhslV7oQoJqFGArtZ3tcrD1HkEM eiE+tRrOt9xJV3WhqfC6VscvdBCZTnXI1/cDM8EBE9+0WPPTSoX3wPtpWi21WcxcTF78pxtsG+aD 2kFnPi2/TWWFmC8ETL56xNERMCyG1Ht2BGeGNCrtorJZcp4yiMZIYY33pIZ/RZHd90HbDrPrxkjk J4yNWHZOtYEjO/ZkHwwqLvGlBMdj/i3g73CMxenCr68dQaIjSzL2PwnKjYQRzW6/dQ2SyCpAJx5J /gLtfm8JYNmobKW5noLpu8nY52gMhuNz8/v0JW2W69xDVs5F7KRGhBeJeB+vL+CNcfJH5hFV9GWo c4LiwbKIHoqeSJCpiuYTyLriBRn4Gg2GbSEJv89kMD57xIGG9q/vUOm0J04N1EJ1qZXbD2f+zTiT cAB+py92Ji5kOo6XSQuWrUbiYju0YOmuUK7cdrir0NJz229Sl4w9smLm4csAeOTnyRQ04hlYVC3d 6+TByFwcsNC9FLyizqDmvzSbBSIlIILJID+XDpdRttYi2+MOlw0hzz00CTEiMMnFAw2qsfXO7r+b JWs8xrJ7Uja5ALs61YkFdG3xkbhZSPzaq6kR3izkNnycr5tBzoZOUTVkensr5PVJ558Xf4QxniV1 Dz1rQ07aGFaa0NEKK6aHBmsLwgWA5hvdgk/jC6yrp0MAU870ZIGnxopWLdX/oc/hcp4XNKBKnZ74 tEviNRv4w6yvvkxOzeBL149L8bRo6tZ2BUYCBL0yJUjvQGEyMR/2hePr9Fvu6tqlnQIg8dWl5Ldj ff8Is04ycDNPcspxDzTW7xXtwbu2PpCCULcKOgXarg5gCJu0wGsRSsvtnRQiUZQEDnfmhBAuhv6h wcrIMJwcELi6VkVHue1igvgJR/6T3G3au8SAI+QOlCo2UJ/XHfXE8EgnMR1shQn6t0wK9JYjqhtV i370ZHbM+/S3hhSF/TCUc5r7zGqTuq32vgTzX3PsD8Ps2uk7Btf53h1KxVqe2uOvlqGh0BiZGUWz N4Gox1XxoYW4Nk3AcesnXKnCd9NvN3oJseYv2/DhHiFd8c+qJ26Yra90NofPccPQ+vdY0S/QYVt0 w6Z0tL8AUMgbD2M6kBiVQGXrI9AJHB864OlrjonWsDFf2a39aCenKxoOrVOJvkje55C8ben0ZGs1 c2lxLYiYxFHLUJDvKYmOpKpX6Ay2g3xXIhv/HoyLtmSb1YPxN3T/BOFtcNl9el45l/CabUCUsdPo aOeQaIBJUM6iZw8/kcqzhgzxN/rcKQKI7ccNuG7CPhMhfBjsMQHijt4advC1R8YEiuoKgqonzT6H AqewkLDoUawTvZKVMubEr/eZ/uzRsiISt/6AnRflhptMS8XfJ+3T3iKbKAMqvwSKc8o4+n/Z0b4w wdQxx9xd3YoYrQ92RoAs6JakomnFjGlKy8Urbg4i3KV+YL5/RN1wtyZwvdgGqvpACkrgc+niMwXO AO7/jjk5XCIWS3vQZDORelPlZnuYq3Vz1pYTKJrhwNcORKy93xIJ/GvVHvNty0HEfphQYwD0QTJ3 9Jq3yEKPc3qpxiehkeiuGcoURjG6CMrbzuEzr2zdZKkJAUe7l19mETsUHssZ89heInydRN0pfmof YTbgltZhyuajzhAm/MpAts58jxGVnQabekAos3ght+FqA49uThN/pa5qTmTKKzs94C4555CdXtVa e01sJ3W2To1l/TS4e8P5EgJfbllFISjHPjCNC5gZniJeU45Dt7SK/Qi/tj0c1sdRhV8UXbQ8vW3W FEZftlfJnSAlUeouEqqPR4Ggrd0WAyybNB+4EWCXebm99lL1vMHNG+c4RUP5XIjxGBH99a2/fCfs +TGEuGa5KRoCLwpcGcSfwqZrz86BOvvQ0vXMt5d3fMvLED0JvlQNBEd12AQJh4erNSrWebg4OywT 4uOkMMkDGPl5kXel8B/FoHMN+0r8hCarAWttFuIqDw8qRgbZj6SiZgh5Jb0bX2XN132T9Yos/1aL g1Zi+LmLjVE1l4B2Ir9/p8YancZyx7qe6sNJShYrirj8iKXoswL3vY9o/4FJi/gid0kMNhFP5fgQ cwbE4mlggTeEcw1jh//g6N2n9/l44vzLot9UrRGgz1t5tn9r6fw+/iWjQStTEk5lj13qyPafm5/R 292hz7Dd2TCBo3vIs8RmQ54fAEzhxDOdEdZo4fahPdB8ZlWjdzbZHhuV++suCrKjI70nCRP37a4W V6bvZQaZeakWlraMp+zyh0WuVvD8dYV92MKfLv5+mBRLWNe/bO58u0Oj8cRAywTYKtsdpR44APw6 N/D2jiCue5bH2O9oM7tdbg72U7CUdwaefUZfwELl+YnzMRFQwBFUgjhUExinHG8xTg4Y5AB+RXtz W9mQWW51naiRZyl5UtogkKwea6LeEAR8WXNe2Se7du/HdH4FEQSS/wYNQPAJKy5AIolwGjMwPTcK EriTmZg18LQ+AwiDFab5mBJDznszckG45EBn/XuKJOPkuR9rIFbNQXEcBtt0DEeq7hwwhcTvsjJO ccY4xl1LqQMLfdZQGOS52TzoBQR6DfSyF83/pDXhjDJe9/NeUM0R0DdaeoMJ5zuLERUmTu6o90m+ bAFLYEreGqV1aYZFUgkwZQZQgT+FMdrDYjrBFBkDm6Xq40sV00LGcBObohHg6Ci7h/duoVIkbZ9o xpaYE28z7hhEev6TIFOfgGkxDtxvHSKGX0aMNLEoO0ButgIJUInOk1TRp0Cx3kjMZbGV/Emata4W WbictU06fSr0h0VQeFIJtLSxJNOznkCuTB58qKvwDzRpM0m2SpTV6uBaMwRIWPvj+5PraZ+qWhO7 GVqqElfGysBgaNlFXAA8cl3K3+b6zbfyBchAAsuQaQEPnVY534Uv10fu4zATMhuAaXk8S1e7yxW/ /CyXD161kgxN59lhNGhMoQ4FLWugtUXyJks/8siRYvJHE8hUm/74I3wWHe/lwfS2tYTnALw5sjwb ECrVtctiYdMHDkDVVoK4X6jjlvN97/rwWeWt6asE6zgMtsLeN0/NdAEWq7Bp9w9aykfWDWsIJFcG qdu+/50axN9frB/psVMK/Ae7glGJYnUgirMOYiO/gdz7wio0bp9iy1DH6GpwD4J6NBvl96Rjyh4x hBk5lMHID2NR4q32io0t6acrF8cpX8Bq0p6Uj3ytLM4+I02oGkusV8KnIs0cZYdZA2EXnr0Qkwrc CsR00OteyeEs3kdHXgdGXhtnHxYvVptxOp49j7PCVtEhCKu87m1H4GaBdZyyriwZnxi2vDTSnTJD m/lqmXcj6rg62ohKDHrxRYVKw3WHz3D0WwlfOzZJrsHOxnXv/ZqfBWXXTwesIFsSjnp4YxVEySb7 0SB/YM2Zel8GSYHT1mCIw2OFNXhfEbi0w0ruY2tbSIqnaod47/ZLf288iTydwIZDViZxTzUq2vHE uOcndPYhRwZWfbMgdf0y06yePgvqVSJDfrnJafZ2bjwj4WfYELxI8h9QLvZ1XYgFnLXZlBtvgCwC UYxlQATgc6RrCggXgHcns21KWHFlK9d/J+VfBOpHRBGyAAybPQTDsnvVD7V69F/deyjyT4d3Xf/O qBNbIoVvzlQwJprcex8XVhjpSjTDvZoRsJgCnt/JIQGCxaJItw/xhNv4f2dvTitBrjIdopJ/s7BY 7EwEtCee4gO+Q8+l0L3HP57mbjAOKsHBfMiHyYbwPDJYAPoUj2U+UhLxi2fDLT1GZvbgQMWyQNb6 0jD4kpYUP4tIDgrGrNLpvNDxe6V049aDlqJ6CuP3WgO05aTDUoxvvHfPwWaVMwNiiYPfW3tqdCTF BAx3i3Esn/MXQKMwhs+ZTWawYeuLMoGaDnYvWNsbkzp11U8Y8PBJQW3QTFyI2vO0SN3q+LBuy9ZP 5lH8pBGeILxr712MRbFOinSyCQjYjVXMP22Ol6kcdgXJIq4M2+8sYOKfsjt//TdVL40LwynHMJk1 MGtNM0aXk+vuTkztLUnwm/basYSeI/KxsXQK4Hj2shsRC/vgVQmdRCzry6tIevNZyCSm+pmJUq3s xjNjYhH0KwMtpNy+rH3sC/PcKTFOYU5v+NKWjTh6PP0EqoI1iFpIrrRr3a//JSdwUzuZDcFRpwzY FFBlHt6z9Fhdv/B0VJsq9WL7zKZ+0zMuRZCPUxgLiMMW583HSeNUdbkO/cOJdSng4HqLvSuoDDZt HruL08QnJLhqpva1uEm0JXRvQiPpKvHPO6P9eLf6u5POTGnA8CMZQ/lGe5+Wrcof1Dh5lc5dABnf l+Rya2tPbdiXhcM8BDjbLKsYgblGL/OOmkGkLe34N+l4mEEew7//lU5Bw2HLFFXXyHb1JybIisBj Hl6wdPxgx+wzkpq9TV6FH3H/zCQghDFQ+KQUX33Nqqnaik4PV8iePEpnCssqgJzZYjC26dM0l9WQ krvxem+R6vLwUmz11Lc182ePeIgCB+1FYrm4T6bU7GsGjkMZeqwP/jlpb4HkApt7+AvCmUht4JyR iDWUuI6fqoM5kaVs6rtkn5VF6/wxGodeHiBrVHss77YufO0TgKmn4A7nGn4v3BGlweG/vufn8pDI UKM55yeQZ9vzNEbfGzjnQP1mj2XaMeIpX8GLodsxDdHaEb+9kX6DEg0efMR6yPm+XC8TOCPXcXRt FW3a2iSPCnFQgSFs9t4Wk1hgyVMtPCjrKrFUBJJdUgB70BEZzyfxivzLDzcnV40cWL7FZ292L5WJ y1JGcjmrEuc5so46E1edWFc2LVNHA7lrsQ8jRheLMq0Pqp3eWqQA4KIZuGBhMCBgT0jF5uYJ5Ymh aendZ2PcnG63XK15OOEUJcguEDnc+DVR8VkZpCfrsI2bqIJ+DIY1qB6AOlD9QqwEj28vnh8Tx5Zp tykYBWehYDkP+KIkYftHt3fwWgGmhSDAFcEs+a+UAhgvdTI6LMw1kAsZ5khIywkYMGKSdSdBaXK7 gq1/rUscz/KQZSM5cz+dSaQEpA6MPn95G5h0Kw7KySh56xMZ8noVCDnOm7/27vGZJ+CX4yqfZxC6 j+tfPFvvzcIWtPtbR9X9vmQJJBsRHcX4VrN5Hlzrsl5d3KPQiA20+g0+6Q5J9YmhYXnvdgBH4Wh6 WJDNdxZR94S3yqfvMUPzZ/aapOfj38fyXcnWNOq8NTv9dj/J8dq3OytCGDZDb7Xnt6DEXgfkEiZj sClBY0FlSIZUXj9sOAHXUGyxKzQrW4zyZTmXp89BqtYJWSVvYTwhe01ZDbYXkv3t7iLkPg2BTeFd 4TX7Ol49Qctn6wze18YhnnA1BD0+ZdZ2ykqaQZzYa2F1QqxiVyPrkBBGJL2Plon/yA+qZLx+L4wJ 0ZsRCSeNee2lFTMXBKMGGSY4IGIeEhC9sDzxWJHZR6ZD0n7blW88HrzRilfbzNmwR5Jd8j7ltd4L 9cMFK4jl3SR54eUuKaantmUtiBifgf5Jkk7qqksAkJ8AAw/sQADi2caWSST20/y5enrIYsO9oDF5 9yqZygDbPDlGJsqw2oSdkVxQJOKUJPobE5CCGeqINFMJ2xkFLISdtF1rO7zS1rv6JRoL6Wa2S4pQ lgc0F/nzkZh8oqXR2BxOoCLJOaILgeBHwsqoO5yk5nJVLHHG0YFOi0P/onTfAeL9TE9G8FRxIkns qca63Cpr84rJu+rQkS5yoWsTXJ8KWqSunkKfbALP2BkH82c2iyqqZlGWkgurszapztB3cUyjKn57 V8JwMZZtI2WSr38CZ2kbCsZc0xFle9gmiZQ/nW1dtfXoE02lnq5RciXCPa2jAgQOuPmw/DNdPOjr e+K0hf+l/miqr6d1iAlkISK3gtVksVeF8Nm9tTRFzbJUt3BGHQGSdZYoeVlljyCWt3PFoGbbbZ8G xIwKteSkdL1nrnjOOjPIWBsqxxCnsEbGJi9uOyfe9H5cCn05hG9xu7N34PVGJ2Rnmekfid8iZEBC /RT3SfNl9+XckpDO01x9gGDiL34jNY31Ypxv0xEHNOkfNzG30r7X8Tmo7u4dghzlK1bIq5DWuc0a gjQNjz81SdWiQ4KjVEhxGHpC7KBNVi3WvN1CeoJ2tuARNzxXPKSRrCYFkuJF0eOaiccf7mZjeX4W AgbZLr5iIXuqVd0Gag1rNRWPAt8SNdCifyuTC5GTTr236Cywk9Tx7ZWnf5X0CPVZXOvOHFObdfAf uBAtnS2eCWdWla0WgeFM50Wdi+0RqBEf5PzGo0Z9migOnb7Hd8OWyEBu7vFYiZtjHm+xFt2MkcK1 R3ntXEZ9PQe8poK/yieDPXR1+jE6/MlXVMEQYZeLzf46zEAC0kHWHBNcZQZmtCs71eLs4Wm1oJum XLJhrpUAchA5pP+9TEqD0e7sP8yurk0PLk4YBi/XhEHW207tB4MnSI2CHXPVJHy8O8J4/Xkal9ek CuSKprqFRxhff8REWR5d9U5Kqbe3tcqkbnaeYaEIJysxH97R/d+T79B/KzzbKG8yQUwnuh2A7H4v lkQABo4raJ1SdJGC7Lmb0YwRtyFab9LplI3XIGraMrjFBFHBTsQRaZ3Mah82Se5gjlXAaWTPp0Qj BVtXCuqYsELZktSkAd076ZIQJ/UrbBe/pHp0TJ+e0NWGWIpOQ06HJjehhot/tqdHgGfOVXEnYHuo lP5XbGGnztyjvaoyOeJlH5AVpldsY9kjeOnk/kESyaOVwJSIkOksuHhuwxnDZhVAP0T5tOZifwAn 0R0Fjdhsljwf9COzjcp2/8OpUXj4I9z1ib83shLObCdnu70qHA1Gg0q3kr4Dlx3vL8g+02xXqfP+ lHYeRR92NIHFGTgr+G99eTl0sZI2DqeNBBR5JgguJiUTAHRFp6yEvaFbccqtcEFmGHuuV6JXLH8Q DOvl/hETw4/jEZ2PUSgs+1T4T1HEdfLR6SRrc6JVUsfoQsgYtQFiRAxNBgVRsiA/88lJHPy3qSfK Sb5prknwQp64x7ez6e+XqDHun+dckTeApaLqMpD8rXyNIyaDNWVLX3vA8jlOpBFA8SVBwuSzeNvN CYdNEAWfyKJWAbCnbrjHVinqdeom9OAqyplUo6Er9yvyB+ikAv4BNPVdCYnymxMvDqrHv4HZrIT9 duFIOef+tlg5rKG0t58NzGKyCUE08SehVnOFE9eK7+jABVWyb33T8/dEK4IqW2kU8ybVhQ3qBAA9 sT6YPUar2EppCqAwFjggy5CaHkPB1qK05hKMK2yeNEALfA2Q0yJCxSaLHQ28hJhUQAdg7OHBjnmp myXF3Z2kNr6tSYgdXhc9siNDavE/oJuUMCwVVu4FhtFrzvgRAdOWjifX3dCxQuAOn+J6foTJDV/v Kd0AWeYNFRLWcA9Ug0pC/rdxrlJ4Muw0SNl6yzTjr5F+2HDY3td3WWIEQSZCr0Iv2pZuua377g+i lbt2y+uVehg2jjs9LyOL+xKdvYtL6SrywV/JjtdSLqCf93lOjxDzZd/aH6N1i7r2WClfIkiSx8ti 8/p2sWblUWVlKyUloTE2z3F5bqMjhkh+WEK6rtie03oYDlZoLGiu4OFmxT6KD43DrjLFVh/3M7sD /neK7zrWb5HfmlUW9Adi20n6w4WirpzRI+lmwUbLOwHJFkYVvz5jfw9trnky/ulzkzm0WWWCVXrH YpcjHq3uvc7sNQyDRy0G+6NGXv89whEXCtBU3x5Ao6baMqmNXhve9Qnwjsgb3P+uZfGDXuZwfVMP fclXztMcb348oRFfBYTfhGlKPBv6ApOmlFc4ItPUMvOUJ3iqtyo/Atqic1vw1bOE6ijpi0uwBWw5 OzT41RSvdSlAdSYoOdYpN79F+/RQGorABx5uMOM+Y8XLOWeVXLrPz05K1ILXdGxtLZdhiGSFMuse EMDj1bkE0nXP0ljOeLlhaxddnr66iqrmngT//Clz08OGk23GOvxzhn5m6y9K31QFnvBMOvnQBF+2 ER1/7w9T59uh2fcQokjulqkDkFoffi1iiGCa1/k0SydBzqUsQWq3P6o4g6faFRalMxXik4/8yeIU O33Z9ybTvYGgpZUEv/c5aRiHXpOapV81dlzZtUw+C6byQA/nJAqceIB732miIxjyopuuMPJcFO5L DvwX4E09645PE2GLdzYgDPJMMo/95ou2sBcCKkCly2gukWHSF31qmyAekF/bKggPBLQYTAJncBN6 8whYs2me28YXLRO5jkaCEXjbXTSgH6EMVvLy4XChNDutwFD86E44EhBe6rNT9doHEWxUHNICK3nx gyfPsun3zBvh2m6Maf1K7GYn/Xdz0zWcW2eqM1jYsLTM+iRiy5YjKeBfE+6UyIEiAz9WE8qs3IcL EYH92rivz119PFIbzlB0P0nr20Ltudi4BqwiJNJe4UK916NjA/JMRyfiWjLYGcmrmcDj599QhrRy PcYucv8bjeDYLQwpkzMmBcOtIN5GwwniB24U4qvhR7yqsHWwGotKi1zGiR1vFCcedBcM+1KP09cK QrZfm/g3U9aOMtppp0gW3ADx/Vpb5sA1FeExMLfQyLMS6xPa74ps1MCA2MJ0CHgAwmHI16+wrtRC UvMFPpfzElSzeIsO5I/4KSFtUQyIn6HqWfURAPgOR4cewTSQQE9YxoBW4YPFphFrzuSTmGJVJtRW qKW92SpNLXJAfbEO4QCVP2NThsF6zpiyHXa1v9JhpvNprBTv1QkIv+2gBz7Bslf5s/5hiaHyMFm9 0PzSVRg7CHsHlqKdBzHQrmPYoruW0t3zT3X5Q49sBuVq1ncQ6il48SctWGVAgTtOuro3WLkS5gPv Ctemb27ht8Ju5K4ihiXuqKgZqyzYJG6vy4N/DNgsQWNqO7G9Q2LhaIPY3icy6up+Uf3rK10XTi4J oYxgMPxex0bWIIdE/rH3OD3AVoJzmGJsAs/EhB5QCJNgMjqLJoejhqBSVb2M42Y8jye9A0Tz5F6n RZv7uVrU2L5LUDg0nmWtoQpil+Ti1fGHi+zfn04yxU/mJw4wH8lMVI8O6CuR7TzhCIyd7TXWqQjO +kKT9EJ45l/V42Hc1T3wJDh95G2aZjN0EGZ9PO1hfIvZRvb/cGcdu/9+uL0FcZTuuwTte12bkQeO M/J+eAzMGpx63cSnwFD1yrx4/qwoFD7NglOR2ZtqC/bC7IpdUS1Ux4PRw4c4py9O9sBsL+0R2y1Q 23hje39r5nSPsUv+BtD3ygkgBYdN6VdgyowwNbv57/QzdzmOgcPbLHRj/YbOwaYM+6UKD/IksG2N mxBHcTOwU/IdbEJ7QMLCVRPVJUrsFFj+3B9LWoxVfFHrKERteiovAjnHi/+FoRk5NktgT8AH3Pgb 1GVgbWUurQIDbjJcc6VwknKntDMMdoGNr62z6LmJWwvAigLr5TOKLPFIlT+SGe08u0swt38kq881 rYJQvribZ0l/6LJPz61xqf1EUfXrUDFOjd54r7QX1w/PHh951myNNHfWBOrtawCaOu3sx4QBdIYf Cfm6mFuM2Obn24igpyGpdp7aRmj2TXngbADPApvmO3ToC18v6gDP1DsXAfK4FQWYlVt0L0iETDDG UgaDM4Rk+ItixEFs75X3xFmCqSYrMEGKTCgivFnZgwUpKsGJ+8iufqCUkIA5zdV1JuLOjkHbzXYG h8cWoMWs+ZeZ3fb9XuCoF7fBMwA7mD0K55rXGDnD5kQhjshRDOxFp48uVtgssbt5F1geJ7/xiK7D 2TuWfRhCrx3lYk8w+yZWxFqDht+ovG0ihvlb3YPMvwt8DefTFe04be0IF3iYAoKfj09/eHqBbMhF KS7WYpWiaBpj0lZN5656YXSudRQ2dEJdgy/Qd8+iRRPNIjjY9fFnUdwN12PwRO8kxmvFL8KF09FT WBETOl5lMPIZJgmndM0zofgZBuH2IwdtxswpduKfr7/9L5U2ViQ6W6mT3uhsSCJGAecjmbwi8Cu0 CkmboA/SbvvH8hoZs6/VIv3Yy99xlgIsAeBhKjQISVzBj0Sif9Sz6plnH4nYx/7YPl/FjUeFy4ok 5HKCYzYBro6pZ/2aXBgRrzMEhoZgQUYig7OMyXQb1+5O3fgM56ve2pwB2dcXg6mifV8aQKS5sQ4n vu4SCLBLgtGLS3tCgI8bRmveUmEEEAqfQ5z1ydB53F7lPRdjj4jTZPsX5+olQPWcQwSaFMwDv+Q9 e2nIJEqXwqNcsQO77WEV+3kO9d7qOJFgFllyi+D9+zu7SZHzJmbg9lziDKy6+8ooTJCxhUnApxuk VaAshKMDPRYpELqdDs2iHfDZU5zi0bQyt+I0zVObcAEaysq0wWE93yEbQs9qXIruy7aBqVpzKk4n W9p3oG16e3t99Y7NLxoLQYQxO8SmcfiTh1m24bPKj6Ia7WJiCrWOQH7gsE6lt6WlMzVr92CdT3yK lkKlz+we/HT1/2AYRNI5YWC4aRP/UmJOeC7l1/mLwE84cAYIxaauEtSa1XI0/dw/WTO83oS+K+cg OT6yTRp+FlXiWUJgnCqktETiM8j39AMDVl2Iqh+WU4VjOVbRUVS/ba/e9DPB2RKq5lpE2vgBt4Hz L7EZ7QfTGRqvhduk62YEky7hC215lL2xt3CeWowf0yJbyzNLu3NWVGPYki/kUAla3QrTSvykUAYV 2O/zIYnA2pAAM1Brdbb7P7zTUqCXgsbNruzThmWEM1d0Sk8CPzYVc4iKIvakj/XrQOOvZrQxLqkC SMHJvIlhPd/y1sXrw3nps1p9rPQ2w1z74GtqMWGd567CMnGGMUW8DWJEKQtQJJQGMWKqu6ejqhFI hC9UilASPF4wh4KsZPgJVor5jjeKSe/lCgsbA4XopIrRfyC3RjMFQoUOlsbXH+D7XY55GgygzVPH tua/XGmLU1Z5xZ/iRNpnJYxVLaGUcMJxvUpbYbMXDHiumqCr+CLSt7VZlXmdbpMC6xN72kkq7zxp CkFZk4zdct9dVvFGoga5yGOsVwMPc0cELZODcfUZ2uVVhf5XWlV6Ra8zge3rFzsPI3i83eJdB5hw ZGHGC9Xwii2GgdQX5RONfbrghdNzN1T9N2DIkKwuj7Y8W3dyUPVSJQFneyt8bet9cD3M7Kb5Vguq JposJgvGMilQYMn8EJsZoQVOas6vhdepkctWOiXES/agMIn93EApWgCRV48AcoW1Ii/Y0Ebfc4Fj 8fWClEmEcENTPRu51zmhj3n41E2AOoSQHIO7VLmFEVUTzYhyUpbZ6Rix5M+2a9unBnxzrdB2Z4Gm 4L/n1hTttHPIitaJK6mCFwY720zd4/ClVNVPEHVsDzHos3v52UAecK9V2Vzqcy4inkXCRs7GfYug RiSyjPL0s9c7IOOPSrQHSG5v3+aDkS4sKQFO1Q05YkMwigVJWsO35muFopWBM2b+1AKTEsFL49qP mVVaz1FEDIshqTA0k3o2iJT1Qvs36MSBhIYIC7QAnOfyfsKOXxYwLfsr08kzqDmALDolOT2GqCir FxzMiee1yzogl+lr/V5ZX5N6niqA2QV/U5YTmzHoMoqoyrTIatgGYEq7PI/3NVVehvCQyi8518IN UiXZXds7VDbtoguKHa83w5GmLxPihrt1hA03GwktVSmHQHLKIlmuv0yU3m+sWXt4TnNoDp5wvPad JqWC1m/rf8Y7H1n0Lu8+cSLv7PQbuQUn7cvt2YSYr1feaKIpIr/zVLcjlittwmPXQiVWb63jqVEX rU3+nBbfaLbxsChn3SrP0WSYPSCD2mpPJWoQWUG54gUiaFtnor7wqwqLpzkG9c9QEQijspmrRsD+ jU0lWbdgPwRHssBMZS/z6ppzb+6AROXRuCnhTWyKPzarJA2puWe5Ej7qFVhfiQyFQIVfR8GdYrOn +Xx0hKPQZeddFN109ilFCwBtOOTPDj6zqTx+XRqebtFtZWrJDsbDWEOntQPjOKx6LRJcChFuauAp tYaZOXX+pQMsdzk/laQtEilCAdBwIL0It+3U6cI/0/kQbXzI7IOZWO0F1V/UZ7l03ZuBxIbpeB2G 5yFBv7T1foMDOKGNozHgZS1u1YNDISvooVd9dvfpDwsURjt27ZKEc8tb4RZKmoa5WzNfPoN0xPyu lIC5OeuGMXSm7+EhFrqG2oJnWn0OdCQIvbq2AzlAieCp1ZS4X0OpDi6PumMdTtajPfBERj6ANE4T Sz4+A879v4bBFiJcm2Le8t92kCcgrofKmxq1Q3LNKlMOsHcIQCutrred7HsUF809mXjkdRC0wx8a 9YAtOcQ+iqvmRE5cud2o+V8dG/o16IqTpkXkAhJJgMYmy7LogmZhWfPxK6fFwI6vTCT4k074tn2s U2slS1551K1o4Rvya6d943fnyjZCefSbL/zvL7uTqYxYacDsOLCWB+Wm1p3acuoV094TUorPZq1F g70JKYz4oEvz2lp8PFLJCOneGZXIJnvvDB8ohX8PlpXDDW/Qgzh5ooT2b40eXFG8DvD/RcthwKUi Huh1G+EwyPc7mYW4RmZiP09aWMnffn5RuUvkbwE1yG4RuGOF2f/FqXCojvsQHP1Ja01PhKUKE3yH 7Yeu/SMS308Zv0Nv3/srx4BSc8NE/UF/I0lgZZ0gmm9jPpBDn/nLdL4mjRAyHhl3zU+UBXe0Ctcx mRsV9ruyvtZkZTKKwXuZvcGj679dRujHmOTUhunkUo5zgTyDfY9XjaZObhe/uYJITnL9Rh3yYIcz bsaU+TMCJFxd0p4KV2jJ0RQDvkmgPsmbAEWNRtBRIwv1BfnHB6WaUcXRgaKpgOygLeFRsvYU1ZTS VzQjHEQXpLMekXrgxnhewclbr7cFFWXHo7MbTt7h1N4iHPE+sagxrZU5wVyb+GRBIKaQvPTy/vOy M6u2ujolJnUv7CwG97HjqmUGOyhe7hlNS+KVxvLF+vLcVucsTRJ4N6BeJj8wnG/a+Bl3DQAlnrjW vLXD8y8sn6MI9bzm4xaaaoljtzD3JZEyoDBFCW+vYH4kIcl2qxb1JwhT3RJ/fqPlpCtOSTNTBrgg +czhG3ZUR1sWssTlBdTquzQOpCPrIu2lLqb8v31ZNMBB5H+PrpDlj/lgzWZWikpB8pE5nAZqz0Rq la3TZFsSKX4qJr/umyFOBGDoojd9pTJp8QsEC77UcsFrmIqpB0jRwyrE2bY/mZQgL5tRQ5+UVWZO NqHmRlROLwIiCFtYSF11li3xnz8rGPBDy00sqhlQ0yAfHNwat1iO2WpTYpJjY8fBEoOkS92V97kb UKPTI3iX41GlIERgObf8G4iyTiVawo/BlaYptgq7qKzQ44kBq64JHigI4S10TF4COY6pu+OFB/Qg sOaqp0i2ut/MoS5JarYDDjbtwcl698t9c/MC3kespIlmbVnbL7r+47xSgI7QmvFohQxd1dLSfDwJ Vzs8RVD8ODV1CisY+x6qqMdM0fnGkzea8xOHa6pNQJ6N/buisIk2vSqSmoK4DtNkvB70NBXpY5EV YJGv8cB0OnEREmxS3Ay2+DsvlE6XSwVakrn/cjXlgE1ikWwO/er1DmZprzWrHPB7YOsTRoFn3NAk QTAkWIT1ZgzA2biPpaWuNS9CW9uvYHaydM7yfc7Mv1AIyHWCy0m730JuHg+v5j65X12MqSD6ZbnM ljHs4+VTW1hZuJoqx053W+0A99GlsLYRbg9bt6vdN8rK/ClCBOqRLZ+RVyQYKAhIdQYBomOBoKke kCvQKuCnjBA8AM1K2IGAIW6axIwC/S5fZDtZElWocTu607dzkej551ERdLvPLbSnXwjJjPCXIfxQ EPVAqdD4EfR6tqmedHFPtXHozegp6sy8s1SC5NP5SvNQRcXxwS5HnnjdbKGrTYo1/vRZmtGUvCcU EEh4q0yssCAI8Xhv05xotXSftw4BFq8sMCMZyOvUE2Rr0qk3jOCekZz+RnfQSQiuT8RSd4yD2ELa ZdqTzoMyJZIBf9QOFb+wiD2nj53Ist85crDWcDXVIU+6PlNZP836pWe3LSkNoFxZKjOOBEflRsIQ lJJPl4ifsI/LdyXb3GJTRjG5UTVz5BoBGF0futAE+qqmtWHrGkyZJ5HAMehfRKqY8nA7/nFvR5aS dbQbHUG5IoV3qJiHt6p9YawxFUR0fAYpaF7nAG/kRr9oDg5wKbqcIbmX03z8TNngNMSZNq1AVXwF yPfi52PYaHdtIV28ZoeoOpVRX8cxf1Fw7bDNXSDE+sX18E6yGXnT3gtZ2fGfPvjNTUTMDMDaXyy/ R0YHGpU6FlTc/AD6rqVtE/5oPS14BY/A/LCHnR02IlVBUJXHFY+WZy2xE+d99YY+GJaItuEK7eC3 lnk9ZaWMUy28smAcp15o0zYhrZkpuKd3do/Inea8kIV+73v82SkXk57v7jLyOCm+jkZjxBB7Rjsz PpQrGQEDnyYQHPmkmakiIwd/iAVv//CbQtoSRwv6CDjhdwgktJK7N1TPlAkSPmvYCrvjoeNTUi4/ A6khKvGhwEXbP/s82+oOBDR6bOVv/NZvs0Njv52sxnbKAiVZscloQmvAyMeDH0tSqt4enMjvKEHi qX198S0zGLjO9u3ukiR7m83xtzVNPHXCy9dsA88hNVJCmCa87LYpSioYEzp5KQArqA0zdukDFfXX zaiSC9wPeUTyms/r41WwYLeDKcmkgf9xm2hDgfMyfWVHYkrUGmp6MRKayYYyiNlNwmi2dzeu4jhX VsE8SUlNumM0bnK9L575jXX2mPQB8ZCrH9Xr4MT6fY+pXjyVyQtZP956j/loz8H8zjWe0pHk7AMP u2/I6R3aPokD93/sz6ZAlkBV/ML31z0j1xFs/C18M3ddpszS9SpMmC/gHdNbnz0XnZcJXYcA35Ae NUoq1Dom1I7hS/Go/JlHdnHL/k3qCakKuLehGB7pgzoQaEvBX36gPUiRjljFkZazmFM2RSDaYxsM euMzJum/hePYe868P7DQT1RbkLRBkob0rNPAOFFdFiX1qMonaHxJDg9k4gQ9vuwWlaA3FYxO8VzJ Cb3zOjlHDmhCX2TKYGrGXfneM47LMT5+8QxvRs8tsTodZ6wFVCeWht5EQ82KaPZH/8y8NEwPfSLq SgmN9AOCIGV/+FQei1f64z//xfpZRHr5O3+ZGWBcqh2qelGstewSY4VXOOR8rDIBsLIU5IO1Yd2c 5o9yv/atSFYyAOCYdltJZICn2m+nz34W409g9osY7pE2TrZsKRZ9lO1z0BBgjnatDoXcndUZvBJg QnaWZiF6hT90f67yPIU9oCuzsDqtqyDWBcTRmzniGsWyjrj3EA/kp6ioKeXA1uBpD2mRcwT0Lt5f YlGxOJIWtF+pd02TlTtVxQ0tkPPoKjLNg26YbNtAVOAf+knpzTznJTN0/N3oUM6pCN4SzW3RKB+U 5TLGcA/GFSupGir7f1wEDdxHXGImOU23kNxc7SxNOEILewm3n9gNOlHGaXEtcCZz2AUXycUrI82N UVUQxA8qDzNIguegyw4mudRzR+grsfXzajP3bPlIztuyKh0tlayAH9FzVpFYKhnSCv9Bpddgwm3s S20LERixJbL/UCGqeZy2z0gSUmcNnc8pop4gWBVlr5MVZJxgCRx3P8XEL4eB53sPMOfw8/elE7Wr l8nrRhNixPXiLFbzKAwNYet1hV5kWMdTagujUoDWGqnhruR5xtCCPocUYq4oBIJ+3ZY74SfIlEtK gkiucxowa8mkN0pfA1Cq+FCXbg10LAHCxVKmW3qgQD4UcOQdRDFwhW6CXbVGhyHtuImJnV4CGnYY cDbKy/2hk01DZDtq+5HseovS1RLPWTiz0gZZEfY6WXALPVVNzTpDISSqK2Q26A6hXPvUTWosKE9Z PQ8KHPCyWq0EYUxedDIZnDVHHfIUmLCSK6+CobdLO7I+j5OcV8J4gAw8YfxMYAi6k7S09GPT/ncF y3Kg07spRmKUmUE+DO9ArOp8uBpGadRhhmKMJUVv3sj6/0IlNvZLd1X2tefT0ehU2hNrRGSlhhHA svFLYYpmvKdKZo06ORITWh4zS4vKLv/sCjRUZjR6LoB+jXkxo9/SQXUWbmJW30GioedvnhYbGtVi hnF6ojmo5Q4w3vkMyKy+XxEBrXqJ9BYo3NXyaxjRj0CxDXA+/mDOOK0ryghKsWv+SKNiNu40Q+18 HqPxgzYxUkFrPomEtyTKXU9MBHTGXSyeoaJt+lxR5kZXaGRvKYxeFkJSz8lpggeTxQpDZC+W4/ZK 3jMLPQS4kQ9SrWBRtTaT9LyeMMm42as91sAr8B8FKXIJWybJzUOL+4FN58EoV/rf37iOt9h4GP9f 3BCt/zzYsXy1B2iDNj8w5wEcTbpD9NrK0/v234pIT7eHoaMLtTw+bWSLybt2xlsz2wnPNubNEegh LEhE7GcKIo8M3LQ67TQzhgCY2bbByJQQws/dFdKctGmdi//0Kb6NVnv12n6zXkdO+sj9RWzigf4B FaBvpnI6gDNOUxjUz+xdAuqLcivo37HZFkkW+XJxgV9UrGjTveXDT/lrzGundFJ0k/+kiIMZDiZz SHkqvOPCtUV5Kq1yY0Ugd4eEJssA925I9AN7rt1P0Gyr9pCIUUWTiC5cpQ3cIbqWEl0faNabgaWd bgJg+6qx6L3VMh28BOxA3RF30qMi0e56PrBAKQtIbtZ9NkhZhZOpxwZZIlY1ABLZXxbcBo3JKjGo SAWnaffn4y9hfU3jnwsQJ2wP9dKkL3GJy5zZgMfUk/7PF3lC15Db3dBSKU8QNbvtjhEileklQ9GA J9iFhBuHOexv+S+a2VwqUV1sD7t9ZVxn05tI/ESLByBxz1DmqVRImy4P5qQ99/Mkve+Ph0wTom0T nBXRK2AD+6DEfP70gPybF/jGjErEvlSZtJFSgHeVDjvjjWJ6NG+9st007P/PBmofHGnxrG3xfFNL T5hD7OPcV2ZCV1lFoYTFVlWACzq2WThH/AhZB0mfhkkKW+PuKp4cqrebGs2ndjI2ZtxoWaV7KES+ j61mMkHXW2Ccn4jH6BpHNOHRKRN8d5gnJFIletrmHWwzASj9CVfYtShtrbXxF9bdl6dO3LbTDHxI ZhKLUw2LkhzaWfTT7soHVPeDU2CSD2c7hc6+LWq7MjZNx8S/H28OzDkyjKNO4twBVabbNaQV9iE4 AKq0jnoKYAt6PbTDABtsUtMMOmh7cpp7rtKeg2OLBW3GPvNjf8TgdXM95nR+NyAlaSxSzj9BkQVF K19SbnEHmq8Nf7EJCf1K8ChRGO0LqJr+aDg/XK9f0Ns0ERFK0g6oroC2W83uNkFJi8OASuPRv+db U+fIo1PKkb8v4LPQL+ftEtsPo0zLnHzzlAyxEchJM3Fxv+s8gCB5g0TU2UL4JuBYzp7tKW+R0Nlk FCtvCZ2Obyp5bEGxODf3oKnyc6HBeA73tSJRYfpl0Mg5fbogRnHBf47Hubn7F/f9K8yMJCL8Tx2c WZMoBr/3YdkDfqSgGNY4Mva2V9L9uqMjG9kCK4rJ2AO/W0EXFKdpJ7Rag4ZLQW5DuWGqiuljG+kv QpIZ6l53R38NIao9/ILfawOWrvgGEq0XZFRqTbRNZ3yZTrGhiuPCCimm53bCUQaI7Z2Ui2CiaTAS OFQYpF43eE0t7MH4Dp2psqEWErJ6YgWGkcAQ4ACtr4ohfr1AhYMhFkNy49rY0D+E7Y0BgJnBMtUS 7qUlVIEjt21KiqgamcMOfUAGt/hen6wecn6iSfwsqc7zE8LHllJ4HjUZTUeTR4RXsro16G3jtI1A hCuvaEIeOY4XlVdE7yCXztwYRcvQOnI8Lm8IVsouWz9EeyJgFRi2ErxL4yS8fS1i5FxMBxt0KNDB wV1TX0u/eAzC47Gt+5/ou1reu+/aP2ctf1FvyWQCSQ8ph2/SBAvDNJ7tfcvBe9Foa6ROYMsALNE0 q+6yZ+Cu6EmVJcGcHNKOhEuKlGwJbl6KIrj4xtCWmDnnUT/bfMxA3C/HkfcsDSGOkMxn3HR3+0U5 8yFjEqiTkkheZGKVSHA437KkGD6aUQ6GuZRhDN4qp4X+oUIrYxs2mHiZx7Gdnfqfz+z59sX6w26T desdmfR64cBYF+GJSwBY6V4v8UUrGN0GXOfvfBsLHg0CsRF5ztOjpfeM+Mcb4fVCDSHmnYv7q0sz jFlIfla6yK/lK2PW3b3pO644P/H0gjgIlR3goL+PYke9Ape2VaU6xzsquuZs8xT9DhpjLk03dZ4V 6C5FMo1u9Io9vbSXz4CZZaAIRugc4ndoig9yvpAGfcyfC3MVFvaw5AvztkqeBK+003bcV7vrAg9a x1OEIONXwMi4SR8e2mams3aooBUT+8awT/7OQXq9+IF3lhM3m71sBof8KmzwSX8t0IGvjA9qHXNF 05RpZwzw/vydQWrt1nnoFg48EOps41K0S2zKzbFfTnWWjHIeqDFGBy+zB6wrMAjGLLpSTjrj16cr /55TNLpm0ePmHdcPpGTG8usJhxHd9H603I00V+Hqy8/2EeyQPo+Io5iMC7SMfWhW8+EgWfACuctv nOdJMwkApz4eN3mGD7sONLqf6QEiHL1fdozaO7CvkyW6TM+W2Geo1v4wBxOlMOQBu0kZ8BnbqJf9 llivF/m+SxciSHAaQkgj1eKQsCqes6Ikfsq2QsyhX6BJ+j+IS1Vfdt3TglpXzlHZ3s3ZFYH2hG0z F9lKlfqena+XL0wKcMoVwefAea/7FzmvbKQTCPn7VioQQPO+wSgkBK4Hu5+KTfrtMAi4Eeip6TXJ a3ss4kvY2lrMqF6CdT4oVld9Iyq6O8QBWo37M8lv5cLh7wXSzjuMV8jxDFrKgXmk56PSRoLQxewp dSiGQVk35MuQrPifVEFVvFnGv8gmsbFcs7QA2Mdze8UvIX+Fb++Pqfp0JjzKMqdynuA91Pod3BIK FsY5yan/H2Ld0TFAIHjL+NYZoeJBNFK6nc7cc5VyNjSp+1QTpC35s3rwqaFvCFqYwGjN1KhF4MXa f8NDuFLRu5LS5qo+uExQu7m+wbkEQIqBb94BFMq4zSwYSvna3lvCZxMveN75laUZ0TQILGcmIt9d GzjiDg3O2J/rvCYUvL0dqmBrWSiHMHfQLjrHcR12ecwa/EsUb/zQmW95FpPcwjpGW1rvE/cZyIKr XZ4p/i/hMqJ8nrv8iY5PbN7bZyAJg4rFRa9PlJaaVYNlxQztQbTNCvLrOyQSUev9uPtk+BnLsux6 D8JOdSzQ+hHg7Usr/4OPYYbYXohH/TijdPLs96tXjHHcO4wdCZGrZGK0KT5mBnCqYmViCSRJqWLk d3jUPJrJ85M4UbPkfjKogA5jT4OpPlKWsh0bmrMjkh4Sk2QHV1fiOUtP8a5t3hVaDx6ToIIQmn9A aw6WHrfwsODRjLs7GeJxXdkJDS+otCJA/KbUOACrrF/8Ex0pzblFW7OdxUOryWJS/jJKVYN07rBC 3u7XZ2XEFGQOt1Zc1sEzwfVyEs0wqUoMVDQZWdRYMgnWiPu28QTXdGXMUI9EiG4q4MSk14nF1MaZ 4FnTkf72GEP27s93ziRWbb4gzbPraHLmXqcgtbdjmzP39VXHO9z69cY9QhISZ3ly0F33PrM4eTWK Lr/qt/AMuXsJxE2gi+DAgyvd/XGyyb8YUW+mwhui5ppA1AaJU/oaa2LOoE47LXUgedlpxe8Gnriv VYt3afz3CkSsubRE+SKMLzcY37RL0/jmrYYmA0FxCvOXljZjy9F9mIiVG0SnieogKsBDtfe5P4Iz ahLp958NpZnMQ35e/q6H109kmDPDZBZzHNJisNT4INvkDFBYtnSrZ89LxqT/ffj4qxlnE/34GUpv jiy3iRKLwhhexHseGHWosty6dPvsSdvx2KSnN5ZoPbp7x4njuWWUSMuGLK/HwCkSmMhqHGukp0Eb Os6Z2pSftJ+Br1zMgxdqayP82AerJePhWLq+SXuQCe+qPvZX9As0y/cxKE4XLTEcTq9HlwIKAuyq U7Dq2mMdNteKoQTpT4A5Ljotsak6RSlywG6VAm4ffWnX5jl1DkS+xMqXgkhOR69XjQ1OS75XdguZ s3uXc6EDhmQk6+yRKeqwgLFAierP3pe2CIobkKzBKQOy8osroku23VPzqAHa2u3OV7tmXLLhEqM4 EGB1SV3XHP0Ufqco4zG2+M7JHMdquX8Y8fg1IE4FVqiEBV0m+vxWGCKfh9PwQPPBq9QKOHyCCbU5 QXPAllQQ0wrjseIGTHTRolkoTob8u8wkYkAi8gupGfHyrUF3dkq1tDpiq3nuRS965GRQuYU8tUbg Y9LrQhAt8E42KuD1TEunB6CdDasiIJuOGFBKTpSETbEILTxkeSBi1R8pEV4m/CZNNh0kxeDksapi Yimav+sDZ1fyyKotMBwSeGT55B/X041Vol8l4ArN+D4FW1qD8QhORcFQCZMUdhDxBaT6OV7XOMUS wsrrdVRDRpOSzBhkoFQLmlDzWViKhSDLyz+9239657bPNo0WItXpksxtUoPZT9Rda9h/jqd+YufG 4soFxB9/7u2exM59Mkd78nTnaDChZYoo0iOOfal9s2du2f75bnw70FXOaT1mjMKAMyxnbtmWezjY u7ZAzzbHCgLmVRf0bLhrWRjU52Ujgebv7FbU0onsgJlkrZhrLeUeT6huSKR+F8JpUCqN3gjjg+lW gVsR0xFaDqxsV/iWiNFtXIM4w8A32xS4WAfhdgKCswi8BWVHhgT9KUSHwayn3rUm2snHYI8MUzbk cH6EdahQ2TcP+9FuTP13EZWaU44FuPh4Ryt2UWUyb0WSTZxXDLCgInZkdXq9DuNv/J5+GNZrGnPP ZynYG2god7EoALkFFQpOf+MZ8tCKd7REQpDTd/y3+U9ZhEtz0zym43rHHPIg4Tc/lvrjsioqv+w9 0kzExyzv5wY/QA3tDKujQemmQOSQ2ROuExQNZKqOCMyEu+D+tUc4VwXu6WSthJeHvweDQVd/KVz6 ZLE5iyhHiqQqBBAVk+WQdE+briLMwx/0XhF0IGdKFg3U6Tw5Jpu5yfZ3Hy+sO+F9rUODkxYY/GQf l5hhFkN5WbbmvY7EyyqCZZZSbnXHnB3KfpNCeAtUAGzDfgAImMfz4nsfuqn1fdeimAfG2OSEenaS jB5htZjGdckYT86srEq82fZCsndJ36ifMmvoICxKnokr/Y5S9HWVsmSZxEVjW1iigprF4mQz10kf d8U7akVuI8fObcU/y2UhjzBQ1d+AAOIxvwgAeU5243LM8LBIoajADKX8aH6BGFOS5nfvdstcSPLs AfikCkhhmeiTMCZUUC7FGpSEJsvWlZfeopjw2pz9qGnR13G3v3m5PV8tvhrA2WeFcXhStIjHuIgl fmREA6qPDehz7eZJj1PlLfXMRAFsAbbkFl3ArJN1j70z3ejR/vHxMsWJroJVehSecItaau4N61jD p1GQ+NPLvH4j4N39F/Sa2dsTJ0Y+GSR2Vaim6IGEsgYxTxXO98mJvb0IlPXCG3uHzM64PgCMwhMd 5MpBwsaJpoYgi9fhMwoXuXDpRkqGkAYDAFHcZoboKs39y3KAousf31fvtK1NZBTbNJNFqeHCQVtp 9+KiA7JDzMJh3By0Jmq/Nhx8AO5br3hpehYJBFvOE+/8YbYAESDH5KSnVQDI1zb0zisKmgZ7pjk6 1w0PtpjrBBKPbSUmUG6NNMDmqZDoLHKHtXadpT34OOqNhGzySQOy4kh8nNsendhYxd8gLo7YFPWH rL4Oro5O/oscWKf6YcE9nkUWjdDMVDWt4kN8kKgmUwKyNAcIwk+n1dvK5Q6UWHnGaEGaj3tT3Raz Lwj4HmJijlhhHjeblAWM/nCVEA3GGte9F1JwCKba89wlpCgiWRTbrMfGGnzXqfEHvfS62bdvRJWd LVeiV9305QijKAOXaEbWKAMESpILdcHFuJ43NkVsy3ZjHkagzz7C8BbD+2TT2rgIAeu0aCEeJFQp LlfGeg3AQw3tKXoglDJDTvog5k2+8EEknYlU/OIMupLNOT+udCAYaRwnZnh/rTRfvSUgzAnfNl9Y tlVS08FxldFdrXve3dNnpT+eyYUtcwMX95y50xces4rTM5fBYKb5QlBXbJ28WeXgBYmddUfrF0TY MB/4zlPSZUd0D6Op5qXMbCEpce1DzIYgm+e4s6OmTZGGQiNwVgifKCBNQC7tC7ZXXy+3IjyTrei2 JqaVLgyb1G++8lTBN20vnr9Ya81vr0jQhtgug1+VZF1t79L7J010jE0Bk2pZTRQsxP+0DyXAwXRp 48XqIPFHkdVSel3Lsa1M0kLewoDG5sJ0/3GazysbABK8URYsKO3Xkgp8cNvzf2XcYKH6Pel8ervn YewiNQJ84PfOTtMyRIKLfIjB5a6DiR1CZB+w8iOTyEHw9WGBCfOZMFA1kthsbhAC2PCGdAilMXlc ETkDcWnfqWWhlu5NYsk6UDN20wg+FDjNvCCcgAuFTrFkxUWiaE9DyYbun5iDpe9a0wPdHK5eryfD wAeCFsovAd1E8niiuGGzGNjkkxTO0L6H6rtT6ENlu1aiuza72g9yQunR8fQh8zHCQGOrEk08LLa/ nE6Dd0zrRqNrySRyRC2JyLh0XcLIOzeMzwmhfEtTo2q8afd1tsxJYZIdFYwQcpoiVjI9T40+YZsA jmSx7aHefCFR9CXnpbiisOdhGIYlQ3u+y1J259ye9fbUoPwn98KJZm12LBVAHLWm+1Iq0EqFDpk9 mg5iQrqH0PnP47bx268UaQa9FkVQ3tRNHEZ0fAS+GrNURnCbXCUJWJUBmj/s5uvtF+DCBlu3QJbk Dg3G81RdDD4p47Qtu+U/3FS2qdXYFYCBcSvYcvUS6iSs7g7w1vd0lXWCuNwMH6Jck/hUlp8oxfo0 m8ypz88KzP0gVKG4y8ZcmaEcIw5eOwgNVm9x9AvzjrNmk13mvY/66gLOlKPGNbrqKobZop2A63R7 F0N1ldpKcqk68RXQrLWScSu4bjeQvkpTBijkfJmFTefef+vbM17b/r5wpRlIjUN3if1iC8AkyQyI 72gGqq+snoQEszhfubhWEPDyX/KHzA91O0lp0pezwGr9Ah2pHC1ImQZM9k/1aeVmIxOMxBYNUrb3 Tm2sy4Ts29eNsmijSEf6Caev0tCTV+lFo853aAh2PnsKo99JAIc1V3oYg/GYeQ8xWas91ravVPSs remvx/RTtd7K/iT5Vsnq0tfUnjmNTvFVfshXKg4NkPODfU99gIjacSX/xKoNbzGohc/vik+BeeEm QU/DcfXFxbL5nvaXGlGt3yxKzXYmZqPJgClNzoTcEuFcq7H7JFie+ylmWtNoLabOO9fVGOEJscBU BrCVjciztRP0NWFkXyKHZSg8oGsEfUDj581TLE4Y0GrgH7mVF+E4iKZRRCyc6QUNhxy1GuZl2uMg PiraC3tuqT9R+3tHOw9zOz/bn+pffMzFIvL+vocTPfrGTxQQXsHlwmFIqYdC55v5Wjvev9wkLeNX dwjBwOeyyYQKktnFYsLiumU4Y18LRXObFg+0q7kCFDhENnAnnto3ckq4vKFyTMJdTBZSbu3G0ixU 0c1jBAkruvZWOJmLheYoL8W6PM0sWalCnOHWc3csDn/UvC1NxaZUPVjEQpMbqGUIGGvFfpi6t2ye qZf1DBLE/3W0ikPtf22tGRbuAS+p258cDaIlMsbmFdHPPJj97+/mA6+6KrWYtO3UZAvcoeyjILg3 EHLaQhYKs74VWcaF19eD+IXM9kjdjWqSwfW2G6GNK+he8OTQd5UhvTUoFFVzyMjJNQ9KYhNlJ4Zu WwK6Rk1S0WDLKgZsSng450tKtU9ZpTBzTUttvmsz3V8Dzu7/arWoC39Fm03po2gzSJB0meFNGsxV JR20OMYIHU8qpHPVnc0/oTECiaB4FrKaU6jH6ZXOLM1kiOOx8Vv2um/XKBl5Gd/8bv53luoXyXmf 3Dm4EqVyE1JfKGQ+2cE+zw3fKog8vEFZ+P7AZKfHNN5++opoWSc84rmJS+hHHBxxSr9dp7qZpA4E leVeeVLpZ++X5LHOjwKCh0Hb0g1zpSTBTaAR4Bmoy2j+TXBkB5LwSxDCrCcjxJVeDNR4LqH7SERO amk1aomYwb+c/2Pe9vSEywajQXuSSub5tf8D5k4fG7mXDg0ylWfxf6clXyUt4cefOQTPiJidBY6V FzMpecYmLFuee8LSlgvfR5AVYF8ifzRSn+qegN6EVD9wTVxuZxUrD+bEeM0EMCkRf/Mf4AVBAMGp 8EySJYhzT7On0wtPu7KQw8l3xGQwVjfPfT3ojajoresthfcUeqP+R9gzWSKZaDtDtvn5mKru2KmI dryy0z2F0PgJfZ8VqPr+Hl0K1RCkxZ5F+KT/1CQrzFsVlQmo1illWtr1GvxE/lDQ5U/xxRxMZt0d MWp40hMAatG8GzIUNml9whV7uz3oN8lve3nZQzts7vkFSqU90bc0SNcu4kb2hsMOgxpT0EfKGiUv OZX4e4cx4x0JejvP6PgnGJ5RQ770k/X0Y/IMikLFvMVQJT2FDgR+0MihLjivzf9bFb4dI8f1tcWL 7Yjz91NCYXZ0Oa4jvsoKLM+ojYtktgNnyo0doi4eRA6UlpNG5hUExfmgLdgW7OtSCCME0+BWCABD g7U4GEOxe/dOYiB4XzVdqmTx46egmeJzBviu6wygxuuFBPDhl9EhoX6zD8irVrMpsjgcng/a57EK MAE1YzO9Bcf25+AdjW50KW4va0CN4s6HUkyCBlNHkAQXBt6RarYCvubryTzEgKfnSD7QkBV23OdN W+dnxJDlpCRAWctdWwabIlzOunMfkZ+r5hGnfzmIAV2oiyE8ej9de/p379YJsEeToghPL3EgWBHF rnBdIct0YcAGqrJIeGXgBPx+hCWII1MWXgILYK1zu5kg8uE1gj493ehY/fDMoAHtrXK2bhxHfw29 btlMZGGPQOHzymJHGDeGH2MvUjJgBMt5Y5Bms5Vnc4k+bi7XGwClFdq4fM2Kl/+YllZaK0y2A+ZB RLUW5/QKvT9kYjpTLaFwYPPYNlP38OgfSN0FG98HWpA9IPHHkT9+npeKKRgBprYZPdTdrll/rifT ujPZ8dEBe3NqoEoxQGvGTOgMefAK/U7xkpfUs0wz9suI4zoV6O/r50YeWM2DdozZVi+arS6RMheI Vf6kyLJthf6x79bttQK0lQohrymNB3hmyMmooTCGQzDzb3+ploNN79RcZEoi5A8ZkKMixQLW2YQJ RcDEm6TCn3aFkbysAh7nl1EVZkoSDoQszqfR7JQI4CnJsN+vWSJGkHit987mwcJABR9OSH24onDu X1SK0WGMXjxZrTEODXZ7gbmI+DKDuilgATHX3WXYW/F6F0pS+t0BLmDjWJbXkPZWWDHtLZodM9m2 /GKPrgvV3ame4/dE3ImS4G4qkHbFr2nJk6EDqoMw4APWJooj9vKc50rSWWO1wTFM0M6YNe8lXtCH Z0lXvaW38G2tYwoEaazOClGbNQ8hUH3icI+/D7pUOr4xFrNblvTH3rqMTMFmxpBIxbDYC5yLSGIJ bdUeIWgm1MbrZpVgTR1n23G2CzwLkNM1vv3rzKDpyGMoAv2SetJAi6z7LCsyRNi3p0Le87Ew47Er qZjHpsKxsXLGvIvDMmAccl8ReIyC9Md29AkUtFndAtT3LqaDdfn7lVGIkSs023evSXqzx0UpGmRl XlZ6wY+FAp5PtznwL//62lY2TyoM4wC3eh1xdg8dMecZs5aB44ZWZnX3o3T4smYgZ+O3wAtmsGtj oNMQ6E7W4CdEC+PF07BH77oQpr0U37OwR800KbkNMqCftj+dRGwS7ZFL2FJWWvUx8pOg7wrLF3lg 08VJ63Rbc4RfpIVrqToPtIK8LwX+QnWY+12c+cABDofNXW0a5G7miwrEUp0FY0RTfot6vvSPQ20p F62ufzdR1vbK7Zx9pg9ubEuQ4Q38L6pwy/umcU9M/TWKtysC5A7FaDCfmB3deaZ4ZAikyeEp4RAx c8vXBDCwxOl3xbSbQCvyK9XcDu1I6Ux5BIB3Y1mzcEhCiEz/ocHQauZD38J4IREJKN2Ht2Mmrorp lVf8pUcR4k7IlqRQCCHJaMaaZu+a0O3ZaCa8m97cgqmzCaHZtJUDiHI8Z3I+nlFCtjrD+UJDUDQB f+P+NkgIXpv0v3Wwws342nW3HhqRDzeapZ3yHBs6/Z10ns3uf899MAP56vvWAsCIa5+wf0ycqy7n 14KngvfDzX4+SgfsyJ6hj+w/amnSdEB+Xii9wOdUFulafroqv66SMNctxnVHca0cVfMGox09IsM8 smyKMdAjgQEXc/tjS+E9wE2JekyuT5OltwZSFwsazQV8lXdw8vsFiaRlknsgA9DKxBT9t27cA6F5 FWZJLJCHAyDUvvxrHYUuBCY3FwgtYUU+VB+LlUmRQNSIfN6BKmytuMRSwy+sXGNvSwH1cTvjfa+x 6tPn3a2HmSsPEz8avILx/pD0B6SUhgrTbHheBhOGZH1QrCLAB19qrAIpj1uKMnsF4ArYXBsI49d6 ZY27ak53jZwBDOXcU4KBj1QLNaB/vhkeXmijWZLh2YQJnImoUzhA5RkySuX8qdUKC4/8h+zWLm6y PmcpIbacMmhiQIy258XL++F/0Z3lUl/kpFUtlqksHRjnP9XRLvhIPxL9DlFD4a0va1QJceneWODF Q0JNA7bJtBuYWfAA4fo/9WYpmN6ocWSJ7fsUCZuEc8CdWLmIWuS84zhbtVBlPk84G8yr3ZL9MQWO L8kVKrfeyYYq7WDGwBy6vFIUSWMc3ElVudtMVA8M1k5xcyG5m8gR1Hw7UGnocbzlwZVcu8d9fvu+ rGQ+Ex8UXbC2chV0XLzWtib34WrwjPHDa2bfE8VtMjgLmgAbcmo0WSOi0mhDB58qHQx0vYgst7+P WsT2Ic6vYN1O1jOH3Sbdr7WRdgHW/It9kpkZ8unRxcAwoVAdI59PCiMZKDD6tQSxvZ9uYWMe0Kml BFd74xJzVLhVvhI1iV75PcoXD5e/nwvQ/YCkY99CKVyZzk5RifTK+qmcJR63HItYI2lLQkDx7Ttc ydMj/PvRmuiA3YAmb/nzzef/rtK9k7hZAefhcowpaF5lyq0ruSuuPED6vYc0+XZdsR5LL4mOuFP1 sI/4K9AtY5bu4DsB9pfgBS82Zn9a4V9t6547K/v7r180MIIbxaD1YXEPA2KSIYZKivPn8orWxPrV Jcr8zbkpOZmIZkmG4Vv1I7TpdXB8baS/l7RQkCzrewpMY045QyPxXPe91Gz7F3+7Gd75JXd+yaK6 r/3/dqRCPuOgFHSIfeStd77dyUYAE5aqoWG//Jtxc0cYrbx/wGkYzKy2+18E2OmfRb/WEGySuock TLW1HN5/XkGi2FagSynxqgeP3TIW1MLBLPnbUAw8oCiieETCJ6Jcl9zqVpYzAtxmZWpbZpmGArYz OZvtTf2nGiGaDsIiT194DBWp2MAaFq5xxz2kiL34kkz6qjbF2Si6QjXTQV/4ZfAEvArJw2La6jvM TE760K4K9IwgqmczkxvAp7UwG5gr4rVh27085Em3zQ9+9qWJrcjBaVi4BWmPIHVF1q9jPAuBpv9K lPeCezUxKJg99+ZUSPZErndI4UuNWhA2SG8nC4o5BH3EfNVaIWQFGQOYEWclS1HFLEXB2RU+K8Rl oN8xPpT5ZmOcC1R8TClv11yjvJzRLKbRBqV2DC1Bb5W5un/3ry2r1JlFJy6F8wMP3rnkYLTeMvKO eZMhMCl2cr1PlmnLLMX1NMCR3fSVypJAa/jbPWdRSkK7BpfZTaKW0Q0NX9KvO/7fspGnTem/SV0S J0jh4ZRlesxDn2NIWS5rLX4YJ6EWXOkg4JrpD8AA69ufO24KHtb0PipzORepeZ5smME/PQHIf16u +GtEGA2UbZpZv6cI1fsnu+hISuP6tnExsKDDzrgE4YUyiZYAIn/zduTxU6+Kk65kn6V82Rdhx4BZ RQLsh73Cx2mTkHxs4VeDPRtRj+OCGBbUvVf2q5uukoG72LZH+LluXIintwqf+NJimDGKfEexwozg 3Zy5mb7/sg1snyK+YaGKQDonUb/+M0gLwLiK0ARc11Z7Jswzj+l9oGAhatR9SDMWriB4H4wHSwZS +DAe7aso2Gud0xRfChLD7ygmXzjCVpO6BEBt3JMVdqR+FHJvA+oaUBYg8Awszjjs34HyOjZBbM+j VFcAWsFQzKsD1WT3RUMtX16+TVurIA0juc4d9l/55MSJsvLfqa8nnqqrBQmprhE+IVhtmL/m9F0U Zi2BNDpzp305V618EMUQR1pWAqHu/x4AFcdQwbHQorO5HoBX9a+xeaYZdSgQldG/FjLg+QE8Re2W 3uX20use65Rd7/VWYsNgnUpwX+BRPpxRsxNqhvwaeNqFQbMxyjZ/7uVuecnKOIjPRuFUx9XCtXt3 2mrJ1e3XMXofgQrTMjUo5i7AsXckaSgrGBPZZfwYbr82thZnaVl5yJycNa8T75YvRqfR8xQ3G2ZP OHvv5efGYmR6lcBJdPvHUrH+lvDPT7Y/g0+L/l9sB/KDsV4xKaD+bUsTy2c1kEHA7a0ufX5PO5n3 4qDKFXXrlhvPUmBUoCdYZZ4/XTpDd9JCCMkAsoFwmFEavRblwgGmHnERCeCmgnZ8KxG8k7hudrjn WfB23fNsc0j+s9BJDSv+/iWeKoRTDigN2Nm0lhj5WJ3yA7KkT6a702pRxW98NAnP+4ZhXDHDynpr z4w+sEOt5vX2ESX9EMj7gPsZb+tUuZ3I5q/0pc3TuVwEg7eF9wGTyN6x012/+y+QIlhSN/vCFlTE cO/q13s5wVmCXzDDTHlSsQILw0O25PuSWz5S4FHdlSmRloXojHuXFIEoQqRBy5tvoO1O/2bk3hWm DPFRyO1W81yWKh6fnV10gP2V7ePywRUNpJEJqsiMupE4zsr6D86sSN/u5+OaH1Mz0iNkV7SXeN5v IaCe8xVYDXh7tXCRpfTKruZSeRQQC3kL6ksct/bOOpPiy/qFBDlJfoEloy9X6tXMmp6+0f9+Nesy g1gJcholHqUVRefIsnnL654jo2wXhcdjLhr7Jc+8XzVNaB+IUW1epDf1ca7IyLDrE8ErfvSgTdP8 vzoWXp9cE5X31i4gCDYyURpcjnnbNtaArkkU3i7TFA2LoFvTy62+IimwajF2PZsYm5f7x9iZTEqW ETqkimCwDr+i38UJwOl+2OesJgLwaKqWeypqDuGF7/PGVcaLlR42pMfPBTqBQN5T+fQWQ3vobCmw DcOQ72w7Y34ZdLrd/2lRwdweCGgE1KS2gweNqrXsTzoaXKaU8zycCDQ9W2G3sxmJV1YBoWnuLZ2x w3X5WArYVgjeP7TtaYwaIHLxwsOQaMi+7Au6RzzjO1w5Cq2orabL/w9cKa5rMh5JyxXjxDhFUSTv Z2RZavF2iQejdnmSmO5m6s/j4yoQiXme30T6E5WDx4qRdU/nJ78OUnrvoU+wtQE7I/3tO9UfUr1N Nxs8WmSokr3qAYL0lFZ3j7+OCjKg338BHIcOwltz9LAuoiJ7XQVP6yfKicoXwI09bvytKu77/mfU P1M1bOoT6M+q6xO0qV+pcf1KbccN3LV2Z9ZvR8Bw0j3JGaSXTiVg+KBHqckf7J60M+xEruG6Qgob TingiO8b2SL6mWMIbqjWkvtYHLUeA60YYUfkNAKRJ9u1202hzhfgceZ+ThF2x/NutlhMRp/QNwGV b27irAg4oX4wL2Jg6l7Dp3NvN615Cp4eji/z8rPwbbXVKLTwlhf5xV4tteUETWeumVt6z1iaMdXe d6qRh1bE0i9kVwhdqmRQyEr4niFk/OPOg+58XYodGk/bfXRFj4gJe5A/Q2tB4GbXvY/n7dSPaG/O bT2HQ6w/MYVt98GK+/3xigrTHqnjQptS8wyuyFGbVz5K606QIOC9r1wnqzGqf4g2P04By02Km06F iyXiGJxR2aUNjYHyHUGBI/YCT5Ksyw9P/uHBnsAnlrW9qb8NTcjRt6RF5VRQ869nq1XKsU5V5mKY 45l66zQhLj8HONISpbl3xZP9YUTRFcfZbTy2qk48voWHv3XvivBKLhPp6Oa6iz3kUICqJj04Rk9L KQfLT1XCP4XQItInmcQALA0iJxRXLv/C+MT25vlRitd1YV6Yr1aqeyGlcaG8NhFOMgjTj4b+mCdr UZ6ahpvU8XkvtRozMzFKNQ7eUbngdwy1vbWE86N++HY/Wmyn9qUzE2nX2p8+vVGyTu07Iyb9FYG3 bgrnHBysR7dVhIFIo0332VhHxKPjXfsNW9mkOgd55XS+bnY/NkN+zAZ7dCb5moZ1vkDY0u1udwWh vqQ0jveiFmNJnr0gPK6w827fmaKzN1Zzf71KootVHnNdvwDpljdoA10QzLLmViU+Srg81YnkyXXz XliwOv2eCrhgvwaPkawmeOaQQnJNTtjsNjJ66B3y01SyjBIvmyYLnlzTW+Up9O0cZMGvJP1Toffe 6WqSWiPwQpBfmBlzm5Z45L1BYSX/2LoTGhTui7N/aA+V8w6QB3ad/1OepnS8T+IEOaFjFn86B/As L7ox4/slveKWNg/v9VoLoqXoq8KXqXIlqPucGt5tD6XFq4zeVt+KqjO5ZOQ5sWRlvIlqO9utrA8/ OYQsQFmJM1bzD8Qh4EUtew98OSns6nIL9LVof8IuhSbYQl1hajmJUXxc2ZGPrmzKEyrk3MFT7GXu IoxTJZR8j7Hlq+uMjhINAHLyb41cYwtCXLfsjyT3Z1HjI0VILjhapkuqdbSkRc2dRl/aHZUNdqdu VQ3mQZLvqFuK+GC2KWWm6cgyJ0mM0dFS7rSLIUsnyZPACCs57gY4wKbNDMTXA3OOfOG5RpqRgoKH 7HZSNawLn89N0ddxU+ANXyLyybGWzFF+75X/k7HZfZHZtKtBZz9DbFcl5oBTIbrvgPUG8vCTD6Rm 62FALC9EcYJZ3f3HOoQ0MLv8xEa/QdTnwzd4Vg5FoP8d6il9DyXuIW8WWo/Pd1BSy4PkvapOj5ru O+0gllYlLMrXo03bBz0iJ/WQ2Ue0qbho999/kdi9B/FPlM9wIFttqWN4Gc2ud993jxraPYDmhBY3 62guI47hjhOZ1oJ5oJLw72fri+KAWlWiXHTD5MvAisB43wRT/b34mTK90pV9IAbycwjdAXpqur5o rK9AOxLBfgJUZXmTnKhm/fybyU0VBr4kA6wD/uxjUdIuJNLlN5JsWk8icQGRF919LZQK3/g7/ipZ S8Zyp4GApXklai0LzYn6/ttfSsfMQ+9SZR1HpLNV8/No5oQo1qUwySR+irlrTGtzh6ymLSBQdnyB LMuCR5X7KWyELEncIU209CrozXXkvJx71YRPBn0bBtTa1A6kSE26cqCDHpvmd3NQQ67WV/570nNT NwdOFqTVDVcBCCnaKFIpTC39TaqbszAGRw2fmFCsxguanaseOlDDB0FEhowGZPmi+3Xx92j5LlLm cTXNQg1NOTkDSRJOUW/MwjQaB0WFsFFrPCL/B1fUgCsIyrW10nzK3PWum8mr0X+2vRsiss1pyve+ x2GZFMnuvgjIxJIGSUgQvtcNLDgOv5PmH6uO2/Bwok0q7+JAW90Z80erzOWv/mUUoGG8i5tYI1E7 6kIzgFFRgukpK3ufO8akx+tMAENQWZMR4swOh8RfWTW/fMbxnNiWFJH9fv8omFhOoRlnLtk7foDh tdks+B2hvjLs1DBVKfK3BKkkL2j87LFW4e7mTXTPHnPOrvk1r1r47bVrB8tegnhaxTZiQ6B6hrmt UR5JvGMZZPqEv15T/cLXeWtOnQ4uL6DVt+AdJLotMrMmLqIFT0cu6gHCcIg4fdBKOMl5IWW0/Xh8 rG2ZaRaC9ESL/QGmn3J/G7b6d9eClVnAE4+IKCARzuYj7NOfduinSosjZTnRmrBl6fa1NGYL99EU p/UXPosSda0Al47nqO0timQLu03tMIr9YgrmJfxfetuoANHt7rv3yBrjOpHrygzVWWMOI7ukZlLd O/EdUQj+eSjip9NXlXoH03Xcnc78JDZ5trocwUCEIwkyBTCMzDVp0Dg5Cmf3Ee4S6eUCFl5Rsyg1 VCSTmONoSZrDg4piGJ2Fc1QXSLaWQ6oN0PooRY341xitK+SY2aTm9p7NRCadpztQxrP7sWQi4zoZ G8X6fDx0ufiXTcEk+lX32Z8vCMvIgBG4kYD5spg/Z7mYMX7wo2IiqIQX30bUDBCCuX/fQusOxJJI 5LBCM0mUBmlmgW0fhJ5GG7hRxipIzXpnY7+SyolXdFJtmlJqdZF1xLEovQd+/NCKi+4tk3cpHpE7 4nmSC6ZQC3y+o1mL8Gmrnwmq6hjA2JC6DLNyOefZUn8+1N75WV/y/hQmp76zT5xwoKdOcCeD+J0q Bs32IPDpfFFnIwuQ2ymIV2pyGKBYFh7lOgiD3ziM4W4n4RxnwNXbxus/RmbnTUp1gtcHPtJFgrfz 5GnUX4AXnfcaWDR83qrvjNDJ1THH/CExU/OnThFWfBDpwN1ZQVmI7h6aOPk24u4j3r8dGw8rKEfc mhHimbmisewMkmO5dXNoLHHZdss9rZq71vsY+O/oyTTSikQKBWts5XMb+Yr+cvIPW1LzlykmU3ry /CbES3gRN0Xr8Nqr4yIb5Pv2u28o3whfnnFfWSuDI6xT3Qi/f4jP3WZTGXCId0zfFlWKDT/da/02 ijkCb/jbIKuAYx0gpfmtomjl7PkQZb9FdM6gQri7dxqGVShQa2Vksq+o8VS19S2gfukpeR1lctAR RHX77bjaJwx9Y330u6fWwwfERST0Xi8X8XMhPqTGmYcchC/blUTy7RDBzlLxJTXvSSul+8G4VwYw W7U7yR1gjdNMabeodscNMwGT72eIuuiLIuN5qElW4Siww7TRmfzfnLC6U63Sgks8GdGMJatrM4uE cOTbrBR5wvr2qB666/N/yHiHhMxv6SG9R8bKAg2C+3aiiUJCt03nwgu9yEQ15fMRtx1DZuqZuVaA /ntmIl3JwCmB569trZ921KmXkGItr7yWKVRiQjWTMX7t0oFNYiufMYHLIQXY3QwaSM5q/Q2U4IsA PVffhZHqHCbSXVX+2VB0Vnbt0KpQKqvQjCn0FNkjIT8a0Y9t8/EXXIkg/1LRXntAhy/M1MvJKXtg M5b33jAvlgVX6ND+oYd/ntjlA84oj0mZXilqY8GD/uy3DV2jnHdYyHqew5zBE6qUtGOyZvqUHID6 jjpKMdHfeDW77ysXdzXazKXCPFq/r8Gul570uCMquDvdEyXGOMEr1lrYb4wDQG1asBaE+V0SUlcc KuF4xtKQAlbi1o+N/9nohUdFrOSJpCI4X47GYMD3pNEXhstlq43J58SKVpVxUl2YMHfMOzp+1TpU VzzACGiKVowNJuB0h3V2v0hcJClXAdsywBvpT+xdBp5EKGusgZsWI3HHowkTfFq0HYF72jh0hIcy NNr0JpSOuCdh1oMzQ/keTOHLKuFSB3u4v902tYvNEOIG8F3sMtuxmHvPe1COiRCi8/a7O07wOWeT vmpZIkyy7yjsbmScmDPYOpOBCtbvgOXCFP4+WzWJZPpzYNnoMcQfQh8LRKgTcKy+wtSwiOt/rUHi VBn0CZlsFznFmRGI6Fz/JhJuixAr904s201m6REsnmcW6d+jDqzLmlXW920F9gH8ESZtR9GC0RJe IYTZbqNNZKYN9kuXSiPiZ349THiSN0sKtjq/TIXwsywhq2duDTQGvRFRerZFFloEgn3leWce7zW1 yHJYuFdftjN8RF3D4Aq4EpQxSHnjMBbdhfUZst5Z1f/5VdlCCVxnZwbRf70ceGNcIkRSJ1pU0Exv xlDDS4SzSv9/EwKj4M8iOVay88Byr9fo9Y443yhf84d37xMELrbgak7zN/998L7XLAOAvssO4ZdO A+Ub7ASrvZVclHna7pMa3jJY+khIDpjFvckBaiWL5GKYm5RjGHPynSXMUgeAgDe3qeMeHbpKArsd O4AkQsoiAtmbu9TsAm/dbxitEy5D0av/8m28MF0m4F/JfY7/YMXbNhOSgHFP38i0NzCjKLL+m/Ab JKLEqp9jthws0ieq54dFKGejs+60HsmNIBAHQCZtLC5Vfk6Xnvyxpi79nt/8qcL6OKX8t6N8KzMX M9TzJCKUWuNRc4gAJpAWhLCqs9C+S8nmxzwe1qx9M7OmR1Npb846YQvgeGIagyb+3WxGmkKTGqJX EkfYk9tdJh30L0o0aN12GE8/CzME4pV3XgQYnLQEgxfXlJ2MyXcEgHWGWjl+4wW14N0To5CRjgKb wtZFcZJopenkz4fNFMtGM44bbUg0nUicTkb8BivajECAzzeaQ1w0VG8BvlHeO4nJqNbe4DbtRjcT sHkJVTJAX3jfiSADhu7ghb/5fZlAE1b8n50gaKg2mgtoDQrqIovWwXaHgNAXg5txv321glLXnyaP cHiTMc2in2yukdmMzFTyXPHVk/honUSB8GMXSyGn9rPJ4KMeZX3rAGdMpbq0m3zijJE0QazELWAe FXBlEizff0zuhZUErkHEyOCYGc0OvGJl4SaYIxt0RXIOQfBXkFIw6IF7BTRccxSe22QRKiVhQvLp eaKcRCu+8oWrXyMkx+9X9E2a6eRn0PIlw3x/r7Q8fp63q3gCo/RyOw/u9RdmTpE1CAB0Pu6maB3W NRHborow1yd6Wi/HhgRrr/dHgioYR1xXU7LdZF3crPbZfZMNx6cTFvG1puXZPX/rKB3ljeayd4uu vYayWBcdsUGjOnjC4pB7SynRyRQr/79adktXWvQufi4qgyXnITS6zrH1cKo2KHIZLrBpXgU70MOU 0CGJek5zumattUHzh/yw3M+ri0tQN9EB82mdYSMPpIb+1loAZUwdMrkFcptqVROfXBJsjDC9lA9t B5KQX00CPbASueDnVYw51XN6l9DM6hLgjlumw9/B0KUx3gjYPKOGADgJ+mErczIxh9ptTzfmI7hw VClwIA+o8CwpqgHUtWhxeKJUmuMDI2PHrDoonRQv4BLF2kgpvY4K+LaYZNUpkJMQ54TOeA738z2z PLev685T2yX5RtzMN+XWgVTFuoFgl6gbFro+m7ReP/6XsQSeYgNEF121p4dQfm6K0MJjMPgPyyrM noFRyrtjoxdj57qXmIPC1FibyL/vWkg6lETywbUwONbtgSltTLJtBVXPJs/FSgzNWUyqHFhzuNHA L+RHgjSnLFMskHoZWA367sPo7G+lBZwmSKkUwn6NN559nCRjNwoq8JKKiCUzocVu4omo3eJtmTmg jKX/IjmYratsj+TTd+XwNMzcVXtRMrhLRcMQD8iQsS6MQH6Fb0Ms9ZSWB/pGpzaOp2OM9MsbaTy2 nT1UnFiayx9rnvM0U/akTM8HRy3osuG8YicLlxIiLdeLaKwxODl/H7qx+5yFGF0H1/CfUVqAYBIZ unzjtjGrv+2Nhj/ZqUN2a8ksSTyUfCzo3VogbL+mLzPwbgfm2REZgUVOak9TDRnwUBXCUNiyI4Rg CrKBR0KsJoP5xMZwcavLUxL7DSzmylrjG7dKYNTbe7QwUzpGCzm36HPqyqyZSQr3jiCEuJDL8I+1 r2thWhExMaxz/YEkjYxeYZnNXLJ+0bKdkP3evo1Wzt/AIK+MOGCOR32FumcHlxPdWmwXgGVdjngn RXGy6NKWDBagwYgjiPXtJczwTv9vt+0dOWjJzgxXPvM2hyXis/ox3hJz/K6B6SKIbRofaqmPXRJn PnTB+pCk3DZ+z7ak3BXQene0GJSk/5kDDXPL2lSwP0idwk2KtKKprcbelcr7N1kQcUvI3BhNtZbS IPCd2pJBjHB1ZKeI5YQjQZQWupvzsHcZ0e+9fEy0vUgiiRt3zFTxcy8Sh01blD5HbV4nIUvNsO0K g2DH1qUyN+cgRVbLnJa83SARw4nxjs9q18u85x7TfFM9NQAUppBU0mCKtlDjZon10BliAplbkE8Z pOhBI1zGP6Xq9PmIZtXHTOyza3yHDDAKjJa45iLVswPFd9tZeXMRmfCIKR7WjbT2/P+OWBUqYjV/ RO2ouvWCXQPlAr0aZS5hKmIZXGwzpQE5mPG4QFG80JAPHq1eCCh5sKjnlk1YSudWVktrJzd6zaLJ DQaLWrPTbHMXgNJpG8mqaRZLkEujk5r9loHHaBC+Ewjdys+tP2f61POBtnfOLOWYWAiA3w5MZwpB ZbRKhlxVK3aSOku3UO2gYUy6Igw0knqQe9bAyE5x4OOgW9Dle+6TlAB3icYxGPWDN1UnWuWKCwH9 /phshCzj1MGuGdQzKn69boNbuhcR63+AHWtA3YIyPwi9aZMUbzpqb5qpjvdr/VjNXK545m2gprZM rOOoz+zZB8uWyiqJCnLJMIMq+qGcGyw6sQjvjFW0RgCsPK/f+/REBNXxbS/HL8IGTyXlyfuxPzmg IoduNSBWD4EmecBZgl6CjHz08WMq8V3BmCfSoqAc+mCjWZDl5tukxfvTRLgfS+9clcL/sdAqsi7j uzqRxzdMZnSvNmzR5NFo4tqeMWbc3LcLxjTdpxO1HKFz0DBlmsfcLaIB3rPpHtTZpYb5qCnwVsxo geqNNuiRiJdoZyhgxqhTwWLs3fRZdOs1NHrE/jyBxD52U/Z784meVCsP78u95ryQBBjO4t0Px8xQ TrNSYe3aho4DKVRLlQ02nhO5/vPMR7Yy4tTdLPX8o6x3q5kbopPW/V0BG4iUsnPRp9LQHEqHBacR eMAslXQB0p5kNjsFecSN3duZpeDpHlj7J8iU+Y2RIdoQwIlMgk0sy207ySUhdxwOizCkCXx8XJ6+ jATvnjuzy2Abxx/oy4HA8tg1lnyNwQ3rcoYKyO1jZmYWGPK3EkzRwuXpjjUMmjOob8NLJ3giKgUa u++zYO5FiyobrB0oWnT1wHDWaG7qIzIxiP0W64jWwLu9RsQ9mp//e+/VYODXtiWOavd0Cn7z6WQv FoJAwXdNVB9wyFPnknLOiBeWyXImdwkwlOsb3ztFdcKD67jyQ9F3yYUCWuuyu7Fpm7xJhyE1aTvE gdVKi/YfX6Bz0iSAR+1hJCpR/1Nuvrk4mTSr6xW6E8txSZmqOXoviauZ2gJV9ZysxAqumj4nBd+R cOuMVkwc4Q1F/++yrT6nkn7ezQpTOear9kpfN5eDo5UtgmPg+tF8jEONmA+wSqgA9cNdvHNjesat fInpgpiTIZo0V3Jap+dPW7ip5yPH92j4u8AZ4rZbkiAHkEyARyCLKf4lwphsd+xdmRQF66r0nS2n Jnlb0tUFwrdlagf4HCqmkzDTlkykkyo8QXgrMbTiwgbG2gMKM4InZg+FqtTy4twkMzhglGm7wU0u KBCwOAJET+Zq+m8z9bDLkGUZoSm4HoybMqqzgxlMwFr5e/DN63XkM9H2SfzYIgfKRzXkTF5JT8Ta /ZAEs8Lj+AJ+9jlESU0bWdzcr90LgVOf25Yjh8mxRJUJ8g/31ugCj77xP5yv6hv9cMTqgGQ4UZmP o91ow63DladCzs5cXqjfiYGD3+rTTAQzNB6fS4o7G04JAbK6ipkWR0GOO69/jWouI1HBGWPbt6y7 b0ap/qpOm6MDIffP6Nf+60QA3ofo7cnSGuEQaXG5iAuf9wmBR59R3sX6AI6spKgtlAg3y56LS4zS lb37rbeo7kx2Bgv+eqKqTYN/1ku5SWKyCGqBp1QKASwnEGC5Ri5JUi5iItN01vzVRq9UGSGBjirg EljJow/CzVc0nTP1ZX5FDeMnKialQBQsT22ylSXrpRw1kzzmiUgzBxyABKF9ZIZGW13sCnZJo2KI XWMTIGjq0DwgW2YeuQdEFiVU8ZahyaHa6ZaEw7UxdvEsMoo9g57hIXwQjWwiR0n4J+X7kjl9zQQe hW3p5IzxuzaMzi2zOXub+hZscsS8Qy2cvPastJsi4uhYNtAR2PE39Kv9elVg4mfoMa+HJwaNlvyr 2k+rA1BNJAjt4AcHQFTwZ5WZs5bx/ST+zvXVitWYg7xusp9oYsrhWFkEA25fbmUiMrNt0wfMbyBu WFSXm3IlulWwjbC7qEKF720ff+1BXZMjEZyG3vcXlemK4mqsnbR9bZY9s1tEuddfRnIJl1t2Eu75 Z+uwL0FxsNAkxGmj7tGtaP7Sx7y3bANwBsesN+23E+ax6BmQt/9XsF8sgPRc87yyWRUwHVh0z6Vy ZEBqS1sMPFwjz3u+NlgQaGwGbSEY1qBXQZ2lDC7j0UrHAGNFQu/LILJW0gwN+zeCKCiqtO6Jaag2 43qVu9aD90JAwkmZUsqDOLpAb5/KQqE0N65ev4xFLRJc/ulMZmOgTwGg31MWvaQ6Njz1ICvp/At5 Opg9s1adiCwpU2O01YahUDBlH+4th1+zyz8kw1gQBqk8xnKBnV6Xcy0e7tF/U/AHvVYQvaABinrs 9IXabitIrBoTmSeNInDEN3C8dJhwhX26SjJLpwdVhEpP60R6+fPtVMwdGw43MvzQ1jgJ0INAkoqj Wiv8jUtmLvnBTz32hhVw/u2lK8EUYDHV/N41L6SJjkJpj0YdJlfhVUr1vPOomQ+hBbScx3EFcaIU uV6I1dDFqAVfI/FBCQqKyjq9whMY9LbZP4hsqc3DyGAkau33pN2hPzCqy21Cd3vnnFRC4KSogLlU hbw9lKTzMbsQoWrqWQvswGZkDLTUhaUpRmSS7Nx+aQTGJE95bKy+V0ClZPeEJOklAVt2oyDsDpe2 P+QQTYsvHA+5xDz9WnDae9Mcs8hKPy1gYqcfEV5FVCK0ube8b+QzGujDkNZVG+hiCgFqICuQ4GYH Qq6mX6fjjhNFen/fKAVGF0yVRJ2P5yA872HcD4OifXGHDczdTTIn7UGBtuqD7m8aycRi2TYalyqs 2HEE/K/8ABrxGsPnM3m7xjrLAn3lJrLpwNbZ9WGXcUUzBdE5ijCvWO21dNwhdj6xCGE2+wt+sC9r dzrGuEpY12r8OEhuY1m1uvrpkZ7OihPOt/y0DC/sUUQLnYMHyXJPKJVUoWuHho8TNyvAB4Lf/51f Dcvmnre2DZ/6E+b+n3QhPffskaP/kyWxdSi83B5d0epJRUwmF6BJjpr0s5YQ+0FZS72vO84OQjPy GejQ0kx+31Dfj26Ot5LT3v91QxuVAjjylaiYU4C9sLq1OhTjdAK4i8SAJt8/rRDb7pOjCObCGIcs 4p+ogQmup9ndfnLbmztF+G5UiPWDl8d+ijHM4LS5oSFOSwCc9d5V8kloiwKLq+vLTu4O55wWswjY Yx+1cuKUr3ofmeeA/erjgEDvq41k1sFMGGz2oTj6s/evtyt8cSC6VJSdXZzmjXOkPHjmPusPxAsq xvFg68262AkOkwIWQMJ7+Fb7BeaHKgiL5MnVq710ndN28xqJkf0k1E7oOFWrVEa94uzbghxvUWdc 13oXlVHdC2Hm+crPxvAGDdEv6xoG4KEY70co/Zu77OnhM2smFAqtxJgUcm/KFgjUVAqZ7EMmT/TX VKC7NUlo1BKhibgezKmjwdIbpR+cckwTLeHh+kcQvWv/L/gTSvXEmUJDef0esmN7toi08eXr4MRj sXLQoirH8NizNT+PgU1WfAynDO2Tc6rDAevvidTL/m6Fb4j7b1iz21S+ARTfm/JZP+MMYvAvK9cw hTEVGvimn5iWsbPX6DYDKltl2v0incIdmsDt4Espbj4E+2cKU102EFYEXaZphRJkQ96Ng3nvDLTJ xMj+6PrNVNdqIqZ71aSCzkOVZmXdGzsMekUmQqBEKm5VLZgBNXsPku+vatJCPTKw5HdoX4zxjQLg Gdf5vS4VSoI7vi5FU/rOQBTRP8JE/dGd8XhoXJuaRn6XHCMe4fMayfnuJ/+Pf5/8s+9dop1qKRJ6 dqwHOm+/nEkK7VfC84+CbpLD6R3DDnEKXOrNGNaN+fsg5hUIWaD7bxJxPXIPcMB7nBGhywolGksa eeDYND5WUHDaUbZQ8Yra1lXsWHiiJ3Ua/RBXrdHyFY/oGrlhJ4HmSbpk0uZLVd6CHxEXxa4J6vST 3WH8jOgZe86lhaawGnJ8gWUnhU+MCcJdghZ/egzfo1iB0PgLxw1Q1PsO2+VF3i4YrbXL/wxvK9e/ wnYhYl+1LWiqTQpeuSXSx30xSugb1lZKhnZzUqZlUK+eFfBUouHu/xRsXgKvPg2rbU0AyRA3zZfh dWUqn2SBnvBxF4MTXzow35XKHlbLHG7Ap2z7GQANnDsHW2QongCjdn/jiFuklfNaCQOh5yRSgKMR zSQby0vgNxU8dn/WYJAsKrQ/E600R4I4TGmC6dO/GzY5aF54tcqDfHG/CyXU8Jsj10d/nZPePWeJ Br4qn3E2WyOxL2NNc+DynUINF293bqXVNDUsMIEJU5KvnLT98Oahb1CQwkPvXvdolc2eg1FQWPd0 tI9A8O3BJXv0rjeFeZLA5hSAia2h7XCKvAT1Y4lzgSvzDa1E+6ZhLE2NRzGDvbh1Sl/OlYTXXl9B wn4XMkhPcOHBegtbXfGCy+n+TgA2+H+eDLNlSHwdIuNkIWreERek8tA4QLFoK0+UAPmH7j7c4QjD weMVAthdYPkwcRnxMHlettPnk+Crz31i9TITMGN0yiPxqdAivv90EgjDOsTI4sff+6BuZnHPvqcW aFsVwaEgYVpwAccHfFi6S501SEouwfASFeELIKlTyiVBYWq5D0tkxPN8SHIdUN3FRU/RmsvQ+OQr d36vYzQIdTRQBnyI7fLQf8k5e1Wb/amzMKLd0Qm1jWgESfm6fCKICGMshX+H1dcqvYvznVAZDni3 PD4S20OZtRIR6/eSR8rGRmVQj3yjf39tNVpTbsH06Dkf81iTuGC8t8AZoVjo0MntIPe69jJ8iu/8 QVj9YmDSmMoYXhiqFt5hlTQSZGrlBFdpRq361ZV6iZIA0WVICukJ1um9J7kxAX+/0+77Gxbbb/6h OlZlNIqpoSFR/S32g3Z0FS+4CsfXtLnQ6Bh8fKnSrqTibIpmK2MVKQkTSnjwuFAR/7OzrfaFteKa LHMRQ/Y4saE8bGAPolFwIBcqy+9koMd2ggtn3ZVgQWI4Nd/ty6Bo7HkDNbctkudoePIvmunaSdPc 4azEfPEyk7QTIXwzgs5WjW5WYir6DEsAhHrupZFdMV99pvr/IN9G1nhNevDgsfY5bXvaJf1sIbgd rg6GdIR5eJGMgAgtgRXUDblCm5eYezpkSWeImOysoU2jurkpsR4lJonoJDs4av50GpM9jAaHJOd3 BLhHpum8J13LUtCBXp8cQojOAEMXLZobIRRQwLdxCqWOzIp2ubkP8PYeA+xgtua2clwaVdEj3tI9 NRg/2utLLniNhqWFdZzFn9t4bUOnivDPee8c+yG2pkAQaHSIJjzshjF74tFtfjf9jCVWvGnBISqo qJmRlW6WFmmBWgQUFs+OIg0E8fEBsImkjIZQgI+l74OLSdUzlFlCehhy710ZN1mMEr+aCeKjbPuX ZQoPRvY9LOQscDM0VnYPltAy+Dk33ZlFdEWuC1zNLfCnPOjFayM/cSUrJtIqBZaoDfh/0OnkbKLq aDfLuMiu3vTVnobsRP++z+PalJB+KGO/STwcpsHmrt6iVCBwfj7+VxLklTFxWg0QmqSDHs8SK05o OdZ8cnMbpcx4WljN0PBGxXeiHzCKOYc4uWpPTGCNCJkMWLVWSSObiDtNP9oMHxDt/EJ3GNugJnkf 0/1WeIlvLC9GhuMWTpZulM5IEXj1daR1rHDOxA1X9U2fP4/FzEl80/e9e8IC6OC9bpBdaMLGC42k x/nCyIaIpzB1TRNgwC8kEXwkCODOTJfwqtfZ7bcfk4pqpSz+kGyHyJsV9iAvKNfX2HSU1urLgHke xBG1nXC6f/PLNlf6F5kjAL/1SrNrWBX3ghI+r44uhNnluArQiEjHgchpjb22wSQox3KtxmGemNKp lQBaDm8pTIn4Ugo2wDqlTgrWpTwq94FuhciUeSqryyHEcKzwTk9YuF/N5FWaagHpXh0b8632JC3T 5JVF18ADZ4+SocdltmGea+Yl365EQwfOvMzgOjIFjLNUgpv4UO85c8tPgftva25I0HoHHGXiEp2I biAWcO7yNQgRa7py5lAMrDxLyqlGXxB15ZM9UA/PJaowD/DlDZ1ofITNFtkZzL/nzWo9jTl6jkSE 3Y8MjOl0AaclIAkoX12Bvnx2ap2YWALR2dmgwFf9jsc66TVsQ02WVdow4cNNYFt761c3EuE8fxTb NAeFIW4dIiMi9g5HhH9NHxAPfBOoM5KvqQCRyzsAi7248loPomSQq3CxxT/8e+PC16bXQRo2x5L5 0nIsUdkXUkD8n3DLjzdFUk1EKe+RNYfuMHvsEmce35F/PyU5rGmmcBkRGUZhqynkHXfjqX/B8f2P gMc4XxQ5MWVDT7+sIicltnSMSIEEp+e/2Dc3TxdXPRaJSiND2ZyB6iovB4j5z6Kl0XH07WCIp/17 7D3Y9xyJzHz2/8ZF/A6M/5+KZCooNpYdYUMWt9YialQn7eWTwJbyDLoHR27aITevlNmv1hGIfKNJ 3Pvrd3V2N87HULZZKJ9Vj72oRaG2Ro/naLuftvewxm3jgdGOaUrJYSiOApt45/+UzEeIo+A6pe1S Of6s8+cOQkyCNA8yjRFjDhiN+ZiP//erhNWSq4mKLAyJCF5Q52EcWOZCOuzTnFC4pIKeTrsLlfDq Koq9iTBnjR6YJ7UocCW62LVBUwL7qSTNmen/rWRauyjrbze4TxFiy/1dykmVycoMiF410joNMoD3 lyhldM0OH5PgXwXy8IDsoJ92OpsM+U/Lw1FfND8yWKlp2Jc3Y48Vqp9feAj30xPjNpgoKpu30WN8 pL2wBbaz8oJzd+HT5Rt5ctg/jyzKlJnoJtI7ErLOdmv7yxztVp8zM5H3fDdm67SyAETiCmaypNwv W2le8RSd1hTlr6/YiOfXzRruC16EbcVzsyF2ja4Knv1e/s96M6ngUoTDROjr1RUm+GIdbDJs/R/g v1HnTZEpAbHl913NmX82aRd+s9RhNeIZuxESX5I6AqKvEZ2kK7GhBvi2XrJpGQM6g1Yd6G238WV6 YpFKfz0AC/YvhIoAgYS79iTaI6RIw2NQ3l484BuX54BIDP8CsTqtiOZyQDqiPlQr8FJihR1sxYA5 glDXaBGO91sp0ZG+qlHkD/PUqdmBC0YcWskmbHqOwtpvF5Ze/NnQk+TlBAxMQjIzoI1bMuJClMxL pHwznH+cO+aC5kqrHG6iV0WS5boRTtJddZPiCTEY7QHXSLpBiTT8phcK3zZfI6hy1ELtCicQMvp+ mkPhxk8B8BLHPjjcbOk30nn0gmPBzkW7p47AGXqneoNnLB/0Zy2/cJ/LncplsVKCUZ7LGSV8QLwE lXcXSVqWVAz5rtMen9xtaQ2a/jqnCBby8ZGRWMR3fNrgOrFZArsbRJ9v1DBj96b0Dg2PaFQRi2pV FvhDJ1PO4H8VgkXVQkf0XbbGNSjK+Abg8zV8MfGGZUxD5uEi30Ea7LVPMAgnslk1+m99J1oWbF89 cwg723LKkGWZnHRoclLoDx84sTVf+Nd4mkNF9bd+wdmB0HiHZPe/fRR2vcjw98117eHp9BtessjW kbH6o9jBnonnzP6CvS7UVaz763qxuUFrlNg1zVRVvgFz377uG+yYXfgtYEprOGdwxC8Ax1k6Rdj3 AmMV0n3RKeBgiQq/mOqdkgUbM4SjrxVrEBleDI96/SAMB/7nSgK9n8k9f3yfydt5QcaiSDynunG6 SN8ZC35o9Nk52OXdaaV4UBg6wDf3p3zzRnsa9XsKp05v+suyFLxJXPrOhZiSOq+wUmHrC+kSjWxL jKhWdl9ob5zJtCV/As2ClFF/F+fLNwEyCGfz4CLyiNQqQFiJXQknVAIskfxTdDLSw+NY9Yf80yjd DyYd0Wug5rBDVehrgglvtX5ODVYEKWFnqx/oBFJadeqAS/UXxy6qq+ieyef0jIcMZR/QfWdcpBFO NtVjwsl+RYtbEqdsaXT0+fNYTSKgprKLxVs0aNHcf/UQBOsY2n6oRjWAM2hI5mLLfw9bb50SGh8t h6xpBJRogA0IATzXIerBsrv0p3oYBR1XvxVCRw3qwYaHLS8VG9Lngem6ZTaZL+KPEM5PrMhs0AK0 pH2qzDPSy4z3HPZX67iAeCF1KZKgLX2UxayK1jDB8vvgaALVxiS1NZDsL+GBZBBbNduP+pIOkCiY TnvKFR5IwufyGSL3V5DahuTBTgy0SmdM6hcXbQQPeBXPl90M1mdgwDFNGnKF5ensbTZNGY7yrdga VwlAIPwRzjE4kJO0OYG1U4CpI+IsSX+aWOy4jqDGSBU8jHoq7Fsc7mHdPzCTR3OuJVno7i9vDD2q 2IdG02YFjHCH0Jb6xX+VLf63O7dwwMvI+u5nMnoWoKRyCUdz93zGmXxNwJ+kApqHlSG5s/lgbuti tPCGB9iQxK2xAT9sUMqW6nYEcPgkz3lo+HwMJOMMINA2Snf4ZYIgRCz9DiGiM4hXw4FeZlEBFQa8 f+CL5TYskWpk4FkzWMdPD8LSU0Cqs2ZnBOFdlcdxHM6bsyVh3foMOgTJU3HS4q3WYbljBopCCz9T wbmHIyHLmB+YTAggBF0IXFNMjWp/5LMpMJEx/HoggT0pqi2XTqRFEu+4dw8y4L/HQcvOB9aKBOnN XO4LUO3NJ8bGdr6pgnqQXOYIGBzJECN7+xNNFohQaa/aWAGJSyVgYJlKyeKT/hFm0vR6ydgfht13 8p5Pm/JRTpohpaC9fJj0ONLgEs76eNszXutFr5GSwH5qxGPZGiH6OTA060ud1WZ3KQneUTByis8x 8UIs9QdFVzCAjK/gzvtct13JqzMsYLcJNuU/uVRLOFXsOlWxNXC1VQ2Uw5unAjbLNpK2V5sceJke YQ33s2pSMrCxVr7RwbUAi5x4DznysOOuOhbJdaR6oUw2blePhdS2/9241HtO45g7A+B3SfCUaWw5 t3R11PtMHlAyRCTROd9KLzBNgjBDX5/RP7ja0hcPiznxplhj3nNaMow4WnhOPujxpWmUoCX3QO4g YjrskKy76xA2YhXiM2x3QHm/yqnYewKfnnB72WSE1oCqfCXAdKRVU3OXhna2fvwb66Qap+LsZKs0 Cn7Otisg/41e5c/+FNV/mxUcNYH37JtxLP6t7/YH3Lm+s00mD6itYl4yFC9KXi9ZWZjS0Qr6nXAS 4ej+98KOfTFq98b5Xdy185WbLf+SAQ4JVsxkRulMv0llSSwzYvqI5ni9Hs/GJCd9RIlcOeOuIsOd +/Z6qpSHGvyGI6kLdPk6x3TKYEWNkYkEaj6YFOndScS8uZBJ9aLBDk7NBjTmRMnsLkWYXFs3vv8h fplDROBx0kTNZufC34I2Z/nVTB5DFfAxjEt2Xxosrn1Dn1UH+wNThBvAHW1H63I9qeHppRTtUyWp G3jQd6eAzbzzVHzlfXqYKg+0gcUk5S2CPQuXIuDtd+kkWLnuTpvD7LUJ4Mgim8U2bxJOGnRqVW5U AzAOHHoOcBDMW6ddQ2d3zfFlUARXk2tlMEwBBJyym+kTu7IcJbnG6ZflznuOoQ7d3C2ma4louO7F fnNW0GnSdcszLhMl1+wDU8o56P9K8Kmzl88laBLQWwV6EYtMKViY5QeTe6BKvEQbEgnjnT/Ego+R NHd+B83hoaDLkIidSqTxzY1Wtl1/l9DC4kTsm7b39nPVH7PWcQIC3RAiFwjj3BkQo+PH4yDsSLHR fN9aMWO0pgqbjFv2hT//0uH8lVITDFzhpArZoU2ngbdtF4oNYd4MYD1l8aZef87ml/VYu1ih9RUQ dEeXY2WqHRW5vnc+4pDhMIid8dUTG/ljKjWEcBZCEr724Jnbp0sMFTV9UGidRu4E9BKGm70PnJgX lVbOnqKzDSSFxqDteqhW+R6ZpuquIDPlXpf4CadsfxeR+loDBQ/YCFd8hIO0QpnRhbFmlHFALA9B wVGGVUuoUlAEuKUPPQTN2+If4d5vS2gvvrLlIkNNE6uXMslW5glTBQzJdeHF7NAYGA61wm315N7x VAqug66Y8q0+L8HI7/6Y1TEBoT/VdCpNn/QEd494hXjjeAQuCV7t28W6zAyoRkp2bWZra5CLrt7y zRIfXO1NAqcrwFXceNqn7OBPw66bhVBtNLbb4KxZ1/bFhzyiF2RXtKo62/3PaSoonKSD46IeCb08 g8LrGSoiEcZLek2p3uwtscOegvzDot7EV0TrC45NI8uvGe2R1fY30rJ++C+vfiOLq4nAiiQaQQE4 X3qcvEvtfgiW2dxZCS1RMiLXqwYbm5aqVvPTL9rH0r3p3e5FwMCvC1AIxHPrA9puW7Bm9qMSz1Cg wcXljuQUL6sXGDBeTF9cHCEeSdf9BP6NvanaD0i4MJwM8TEj5uIM74JhsQ/ycVe+o11IVlBp4SRV iIRhSQpADNp/mD1suPZ06vEZ/V8yG8FffxWGkQl3gDmllSaG6BWUs2JrMjlLlkmzWcsSsK233AW6 yCVANglH2clxPyFZUQ4ZK8FlCjtJsRda4r36ZoCpVLK0JXIle8ggc9gj5cIDbSkhRUlkMcCRyb8A dWa08tUOYezmVbtblsxKm4T5AXD5AOufIJwf8ZN7pFwOVQkEt+SVgIq8ZJpDNmPVcmSL3l5GbRf/ hASPw6ZC+e/1aZnYm8sfY5oYmi+DYj+YtcMYFztxSR8FT1SeBD/DkaJsaGe3vLAZE2kOHkiai/gd mn3b3RZF04Ey83ctBhrbBzIj7aQnYSN7QViuUVlZRUp1OAof2aLr+jdWtdDH16fqRL7xltZafy4o T4pB0kPCakOheCtB41AmZIFOA93YvuhKxKKvuYImFQC9WGnvrhsMH5ppIir6AS90LCzanjmPSqaL nhNU+PfPv0Kn0huOhm9OBuJTdUzFOiCTdDxdPsa+QFS/Yzp0kEIGHVKLQWShCMsvipULUqUXFM23 /cKsFU9xOIvmc+J49jcGDo4nz0Z/KFYa7WeY/hPNCmFB585AUmMRqWRCGN9ZI0WPg8j1+Xdqg1F7 bT5bqTkIGRWKfHFeoEGn/2UDaUJ/IkLKCqxnd/koS+FFa6MVDegl5KrGxNWRqQnwlCW1eHlshx3R J+OsCCv0nWcX/UvppKmsTuBsZQMlh5UXkDHU6kS/mpKVGm45L1ijLGpQumqyZ05Lahcs4J4KsO2f uy91AOqN6VPXH1WdCfDRnl9YQRX2vi1v0N7sWWre/YywyBKYY+DKBdme++A7X0oYqPL0JlHFQRub q2wuvF/lpHXzwLHrejhVj+j93gqViYcgiZdgITNL8pOWKvHglq1nr0J6wzZBxOxuLXf5eLjXTkNU 3aMfBXEReEpXmdWdZyI7ZzAHwl5BH5/d9Rma7BA80c1oUGobe5Hn4CwqI/mSv7W29cRfl63CkJt3 5gkp6+yPbhEd1kR1diMom7q0+weWpjLacmI9t60EJsAm4U+5OnGycG6jR9rwOjXNZeTFi57SG3Ss nSzI7yv5IDnaTwdGXdiP7JpPoVIDaAAziHU8noJBO5lfjUihnYavj8J8jwMCBQlL793P7Kebiny5 un1cXz2HxvJZoilBwYq/FR59PilXc+j+ZrQ8FGTHahUplsvdWWG5vKduMq3D3t/Wnq20nKmqwab+ F0fnN4YQwRDuJKEypDKPVqsDr87TpqB9BkinHYpCClp/I8UB21M/5kqzKW/+j7bel/+UU05aKF0S CZ9FsWAqA92sGo4A17IyIxI6kzJP9ebc4nRpzHo4AeqCmLdgVICMJ5NPItsnLUPBltNJUlDtWfd0 BECQ60kqgzV2MVQoC4RA0uZEZ+SNW9cJlHzg6+pDpq7yo58bbMvtpoHCbR6+dRZpDND7J6vmRiTn ACvf55WH7+NjWxJ2mHwnsS/KCZtqu8hagCC4N8/DS4gZFYFQT3nL2hUYsrXnguLA8AeCPhCcLquW /zev2YwAKVSkdqTuiCwiuQYks+4twMd3xDXuFQe/4nDhb9jkh6nOKm1t991zFqlIJ4nhJiW06tfo z6oWcaKnian6Fs7sQ8d1p5ya7/G/JIRTZTp1htZFoE1o83qiUZ8jyodXenUeJf91ZLrOwopkdyFf 8CrxAFH/0K0oRLv+v0nOiJS1MTBsZMWRSI9QhmtYijv/g6d8LrHGM/LlvX8SC3sP7izvsadRfWKm usvM5t78kqA1vn4ZBAPXFz4d6K8DmQcDrPJbdzBmpvIC8uE5UeeTz5sdKhK4HsZCvRB/adSgPZw3 zHZ7M8/J2zndA1V4q9mRJdxJWBcYForXbUE4ORFmXmHN7EHLdquDpHxn5tnXWUYZyMYp01jf7HLt ZWQGOSdDMP6eHZ5qhMAgx24/bdoV0shLoDfl7OXETIvwO7hW9YsrXWvmaiY4UkuAUhqlCZdmz36l tmMYUWiV5/V9BOUTHdXXcgx0HXj1rXtyb3hidBZvLntfChfBm3S1mC86xzx0Asu3r8EieCmXKIqR Gaz96UkGi+GWablESnS2l8ZA4olyaQfcT+gbuhFhLzbDPKyD6PjoedzNsl/Qwl7NyjAYe1Y15vPD jjflcfqmUjIhTLD5N1IrJ4LyPexE0c3LSJcaC6lGo2NEpgjTlBcXi7PkahVsRICJyul/uEl9+DwW mcfrNVj3hexNH6feLbPkZls3VvIoUDtL+ScrUEw5DpJxzG5eiIajVo3KyvuRkY1UuWxyT3MBpUW7 Xlzw2cAbFuzxHLGO4yduRnvHVGjaUgqKdA/CTLnvsD4kgmT7Bjs2CNi2WP+kssYcSX4zdwdwuW55 J6P171gVqGH/Woa+EAYpfUiiXMvUbYjy464AV5RJIQI2Wq4NoIJd0Wjga2a7sp6mWwAnhYHBLWG5 FsBKD5CrWDQfQQRTlhmgjWcUE524q5+x5EK4LSlFtCNxlj3QH0QbxkkoXjyYD19IN90VFdzFo/KA cLfhFB2QG2OAnJNoXsEjwA+NGK6m8SEDNifGUnIzXt36jMA7qRwjtnHTlXGGE2xHN+Ghe12c0iHO 9F2Vej7icOotIaq1Nt6kCjP9wyTtURJWC8izFexDwbspmWe1Et0rlD+IKjRPRZyDbxYe0VTHMkTC 7IT4Db33JaRKx4o86NR3XhrgHSVRMdUanWYWkhjR+eP5LOqzG4KuNb96+2Hpu26eIcqTeBKaw2Xv 6Se/TeUvpXu34IL4HO5Ceoo905qY/LrrGTbbOYIKzTjxYK8RWtNwIpbtz+nm9IuiDh6EZAT+4n2z 3vC3S/s10yaT3JtPvOskMDIftDzXN4xI0B9iEFc/IHECFGJxYHqoLUx2fFH4ADFgvT8KoSFNhTVn ZKltgx7eTqRgA2cGSQKBuepKYwa2j/j8cPp7gBBiVP2b1nWvhnQnlGVN7cmTYlgnpUle8ErnPws0 6ujO1ybAHmjP2MwvqdJRIJl1X0a0O3VU2G5RnLxzLPTLxamBevkv0ykfH/YPu8SWEjKrOqgZUnN8 XeSzOK4FHHH2U2i1cKm2GzX+4bBLAEXQFUYmKJ7mxVQjR0456HXnNzsdLIX286mIeAC40y17PHVR lmHweeF1nEnOFawO11FDzphNCbABiMFm5A3UCAFk7cvc/W9efUps96BIn4QqdM37+3rDRN+Wwl82 f/uLQLRbceiEMYl+cXyLCBOwP1phuPuWNQt/xphZgpin2J3s0HHJmhIY96JZmrZVIsmMPCBK/UyI vzmtQAdVALRUmLM71eqN/75zWwu0ftE+1XJ+zrV0T3tv08NqmjcButYoOHnTJNcKJ5DJXzUQScyD ocV4kd/QjX6JV1AijZNe8SnLFGOKzkYc2SHizJW4f+0SdvBqcnVOZ4h0jjj72XDGkoEHhioKiMpH vNOBuAJiYb+Gb/cuqviAw3rXH6fqmtVv8WGpSv8IxND2Wn+7CfDC0A56hPJml+msjPATqhS/C2ev JolZoVQunHosbhCrZQsuRTNQnyHQ50drQ0Is5/SpuoAyiEsvQcvvPG11Wv4xUpDV+w7w8PPP8tbJ TQQ1SFcknUccIrfpP8m1YRFwrWAWrfftfOAzoxAFUlpe0tEwZhhbyf8//a6oCQcZcSjS9IZFY4Vs fHg5YPVsWRojwDFOSRPpu5y9ZGYQ0DS1SQo+fG6Jadbp5VWDtYY/F2HdrKDsvIDsO3j4NoSILWYW XsVFFM06yit8tVPczOyeJ5dscDUTE8Vjp7tDitNozEEXfO6gwBNujggsGPJz+HY40QacrhVNIEWx XNOdXWK/2KB1PUBei4mVSVO+6UvAD3IM51FGKLNR9VD8QIX4PikNS4NQZzb1gsYD/WpbKW1LqymL DFKK7qf3k2/FLOy7keMGh2Ar/k2hutYt5eLw3vTvqtem1SI2+5V5riU/8hZyalo90eFowrJW48fR /vRXfECglzpybWIO6B0RsmpsZz3Nmnx7lXpNvmHutoX/Fp+VqMtLk6loC8SGwLGeG3NN2Zou8Hlx /Ta2KKtuyaPuzxNLxt2kMLkANIDYrUEdp5rZFU3kZpl0T1S9B6rWvNsAXWeNeZsM5K092NVsoCHd DRgK08wx3B2K6nzVr94kKFdDAs7mTh6oI1Mxze5rdG+SFj6AyTcqNF2mP6M3hAdW8Hl6ZaZeFbfa 4Yl7vTKT44Y4nOn6GqGT7+iiEOrloYsqHqNBr5QCsZ6q/wGlVLItdJl+U9/SYe6FRFIkGdI55dtp 0htDRtYbXO7UojohJk8enDCcfKZzEICck0BQojKL5IKw34GKavCfPwF8INkpLRXyKSW4nsN1h2PX G0+b941wRp8Kjcdj8syPPHwQ/av/2TkxASvJw2/it4YN2HfVSKOLrT09xKU03ScKrUgxC3n3GEmt wYm/5U9Ah5Ws31E2Au1o2uw3rTHKU431SdvQ/KeYiM8It70sdOU+pnZRTTel3xnPLwEfauYIuB0L 6zM5A70iqEhmMCP4k58YXphFvD0xS/l6Weq2M/xmELu9zqebY/g6/8LrIuybocycMdzm2MAbK8eT sRyskVtthEXjhB6y/GaHDwk415vuYGK63ZtEFAeNR1OT7f2dqfh4u7YwiljrQF03KvWxyBT+ZsGU /UBYOZBkrUvvji2z05xYEmnul8IxBci8OMhwSJ0wB1cgmI6RlA15HCeHsgbo+PxEwlxqELGt4auV 66LwqMoeA0yuVomNnjwVC6cRIn0OBTJXcjIyfOlweVotsJATYbZzBDP5w1hZUyZA6d+AOzr8SXZG TmMFXlb5QnoIn0JkWCaIYMOJ0zpeaEsCi3B1t8DnK9sqnIktctFh+puL1LyGm/06daLjfVhQZyXU phWL9/ViuLf+Ax/3Oh+5xTTjD9/+b9spf11vxu6zg0maLkVDF+uSARqJL43wZjTNiyL3x9FaBFfj 8yVw7euwXWEa7aba4Qf8cN3RjTDkBFiZZ8ZCa9q6WIOh4ZWhofIyHvt3F79DErFE4xSBsxf9xc3i vKhutfhExTjyITUERsEW/m2FkzQX+BfhuAKFQ1Z2I8mlC6via5cg2vmqM9Cp3INAUwc2CXHGYoRg XhLWmInIB6YkFcdFxpnlx/ezV2RS1Vl9UdD2Qlm0NI/0TNZha1I2xFlSx7oy3wdrqr3xhAso7mCm 7G/3jUkPm6MKn625L5lqxn/FHtB5xOMQBRqBn1r4Ib9Wy5CLOqcV5BW57eSvZHi2PSFXls2j/w9u QY2vTWDWWg66dUd83qGPL2osbWEw1OdZC85GfC1emIwoRLYkehExrAc5yFJktUJtc59EgGThZUBU kXSdtjiUb5xT5SHIun8fBXSGLt5bxr1zNf/868MIzAcVFJqRGUBKwiqSO8XyRdZK/Ic2stYM6Bh1 uIT8m3meEgCzlSpimb0ZH0UFiCknAg/ZPWDNihqS28o3FJwXfz40atf9tgXtrauFNNc/y8nYRXG8 EAOryTBGQZO6nByMFZQCgP0/TxFWq0K4boB4itBueOGEgbKV2puaLCLrDgTHDG2LkL6ZCLhJ47Yg oAYNVklBL/EO5iIZWzIhzJHUpp3wKCI0mSqvyT/sWM4cq0AcqtXYTh8YVGWOdKuN2rNwFUHVcths zEpJEWanJVh++fiBpo1DOc8YSRrRAZXwjV73YiJotACs1WOXJu+EFjhyFSRF60TxBfEgJolQkUg7 HvfkOC6adO6g/DqGn3VA8GIIzwHiMFmNMlMVXPoli9dViNtnTvcd6txnTp1/5fUXpSGJM1/AjFgW V6YApKl7dIP0ksobVCpI+za7EE7snem266QupX2+BXZCteH0MpEZlxsnuTPQTHJ3E743VIAh18Oq 9ZfjyZHAb6KNBe7+iV94HfWE8O3UKoS3G8y1unA7LJoZPxBk3EF1lHyXC5CIQnlM5jberp8zKrxJ l5WUhavL5h/jkygtgQG0XCeZC3aowQ0903bNyRrX4RukZwFY2Kj0ziOBnCCVCZyLwxM++1hpBlNx 8938hyLx30Q1vf3TygzLgrJf6ANCPL9iXDVDabcdKamgunNtA+7GukEj3tVwqpcQPQZmE7Fpr4pR I5lVjQtjqOmZ9l8b1IHFn98n+ktVkUORvrd/qzBUrfFG45rwfhM9lR1aWyx5E9KoG+9FqFLipSgI Zdn0UFJmzICyPExfzo93hoiX5lb7T34cMar6qk+N6YB4pgqwCIEWHVIcAoQUWWWlbKlWa+g6ttLl gr4AL598l9iDfmMUUOZVVJ8X+TQN7pClTv7ccSKL/W65qmb6dLvThz6epUfbTZI3TIEFSAoeSORS RWDPtGD9NXS7RbcirdembjUkPj+1mJv8+j/Bx3wY5CYwoHMsvDaFkbSxivV24uB0lgMswXctUWb3 KcKQJ5S/QZYl7U/Dsmjl25CBbDBOJnaDwHYPDEBvhmeX1PW4bvGXr3f1mnDJMhKlZTL20raBBEtE Z5Tfxt+8XbQ5JlRTBKn2Yef0tSB6NoTrUjgscnpVC2/E3zaU62pq6f7NUMF5I7v6MANXBIDui9U1 jbzRNTooVviqwRmaXlxcgoKefbnPawPOYOunqwdaaa7Pp7iWlE080us6XTfciDlHsvxd+qMv+elJ 5SwKDkwS5cBU5klUolseDjls1PvtUOilyG/OcNp8GDiAca0F1UoFj88bHPWQwmcrNR6vSSrPtE9f X19P37zI5PYotHKcKM8IAiCgAiZ18nd2JRUiRLyM4tO3iW3Bt+RyEx1O0RgpzE6s2PQBJv3stpip 1+eHUq/oCq0htfGM17Fd1LX83ICE7YX6CFtBlHe2KRmnROkQmbXYB7kZeUFpczZA6wqFVn5XkB61 uT6q/5h3hev1FBqC6AMexCI4ZMlWHf5EqAiqrgH/6VxL3TXHF/jmvn3Kdhac7by0SMTuDT51t+wO AkMaJM1+iaX6e5GX/OlxBaNyrNPIxVe1IfXcp33Jvh8evScsPtLD/h8Oa0J0LVljfbu9tZMtO80g QzEtlNbR+fIBlAWHYtJqoAPTKcYbbL1zv5OGIaIAM86WCP2gJgkzp/HILHEEhhyLzNXY9w6cfdm2 hSkLSbiL7F/NvuHvG80A1CgX/gi7jj64pTNxl5IU+C+NbLABqe9UXTn1BSA9fA/M5HFK7EUJ0LVC yQ9OpAdEI1vyHcyqnb3C/W7vV324tW3opH+KiW1waexDNIfwUWVGe53NW5f++TsrVcrW8x44SUd3 9W8mDPHHxZThANhjs9nzInt307GRaKqhc4iAlOlHHw/D7amxtZMr4fvo/IgTd4C/g7TFaC1ochQV mtyp5QlppA3pK50ASFSpqWix6pKfpFxaJpNzX+s5QQ80gdiNXhFUSHxMxjtjoi/It5VBElx0sjob VRNMZ2KBgQqQOI8KjUigbzX32MNDZE1SKaiLJWB+hGC2lSNeK+/1FDluhVAkj/a1l/BqpGmI9KSB 4dy2N2vsWgqDFFwHLAt2sUkLrwGhlc52+jVSQDhllebJdqykRxBAKy2L11aXdX9HgUqsX5E2WLFB t/wdW4cYhiiUfiVymHkEjr6Ds+juM7us2fUEeb8MB32rVId+ieTgqrWBWdyZ5IeUzJgLb5mh8+Sh JhsomrJ57cURFfA3Ibhd9yXLUunyKyjDdNLLNHFOmzUw2PY4OsM71MEGwof7UKRKoaTeOijUsRhe aq7Q0gCFBRwfFM8T7uNuLLdsW6LFHG2TVrmvUiRXAiP28ZMZpzii1aQ/CJjbJWPU9wBUJh3xPQhn YmLD5E6EB6+6yvz42FTIHKwYSF5wdrYGXxGQtnLSDsErumMLQNzXwfoMukt49ao3RgRA+D+uLLGL q9wtxTrf+/5VjyfumQky0I+VD+YOAqXAV3iupAKZKK2lPIV9XCWFI1mftp6Q342JTrFVXLV6V/fn g34fF+CZttmq61qD3B+D+q34/gmsBJz/sEL3O+OSdL9LdPGSuMV203e0SpOiaLh1U5HEYEGYQ1C+ UkTLoCBIBshZUGuwJosoB3kH561TJPeJvF9xKuAhq/amoWGKoSX6tjhiT1xGWhnd/K9RsfeNY/s0 vSzOCUZBZUtBFpDi3agkvUi/gr0kaOdDn/0pDV+cr6+8oQGUFvnt5Sk0bNZhu5ILwQ6nr4Px0G51 srOlstatxV9cXww4A41VJCA7QMY8LTw43i32tOL2v+oVQ0nRz9x2/kPeBP7oog4rdwYpHtiavvkE pHTqNVAQ/rNFP1mllaIXo4sRWg0FrEjZ3+rMLYDP5ob6uxH4cSFTbyeG0r+UrxeQ9vB1uyK29DL9 odzZ7dnVJE+54hbSw61ouUBKHtTO6/6fGrVfW2Mv/pU81UXzz2JqRGC+ZHVuBUeSUbqxXKTr4wL/ pXRMnHa7ctwFiNafpj65SDbyqtJ9cHZ5g7QDxWYH2Mj05kQhjuwufOHysIGswkjt4gVNdkGSLa7w swo5/22FegGxPHqtPILWTiTZipxrKFsYCa7nBfYf5L79IA9HCTf05gKcdBvOWzxvgk7h14FBhOLo b+fLSs23M7/0k0WbFpp4gPLMZpM6hSsnC66fuvF5jatKLN40vI031T8eSohWDmtaH636QIhDQMcz WIoU21vfNKzq7OH0Jr3RdlAzXIp3nWxJDoVgMCdS2gEndRdzNhKe45RuuQgRNYxOghl68Xd8krIx GAHrf3K+iKI9nQAhCmx+/ASpcStzEHryx7Tci/MoxVnchX4+yjppvLs38JS/J0V8dc83vQY5uoW1 9xkww7ZQeV9aRbhGyPen5ANM+KFoWnoLvBa5sgzBKLukahj9QtEQhtt0b6KHYcjxaDI3kn4s0rEU hSg/duHNInCiTeX0G1eEAu8Uca26WXmbG4necJ5++yI8PqGHhFTA3BuYiqBTINinRaE2Uj4Pq7Jj 3xd7xTK62nXw2eZLyWAM455hlB2BJcQOq11jlBIaZ0y5KX200w4V5PvUl2j4aPcrNQsZUh6h5qZA POgt+ZxAFXwSF3WqeTcXuLtyXvxggLNxjzlDv3Gx6s7wjJlfgFe+TL7xAJmaO674lwuEmm157x1D L1t7Exp2w6jSJFyaWMuzg/F5OwYfQOJ/gNbWa+J5bEjvZGa75NnFx0PlxdDkdiptqiWT8mR+D3eV TH/pH17nmBlzRcA2O67mVwV5bAMJpufXDL4od2wfgnbJjhL28RsKEIQY2s7A1DoSHy2BjSOCnV1N /oPqByShmvufQRxbDL6F0oWOs95T3X8lLVV6vBXLGUYxOluW13X2v4zXu7YJt+MVQTIjuKpde+EW s7poTz9ZmOaAwx2ODCEHipq0wUzO62PIfBlRdvs1vbJRAQl0VZtIcwF3BqwCRJo8ihd2LU5AfK8g rLCk6x/kkMxmG1iLionIPOPpBrOueFHEukALQKQKcIxSMDTOg+AV1I5kFpP4nnMsrApWvgs19eAC kaJnuJcgvm1kRFtjcXSh2ukQwEspzjOPlo05xIaalegOgEl6+NErqpwrL0SX2V7OgXelFyOsQ+nx SDbVgl1S6lvHHw1zBh1TmVJ+UrpE7dca81O0YB+vURqKWWFBE9+oGd+2S2zXpRqpfO84N2bPbbEf A0iycTxjQX7YqCBrfB+uhHiGsZ/S/aH4guigF5HD/OGs8rb/ENfy5wnsSI0BCQKK1GBopE4rUwKH ylvxMXwW0w7WBOE/7SvmHl4xeUNQ3MybzHf7DWBrp/Vcuzw9SkNL2kzLJwzfkmz/2akvy50P6sk5 kRoYrEDeMv/En0ut3Bs2HeD+yb60xKR5w1XOmFS7mkYE04qIBhYfE0f6XI9h/eJJkBB5iY3Z3jWt 2pYPn/9Ito/kD+uKYh1mdK+eWjwQjLU/N9R5BRHOKW6Y6GJ6OcqYWvCoVJaCHc3hPpMAI5LDI1J3 X1i7OM5+YBy93ksv12jTnuh1qabYRIZq9y853p7BrbEcxjQ7aFDJHo6B993A3bOwrLf4YqwZQkj1 614q0PlpUGoUFX7xRJ3L7c/qRx27zzs3FoKoU0w1scpC0BK4KEwArfiSMXgoV6wxdw6TGv3Gi7qA AOl2kDU3vRc6KGWAJIHk0D1Uo3VH4uWtj1F/RMXdNnT/Ir7Ii5jAkxnNa8Xpi+DzgpTp8ZAGlolN 6RjcmCt57egn0Ku2V1yTKZza2PU2crSoN1jJERMKagzzohvh6Yr78VAeQRoH9eSiqPjQ/RtVCdlg dQpYEn6dGCSndnZPLLOipaB3V59SWxrn6f1GOVF7PgSA+QKSS6My2O921ZVWZIc+gxrtKZXoRCMH Pxt+f3hfGlf6azzAMxbr/+NDUDhD3xxcjzlW1Wo3GUtoda/CGZX/wPYetPehJVyQ6XGHuH1x3uA9 jCGc3lU1L5WQnHNRjcC82iZKsY5oPhvQRlShvT/tS+WuvlGse2I3c4SgJqneRQ1YXeSAeaaVnuKG xsa5cK68UivX3i1pou1F54K1CTC/VYqrpN2nV9xpwgPIa4/2RBLeGlPd5wpIepwjTC5ODmz8lgSf F1yvvRy5nBA4f6Q2057lkZANTXIRC6x5bc3xH4Ck5zOTK9eXKjaDtQWUT5V4Ht02wH/vUVu825iD vLPkEjD6nZex+9OdZ2cqQjla1hEedAAtPtRpwrd5FUSmXe+QzKFnXZB3/Pz/lABDOcfI4ZA0pCFg 12A99i+XD1+1WzNw8KKvy1BWhjz9/dF1dCSZjYLt0IMs0uNObLxcMAUG6GAi1kcT8xUailLmADK7 /aHU0BRQi0RK8ZgpMVvfnjpJL4MXyKfM7HniFBfCpfD4K21tVNOdqMTsIOJ2FTspK3MMVTbzYGD+ 8FjgAFrID3rTrskTZIu20Q8tm4XgVIsTotPQaj+0UUJfSris7zodgv/KKQMI0aFS83JhQBUSUzAG i8KHU+NMrfB8mweBNFstwdMGae6Jn7PKSb8nVzkJZdd72WBlQMj8/fMCXXCC/zoVMSG4/yfUDeMi 1wLW2HdPqbx5IPWxrRshj/2uPkaYy6Ht+NFb4WXPDaPeGbdZEqif5unmVaFt97N06RYL66gEADtV SSJo5HWre70GeBwsV4smjH/R1Wxv/eRA9h0YbosDrtk1AWf+1+1rGtcxcLjHzJ2Wf6DzFHGjejy/ PvOXByEpyN/nui2yH4ZTesAldqQ1FM5cl8rA2HbIXw+a93g/A+8BKC2dnXGhgioJ/L6cYR5HvYBf 2FSFvaZUnU1YBAeffm4obIcgjOslfHtDrQr8Qufw+hikLWjEpubn4pfNNzmUp7E8Dp9AnYfs7sc3 2MXCkgjLTdpiz3THF/DHjilo0tpEuPnYvHFgLg3EteThUt4n0J1XSMGEfrYLOYlykVzoKoN1HXZk Uv/AHcz0f7lSCdsyYb+POHe5161JRt9Tpl0zTLLjnFeCc1zRQyVCGdepUho1sTidM7hWZ2ct+O+p fa6lMJpwKNIdGh14CTO50zK+0yieGS0G5S1yt0+wb0YNJYvisPKYNPlnWr3mah6LeF4llHdfpHuZ yXavwxBjLRTgq4IGTauT490+4ZjA0+J1SE5IGkuJ2U+HNQzfx99xPQ4f4mLd0eoEAaedNrti8ZB8 quQoYCv0eBTR4O4UTBtJebJ0PiIOLMsG5lLxLNP6YwzuKqC96A4KAXqoPEku3Aso3QdP2KbHTlaE u2TgVZze+ovoQ/jkLh2+5Y4IWRBftb37OjojKuR6pqHf8tAMfPrNcI8PSjRf5kEtCUoztp5gbgrA x7jBqC35GWnckErkdKjB4A4qjlNOKwfiuNMeKOLtvVTncB9pIzCpBj8Fhx/Y0Y3ZUtvSrAccFf01 f0kL9FeGJHoJhPIFr+OlogWzIYOa+g5dZ/aXyQ7UTnegnYr2b1utydGEuTGZ01JbipfUW0zwhLB9 O2KV639fPABQbJxB65OH8Gyp2hF/oACdu3jr7Q4Use2Qv9ITrJk1AzyQCTUeKhnTFQvn9QeC24jO 34DBXOI3RaGZjK5lKZAWkRlDzzCh1ltOjHiCTnXAe6kKWUiolSuZ5eMn0evXfsDzJkXof3irQ1LF +Yk9Rg+yEkwrzpU8mtz8xTtoLIHpd4ZAvtUVR7wL/Rj80L103uaOpvjmtZng2i02f5fszgXxxRyo 4wYI6JPV9DdwcmAC77ysW0Z+damn0kTJDmYG/EWGrAla3kbRQgs3/8VTEPKAMNB0wYJ/JejqL4RD ftiCGDVHdz0rmbIbhr4RkZAtGc298pGf15t3uoSHy8vlH8rhkb4+K2EDy7hgDMIIXTyd+kgvj7Ib BW2lMBjtWB1ddL7SQuF4wcZ+wS6vRieqoPWLcfRGnGqURYEjoyrP4to9TONTV5dh/CduzOj4tz3Y R8BlYeVprFDMLpOJDely4kdgBzvQK8n25NdSgfGDZSlMVVkq41j6yC8BE+qgKPcjsvO/IZnlfbKc 7Q9/V+lrlVcURmPbmazyEGivYvhWZB/x72Ck0FYwZ6AUW3kw4yiOori5SgOoyJHVfOhuCrBeqx3Q YDfx7EdUq9MakQ+MVRQzu4QCYZbrOd0ieic1TLv+SVGqhcAiZ8mDh1ZHvX/oljkJF0BgRdxmuhDw 0m1gKFliGZIMhWrpQnizXBHi1kHj06dGjwlOiiyhkYA9P+a1J5K3wpGiv0tyWIJuZljtclp4CT9a bYnu8Ug6OLz5k8He2XqNyuc8FTxFKDlDMKX9kv8oZZxoAjrMzIxZS7eaKFTF3Xbrx7Ry42WmBIwQ z0vc3MwglAKkfk1nGuvqWFStY06IimHmWdSv5XW2NvWRqcYuW8J6V4iPyZ6SEkuJkkQ6j4P+mC1G Era8rQ0N5L/xfC4SYEKhq8MQYp1MvBDggLKHDGs0HBn3Xw4vyOyP5eWh/GLHieyqJJpZsn6/9v9g qsq0wf7+FrilBAbpcCvzIaO0+wIMYwPdxyFT3qUu7IGOd/SC5fS2l/DbkYjgnx3yha45UMltzpZx ISB9Rh4TnSdOKq8Bu22r0hIgpy+0JCNbh2M1xX08oM9sPDM9LpaLHwzbgawJcPt9sovoLKO2Zm2T 06FTG94NYmdNLoWo9wgTDsaJhavfQ6unsudE/ftOCXhzi2sDwSmv0e5M0xAXvrf5QJ1IDxpWCh9V MEky6Y3YxYXzEOpZmgkzSfW8DaGhtCzYBreN4iNZTEDZX6Q0wvOZz6XSsW4yX90oVQQtkslb2fjF ZjhnmippadF/WTBrZUiE9lVp9+IsBlv2Of8NkzCDY3sUVog908yRbz3Nm23+w1yqTjfeqdRLoDns Q5iIQZP0kpbl6VPtfivgYnT2xmnqYlZDMY4r4jQ5r14H/5NJoqjuVgUwDBaVtpANUuaN3iiKJiuf WezgD/AGhNnvC/wnblqEDgtXHZ9LayDX2Yy++wzeslivYnMvw63xMEUCJI2n/+Vz/toM4Vil7pWG 0vxIlOrUiHR+U+YnNG0pkHUOxJBP80M4B6ubcnxunRl6e8p87iPBbWo036Pm35H+rbniPjpkfkt1 y8TNqI0swdDTixHQELXWKRcaT3ml8Yrx1NLU9xpS2u433mtc3I1+szVcQ91plteRucTteiOuMXdW 4bZWv8DAeH3eT86UBGlwX5WE8h4QgyAxsAK2QJ6842OT1LoGxPxFqHqq7qgT4KWYNhPNuqtueaer v5ccRf+8/voH1dZd35Ltb7b3rwUROKlefrCFYaxNceN7G+nusbk1o2KVHOTMUb+2HGnEj4zMUDEY dpcEuB5S5Iqo9DwU/iiuVqLTBkRxqPQR96sOkol/adw2i14BwHnJypL3R3gYP6E8h4NxybRM09jl /bppgX05SY8ohmLW4Z9PTEEVBiMhdZQtiDsKMyvMzlM/W6uTcIVoyhUMiNW81qARzTlV9p02O/Wi QttVagUhYxGDbDT52aHfYrRskxNTNwzDRTn6iirQblei2Q+iC/MhfR2HaGat+AYJoJ0oMJc/IXt9 qLL8A0BYlzyiKeTbg9Lr53suWhWnfr5dlLKgFa0jxvzSEy1hNO7wU+WY2qEReWqxcBTMLaydyMCV oQJKAJya4Zj8UwsD2238OI1RwzaiVTdcj5x7de2UCE2be3ONfgY1xOjMODsVsBr3t9JzBeDy+xO9 oyUCVG9T6z35TN5DzgpUeKxS6stkqhZoTLzNicZgKiLVPNpLnxDorZ/LanX1Y27X2t9O4+lulKsy E1JE4hlXqkiVN0/Brt5G1OAMvGno6R7tD8h35jiwBLO/16jxaMyJCTjUFwzDwBVbwy7WwwKuilaG kSS1Bg/YJat7B6ZMHbKhHhEK/kBi9erEg2T4iOXDP15EKaxDdHx7TPN0OuY7QUXQUAVNByAoD1cq X8wD+XFSC1j88YBrVIU3eaCrsVY56lI1S4UDvJpmyBqJA4cjR/KYPP7HVsm0/x1L8YFPawkh5Dwp ydvn3ZkGNaBpot6sWOwIj0ESdrAZ67qJx5aCG3gssaV0vG5yGVmbXKgtPZ6/I4uxt6jQSbjwKjgB Qkv5p1lHRvcyo8pSKMjrJcet+JJIHKkIfySYMS7r21KJnoO16FQaImxvFkg2kRd2uo3ITT7j3Xlt dbg0l0CeliRWbJFfUuMkE65k4ETOVULebzGSUdnvfpDvuwcH8K9WF25N3VrChRcOzpM7uSUNOJKv Sjwl7ZUx7QHt63byzNIMIK8dA0E+ucSant0mUQsuWdMkUDyNPCMDIcDzHtB7NWs2WNC9HsKMMC8H hbHlZTW0YdvUsvnmRLnwxSySWskyx8hhiGKT6G4t7mHYe1WjCGII8JwQE5zyDdyhj+EX8PTwBjpJ cn6sRpnIGziNashqQabcRVd4GKi7UZwEF6aJQH8dRlG+jNUghr0jaDuTukahF09rreFG62v+cUKe BKiK9bK2/SpTmw+K7nKpreoTFulEOUdy73gSyXQ7BtTOcROLZmIPqW6uNn9ul7soBgtLR3s8rPxN 33hjXaIvgQvg9OxMkJN93XwhdL22c+h+FkddkPquhT/8NWSivbEBu/1tnnS3T1h3lDPOUAf+CEHP Rafs+eR+Yn+R0fuNs06s1jkjK2FBgsnFv3NAf2RFcV40vL0nZm9Mex0WnsF3B0vQfoPF8QN767Pe JKFLnuI/YoAt95jndWtEw2MZJlRBdkWatmPrLGHcji8b571fQWHo2hI6uVSE4IwUqxtRWvpgoF6x Ae216Koj4OR7bh9VcmoMv4wmR2Yc+gFrQauqBqApaJeSGzvH3dRyUZB+yjxVQXO0NTRYaCKaGRob nVx4aGcCBmFJGK/ES6SVVUuXRzzWxvhx7Dpo60nMdjX1aTmEHPwmdHigp6G2gIya9noDoes96E81 SacDH9Y7KNhpBX4t1sGD+Nm3ByVM7fpje8AJZ/VXvLUpzEq40jjUgVs5jSqsYXzhYhCBh4Y76k+M /saEMoWTFUdbjMAhTf5H80IveooR8p/NzDfgC3dbIXf2BZaivEK+WjPI9dXMHIhUFESlapktUPzr R0o2BfddKBmanyTHMhGvgltIRs/Ya75pRBGXvNgEuZZVLloXaxDWcN4y+EP03acQZ01UJot7rp3H yFBjNwk5xYEHC4kmgdgtLIZ82n5BZigZtANKwBSZ6qQipkJUcJQtaCo01q9zORqkZzS6jlqbndpm QSnQsNyeZ6ig5ZiCoF9XHGrv58MkoIGP9JBxu3zG8ZG+7thraaTghiQo3h1v0j5LyfLztKuIOJNY DCmzWzGzySALYTMuqrf7kdr7ABClierp0ASUTfWMlRXOShvswqX36FXYnp5uAHlJyYh25OU1FYlb TCgH+9ap8b/GbY8B46Pdlg61hY/kdgHdA4Vt5cLfGPXzuVTOt9b1jYjDfD3A2FznNzqmVVeJEiUt ss/nt72VATUlK/Rsg8LONdXRmUG0/71PchvUoVc6CW5kKEyjGfVDLBEO2ArIMMecSAlwJP+/4UZB ULAt/0QBd46MM39CbNphWTPRG7b11UyoElTjL9fs5ywwqTaxVNJxFo+PoU282sl9+j3hKPh2vPJQ PgUbQ9JPFyVE3FTqujs8jAdWP7yQM4jpyOnjyHPe92EJPAg8PwMd422DoaZRxk/uYtyzP+pjnJdG S7Bxy6xf+YbkOjYkFYcA4zjvK4omA8Fr9Ss6LFBz1szqJ8UDSZJ1TZM7W0cAXykqPJr0aZcJhAOC Zmrsjnw+D9TOXOHZtLsLmKPdKJd0wMij0jTY3D19hpCp9O42PWfcbF/uwpdW6jrGq6h5iC9/YlaO uFNQe1tN5BU+gZ2Bz2UUiIBOi2mj4gXeMsDkeLCaxvqym7P57hNo2rN6sD1DbFIdMWnQeJuHLgbu dswFCWgFFiUudWB5mVRS2XO8L90L993jh+h37nQ5ZCwWnNOedYAea5M6WExJ9NAj7vv9mV5ZQr5u HrS0cQFzoK29rIOBfv+Sn9/iFN08byVoBzOdNWdb2bB1/2oVttKz2iVcvGRePa8IN+E18ojGsbwP SuTvF3tmT/O+O2yuUF2c53923j/2xAXQ6BAD5kC8VgA4ktM1lel2WUvs5C+tJGz21MfSue7etCLE Zql7HUzeWzTYx4WiY/IIwJ+Qu9iw6nr9DJgcjrgTPYnxWvcfyY4EejhFgGLTu910VyLvQgIOgnrE hUZPhWSjtTtw0MVeFzXLhNwxnxwCfP8X5TdwwfOQs9aI/jlqNqnTDz40CMX81tkI3cPM77Uoin09 4NVboUFZg+m68fXCpBeJcLMf4T8cmgf8cEkoWI9X/vHfZTeNhGPBqRFoT5sAyW31IjCwczPfawbb MoC6LsbwEpj9+z3u4XlDEqKUudvnnpxqohybYao7JZOP+db7z0HgdCED8VUKiAMORm7MAvsvdhgQ YN04o63p6xmFAdxo4Ovsun6IB/wx3QmMvQ0wpe1tw8eiLayDx/h4Q/ai59LvPhZXq6rEldl5UshK Xc0HsyJHNUiN39NsPUHmdhml20W/TFrMwnjs6Y/0yskwIRXsjKQoOLw1sC0+sHUzBI4gkuyYgZ4k bJO5HXhZy5lKqH0c07HNnfruSymoKUDknCGwBKXyzl27isrlJfgrtM8ghuhZ7Pn6dmvwxqn+YV+F SaJIRQyzoHgp0hPdi+M9lv+eEG47QzCCocQiInVY5i/+fKv76+GiMslKEM5FuF0h7um+pqIkl8S2 lBYmVM9cw76uBPoJwFZVQ9ob4K/aqdxNQH87vNhzRJMCpPIGRKJ9Zl2nVF16p60aPzFrhAK4OF3d EptQAbRJBvnXnvJFCJQGFDm7bFmk2eukXFrW/EZI9eKN/3r6lxaWllQY1nb93ADFr5Eo794XPHW0 eqKDAYrtdI80o9EHoTfgMeK9Z+sP+J97ElN9oVv7A5o9vTj1qHIgd5ui98RJOnjRZNZnzRcJH9Zc gvYv3YG0TGut+4hkvnStbxy3McPCuANI9Rf/t7Jt4EtamX4XKuVa1H0fE50hvxoTJA+dOvSemDIP 8OadaLbIs7n0/XrxINWmU1jtcBqvEe7u44/6D9YWFpKGp2P8PebhqxdwYmWXe+ZMeAdjxCX4wuP5 u4TXQHX4ekAwyQ94XhqbXL6o3OvXQNjR41rNAV21eR6XimcTvzJc3a9+EtAYpyQy/W17xrJrmYcO 4PRFIuuo+KwsU+oTXoHNhb69J/Nx5o/ts2PAonPQ7B/vlewQgAg1JTUefXaXA5Rj33e0w109+c9N N/CADi4hlmNzXDf1omJxO9RVQeQs+nccIvBbmDWJSOjIcdJD5nRCd4MUGP7j4lnJV1I6QBRtO0E9 u2NQHotulPqczChaOMBM3IaSdMbSUrxIBEUBUphIj4K7dc41R+qI2WieJJeFlOjm1t/VG9mxVHmo Bhd3mAYs4T55xi6wKoAXMC7vdv+E88L5R8YolFG5lCwQZqsRKUHl1I/2tZkanwvAYL1jIh2PEfgk R+22288Zi6EWHSnCv1/Zgv/Mko/GlXPLMBhRooQj/BHBSsq/fI/ThFl8SnUiourOxYSJdtg96L/j pByM8uuc7RypMcGEoFIl6lGEiRqIBkp5tz5PaBcnZp0IDnP27vFbl7c7gdCbWiqrLDBq0a3YEW9H aPynbaX/u+/BVSd7B/UWLKbxejd3sEG72K5fNI5OSlWdynA3tECk5be3Yu7M6zD2B1g9H77ax/x0 2qlrIhwFz+ZgSpmLL9bqzJGEbKKCnuXLa3d2jRc2ESkFxsYm4PCb+cHFidmv/Ujaq+QX/iP+CztC HRsSkEbtekhScxbC6Oxd7Te8W33Gqvr748MHnE6xvBafD9qsHUNAsDJIsVo9nOLiqWjfAScK3gG9 GKf5MGBrjyHE/PQV72h+2CymGfhg1qdboqwU0eZ4b36bYgSyPnO2O6FmFNTeCCv7YV/E3Axw4RBG DASaC2cXT2qcMIGXZNb5awUmkxuKEYfoCj/eFH15gjIYZl3GF0NPKvi2d1F2Bw5re6kXPOHoKAV6 orV5IeXnDvub9y9SESDAqJWHEhotV2eaxgMF9+2BLCuX7Y3Jsq4Rmk+di92MDHISqmcxsPyYoGQk 43HK+24+lI7FqmHDZiyfnFmN0hIxNdENjp6QhqZb0b9YAlDlHMdzHhFNbPRyNDlmhUC8wGRdANY3 AUaX6YHdkEwH1BIxtSxlwAajQCG7oAFgZPVZN1EJLFelEJpNWoiL94gNPwwBwRrUah+LmZzMwHDj vMRVrU0QKHmnLiuRuVR4E2E9Vv2OgZSInsW+kZsoSJ84Rd4t5RwbKwaKoRawnN1vzr62KQEYOIPK 5WtP5/QUt/qG4qimDViCRSNsdcIPxTwSdcDoOAjVm7ghmTIoaY0BNlRsfASHRMLPLUmCMq0iGdS+ Gw9ixnrl1de2unY8L8iV5eYyWAYDdjiFeMpzVvW+Bbkul3XiCAzqo4b6p7CuTSsUgSimHQEOuLRK g7y0gWTidjulzgyPTTRQIdbcJkGmcsgTRNYw5MY+KRVxa5hrTcyV9cXZdqooMCzCk6LyKSPsbFE+ Nr5qDs5JNo1GPBqDoiWxSukp/ZVpHrwZX2nl4/cyzISdtWDbNLxixBrh3vi157XWxG0E5A6CMEhd 5yKs4s1uIqLRab7WTjYObJlCOo1T1qU0lYFuMKOPhKbG67T/5KTWdEIli9iDdFL6z8LFfjj+S+s3 5x8wgJsHnqYpNnc/4fF+UNErVX8PsTcEwYKzAFbN44+kHRiUNoLD8T5bnS3TwiVfmw7ldhplUbm7 XiX7oRGEL760AZzmRF/byZFkwjr1JacT3fcm6q6qY1QCwfAHl3dSn/ZVlc4YikuF5isEfR1p6OZG +BGLaOQPC1iMwCaTicolzy1l8L8AIAwGqAos7z11Vl+dGrT0HZrpiCyZFF+kvCf0eBeo2xcDZC9+ n6DqKNywm+ICvngt5FbnQV1jWxeXosDy2htgM54oUPdjKqmpCsZonFBKa8Y/FUG9U30pcVVNf9Ab 48lMu9AGluutmpLEeq1824keh6YkCzGGc82Ejfyy0eYzXr9XcNmSoe5R0MjmMiFDlKD6VebtL/n0 yIslM1eKguvzcXAldGVidHxqAO850j6iKWSKLa+FRrtBhaGLnqYfIBvbU2W4oeNu2PRJy2NHA2Kv +0D2YBT4TFum4YAwCcIPgrburfo0YLrHjRkcE/JaO5MEohrKhKegYNVpjR/pPxgh9co3o3CShcY9 qw20vxKKJSVbFcnoVIS3dPxkAYCkyoJS7G9zWK9teHP7ctyng6XzoiEwdnWERTGIP99E3xKdaZpn j+HaFk1gpfnXPHn7rXwI5M23r4SOvhOS0nfgml4MJCaWZAurrwftiK82ncmDicma4oTOdmijY9il owRD4z87RcOK4L6/xuqYO2IvBsqDydteJBjl42PVuI0TrSDfG7ovKQWc+SdifNivYrfZAgq+8hOT xN07UhcltrCyRPXCTNIaQkyl8luXlKnKjt57+68sUvewysR+2CuJVLYoLUYmKmkCwF2dEOzZ/fnp 2i6TZ92+Nn5p5OcPUFX5jExaHKN15PgHCJBzgKAxt5ABCCcUneUqFvOEWyTDMwujj/KQh2BMsrMW ENTSp7LF+gn4BFYFB7p7OCeP3NKo0G8WO7D59vHMs19udro1PMisuXJbNmy8YTVciT7Rdj8Xn2jR rIrttVV/cVGO7oy7yVyEFuZPP82vCOcArogYvctNyBtu3bDH3AtNoKzhnhXjxAFGrUnDn4TjGSX4 IEqmrjDBHZFB5ruCJVJVgLvLOO/XCHErf1LvuuTFsD/V/A9hwjcAEASpdzbOC+IeQn4l24+48EVk LKRakS70kFelA6w/6TXdP4wo9rCAq2UgqUbvmY9SXh6cBNV8n5qzwM591MluYXbi1hVVr27lWk6O QLdjpXoPfjoolzD3IzBNw2y6o0vgm8z4sXjzXsuJ1sxI1P5tGyxDoNYNlgjUc7DqzHo65cYL4ABe 9H5gNBbT1VPcYisRjoLmtS7p/sccAGWBLGkXYLG9iEp1blBme/BtpHCm5OPjcBjA1dy/0YUCt2tf oAB+J3bYmiUBR+hgvNWX0Q58FuyKE5M8fQs73aq0WE3+oIH8oVXCpaUBxSNldgjz0YzkU1Q3yNPS xRUsNU15yRsxCNenG6F7/8MP2d+i5/J8OMPUlx0jzYBl4J4tHRrpygKOzNxaeIlzraziGY2IsDrG aeH3UZrb05w1+5XQxiQ0+sJt92akvasVxuSdNg8PwbuDAW7TdCLbGnFUK2j7yYFjmIl/u9hDDWFd j//vHPj0AJUFETfNA/IrnRLzi1BG8CaBZWJQO7lxzZcXWf409qHQUfb8AnAFCJLKOK9Br+JhR7Ly jxSTjx7PyJ1tql7mPpFlUm6DynL/jofZ63brdlaha6gwiHt8IoZfRFEOsptLKKP+PwzZMcXkEPx6 xYDmShT5D+iUKQrhVfcbN0XPYbliAvNv7+rNJylDfKRG3voRbnd9vK0LMsn8g1utb7yaMoE/lYC4 1df/AyvNBE59vvSTPcLYvaZAuSx23zYYU7RliJMiKaaMvCySgFRqD116VeehuhxX9faDjkwOwCfE WO5kcZUOhTVAoITzOIJMhveWjLc9gPgeV6xNIWF5eKoxr8gJIpe9FEnAKwBapVHzS/Ku9EEY8FYj DXkHTJRhE6dY80jI/SB80CW2JnvrdEpnnp3IkmAv643ABGFEn5+Wz7cKS8EyhtssHYOcJMjFFB+m NV/W+NPN80OQLQ1+/jSxZ+958QLRESfHRj5SaidU8GItZOS5hEVN2zvoF4OBt5FMtsAorGJ75tNS 4ydb9k1TWDMjxtLuxbp++d3blavj6WjlBd24FVbxH2CmZuSxz03cUhPFAT7uh9yKNuYg6fYjOPWg keEooxMzE1gObi+Uu2t2SIfK+B3jSO9LjNEYdMQ5yeNQM9zJ31EIA/KsROmIEgFF0qTK9xek2QfH tb9AQlsgDM1NAfxRsbKm+zxBvOiauNnYN9/tQs8JSi+HZYIKlZ3PE2q8CJxGa2M3R6gjRAhSG3ni wUFuJee3dyQXvv8hGxz5Gy5Y3Z7PWxvEt7mspQ+XK6k6MXWTskC1MBTFnADZBc+kbmGHHRVzJFXb V3VKCVASCi818vC/gkVjZCWbt6QQLrlrd/VAb8nWpgLBM9NvdZG2gc7M/o9BZRNCVwo698Sa26kI +GtT6VEHWwoa1OLuybdzmeioWp6+6wnkQYDrDYa+y+Ga7jQYgfP1ioXB2xp+6ae1OfhJFYJ2fwlC hPw/WWF1dtJf41zOKqu+0LY/MktjToJEMhXpTmqa9Dqx6By+w89qNnceL/7+OFpdVVNpEuY810Je u5rydBpdN7S1vVauTriVBR+r/Nm+J31uGDAFyB5BEybWPTlB05M2nS0N3C21Ceucs0wL8Ju3pkOS mvThjI+LbfIWoFi79UlPdkIq4PYhbEpz9V1TAIugon0QEgCiIkdHLCzUVSBzkT1QOfr15VTfwIi8 BOVCQnG3ZAtJI6hy7f/AQXfk/7ISeAXzFIU3IhDnX5jhD6vkCPiQdBmd1BXKuV1LwdcHV6btFc9n KJ5R30mszukD8zABeTaS+qbtJQSUWP2AyiQ+Aed7geyvAP0/mhNO6uoFuGMWAHC7nm/G4GQXnK0x bI8OeyOZGZ+21r6R7lbAn8+xu2MNGJ1DH/YLWGq1qL7qNyKxaE4VyVly7V2qxSHSzWisWTMGRmeT p/aqKckorgY4ugqn++ALotu8h7JWOlx3tcwiRLJ8n+Ttnc1PFYpTkxJvLLjk3tzHK0xdrjCu3i6H vLC/e/B9oSwAHN8r9ssFYPesH4riqfdMuA4+l357jHBfXmTqT0NA/6FoZvLeiUlhFQp8+gnJa+HY 65c0E96z8qcztfa9PHYJmxsuu9Hdj72o+JDnMrj87GFcGZqoPQt3dx2u3d4u0YMeJSR8lBIR4KED d9x6EQI7/gR+Q79CPjtKpM2f91xRsG07Wv+QQLNUXi4AXAtD342eZcI+iyncRNqKm4dH3mEpSDoC /e1+cI4naGcJ6es+0sfjkEaQSDtKQOL4lZodA822IUxYZILDIRB2UqMDtA1R+wqW+erLfiRMpOfN KGU5CtZSIip5EZKVgfGcolyJcTxm4U3XZEaAo/fB3Al91NyoMgb/hVAQipU8Gm0TPgpuAkDMbyZu /0J6n+egisLjUJ9MK+oGuZK+iOyBTAQUJh6/7BsibjjQ4dytW4HYjBb9bHEfvQ7UqJk1CW4vwNz/ 4fTDHz+OTahpvo06iTJtXt+VE8n4lVrAhFelUusNA+wKWRRYl0u7KKfXZcBpALNrrfKOladoy1cn Xf/xi6i8uNXS9ADPjcTy2WtRP/3NPNmWwaIeAXSpsQkT3wVo0ENsRKCwbvwYPefiax/5QzVw2ODn 783chyLb2hqNMJLxP9dmx387B4G80dpIlEhgi4DKMiM3iTAWdyLypfCaXBPCIycJywXBsjgSNrVA UgFe7SrvsHPDl9ul9PhxbbI1WOn3zcNVhF/+ZIkEH3TiDukBixeQc+KDdEBAxG5gyT3lVqg0NW05 nv5WbKfY2wBcit9kcAUMg8DCMVWSLO+2JEfDCaIHjhfrtlWJNWR10sS5gd1rMwEB1hbRcVW/I1SC 4pSVIkYBp53I0tBg0zboZEJ9UujTeNPtN5i99tbJ3lb3+k2g5VtNZW8gkYBTFPZl8Jrokn/Mgn64 bhcJb1WaS3j3q25MHMFpEiz8RgUwusG+dafV3J4lY+QKNdcP5e4iYzSVIoh8fR0Tg7lS2P2/7v/z hbKT5DtEs+TUO7AR8RcDz8E8XyRLHTGxm41ElZZOFF0a5gZ6TQ1GVcoXTOp7n8VBh9IxPG7PYA+o Z1g05s/aXgr7CICJicg+tQ9yDOS1BNY9M46XUgYsXH1x4hRyxYodyF2YuOsZ8Xfks4vYA58znykU OcmlU/2xkPLgPtv8tYqF2PjEz17CzBVbD3hteVQjd8RbWiUUtNLdSuWLEraRx5tmWW3Unj5+iLSn BzqyCdEgtMF+5m+MSW0wAHxXpOG2YhzBGBNVHuFo3bpq6G0OQhzdvjqaifGdY/14TXC5Z1RlS4fm pbMXfu+Az/ptpU7U6XdG8uHUe9LbR7jbbhGcl+a6uPlUNa+UAqBUXSNT0FSwOBwmWau3uU3laDrE Zmsh5kxhN3W61PJXp4eLKSgo1aQ22nnS22LpO/MFzk99h3Q2MLVUzVmZAfdHbFFkN0rJgTa7rwSq QD7B4izjb7++0P+Hm8QTH/TyYJnYGvuOF5hRupHN1milDKHjLKOV2Bp5R/a3Lo9xnqMaN/QBzn5x 8Y0ZefPlT0By/ZH1YoxxqpcLs145zY4J84rss82XznY//O9gR+OB+0ZgoDUycpSG7UlUZG9fiJGw z+SvgsSG83XASJJcS5ke5FUYnnyxnSyWHMIJYc4BNFqFCmlNOmP1rMwqAV+utUczLZI04zGWloFd GjEyZ2YWicxoB3MvgE+4ZdOuJo9FfdGxSOMP9DHachspAxxrUoOErW0pHh3uCLB4f9C0ayGOWk0s YYwJ2eAZwjhKQb/mPDaUYgyXV9lh66qrDaR5wfOh+WLdcFf+6nCNtmhBywRkrMaFLAV0r2IlwMYn rAhtUkNu320iir7vn6UdnxZsJs/Tx+w7PQ9BL5miZK2WgBx+tcLst4RzIFR0NKm4l12kDVhFKGih NCi4MRGtt9PvW/rBopuxmwM54Bee0p74FoKB+nDnRnmcQmEdc6IvTWSNLhFhtxlYukdf5ymXrHtq Pz4zbXZEPGoALnBU4s3uV0rslgiOqhQk6mKfqnyLneQHsjVv8I08ckPIP90CBDUDLkJNl4qb/J8q juzSUDLIUm0BY4Il24T9+XzqXmkcFV6r8FLZksW0xZXw+D356JtBKodH/OpPTUKQ8XUX7jcN6GnL oCPxz0TYuF3ufmwZzaGD+0rWAZCTzbxPQJrziC4x8U/nXUG7bjj2xGGFSLCLuWaqSBGACfAa0WPE rxu3a5WoJoWmbpJSzZvMQDWkGsfYohQtBMka01w3uDSfHIGuFWFTp1/Pb8ybfcL+xP/b8VsiT0Gg gwuxMLabDgGwlxaYi4r5CEmYJKwxKZkNOQodcUYetsOthChmRTcWkbDYA1Rw7K2jTbuuUAJrZTvN Oc8q4YPpWfha6sDRbXp4z1Ydj22ozpN+Da90tYbf8hsDe/QpR3H4W4lPxOld5js2lF33jtGdIoAy t03ogRQssXDADiJ4aFu/w8bVHzUA7ERULdlIuw1CJvH47GEZmVVFqvi0mUoH94j1KmLfQNs5dtQs AqNp235nZiw62Zij50DNL/kkvLdqCvZyUlTv+p6Y7mv4Xo6snvVa/oDrlJSo4aFo0JAd0MMwdnFn Mp2hItI0h74NwiKRE+UOSjQ+eUwExYt2aVQVOv0uRggZzCfbN3/DVcwx+YhcXiaxFn1eURpDziCE viKZkCqFihBNvUaKSh92djpSj38K2Vu7quNwCHfhpK4BKJzEY6AktG1ueuAInPRRsXeTVSmYLTTY BWKIdBB1vATqQ4ANOmevpuDCz2x7DuHZwwlQb8rtbLJA7jXY+byULCJ2+NfuLNBEdVV2NpLyX3yr Wg2J2J7dtzOivyb41ZXFDjK9hjH+IdF8eDy1HMTbBU6D19THyCtm/AP2BP2mihPleurvCV5eY9WZ za212ZfBCQpb7TzgKlnOOPiKR5FzqXGmbMj6FtXrgtwc3sfcPs+c7qKQ8tMzw1i9opr4/n5VB/YK Ywdrb8Hnqpvs0yvpjWbidtNwMWGhJ87kMd0NBuECH9sg3yyPmETJXKS1I2KEN6IZR/IRlDqgBP1c vKk/BtGOyxZACM1r585JoPVH7XS1Ke5t8iaZUxFOW2n1+wpgTQsIaGg38wqN3lE8gik/uaHNMfUn bDIZOZo5jxQjcFK2t2K+yANsz3/vvHVtTtfgeHhv5lmK29I3pO+7vB8a6UDviWYGJMAlEmPYeNQW fgERlzWODfpaz+hYJO2sAxOVSFCIFTvdh17JIF0MXCcpY+56rT83cr70tqlx/XTZ7RLl80BndOby gHxKLrVHeB1VykFQZN8+Di3aXVPTxicUvXOflsXvxGfwDRgIusz8y22+2xqr68i+sqKFYUwYObrl iE6EpZ5BSXtmI18urxty2+q8VXoiXaOFc7hlO8svbs7bB/Ora3VBUkTJvPPogPqDAgQvcgH0kY8J WgsR3OtZoNHE08ILF6/lWBs3RnjcQhnzHrsDnUOGeqoFCMmB01cPwUfOtyfufv1ENQGtuMrpT+EI LvH7gZSrGLpXxF1X3ssIV0IWmAlKc47REZqPPbyvyyGbGZ456jq7vrERKGzkWPaeKDL4LbL3hsNN XSixE4PnyE9s6XP0A65ni/l8nfPOJ5HpJqHFZw6s1XKgR1VDpie4LEBgBT0HV0Vtu28edCpTsekt WcX/kjerYx3NlTQN0N1JlqsYz529SZyAtRfA0Fkv9eB6SgEP/LmzY/qdDKJHNkkCsZ8kaR+o4EV8 EXLlve+zLCI/KUsV1iOteUP5eZtzwvD5dE6+AilAVrBlqKu/+NijTGBfemMNd7A0O+Tvo5xwS9wP SqGPnA2olPrwdTqrw4GLHmT0r6YhFs8n2BbU/g2kng42qmJdxRS0g7FA56rIqw0b2gRZCYvpbOFf 20O1Cu96zlnQMJGfXG4d0KSh/Nn+mrvc++opgMn4sns6eDlRqJjRO92VtFLoTCNwh3tK0Up2aBui D/qI5PZVOkPS6UP1udutgZG+hXjeLLz6ga2b8dukhoedvq46H2A3nMYOEoBXuvD1Zd+T6wYHGgS9 P6v2AoFfm4MDxAIzOMJjdu3T5ucOrZTduKTaUPaiT/mVBy5tfPdYLQPt9VACcPD28uSRT7aBFz7l p2YY5rckzK3Q2yigTWamcHh6wk7Kxqa9pj7LN7o3qpT6suFlvtL7/jPVhtMQK2+wt39kIjZCEbDq jj51OAippwL0OtbutUl9BN4xnM0q9O5wn6CnoO0SC9+OA/t3CQdmIf4sQr7iUSai0i6GFYNNrFeD RvjcD+8c6UhYfGloQv9YSrAO3eYkFMyRwZXp1/QtdyJdgvpg9ssVE6XRjXVtY2zpkqed68INpfuG ALN3Qlz9MmFOYw5eYsuyU8RQn8DpXbATUzrSEAcs5IqQI5CN6Pe4G9A1nhdLcc08oWvc0Y7BSOYX Dnpxbzt0Ptm4mmdFtbbo4dt+32BtAdthdtdygRHMQP3XAiVS/ivhbVAyDbxoffKmlcCoPa8Ot5bV M/U4m9rhcr3NH/35USjN5nPXVNxODUokOjEbjFvsulCm/mWaWS87cG9Us65ZMGGrzetmmkJf5JIG AYoyZxWfsKllSus6Xe5Y94chDy1xjRPczSzZs8RQE4RcqasR5sIMgOsYFCbU/AtjSC4vVr+Eu+Uc biVmJGFWtZrAUUdzR8DZPQ9kPTzuWWKhNgTcfVmMdqTYnBVme04gAj/wzIzu2ShcnPFZNc6GPDDR ItLZ5Gu63D+0VQRuEnMx0W5LfO0Nav0nNnpcS2AmwFrRAxO681Mcx7vahqdJGIBPWaNgtCTqHWgp XlEUVe4EqtYM5iORrlDJViQ/csTZOXizgtaTS9/NT9o9OD/ipEWvjiTD8+Wgw3nNNPcJACDmcLEv UuUkvEyLZV8tfiyS1vvu9B8R7TW/0IGIMh7PJj0i0lai0/VOQk+RDrAKKHlohfecciCTwe1IamDy RQDTgJY6UFYNXEhxLqucPHRvSzzRtEkDaxO6bqSqh4n484LSY97SY/r/7R3PrqY/nfJROGUlHGLP 5b7cM5ru1x/nBDkc0fZMc/EIRXdR041JauQLwzItnHkVG/QVog3NvXUZjDnbL8sIoulqdJMrTUPv 0R5VNbVEFdQvvyxKoprnOi/oWcwV4LgKtlDo/RUJSwUcwXJu49VzwbfWp+I30/7fQuxMz4NIt6sj c/wZSvmpUoCYTwXUObnvvZiyF2fBfOdToiOyb+XyC2LFFzyA3ZZIgRVQtVQrPoZKzYjCqW2oTcDA kRRI8RRorc3oZZMt1LUHe/Y9lb6NAMjnIWtSPPDYTh7yVSwweEqb7KGMgOGAElft4wigAMl90A0C 8D2SmT3KAa0sqau16OAyQ86viwCdielIrPwcmYeuLbBmT3jGjSih0tgPBMKo3HLXCdMiNtmdbDyf JcwMUd8y+Sk4uscmjcoVTs3bkv9IWw1pgKRH8XniIGyPaX/CD8CdGc/9pZy5yJoR6gwhKSVT02sB ZTWhr9LUa8jMJ1FQ2+VYR5V2NnbDJWps9HB06XcdAnLiekQN28DtphCat5u6U2Gen9nxm1BwBfeY WWgyvEUMqRWB16/U71LvIL4zJ7MGUBJihpGw289wMogEyKiUvqH/PP/SN+yBGDyDXq54mFYPhUsG OKwshx8cWOQeiry4hSFpbXpCEw9uxOr9uiwnLn/JuY3gf3xeu1POv9BodNInu6h5uHrIwcUwlR0b H6KKq1Lel4rP1RED5NrTWIoTbctlsO0j/sq0fnD8G79/vM/Cr/XxxWZ+lzzDuEJqUg+xAOHAe+Rh LL4i63KfOv1AybTRi7tBjpQTra0Rh8yBiP3KRaVLbQVRU8hPUQwPO2B8F1ZnE4ioR+Z587qmmTge dHm5IiYSWcfylxEgu9pwnQE07VC0S0dtT5Y9ISa5klU1ruoYBp1I+f17+IBhciTEUv+epNCKuMqT sVs/4DhXm/0JhJruFAe8xiM0OJDXjS6KOxkStS5mWoCL4YwViejLPUOqA2UrQUpMEMJmOaiwNKqr tWmvNVNtKcwYm9DOCSIYKz/p3QQFqVw1bSgZ/0uj7PeWRCad5bnoGg+URbQGXiUErzamw+/1jv+L 72+qhW8u0h0tvxIrRYx/mbZiQWC8MQdF3fcx61gw9vGPqU0t6VJ9XPr2JJrhbWB3WRUbE63tE35g 8X1zVHgYazbQbZmo92+05cYiln/9u+w537JmnMKWNIya00ReJesM751kUp/V+8KyZ1FruW/7JaFU 9Qsx6csrW4Bj5Qwh7+uKs1a5ooVwo/epz3AZp+8oeLA8AOeDGpwSMIC1fu+mMisG1WweSKeKyiz2 NzZu6SqdxEdrMl43/OfFT1TFsR0zSSBp2ft6zbh0mGiSrV2MGiJ970o6LMoiq+wkUkvZui/Y9f17 sWg7ZUbnITBCRX14sqr7tQYomV4gyhmjKLiWKvk8Yt2EwWjMDBrpSXx94ArRj/qr6okCPM5J+mtz A7kQJAfRbnyLpEbgsDsZ4jymrw4cfJLD91ut5zmtnxiZGWMuYJYFeCfgZkMPY6K5REVrIS8xcgON GuT1RmzSwcSt8rsGFLIis+mg3BkbI/hTvwHNEMM5CIabADjgYRe517gxdoLfLM1M1G5ZqEtqGRNL ZSJsQQlJ0Qfig0I39yDaabqpNYKhabh2j+iP7rzlY+Z41DS3bhHo5qJ00f2HXVRenpiof81dojMg W//UFZ662+be25Tx/MOmi4RKl2hgkDcNeWnfGzFNCbZ7ZL9MbQByN06DjqwCn6Lg5hI57RVLjJdS IbpW//Ej1qCXBKCangZL2Jc12Mcott4R0YrHuinUkkabdjJ9DoiClMOFV+kLcr4EW31+4+QnqU58 63k96zAsGE6Zr132Xwfaw4+VG+xzO6I2eh1a42bdk7GMloSA9LlfjLAFmkZ0QZxs8PbiOPsqoqRI 2LIJY2meQbLAuIgzbmNIloKKEEEEvZdRRLj2uYWMFHo7aYH9+pDeT95SOqkfWewSV3ka7zPjhF0b vLcPq2EKMM/Vfda4r700E+SAIMNEXk85l8NHFlW01/QDFhfHBLVKkJxvEz89naZwqovAc1N1OEGI EZAtm+5vbIwtoIebKB2lwy7ACNzlCNpycgSpiX90CPw3mGEs9Y+0Ef3MdGO45Pl91WfxOfCspoDT bVoHj7lVIMCPKwECDCLY5XTY8OIf2AOkUaWkDZhbuxgP8Eo860zw+G+Y/5EFN+nezrNvb/6x1COc s82PFmoVWf81hF7HjDPkk81ZUydzKcMmDPmRu6oEC+DSMlXHUC+OX5a2dGnLD6hWmvH5/Stp1BUA wZdHLdLS6we8hqy0Djx+TKWhAzIm/2iNBOXyF7pAv8D1RrQvcOWgwlqNfCr9m9kd+7E4njr3ek/I DEAdYan4HIgwRuOrbUGLb++dSDsZze+u9dNXv05ePGy3U0Jn42bE5UT+YKT+uDNsrk7bFjqXwxfu UtTuelej4bOZbUpkEfbOgd6s+GMKPOC51Fr3Y80ootYP4rjwM8uL1mAjeml6mjDEojiZvgUFtUJU s2ynBxInNf9x9TmVe2EcQRcWg3Il/NkVtAZwsSEyaMZcSPx6dWaGWY4w01qLmYxDlWw/BoNSLevH +t8gMz7yzWbACvO8pQFIFXlyUc1508pRvxSSG/pcnLY427Ta7/pylMOAWKASBd0aNQNxyD1BVzPS QBJnWx50eDQAPYn2bhjBwkqG9Bu8nPGWaHH0mLcqLVOzQ26efMX0evnJJSrBKptGfIXbQEMRfOdg uezPQVve+N9NM9UTODodb37Un+f9VmS6CUgJ79jlBWlnE0LaUdte9PCpcGdYnnU91GLIDpLHgjN2 XG2ovUaZOeAzWJZAe1gmhe/0/HLOr/4i/h0lHVEQ5JpA0xEtO3c565KEn4bpQlKd9rQZ6dDOpDel o1Z7XIS2QXxw0guW17jwC3pRS8x5o2/w76ZPYdVmrTo0mxRJykktxROy/zCbkHuDkUg22Bx9+Q7T Lu77xGqRGDqjnKmYYt+rnL3Vgh/l5O9EU56sLgTDSuvUGXlk188+JTqV64YycbjpIzTuZgesMTKR WpXnmzccJ8bOobb66gXmmyi4NU+02DCRKtOlaEbPD7KXllRIL9ImqoVxp/IOUz7egApV/IIyNcAT rn4iK2fEFQaASOxaDOGg6eDkWUm8o5QmTXTmEYrNrBHk8DyskdN9MsimIJh18XBu3wpbvfDktHfR gWzb3Jhyjf+Oj+JyiojFM0O1SdysKSa0CZ1vOBDmge3Toa+xKGHCNt62DrlkX27UVJw3Ul1yS4Yo L+yCbVu1Tk7CK9nEKIUWnaJepNkIRqOf7Q//QalEUR+WkcDAIq6cGG13wnTm/JBeKP0O4F+f62uW ir3hRwhYY1pdbe+p1/aFVlU6DP0uw5WkoJXCvexUUjKgUTFvhk/6TlbmBDuWrjAwQ6LrlpJRbL2b SP9DvQ7NR/6YnED2Ui7BhWyYRLq9d9HxlmfwxrZ0J7K9J6ytdiV6UjY6IkN7COYQ5v7/Cz22jpcO ws1qq0SJw7tNyfx4KSs56QgB5+kfv83WYeFmvL/5eSW0aEXo1IOEygssQhZjGmMRFp2DsZRPCyNn pcbrZOKiYvO+ztHR9mSWOb02wWKi65RlP7g0FpdxstJTQohKkKcOkhrijoO9l3lW8Jxt1hliKU7H SoyJtV+BropZyMlvkpjf7HfZYk+dpjyOYb0sR8HjnNj4fcKIDjf8F1v5ydEeDfjfnj1Ysd59vbQb oggtj/ffb1bOFdyknXyRzTM1Tul9rUTu4u6HZgeEj+Uks6VPrajFS9Lc7iKiNlQj4RFItc9UR3CA rZuA9FSfXxc5A6DggPwazsyhxg+TI1glAO8f3I77JaSjOULIt7BcSRcM4JnI0GXQ+4MP2fB+U6J+ UJWm11bhTdADmHrthS7aFJo6mdlI3lRM3xyU7VTSfFqz575dtwQe++v7NTXC/97SbLMoHZjrC2K6 ZpuYBAjjT8eKaM/LJrIgKU30MLyAGa8PEx1K8nWr4xrDBiyPHfJnFwxAO2/8XYSLWFxpyNsRaQao ZNeVLX1T5XArPc687A2xeOgWUUr8Xr2vyVwDKuhoBPUv8UU/W9Id1wi1x1uVJ2X/roRNzNNk4pws iqjee9BcuRdS6qwLd7w3RjICnEt5t2mgx/G1KgarQHkCkhwMPakN7CrSlJ5VyzRUQl7p/ROGextT 9nlJgKio8MYzY6DBMD9uu9yPH7U2GcMAFKBk+GcddAklGvZTHorKhLioDHBQiHWzOa4rBd7Ik4nl axFaiRgylXjOgcYZi/14tkRqRen7W7ziLraYQ+u0slkb+i19QDaxW2V/xAgKR54dSkxQXplu8Oyn /ub/mKdDtk33UahDDgu1uXMVRrcf7QbLNM9p068Lrhl1hgXKXy+JwEVdeX5PFrz66zAfxYpWe1f+ SACQfOP8PVXZnH80RbUfI3dBmXxK+Kos/p/7ULgshFUK9v15w33wjbEsIqD0oylb0SSuI+Oevluo jbO17UIMeKfwP7vEG7wlRfDLdU0vwLKl6rffl+33nrmYHhRvNXfKi1Ybn4b51uO2ErmGgLiwEnZ5 E1U0yCmBEYfqwqnILz5Vn2As/hcZXv9BiCSofZdx4tjdqcREXY8b7sLhX58ZrOtYx/L4QnhT01wQ chdLktnx4eDOB8H7QL3wmLdvAR3Hc1inZD4vQyLMt7oVs44wJvVCs5237v2CMSi3ya+l2ouUjUm1 E5uxpeYD+9fXeRLLo9QEqYQdBZElPobQ0tCIIJXayWGg2rEd3NmTrA0rRlg7j63GeBj6P9VY1J8c gLGfcbd6uvhT0Ujy/AnDLHifCwMfgGMnIWevigEwDSzuWkv5Tg0Xx7HOX+hDbP3PxHBcypqqD4BX YL5cg19/DAqU5/ZaGZ4WmcUU5ZZtdpkYk48Ukk7EHcVL6cNm3AMgUodZX7UQ/D4nlN/azAx712cT eekzUmPjnrX7uYeqzNAF312t7uLqsRMIaMzSubkLMnDCG6vPafd8opE9GjN4dkWpN7qdh/dlVAyo vQCcY11QzbnwoHuOwGEhdXrf7uFGhoWpYAwqQGAy8l+yAwGz/oMrfZ/DHOJHEpqEXyDLoll1F47t oZHB5m7Fpu4YNILbCPy88Hy2KzD5LwQhz+s8/JFeCD0BxniFjNnxLPwxlClIPnTN1ND7LOW9QFCi UYhYHoXe/omAzimqeUFJ/d+A2aiXsO86MdfcwlkWaaiX4ZuUSPtIyTGFqIXFZQfKclV0ba1VYzOw zQKaISJpSZfov/PMu0mapRkJ+HxhO05T7/brTv2DoNa8BxTaFoB5+7eAwqBy750NSpgJCiBbzrI3 zOKPrFmvGPXYbJzDt1CA288SE0/bkrob3jzYkKXQGcNts4YchYTdx5GpFQd3F6OSOcqPoifA1Wve DGGm8gGOkhYwKPjRLux+CbjaqjRx34nQKUs6zhpu9G4EZZDQ+iiQXWgnzYQ/oP5f5Wv3NOUQ5kMn LHa63hLJu/bJKT+5d8ED7t23uOUAtDlPRfT3tLJcrhPa59qA1eyu+x43ogDBryinUTq5LfLEs9Yo ZsVikazI10AH9BPTDfxL5zd7U28C59LyZNBqEqxsAwquMxoxzseDQCtitQfdLXWKU8OzCFYenTb/ dQ+o/VNOnWDJcWitWC/hkEmFn+8pCTfh8AgYsryMZCLAX6nMtcYpm9EwWhsIFKzJSX+4G81nAGMi GEVtWa4fp1On5DS5a2Hx+llsWrEAg8ti8SZqQcACoCIxqHMxI+CvmWQyMDPcGSjUKi9h246fvaRh xabqXEUys3zChbSr3VmZmuGt5b20SJym/3evYP4lHp7y3sRw6fwmJtURqFNtJWluJ7Isx1i3w3PO 53eewwylCYhC2rtBWRb3C2DLEnL4kOzhEp2U9618z665qn9OUGL98Ig4w3RFYVmUDOt4lLDYIdIX 4R1SxJqr5ROztjWsZA64LoN3iw/g8DouvN+eYynOUNwo/J1mBQ2SN4QIVW3RNgQJJ7sqk5HF4XHc NYLNDTEeT3tHoN7QLVs8RcTQB/69C3BdpK9kWFu8O/NGI+2lVhXXVloSBUMP82rZQYgAic/b5TIf Udn1/SeuvFY/iNhXv91xbTGvh8NnvYscFXzwwgHends7wM/ewfGQIEqm/eOakdlZExW8BUUBs51K MX5DyHushp7nrcVrYiBNP2/fHz3O7kFIK72iugEVi1S9d0O2+1OuX8mPXzsQM6o2BTKqVNsNvR2I P7j8yW59bk6sa8Hlm67617rbtACtlb60HUWMUVvFCI/vHV3RfBWO4rUYD5p8bLjfMmA/JH/po8rL cKHTfkBvk0Cp9PGBtdX6yiOUXQcdb+L6Aa4sErEhPxKRwYluh5vNtXNn5RENgtu4a4GV18LMArdr ylSp60dUbUQeNtYzivp+qjYRUooN9h3BbKJuaI6p1gd/PKW1F67kDTHFUCcJ6vqOQUflnFVPXiH1 UmD3SFKV6Ca28uAVV9I/OFwhjxt8D0Z9w92Cl3Y3HEvKfS8Qd1CSARxCzFA2T13rUCBdMiJEKBDq bnDCxpfapFP6kyb04GHfkZQpKaXrIUuiTHn+6eVoYxloUCvNt2xIsD3YbE+GnMsMi2vWs1Qa4t50 qOX6x/QZjbjx4wvOl/vj09VcN0g/xfDEaMsUz/onodlheBvm8lyIQSFwRnry1RqEt/T6ztNIJv4s UiSD/4TPZOEeRur5v2Rhi7+GilMJQh1d8xjOXDDJUc28r+tswn8z1zeoVqoqupiyjYumCSQDbbmu RoWW0T8/GULeMazKq0zXLMjoKsWjvGqSEya57cU5pu/rsBYnvUmST+GJBUnrMSFOTKI0m1GGWZL+ 6Cjr7endL1GdMAj4Z7Sav1xmdqaSyCabI2g77xabF6fnaR2XcvwJYhxhFiE3AVtwhvbDZgBPSQsD qdl56Fr8UNLCHAKfC5X1skZrmqmrXLeE2U5yGIDq9GIj5Y1BebPzSNXskzMuvg3qNq0M5scfUd5l Hr+i/myZ2lWgYDx6j16ZMZ5RndySY2y101oRY8FfkFzLV41g2EaPXN7C4Hy9r2DC6AhERpvpOB9V OrOTBFXf9/2Ce7Tp70DE9RezWghF7wzvFDQsiMhJFfXcw0izq4pdtyG7iQqzr5ALySJ8lMP00Dj+ B3ylKSQAzZT6KGde4P8MnclBuTD6RAHMwGgdQj1Nq5bNoOBewNWc2XdMtSLf6nPU0hYX5p+d7S+R unvoUVoWSMCkWxaHxi3FNnXwSgYsEpNN0d7Kd1QijedB88YpqNuFdNG0qHFAaT+VDMFDODX/nNSp 8yUd9ZvoIzSAuR68uPtVR4ymhjQkKqXbxf//JyRYbnTyWwRfkSCk2ArMyFWDehPgxRjc7nPkujjv RVj3pj6ocgqmFYepyBxOTqffT7t1140WiJ0KEeT8c2c56xzL5pYdQB1wttgKwj4hhJF6UCNK8MlE tnvw0IFzfIwvO24zzWimmAMtvxcVZTWXkvGGkkzuLk+eXGEW8H9ZgwLTDqfZwYAz1WM6tLykPcoK 5D8gn3mog7I92vQs9EaXVjq0gCVCGuL15xAstkqZ2QdmscTY+1H2lgD0jYOOezh8Uq9voucwPwNY x/Rj1mIQ5fvIivjR1Ust8vgV03yeWVG4/VpgGUiva4OoKjU6Z7AGUhX3HQWPYlCt3rKrdEj5QTox kM0lBHsyACVNy6AcVUYPEU3dCpro+9b+xV2k7boa9hDoNhJFipPRMyul6j43Mx+TjgNie3jtNP0G FjWiyXaDUUsCWBZ1WWyk1I3N9uhhw4UtCOHyrMq9yVX0t3iV0p4ExYF1/yj0YnPu2TCsU24vsN8l PYS4sZVyidgaSmjgWa9RDkDgWY1iQzqIJOg6ttAFCAU1hr3IrAcEdDHXZ6mAJ8gAiaPR71lp9UcZ mQqVnGSPbwhPLJ96y7PatGyU3ofVyl6WlWx4tc1Rxnlyk8FhSBT6xJVROF9eB4JWOkKg92bb/jcC PxzYJ0mLZ0oZ/cBLYSluvVvKorjUd/96kZnG3u07lwX8wd7S9HUW5JV9B6wYOrAieo5QtSIK8B9C ZWIjjmLKJmwaTMTFQItYXKnds9mNTL6lyneK3yZRNgHWs5NCZpqjEOiAbM9Yar/vfAky8852FYrC D5QXKF8AzyJvbkdV7dP94NiQKi9USWfRzDr5HMRokqAKOAA8pARJ0Un2I4sohTdeVvZyHzzYUy05 OlOAtyjXev6UwGHVxZKAkjq+g1NALsj36UGHds5/YRK9jm3t2zgTSlG3EdSAhwEhOxU8aVvTrC2s hv1lu8cW0CKT+ZjfJhTK1KipDQmOIpDkb0H53KfGyWKTMEicTbGvStNkYnEjMnXv2iU6urLA5QDs 4X2TPGpGNP77SNSy3yPaMkwQtHygbUW/Wm07wZsNnXb9RH50mJyhF87xg69VPZm2gWwwAZ6Pfg3H sK0WlV6O5Px8s+EzuD217KqHape7ZlYlqrksBRSXa2H90jdNAlpugIhMZvIJbaxVTxfV16BzXQGC 5ooforbAvHvB24qkZA9h3+5KyQPJ0GnNo6mSZBhasUSG7YTqnGwAf0CmOpn7Sv6yukFHBIZdmFKK dACemfcmHCL24DcT3rpPl5bb50Z9INk0qHa9qVOnwwmtPxmtVvFU6u7R0iMMFuclOC0RaD9kI+B6 KSe5EnGxm4NkyVfQ2yV0uRB1ymFwWc4GE6TBnvq13KrgN4xJ1H5dTpnVGPyF7wJ0WdM3JEyMDpvI lhvYX0zOXGi0TFDPSykiFIAiBmpx/dZAK7aHnwVgsXN2H9CZyv7ur48bic8hJk4oBHN4u0IGex9X Xs5Hq/Sj6393H84bIWDrMqNMqgOO+kwy0+S3QhhAf7ku0yaUr5tJ0bD6+V7YBybZliReunkcCH3y UVu9yeqPZIsTvlxugUx2XJXYhZgi1A6wavCcbwZCMlnN+YkTjOvFwyGjmFB3oXq4fnzUVRGsdQYH FFGMCLE34EQ18hYXJUJVYjq5616EUEir+9E1GAeJIydSURaWGGqpIHizVFlNI8QgPhhxlJX20DMr +Mn1chUPBWeBt4J424nFByhr1MXxOFZulJjD+zehvAnE2foULWCj7Vqg2T4c4jATzeSPdL/CryM3 rj16EyAifi8B/kygJ8ml89nipKSbx5ZTAlQjuv+fwatGN7K6rzKwqMYmE8pEJDWjjHGDFG6PfSGo hAVGA98BBnMoN96iQ1zTGmlim+FSNjWzbIGu2byyAPCf97J/RG9gEwQkxVr4z0txGK75iRKq856V eJXogF4t4WLVJNiFKEFJ3UelHX64254KlhSFemPZGFmI5z16FlVqkPQfZATXqBAKgIpHvjuPpNmD 5369KOzwS3eDXh9EeZ8gG+pVKUiN/FNkruJhaPyxAeKMhN+Z7L1qKn4Y2mq4/9nq5LVQYcwr1PHZ A0k4etlO1S5+xKYy8zMsj+7PA6l9Sx24/Kbzc18+M8Aa4Sc11wZFaekcqjO7K15qBHmdrAsVGbNZ gsmjJ7SVRE2T1gO0meInr8nhGxwnj2oeobg5jn5JnvZHQc5dIsgLPNKqdVSkwnjIzSKqYrUEuZGG 2RuBFAXtn3lhROwTCRRLDhS6plA7LID8hwzKLBy8w2toPG2Zx6waI2a1sgL571LG1/ri+9fO7Ifb 2uvrYfdfkIGqbT+HD85EGna2LYpvXO8ZfyK0rAe8HEbepWncMzIPOpC/alMVK5LYK1G2adb4WkeR pjHta4cCF1/rk2jC1SCn2HZ/heh8w702qxIQ6NTGmnHJ3GY6Uyv/zbZs5FHiaFTIIjdpuckFCt1S V48EgMHPtJSxLrvo6hHQ1WSgJ1DmnOwF+ABX1B7j3iRByFPePKy7RxX24bKmYScnxOtkVNBzjwe7 NprPRncqunRTUO86sCRwzDVp5mfAt7YkvVUkMUeirlXHlqVRLq5kKKzlqkjsHJ/KvPwPrZbkvcch v/6fOrDrcPVQ17BhMGlFjZS/YU+W2Ec8YD5UmkkNdSLj0z2qx9vfnlKOFyXMM4zr6evhZ4B83ehP KJwZaHb0DDoLvGW8y7RCN+huxWugmVgFi4mx+ihfucqMaNA60ElK1LKPtATXxE2/oZh9RKLwLZyK srEZP/hB1P6GKLvE6sBHvY5aMXQ3O4fY3gT3YESoWiTVQQBvCTyeRnPDLN0Z0icNNib8bzAGHeVA 9C0S9t3StHfCiyjlyDeDwTLbKOGq9cWrfEHMvViBaNCaKEd4RdYDNPkHD3dV7YfpaIaULOiZVB3k dDxaGUCJJ5OOt0RxMpgjDoePvf1HoqEbYDl4h3Y3ldSU437q6B8hs5sJLwa5nBS7MIqNDReCKTns 4Mn02JO1mkCX1aOUpc4XJb/Yk8mFDhvgvdj5zb4JML2XDNWSvRG+/aSu8vcLJDCX9n2nKmlNsRx8 ns2mEMkwL6mSzjVl3/ySS+gEfyidKEVjp9ChYadHvsje6NlD5D24gENx4DBIKNCh3eHg5pgFUKaW uRXT6AE+X+1DSqfLlVE2s/NhE0/djasf9VZrDj0EGH7mC5+AmrNFJoawYODsd9bPhHJkgXbfVa2o 8+9GtW53PUMH7BqNn1YJc3X9lpF3t+VcWpwz8afqCVtdYRRBfDj0jkZJEfOrZ94Xl+M+fjQhzYpp D6efdLtAjh+Tul6qFA1u9dgI9uonFfltVSmxbAWIltovSy2Kg1pYjNEr+HONzHtM9emPhkLTCpST 0Wt6yx4sEtd2RE+DFe3ccBq8YjvydohTeF7OaRY4cuwRiO3h7ZKLc2aRCjyKb/CUVafOo9JWWTVj gxh380gpROnp9ZeOniKJ2YkkfJ4bSJGxaaOZdBld4Zsrs4KDGObOt4BT950vEJ6shCFyUGpjw+x4 ujdJg0aujCt7XMPg6HoXKVmItV7U+VK6oQ4t843iB2GQOIVc2W/kzdwcv6UVqGpVkGfJVpd66Um0 dd+vWNdHem9Kwjo32JVlYkiTEoiw2FAp6AzY8ZjgStRhU4uOL6KlAsfnLy+nR+RLtY4z4O8Sf3OW eYYAMZX1TRhpbcbHlPCFGot+FjNJnjFXrnpPtRryLWyMpEH05aS/HnRJUZtezSClogXMaCvNMoQw 42MwLGROc3dZ6qe63Au0ROPc5yBXrT2WNIXUskJyw/OpF+tPbnR1g0WuwLrd8LM75dWnrTsw2E/w BVk4AAuCddSXzu7LmkeNi78RDuk60tRJhvD5q/fXY+/JyFeDy+78YVH5skyb7MTl6lZp1hV/Sx+F FGX/Lx6/2KSDHddCRJCd/OJaURxQ0oQhxwKJ8jtDdPCcRaJgIlnQClOYptPdGMm7nMknB/FZyeEQ BmeuguIpqaXIiIDnEd6/XtVBo+lt6spHaDuAw+2f0RGnaNHCZxBKMvsmE2rix4i9RTbU8v5pEvHh 6Wgwa77KADleWM1CIew80MkLpWrgzGxaTmi+WvX/TlrvATbO0MO5Ai6w6S+DHzhLFa5cdAECMDGL PAVXybovVxDckircu5cMJ73utLc5XsaWWru7zDkA26WfwZEpNxO85dZMzQwcL7N645Yq7rUGIg8V 0YTEO2VzUxEb3aUt9TY2sqj/2xAlOqS4cx8Lw4lONd9X2dntsTECyh2pgFaxGCE4EYIGPZxqFk4d ypM8IPR4sc23jZmDpWLNUnB+BGAhnGsoJnkQKOe6ezaI0bb8AZMNTjSFkcxFb+5XOCf2dY51fqk+ YksqiYQF5FG21ylZ5pXSHtjjYH+nFIdt17+YCfAisEmWSYOF1FKKcokjEkD3LSjaADp5Zsrh+fsz UjeynQK/9UJ/pU/jVW5O2BD+s6VtovwrJVTvwihX2l+rmAS2Iq3wV92zaWNAu8OjZTXPTGg1Usue FNRxBHhFxiZT+oWJ57iPAt1JM/+tQtyjbV66FuReMM50bQZEtJfO/rxh8Gf0XDxorLN68ThFACB9 QylDQVQVsxdYTZWJcY1uDSGty7IrtGneyhcuUVGo0aRi3mN+STpQ0tW1lb9Q+yru5qdKPnyadsV8 fKuTD3R/YN3gASe/a6QmxvY9yNW6/Ke0HodDObqIDR3Ctnf0on5eqKL/yKJEEHJxMeIazuXrmTiC LkDcQXq9CpmY7t4e4PRM6a2o92s8J75VvOn/SDmECWRflvqTG5MZnONaJh9A815X6TMm+z58N/8v tAFWBmwjWA0ZEPHw8pjANK7Fpb+0gDgGK8KgZ6c7Q+gr8ngwg5joI1lUKHIoNSNyfbHGtK1CUshE flSV0S0rgYB9w3q6mV4cpGsE5n+3HC1KDpQgmHOjiRooUJFoVUJAr9N90WVSqcYQaWQLv4W7oeqO ws1R5YLl7KQZTE8Ja1nyPUN/V9SeHssiO5LUG7WMSKtztU/oNWZ+JEcbqFoCy4+sFVTrwIc5cyWj EdZWlz0jMTiENXwJ6ZBfXtqbFt7OmnqrjWCV2TL7jUjdx4pb5Tb1AmlHt/Y20D1odVMOVd51Uu/5 WCafcE733VcyUBayVKQJnWTJEXZPZAAhd4G0j/jh6aBSPHXqBNmV3JZnu0PHnfRhnI1aIId6CcC8 aJG2DUkgcFEhZ8rSLUkm4Zi1EAlYFnASHx/BJ1zo+S3MMYOZBoso1DEtCnFztTelUyaBoqxKk5+c FWx5jfVZWbR/DlWO8JWDG+l27bxAiZCH0etFeQRZA1C2c4fMR619jN8gQU95b0BFG+9dbLn7Q7lU qqITc4NfRWwG5BH7CYl5g1ys+kTxZ5lqEKhd2YUCjNLsnMh4x34kKl1XQWAbFj481uXG85a2kz6a LZ+hOFUiobgVqButqygJt45FtxlertVgZQSSsuOm9QLPao1pV1OwGSobmNbbqommf2JtIo/Tke4a fXVgawU4O5no7d52aXIPGZKoV1+GGxaxTinFdzfYkO50ylGuVs+OqT6ONl0aPQVV1Z3bOW2fjB9Q JTaVOwTGnEBD8fF4BhE/30v5fHHRXbTmRS+dXcxKzMg9vlHbIkIjNC4vN7lByMxEK0Vj8T4r7IOG jW/ssx3p9rhHumqHmqGH/WwUWvLzd1BXLvrEH0r/HJz+xGkg2wbO5Y7xlZRC3gMMLT2xakc5pI1T K0DDr8PjBfc1Dar6XP5Aw96ID4Uq9sue6onUqTd3XO1B7hFUh7CDSDJ0WutpjUTC1iF5q/0L1vPv fNnrQOZhK/ZQHrwu4HZa1KaP9Q7/Ptn+XJfRGMyY64Fpf/r+CSBv9RrvdG6VDxbZyy4ethqI4oOo Mf4HV91rRvwrd1ykEl4CHrKsJteUAtPmdansbHTOBzSGKMEoIVpNOTdVxeRqAjXQ91qGIY96IRdm S+ji96m5MP7CHDSd3JIfEwaUV+VYTNdALrCt1aWJQ/TjY41J9fZ2HyqDBwfNasu7n7xUI6pZhNc1 79PCLGyhMRlbmGFBULvqlBefYizcoyNSanlV5jmRjXm/jAi2M9cyPuK5HB16Ah4vAg7vvZvxpzrs 1x08ciii02CvU74BAa1B/I1i6EUTkpvzTIiGBl9bthVHL0RKXgfHS7N0MdjVJVKOiuCkQTux3B5a orLLOYj3n8ZT/mQ+Bx1EFNkdAul7+pFekSh03NFfgbp2mqpqCHuQevKvmjFhdp3LZqEY4Nfigh/s XjByPmAaUZf/KyvvdSckGFxuXV/3EDvORfJ39XTvJcUYdzqP7AJqlafrTL2m0KP7liY8qRCeIAnz A7PfZ70LMArxzjBj048b8rBA0el9ikoKU5TwRWxLYw8wMnLOfZ4DxdZ7cG4TdmtKsY5QNWv0XvVT Vlmr67GWZBalUZD9Tn7Ew7iSxQa7j7DF/q5IPGrM13Zyf9IJM6w+2m7lXcejWFqYFy1GfQV80c+y GpwxetuAl3QyQqdekIwnRA45zwaBI4RxdBualGKSr9GfQaAI2xbQvGT0CEozY0dBQlRb0Gzw7sPI 7E0geYWpL2zcUa4nI0tJ/9c4ZnfZvTQPtLuQGztP7GF69gmR1Wyckzb4Atn12gifaM5uJ+9QHQLY wqoQkxHpmGLK+BBSLQdYQpw1G2tQklB/tOAfYmUav0qQR/TvWi+8yUOmLJzYgHcJNMDh4jVqnTOi jhz4dRaJug0uVxGTUPfr88lzAuuNHBZzDfG1yFjqju30+ljU7VQr8sPuywEMcPpPlZPWQWJqwvIg qaPlIQ3fcYwteMaQf1ZDf6w2MSuYg4xDvbrDnuEkIZTjb5sD/jKC4lSWyLMnPKYYV6ljtbNFYdv3 gyEWtUX7HxKhSW92Cq+X6gJP/IOQv1A3T/yQXL4hcznf1i7MVTo94ImLTW285UthGCPPRRfdeMTL 0iKlWBjBQPjHeD0JB6oFaK73baJvk4Ga5xFCYv/gc/zuqh+Mbei0BJQwp4mWfqOC+63zlhnq0Wdw AXXH7d7uInxebDYRqYzzginETR168IAngNniWUN7lN9adKgWWSI3preBxpU9eROhQBqVwDppRf4h rV+LJZtR+dHTmmOKnyuh96imfSv5Qo0Ek1c7M3/irCZ+2GhScu4tJqhgl007Z0HmRxK4JzDD7CoW DhvrBgtIiaZId/Iv+VMx/MPegC29g8Xx1gXe0uGt7qu/kOewTvCBh6JG1wuGUeZoyMQnXnrEiXbt wjNLuUMZAvclxHOY4W0opoSNuyTuUgjU6VInG9V9Y0rbF9Yow4r5TepzZ55Pd0O6uHBnfXzZhao1 9ABd1dJuEVdjQit5+U75F2c15j1oo5dTsAOHTrVzy/5Nr7QHzsjZVjvaSce+xupMBQVbig+DvARF 3OkfDF/V59rGIKEeb4n+SZThlE3ERwiooVXpSgClb76IENuQMgS4YuDXSeyf2E7o/kaDRjQjr9Q+ w+MKaMcbTT7JI7zjMDQtc1H6H69HrMKUsebcUgOIow+OZtb7cnR7Gw1IX7/t2O0zMj8Rxaz00hwh ENMO5OYCxvPwvEwBh5LsoU6ruGkuPP+U6yhrb4Y8TzYoYrh/rj1p2oI9to182lCuosYbvSooJOs4 5drkWfOEGtH6bvIqL5Mo7OgZWCs73WQ4501l6C53uxAe8yBJ6n41S/1V65q9qVpZiX+Hqs7d2xaU G87sj3wVvTkBlI/1FhHVbdhpFPkmDK/gabZlOyaZmCZn8Nd5Pvvo0Kkd5C1qiZgzYfMWItLFMQOE cIY1XBTINhIFC5buot7gV63Y6XsQhXfQmlWaadreqVdjlC33Xd+ZeYrRSAiJd6nkamX+/WY/tESV EEvOsbJ87MW6hl799t4EkSUDZG0yvSbQs7GEDeEP98wrJd1zRdY8xWkaN3B13JttyjAs2aS5hmdZ yQVcEuqiEieoG6CsQvKvEqzcGQzcY/4wcCJCizz/eiDPZ3XsqkQd34endm0fbgRlJKgCuQWSJCPe 6Ge+TCZHNMoo9Yf4kENBO3GlG4G3lCz8BWuYd2Ye//Ih7qOIhqiHWS1CfziI6iOjwDFxtvbo+Wnd tHKHcKq0zkhUzC4nC+L214dts0LJWGZ83ld3yf5s3XJPxx2FYacpYWFXr0vYwTI2gx/WDduu2/Nm sKkH9bcflK5VZ5CIBsltzll3t3S4JgeGqluuUeL5nLsu2qqWx7baE0h7jxTQTh1nqpJW5DEl/XFP PXZDVP2B5p/P7yrYLdxgbI5iMd72jgo8vuKNTneHWIm/aCpnjCehrpr2iJzSvurRaFgkkhZ9yV5s GkdQ/Qn1Ky4jqii6yJ4O8K8TstdXmKu0ICzI278PmZvu6uSAYh/hfWDhWG9hgxW5TM4OWv6IVvYI YjEqKV9zgGDtzHZigyhlr4iJGq+vhsg4TQr+CCqXPRPOeLnvaqRoGYihLTUbEfNauUzsbIbD7Vqy CeGK6KKeeXMqbEp68R3zAPYywnxX3PAEVdnXaCylTvj0WvczXK/28IVrRdzentu/z6T9wCfX3qIa dtXTYQPpUeAIpCX/O6qtRfSFh568fdCe3qM8VyucGPeHw/gRz+Qesh1NOc8tvagjRxanAZras1ce dAwnXnvYbCOczcyixx5XXLP6kapgrZ31ZPClAGCQ716xaUNzEFuIK37T6Y/fX/jLXCpgDy31iNLY e3BY6bCUFSvr/IDU2/wPQHuvxqU0nytwNmQQhT0QwW3OwTqJzmTgtHoVrpJDYu31QfPdnT52f5LC ntz/5RaqWKQhDeiRfwumwxaTh8QLgDu83Pp7DBatA0EvufE3XzwizsaO5WwhKxikn4qXxOcAQBIH Z9RBGLv5OaO6f4E8osVPdIt67dFfPPqHKgwpwaZ3HFnV1/K8SK7VdbrMG2ubz1EIXLEewSpkf79Q /EMQfSwyfRj8VBRzNh/aww60aN2HFieSe4GpJZr4peCJHJA/vN0KmhkU1iuIVHw0XAVO8NdBubDm HUfDd0p7ttfmuzE+BAuMJKD0g8Z5DuB9OVCFfwTv+Phv36mWHRGy9+dtQLWhpVCgaBFbdvS2Y0iX W7Fs7Pu3Ey2kcYTo4PT7FbNWCNaSvKiTkj/iWIYmoJhzjxruiy7k+AT77VZCGrwzeaEjdq5PuVNE /q8eiZBefy9kpWzTm+n5s0GHTFQlVlwP847oUS6MEZqUb9+4jqrgpAU/LiBHz7smnVIQbaZVExwL 9Is5atefVPx5k0erIRsfV9bJgmpuaH0nTSZ0PFvdpDJRiFIZ4ZGD6fZpSyepKWr7XWBh4pAFFmIo f34DPiwuGDj2cSLqCFUcibDmceZ+jdJoaNwVL1vtp22w8wxoM6CRWK1hpPooqyRZywgdthwbDZWV kXNC4iHt3vjcyRtMbCzej8q080jTNPbtMEalZRibWBeBJyUIM6lrnt7HxY3z0t60EKX8ofQWyqo0 LjuAOWqBuOW7v1WD0Vfmg+ME4etCTCL8maFh1oYSYlr91IBqtdwO9qD0IT0PSoB2lod6BoBf/ZB4 pry++9G4I4zYSr375vW8TZ2CwTyeLzPNzDvPg9cQpsXV7wwrZrb33vUy5igIaO6oZUPC4pKaNokx tXmTXpV1Hv2LvVKHkLuNBq7CuQ8Lux/1wmjYtEq6dMtr1gMIOJT+7xV6LqbSsrgstOBlfi+2FTKx Q2x7bgxAqfw/7fy1lxgraZDFzeQUFex0Ro/VbEUyGJwlzyJTg9se03LwMrAq048HPGVeVuk9VXWe 0lInC5KI59YLItvAVWpaEqXrrd6e28OLR1FXZg5dvk1fcDbvR3ODwWi9fCATfvFJTksg0Tv8rCu9 yn41xW15CcMC6PQWc0JdWxxZzULmS73de7x1hFQFaeYclxs7oe1KBX+82aB/0qlKBzfVerJlgZVe yP8/AC/t9f2IOgdpMCG9Q9Of0QlgAllaY2QGzz8jqxEuTFj3Em950S5Ye/6ZEVRrlAYGL6MquPH+ ylrfvXFWiqQTcMCA7P+7J4brpwWiOW6sU1io2kL2kWydM0Z/cj3foB1DUK4IO/qUV4ozAfNeooNI 6HyPJNU2uwqnMMKZ41fQh9405Xb2ypsd0tT4k209JEtXzIC69cyIS0vRJ8d9lDx19WXv27IN5Fd0 f0xyUiVPaVSuTxMS2okboDYHIx0XjNXNy9wGJJ1h6xHQB+kTXqsYyYXUJuSfaKnqiE7C6eZuJayq eagL7Uo6B4Vxg4Kb72Fvo56iA5VdJ8MBy460JXIf2hvuJoPk5gT1avAfCi7FzxB29rkiSVEGUfbD k9L+FFvZorN7j9C2GjMhJ/o2lwbrS2F/dYty9oOUdguO79u39G1l4f/IHfJ3tnB+6MTVYVEFqI6m A+QfdWOK2mo+W2pVB2QfrV1unuZiwQhHIhuA0P+nQO18O5WQKRJcdl4r/e94RoH8wPni5aClZ+h/ LplSN1CdAHhRbO2yNO/uoDpfbyp8F7oZVNJKYYNyrWtaJ+lg6jgWBdKHZ+9PHZ1RwffpXMLVFTw3 1qRnvz1srsYTyFJu/EWSTaBJ/z6e5jGDvag0JMW7SyfNtrLuPBL3f6C9HgR0MMMqwGIPdKD7Lg48 sgkWQf6N7ff2jGhVJDr3pu1kljLcSuFL60BXZ4/uaHgqXXhXrPfhuqWZ5UYf7Xu/UJ9DZlraQrT9 ArLErX9nXLeMRvcOhbgIy8R3oTg4desuEW5NWRWCKqGJxtew26/GmJnJPR18fURAli/eJ0jRKpB7 RsjFR7+Eni69tl+USdR/qvNYm7pVzCP4eitoysIF/kGgZidTKE2Zn6izI/vm3LQ6XQAG/xSwt8Sm ZwLN9y6UPHq7fet8252ptJh8GSSmwdqEcWiLy1ptaiDvd7OINGqh/v5V833Y/600eJcEk9REbT/A kVozC1P/rQGBjvKjNtWKP8f+fQO74LWCAHXbBn8r/p7RHhbeVOe9eoP07pMc8g9q/Isd4B6bTNxW Urm7BHV+yUUvVDPkyi4Xxd86Iys6AZV6dA0jnJMDIxaesv3U5toW/BShJOdFIpbGis1yrzq0XiYS HY23rIKJadzS/1+6wDECCFw7QFS+J7iKicu1UG8UOe2TVk+z4Ehr5SUCZRZ6T/8FjhVS6kU7e/RF l3R8EdFkprXuloKQJ/UAAkEgZvP+Ih0GG4J6NS27sqwZXqSb4s8tOyFBYWSEIApC6WqBs6qEzJBT TSKs8XeRpFg4LYvLZLaaoGiczyt3+bXHkAf5D8QsSV4bXnS+gZ+5VkflIeQPe978K16rFVkSRedo FOoQ22EaDS9D17DgHJ+RfkwSTpY3b97k/qtvK+qW2CecGJaHhkI6drtIYYWb7Z4letKcrs/TW3Uj E7Agoh30RyU8SHM0GtnQ/JNS2Os0Ax7z9Nv7cdyAYQXysZstHr9+onn0jF+yxMOcBLd+C1r7pOhf 4jV/ngVJoZ3evv6J9In20copQ+BeeplqhZ3IHjcX26BcmHAhs4BY11gTSHS1y8SqcQ/EyGnyfYmy JFJAJi6CFo0Zwy4R5thSwizCty04khAlmSqOZigkj2/NOFyQYeiXUNpfIi0GpLhG1iNGjvikjgQT Ay6JtapPRZULFDJf2KagId+bRhoK6pFFiCjjhVW3tBShAm0DEYn3u30SCqfONVKdjqBkv++p7VJJ 72xSE6ZyCBZ+YF7iBxuOVKZ+m5hGGkeszFFzNoINP0nUGBk+gdpeH80JoQ4wnWlyF/KmFBU80khA qa2sgD88eoZSCR/HWilaCiPQ0JmuodyJOlODtiWo5cFFvvOdPutpvVvXKZXs4M6/wEoaSGmk97x2 TX0R8BXOQPRDpHT09WBdpAzynGRAZ+kk6dyCplRd086d1vm6pVoezav92nYuessjTkW5wHxqbSRq HgY8sFTe8y9Zahc80PsvxKiIGkuoLX5KV9FZQQuklzWqrJQ7ysQqngiP18Jv6Uo6cFTDuLDdI4LS iYnxCj3bSyDNz45WOfleWesCzeXHynj9IZoCpM8vYFL3876wrPoZmyu8qPc3z4Usp7Tjjkqqy2Uj QHF0UBfGImeGGl9CSCFI9hmQRUHevAlWOU87gSD3NHligChsoLFyrM8j6hKWZ4NaAAhP1E/VIZRU 3f320Q79VCw8ZyjOerXySlV4XYFDaPtZ3jvhLMmKyv+gUz1/ZmVKOynYdBpECo0x2j98jUS095fE 2+u+HhUyOHn4iLw9K0JZOlgMVve0B1BQnMqUBnOI+irues41eCF4e+UGPdN523IQSK+gf63D8Fxh Iz8P07NLN2XekNVJisXW49nxREd+7D3yblvX5hi+T3JR8Tc/C016/cNdq+wljAJ1hirTPPzePZF/ KM5ZfbkkSSDYEptWxAGNzAntzqTWfogBZkbuzqTxEPLW5mF2JHiS8wtiPvbueZ8KYY2kTzPvyZfw 2wbl8AVVT4LQbRuPh4lUkJceI8jSKTMOIlXU/OXn76wZBtpdTGXR7dwwb+IFVyJHq1JVNg1t8oft yFOJ2KSS51tVw3GJEO4NY9skqNdiMyMw5GzNKwgMvn6m/BLEJCDxzUlejVTZncN91R+eWEt0rAIv KZkTE+B6CEQdYS0xELQgJ6eXhUPUKW3jc88i5cjcFHGCKq1hWGFynCczTtrUPv5KGb3dhfWB4mRd 4rzuybOqqh0DCHvzh/JEOj/+P/dvMXayd6p3qVrHuf8SW8ykQKUc4qrxE6pkWY1gOD1yVGkUlQsJ xN6vaFPk28eeBpfor/71x5rpNXsEYuxlKAeQRdsUp2l08AiK1bHWbr3RxoCEWQVyIaA/l8k1pPKG 9KHchtyf9G+cA/l+EoFNSfdzW79zgOU+apBdFxHuogXb5QsVbpk1Wuok319QpaeFHdQSZA9704D7 S5KMmNP17qRHsgAEIbT63OME+BB0ewkK0PvW2pHPjQCWW/U5F+LA0i151LPn2kjug9lXAunQNUhp el1jBYVbXrVAZ8+LNOiD7WZuXS/LOx24rGmaR9fZ7AscsX61NwMlxYxiBEFoZGfUaZBQ/oZBQrKN wzw4adLLuuJIrSZ3DOO4U7q6DsjCHwlUQDSDLt2nQwdBKNnNZI2dobMIIwxF3KVGaVFs1hB18oGf to58goWNomaLNMxKQZc+Ly0EsiBFP++40lviDRKXi8/EzQ8YbJNdsdMoCbqzR/rTXtbQbDq854DN mzJDDk1Qjf7Ux+Y1Jl+8OR7JNmsOjpP8JMXyU7KpC2BpZDlxWOInO1JGaFFzWqB8N/j3RrzoOr68 bBeYD91ULN3tOmuWJvZkV9VqQvQzmSeY+QwEUEuwEu9hySyufLagtNcTGfpsKADDm2WvA9EtwR8a DAULdSY5PcDOWfHTMTvtmK3AvbSmjmHDzABnLM35gl1m8iykxDLqLaDqV6PLFlWXzQ6AleikhVez Ph3s0emXwz6HbHuLnEygeTmy5XzxVDoRYm492PF3mxs1IgXpZp5amMLAiB7z1lmdcV1ytAvLiqWr AwnwOYQ+iYx2anbCSRmjGHSsa9XmFB+demZNib7mc0Tf1rkphb9wVA7Z+EMEn7cyEUYcLbpGSwS7 EURe8whDr9bi6DzDD6h9dorvZwOuaKoK9K6znjdDagDFRDqAPBI1+PZDC+DMDxQH7gMEGpzsWxit AHjK9zfiTulO18sg070lHMtieM+UpNsNo0B5+avBw6jkOVNgtTBJiIPXMUhTC9LrkGLsFZyh7iXZ PVyFGqfx0SXq/rA9KcwzS3zlDR4i6VimhFUhiBj5Kt8lGTLwGglC4PTaEe2Wtu5Wtsn2gkSqSwVR 9fjIuhPjVEOObN3y+lntgyhyTyHigfjv8UCRFu8x/4qP3bz+1nj3wGTmtum38h/pftnwlHR7vh5n MCbyEDKDzsFJlPMew5TPoR31gcHXGsWlep118K0ReDjf/MdQR7RdAxGubXoJi49wwPJv83JDXqFN 2eiNHQKvA8enkkg9QLEYE3tOwF/+Bh5YEwVTtqbGAyV8cIxY+ep+X1HN87LGvfgqxfh/kQshKuS9 V72uZACcWLjNA9AuyBczzwBkTVFkrq3EmzOTxHETFsXLUuL+Cn4nVKajymeoxwm2xyPzoOO/stx4 fLrZbfSUnzSxRihcEF/UNXDcJgYc0IfFKlTyJdlR1x87qoXTixh3uYPl33cyNaf/Ex5CSbCz61Ie KxTm1q9Y0t1gB+CO7jQgmIUxZ5Hh6L/wsJd45/o0+CtiMuJP1DuUxCqdsfBPZJWuI7Q+Ud/eNcXB Mn/T6z3yd4I0omZKQxM6ABEl63vV+kmMNhf54QeZvN5PtYsVUrCFzhQ95KrI61kI5tDFTPcrpI71 Ktf0tKVvUNzDaOxDMN+BU+msyXV08LMEqIdAJBKmllgVL4G+sYLFPYIbSZ7g228p1irVIzNOr4dm iFksVzPJhWpRuFGSwI0y/lxNVNAdoqdeMGmfWxr72Sx7+eEByb1q1lTWMu5JvR5ULx3c9nBOZBFK YftQZssOwijiocSXML1/dH0K1yfOOPZk6nFrrRzLLweVKKv5zcYWCFNCpqgDjr7Fn3SLgp6Ylnsy rmtPyxvFKaGXMbOmmAOqcHZMp/1DZyjpms8qtoX+SY1A1vOBUectXFFzKgE2nioqQ+4ifY5PW0mb oCJk5pBVtr8TCqnfxu9cjUJ0k1hmRVQz8bD07XaOG+/lAc5B3y3Mx/aswrPurw2Fj9M+WEmQf9xm u35AfLP5ne0h1M0jikBB0UMFvsdrc0daqSTaAj1I46AdOASjuVXBsC7DF2dZelombxCMyXpvrST0 E4OnVIrhkooSFBLkvzTxkngMR9947ZRBD4Cb29OfcUatuEa0Futb+2xY3pO3tSH918dyLoBzyh4l 0si4+sLvFf2YLwvyG2jH1OenEHaxU21PlKGUeuaCfueEiJ6xw4l1ZcseBiQH3xWmZtg1mlO5GFre FTzKOLBbclBuNxp3aDMPG46Rl4AjGBUMuT91UhMrUPQH6+rtJwZfmUTjHgXF/L7Y6hB2R3FqYhTK PvAsfI9tVzsAk4lIe8O/hHXdjbymdeu2sQiVWsOlqNORttkWkHaztyE+6SqbDcJu9EQ2hX0feXoT 7sau0ymwLK5JyFPY1wrudTRSYOwGiLl/UWqCcWN9v5pHn38PfR0+cxdtDyTbYlDSpcvZHu2bTFi/ bnotpGV6zEMou+LNAIwsraGvlnZgkttWpjdlRC1fTiRreV80zj+DCYc5trgJj6IluKbjph3DMRDn cd6s9Ht5mWp4SDQ7XD6VqIUTujwN7HlZiwNpz3GEE2lClTI7K5JxEjAXS11rf2aFRmtVyfKEMld4 xg3ntA3Rdh4fY8scbrtG25xsckKijcnrP1Ii/EheWs1XSfDCZCpdwYAVOwksSPrf3kPY1XYm8yuU AEEoKHKEa+srljlNvm1axs/XqYUwvvqMUCQarehYdvTEdvaOAbkzMRblz5sBKDOuQYXkB4NQPn4H Qt9P/dXepABfujkwFk7PFvzfajSDTMfmfXsdT/L8a9GmaKy579pAnDheBAmN8KzsJfHH9Rrc9v+K WaimdUYZym4l0CJlHp3daW4FsImPjdFi1Px9rcv3fCcgfZbhsOJzVs13Ts9GqnLQ9iGhAMneHaqO 58LRESPrbNKFNQmW+apEp3/Fb9pd7zu1bqJ2Lmn7RAREzYWlxVId2LvjsHjIUF6VAoeiQRdONgoL GvJLwrPcGlGsvOP8rjfWB8VD/V/j661g3c636h8kfe8Z9cur577WhSCqwEjkw5OPwZFoyscRFAEw BxHZaYYIS8O0ZoGeVE8OlkUwCUP21OqZarjcfMcQpIt59R07gGGdfipiQoiFwk5DwwH96muKi1Vl FtrjlYGQN2a3rErxMO1BWj9vlyfeKhjABfvDQNtYc9a1a3g95Zs0lHkBonD4/dMrPVjniBUGX7ox PKOFE0cB3T4gZDBRoboO2PoNu2OcbhAmJWQK/3Z0bfZ7fafAA8zL4rtwSjqLDa9upHEGR/Q/Goud syBIR3AqF8gcCAV5uVg4LtnT3KlbFwpRdQDqxn55h5jpqsCUfk9Wc7apnVaTT4/02TDnShY7sxbR za0V/fcENQOVjFjvDHQzEa+tuoidxvQ+/A2ffH666sr2vhzKVTO2GUfOpcw5pZqRsTOri91opn6k E//MWHvD8mAOJPrwHaI1srYIWn9AybGWRqDZWg+ALuBkxeBjrCjw5wwNuA9TC2O/QBIVWXWIPVd/ df9VrLSUiBiiAhYM50EO3PtPZ26mE6fQs8nbW4L+j/mBF0lHzre4MxavdTfHGYC1jAWi/qvhq8+Q O/akl7yMbWANz130dAyAxa7lpXZgPTBzVHEj5nK+S6wpDun1UF26HrjhNFEoumrTF3rM8IL+r9bR QcyTNGzfWmZrhNvSLdnjCW1+1TPlu6BAHh/23wsy1un6ah/8jvL6vzNCXXzaeinQSnlPWm/eRgMj lmu8ATdgc5vB9HIPU0VJmZ0qn7GRN/Hi04GUbEGbZ97l6era6rFci2PEAkELeRlFSyViiVKP8Unh +swxhjjkHk2BpQ60JF9MYIVz7UkD2jj/ya9Ljoml0T5N4vWYWxH/psf+IGn3p7JvnmirxwPgiroM tWUQZdENe6x7cOLYZ+M+6YHqH9rGcdkeIEL8u0lsiT0gQ3vHNUxUhms0giK/qrR5NDjoBAa0jBO7 OEKsKxCrWoY6CzMejIlcSlVxyCc9aXwRdgSyLA86sBBJo6P3GDuEWQEfqc8wRcGflyy9O2F+G1lR YgOZdjbEirbJ040Qk4ppyGuF/DSwuv2vyCQo9U857hqsrz324gIKVBJoJM3H+r0KxL8ko6YUBQz4 LDep5gSRr+snVAJj92qFsp9dMzHBhN35tNNLmZF7aWrSD66Xd5q6r7vIq8KNqAu/mQkdQUOy3yKq 1IRWjnnoncUI75bTJpAnIS33L9Kdhoz05FINK/YaT2twsA1CMgavl1C/potBkq5Y7uds+FX+2Ad8 OBUoI9bsCraVIhf5V7xYpHjTWaolsGfyV8SSZzZ5H2v6BhFGExF2xCYOQrBJHwk8ABKmV1ErylQ5 Gp1Z6XPEC3X20abi0FEMbS7MCujsoNU35JdiQLWQC4hCHUFh7cJg3tm4DaUrkAC1UIedQaSEm1a4 tDcavFRH34D0nXMlTc0CP1Clw1Og3rEO4keBVdxmdXNDxRQoaGWx7nHz/GALEzhnk7GRAwD2Ar6i fVGX7RmGzrlaLPK0l18igcXDgR2O0y4f/I0j8OlyJ1htJnDjBP+t88bXfJdmUscr6329XvwUMAI8 bUzPvWZrMXjbqotwnGKcETNnGhamud8hih5qXnpqBXW1XQWjSjJzrEZOeG3nhQarRHlN6WydrsLQ u395sUJJG1j0YWoT5JdqA5vuBO0CDRP/VFB5GqTLAsb3/DlTPfwFoo35VTKf053adBarGErFbis4 12pK3eod0Y7NIXgCY/lqfR3A5mw783XfN6+nsu9HJuOUPo3ScFatEr584wrUPTBbU363EIIOYHtv EaZJutO/aJ7PZmjf/2FIXDTMfA61UEirxLhgSeSGNA/uC7mtAZFtHd6/7qpMKOdQGJLJWNT+zF2E m6cx25LTnBu7uavwWgqzkh126BXQhm9A12zCnW/ZOsQMLG6XYwszitFCyNm7vORGb4j30T+tI7b/ mW9l/meZvqZbKRBeK9e2r2gZrdXAayIMfb8en37iH/kd+sK4yAWd59yP2XFY+IFD2lYbVWG5V7Wk TbYHRtS8DEnxmsLkC+YKot+YbZUqSdMcXPgfCmI6aK6znkjG+bw+VSsEfTP4OMndXP3xvM5/vKMm x8V4tYQQDU3b5bshWxLlogDPvF10U6Y/1YDhdMXgzp4/hlIrXLrWm5aOb7uKgvHq/X2Xk/J3wZvv U2FNgBkC5l6zdMU9Wv8sD3wndv+X6kXEHSBjU9WzVMAa67HlBMxd2hpIlsqR63jl0gAylhQJgrmP lA922go9v/HwzEvv1m3998SzoQKDbzF+wQ5EQPwRLNTj0emQgjJaOIDcTXANA47I9wgTyHRYZrO4 brwi0cUXvhmCzjqk0otC03OKNOpORGiep04Xi4e4BKI7yIBiRHrIiZGd59kszbSWayxRFdY1Bomh j+f7zPvVi6GFnONoiRPwIIVAimQfuLlVy4U/20i2Ps1KqOxMd6KWzXXeLkB8kCmWnknnxI0hMCaW p2vntZOEquaJe7RBniaxtFvpfzX1FxBpST0MEKnQd6/fhaPvTSoyo3bRuSgI0KyWFsqO1QTa715i wilH2f186AHggIr2+6QZENyu6izJ15MFh33oOXHnwnvHmgdxKIluMoSd6e7j7BiUOd860Sxdn2iU idHKX+FrNAJLCZ7hr/tx06EyJyrV1+QYu3RFUZq6CkdQ0HMN3Fr57CMyCp/oUv69tvQPZtdADMPz Txl09IeT/z2/vnwQ28MPw4pSkaegTPXti4wGGmEvgJ/I4fssLNJ/BJetL4PltCxKEnfCXPZ3ZZvC zDe/ye2abyJnLezZWdtTcRr7aTGxXWuGkuf2DxqowZ3crUnGIMLBcQItW8K2j8AmXya4KLHjf5Bp IhJ/bKwW18rQsg/E/eo7fqYrgbPwAY+GBW9vrBNtwuJmHnhSjBeCtl9Z+SZ+NCydZGcYGM5LRCEg mFYMukooe9/aj0kWZmse526OjnokYcEenMDO0o/mtItoy/UvJ07QtbUkmtSiwjl9nXVo801QDoyc KbXe5xekp4KRNGMcbfCY6aqj9c1ldn8qO1PpZkEtOFoG4Xmp2Lb4UrKl474TkG/zhv6S52+NsPUh e63/fUToe8Lj5IMXGzQGMZfjbS8cvSUM1RwUrecmgWzGDlI1zAdfsdaIJ8YBC7K0Vs4sHyOBNkCi A0xQMAW4vv/wGtdGgV5MMnykP3GeG4qrX8BJKy1MCQu+2DhD9cm1avAO4JMmHKZlbzYN5PiSp39j YX4ySlUgjk+1Jt0sHaeNX1I/tZBCXFDWptXRECeWDnRUUCllO/7IODPplZ9EGst6cKuEWtVpbymr ecLDZCSoe/1Pb8a1+oW13PMB2K4IIZpgj9a2O2rXfFAOfgsCCvMAAs0dTOqRtGYER9QXscKDI/Ru q55nS+oj46cY6GYwIR6LwGQ7sVM88oEpwPQp1W8A132zxArkMWyr7DDoCC60bBq4Wc9YAxfNxoow HImB4bvzCL/wQARYmYbv4poeOH19MK/2wPl0nc5i37K0/c18mMPwVmMAnXtojb3Zxav7ve0xaDfX UhvYFw1GqqUnWF/1KSuANd7Kx5QPnKOXPIZKEUYMc/82YKUHqy1DWqJIk/1Lpz22B4m3pabLrGh5 XTdutLdtcw97t98vVMTapbl8Jyg1mkv0L6lDzNDLLeIx1xMsyoQPjQHJ7ZHa+j2THUiA5chixyel S3xoc875WPcOjCGmvtEFWfiMiUFcLPRSe7VAcchjTWqS+54RJLx8DNp4gJIvKSUpODnId1oh0bEF z4Yta3Xdkt9qezP8lT7K5jkdqiPRJ0qlS8X3+J00S3WLnslB+6G6HU3SGCEvB2huItB6zs8sUc3+ xv1q1wwOujzgHe77VKrp5tlaShmHd1ijyVlSYxtrcT+d1pyYqbhiY1BJtAuoOUU8rmcheZtIkG0g L+pdKVKrAEh63IhaTRgOLqF37RS35z6XmmSk3Dyy4qV7cQORWSjpw5Mj/guGJb4n22l22x5cLoSN 4GQC08QuoBMts6my6KJGG07r0C8ZDeBxApo0FiiSpDGzg/BE2vYUJlYXFhbA4Fz9PRSNjU4U2+en 7Z0u1CPJnED9ephYN/hrc56udoMl+J/12o9uReIQmXnvTpYp6Hg+H1/kpldvebVd5u2MT8x5yfRY ZoKjSiVtrJjFrhJSmC1WEEsW0Zh12XenWjiO3TCt8aRTnSfwZdTWQUE6ZJMCixiY6a1heVBbcJrt y20XzQXQWIPz6ij/qdkvsSyYLuMid0KBkwNfd2AKVe+kLrVMR6LbJNjeuV8QhbYfeTPrqRRXZfQd 6CWzZycD6RfSP968rwnGI0I2Mur++s0JCv0aL55XDWbHbce8y0ikPlKJfpTRyOSwvx5SAnYt9zUi HrhZnfX5otue+PyhEK0dOUa3wBqmTHKIv/Pv/vI0MEp7NvuVniRQcKQpesneS0Qm/gwdACRsOF3Y AAf/IbaIxGJ0LNUvjFzyYc+Fs0+6NWFMu/cttQX2EnZ0rSbvimIIMgLB/HVvIuCF1zj0cOihhu7I SxL/8MwCGfrqUGGjmneb14b0I1HyFNEGaoCh9XClUv2AWysf3rpGZ0g33oOotVjR/gH6u5xEwhtg UkzGoRxg/PM1Ng87unvsMt8rhlGL5BZCfK4uGTmAhUmakfYYJ8TQCm8o/c/SMYt3dYoHZ1o1Y/wr l0eevp7EYjH3tB1HG1pElluc0UHysNB3vWtNxd/ZEl0g46RjgxVNkldThpHDOnImW4DHaL/r1kD7 QYXrt7SD6ue/nS2oC+C5wkR7Nv1ywECKmcr84mnWh9nLVG3EKRSQmHM+HmckPWFgFeKkwSWsFe6F PGb3JSv04Ece4yPAoGHFVuDYdoV2EoF4Ff5kLMf4hRox48c2dDZT7P8ct5rjbYPhQIDtFbADVy4W rRLj5nUZBRxdnH0Z2dy5ohY6CkXkyXyc7ZAotUVvTwPLVcDheswrQExedAxg1O09IlZsL4q3lFbq pfGDX9I3V5XUjPtwutlGObr+RBmOkbBzKpInxxS7O/QPRvnJmrYC8xuuNbb3sd6BEHSCFjhfFs5z +5IA8rvKEWd2jg2glXyCd+lGijtrk790w+mTqtB2Gt/sii3OVPHH+P9s4O97oCAIAQ1ZTFjAkauj PccDWQX8Z7O6ICZ636p9ZfFrNFki7Q/ersb7JMFNf+DpFb5uaFPNSC0Ksu/NbRdubPijmusHEfgG eEQeocaWUSy7Z+ftLA9v0FtKAFGY1Ba01ALin5CbGluDXGO/tqJeQeaUlJ0xX7HsF7KKhMuRdEMm e3aj2miFpn0bBtpJt/zIUp6X1Yt9dGqK16WvtwGy578fQ8qHtAHfu2PEn9TGpzDFUNqiKbe6mfhl qcidepkKUoz7VQwg7LjXBRtUXtJxnQf6lez6AeVJEBfUxT3IHHS2jh+lWf63UAMz09M0FRJUUT6+ vkSJZO9PBH8whXEUJ+d4rvVUHXsl250qfWeWF2rQ/DpLC8GxHtwrtBZkWFDGJxrUJLH4YsS8+UJK bxrcPXKDx43LE/zXPiXcvYViWPQmc+xPpaxJVV+jnq1PhVUxS9rgx+cE2hu5AG0cTqM1dvwVFVTV S4OimOAGMbWo2G9QG9Haz3z6CdKAmydhWd7Sh2zGrQD0u/dSoAanRN6sdaFPOwMiBQBaOGQnqsT0 5P/+wsJeR6zCiG70XN6d6ePdOnx+r3uvI7bPQjuWK5ocfmYYh7aIsT6b7irrJvEeUaZ8o7/CdYSy o7+YYrfw4U/zJL9NiW1PAGY6YwgQqJWv61Mi3i3I24Z2Ie3Z56PazkDJaUkYO1jxZtkS3RSm5V6O TcAXOR8Jxh/fVLP0leL3gA+DFrLGm98elQgSLOFCXvSWbEtDaYnKp93dUYxnskSADpSv3IwDTxBR v148Q5X0zQk+2L/m1skdwPVpdDZk0PCYKr5Bjg6285sFbKqDjP9DEpsMlKOPjEn+IoAylgxLprTh tPGiEBkWgljq6tlbi8vpc6boRWzKvnOjhkI4SfGqRy9glue5ViJeIaL8YyghLBh5iDK4E13HobDh guLr8XI0jZ/Dv2eWDvCEkaYeAn5bSxlqdwTXxHx/ib32IuZuFtst4vt2Xm6/PsxQ86x0s0MxZyKA BtUw1Xh48+cbzeMBdG7u+RuWVBZAcpN8vVkjjqT7OKAebpXXf0ul9Bsyr1Y7n1XO+B7ndblUImW2 cgIz2q39zV44dBTOkCkWr9JfGdxeXpi8VjYeF89uCevVvcx3ayeCkkSe15frI0cSg1cABfaTuq4i PnpSzwwRFQvbwXXMRh6xpmkpoV4QnbO0xDhEnl8d5Mjoo5wiQH/sWMPFASOxrXxdcoN7VlGCABeV kY6/CAaZex9s2bybGI+V8uLncNQAczLral0tm5Jzwwhxi2CcwGQegCrHBQ/oZAM0LORBDlrlNygf bUc2VdeI4jQskyXXzyG8lqCIib6RJzP748eXAyPCn8bY9o4KMFgEH3Tpl+xSg825IxqRB7lIBN1A Oh9FHngdxM6F1e3C7xcQeCSKe3UU+igmTIWGdE4CaTJobV9MYeZadG6hs9upI8Z6+8egkLb4Sp7G Ad9IPJf9uWCCK7obrhW/39+0wkbndmjvaZpIW+G/zVZz7DeLTc9CEvz4vZ2hi9IdnS3gIWGTrJdr pYLoRX7lvUWTCJRzlJmEv187Lz5wBfWfnqcVLfO7F250uSL0c/WUexR33EM3GaiOKBjk9UoK/dEZ pYhtOeyz9pUf1Gf0cgzNAH45xqX8alpdhECfea/Di7MhwjCtWwhiNlaaKW3SxreYSbM1UWgTX+yi sPWoqttCw6iqaSdsBr2ky2UqPvPYiZY2GBKdlty0nCex7655X68419/sxZsT+IPSQFjls+/m+yA9 lJJN4x4CAcyO70V3DmiXHjpWAF+yq5QDjEFZDJGu5mRBOKQeYIA/vtkkidfltlQli5mmMejO2GbE pIJp0NUaz3iFPBr6rFdisyVNjMHMJm1hdzbuP0Z2slmjUl5EMFqozZpg5lGAIxf5ENMsbuJ9/MXU ql+oWZ/NrnIJKNdKFy3/ZzzRLQpYDB1ioh7MYsMAPfqeJd1XwlVxY4ozrs5r1Q0Uzs4uoMFB/vxj VUtCgptC6fQccFaWrqdpYsqdp+J9THM/6/KQNq2EGAries5PtGB2xJ9Ou3XxFuvlpp5vd4RGdZLN wtVRDV8eUGt3GjI0mFrBLBEY07QaFp8/Ns5Ehv4nLCxzQ3vVYEerMlgDRr/wl3ca4WXRvP495grk cqPfZ6Hy3nHttlHDafhoeI6TefjN7B+t50XA5r0PPHtLAbjmSCxVI5X+i91thzvGWpdx+2cLEMg8 n0oWEfZeWmqQ1/Gj1WE8sBPvCovM6IBRKQ/cw/GEfHcO5MOr+M/590UdXGIGMT9r6IfJpS+yRjuM 5LMI72eKVdUxHAYtUkJtnrJywaJLa4ZU4C73kns95G0eceMUk3bxHn4LJ1eHbA8gMQKg5Mlotf0/ UzTYCW/qx+VrploH609ykD5GWsWh/l6eizEMpUzkLCIMA+YW0xx8ZC8b30qkRBMX2It2P1I3oBOl 0XYuFijoG7PrU1frcsOxCcNEH55AbzLAQ3z/1dAVutw0OapQ7b7zKDeN5HmH94fg+boxGiNsyBFv J2VWtlYAiwGDb2bkqKNMRakgvCn5s8PxXH6jd5Kzb2yDTi0Z2EyzpG0z1LswsPWJBBMdiAmZFADD iz/nFoCcGvpqulv58uaWjksZFV31fkrHU34j7Mtcot/cuoci6rBfPYsKkraeNe5AnIPAILgtqn1y N84E2n0W9WtzlyJr1uBlNc91UxyIwh/I+QNDrjsA+7peOoLD1Dnkah9/u2S35JIM69ggzn22lnDu uMbGRMYKJN9GyLu7w2ETeL9UAwIq47U/AFZA2W82bno0q7DRrf7gaVZfTXaIFVIRV4ox5VArW2Ow 8UNTFeOXlxNvvOnlnTUvyeD2bdr16MZCsVpUGA6cDw/SPy067YH7/yMzgygJjwUn9pIBfv5kUhA0 FxpKFezUddRlPwtyP/+rJSUXjDSrZ2VbaGaR9nkggEtCNsKwhS2GUW55PmZQk4CCW1b3PxMfh1C/ IcxxIprcR21mvByEGfEn/bp/QtDqpPeqreoXWae8CmLLidYPvnnPExe1YFy12F8tsXXWh0bjvzKT GRyVpelD61+l5Itr5TGbBi2yqYcJ9LKhGjPERTtjpFr1rODZYzZqBTlfVQB2Tu6Z3sRvw/9fNppW 01LGLZa/hkAeXnNdFwCyvat6H9LFEJg/sVkZ8IkYBwee19VFykVhvbMaExQlGkxtiPc8j5Hl4vdX 3Nxl58iJzXmeTc6J+fjvLasRFCKUs5Qbunpp3M8SEZn9VT//eTjDERk8wnMgRf04Xb3A+UakCyCf uK05qFkWJYVRhIbAp7YZJd7NAP4qNwyTfx99dpET6lzA8vu7jrhQPX6d9ZB243Sfty4bzUCBIlMG KMjQKr74KoRcUSTfhV2YYa+ZnPrMRCWw+GlxigEu8rYYleHoN0niReDZYGTl2//lc7z1vc8nqsZx j+NMtjWHnGeyN0FgK+MwYRKoSjO5GYe2zJsK7Bg90MrjUqNhysYFkm5oZgMS+tbLh4aa70bpbcYq gOjFP6lCItrUWEuDvxP7sfIBJt6kB4jOyJQfR+FVQw+fYzd7wAmujwG0Ra+BXSgyn70xFbps4I1d 4UsVHNH+1JjiD4b+BIe770JjEZEDKhSg8P1DLwUMEroJPxyjfpqQvhsAfi1Uk/om6ywi5zgWpWIA kdRvlrSqWqRffEJfuOVXAbjcECSb0GVl7Ck1aT0n36ukiG1PfKI864T66R11iiJDzkcT+OGLAbKJ +SyUxV2j5yP75dBdhgI4Th7To/WJ+gioxjkvikjj61HGGTTHALMs0dFdc3I4hCepT9YEtADEgykb mcgG9WRkc9zBj65KVAj67g1LClfsEZ45bbnB2u6UFeSA9WquOVD0siP61eh9ajloJUTNpAQ4Ewly Txyvtm8Qb3o223ZWIa2wP2KhnPrkcQqaNvtxc9I0TnPB24/Y1z8LU/j9yAEBQUVek8kogV+Vjp48 LjrRcuuEWr4Tz3YSy8AdH3RS2S/yzZt9tr3MIHtsuuLtneHXxcChSo1Fy22sZWWPFCJxjh9bzD0M YSS8tNWC6XQE/bt/S6wDbVptr563TnypAS8GZ9nDi1lckntmwyHA7dsFgOmxpbSyyOmO1ZWfeuXQ zBYxmHJw6WBKdz05MvRcRMkOtTSPtzuj0trTiz1y1gsZoVyASb647Mjn29XPMTwuNZGm1TDbA4oF 1O7SgtSpo81kYpcJk5586/DXehMU8LINrXiGTQWLSgKnqlIV+ZMHAFW9TNKwjGVbEZSltRHlr1Cg AbdIy0eBX025UXuij0vndw6v6FdQSiKgVgHqZiGbKk5renuULmMBFHBDn2dSyvgxxrz8WKNCyh9J skKJ/JIbUY6mNH1exZpJFdTZGqxKDBU7Ianc0OvIsQl27nW1Xb2UIrqVnRzSPta/zOEMZCHFGdjk mtsuyJfQ0hYXhBt5mPvsGEBus3IAiR2GFAeeSWyiTmEn2Z/jLk68iUwSNa0fO7+Rf56skF9zf3DG YO0Ufu+kr2+eaL43sVNhwv7jbYtxVDOvfKbh1/w21IgT7ljI6G8NRCyIKR0hSUnv4qc8gxNNSZ5w 5jQF7hwf9hT9QKXJtEJGmW6uBTP36mvTp9mQh32K7MPqihF1UVW6Ybj99UxHX0B2ExLHTa5ffE+8 x57O3PGjLdn0TtIjNDcJ27GUnO2bY9UVmi3bk39acZPU5UGRvfdAvO2TRLAoP9Zj02LtLQrjUamF oM0FCTzGrEaYhIDH5gfTqd2afXlutejRMEyj2KVjxv60WJD6ElRjO2tkQjDNN2S2yCLtMUvl4aBt hOvOof9hwYL+krntLohISwJN2OoiYolX+twJmRBWQ2fT0n4X10AdrLTiuVu5JpPcY5CSV5RYIfTL xOGvMY9Ay8cjxy0dH5cieT1nr2a/otOn4j+EzASPLqIu0TQImsvuYs7a5Szyt3g/V1qUOLhBAQZl O7xf9jFC0YcKbpPQn72Z1Bodd3tuFhnYuFG6cHUblpXNMT5dKrJEXaLdWsfLMm3dHB7obXSoAITA XR4Rivu8eQtakCzp2M9XwX9p0aVQArtNYtOPeQKVYUN6EHHyIPkRaS0kZI57EvhUjI3QOc1AxmDp PsTbESTLX1kCMjn8tA6D8rC9tpqWAT/x6fXS3lvw6NUc979BFgmas3+L6xBW/wMdOO73EB6BWPtg 5ftcjdVNpEwi4Aqc5iLVNRCNIcL8rnBvIWiDKlAeccWdxV6IzKLcOvxZQf0yVPPlZBIyKNLQjfaj TDwpFSPQK7rark+3mOjFOIGLhtyneQ23+6m9c0ofqj2HHMMC7U8VelzP1ccVuIqFxp93ModqJYT5 YXQyflhrICepIKUvWT4nGzajwB8SIIfrVBb+ypJZnIWCLCMXfu4WOZDnViiobs+/OrjLcrHp3ICL 4GbvV3VCp68nlPEi6weECfr4Nvxe8lsyjJg7FHk8ckulwZi4a3ZUjJoJTCkRVfbxvqNnIAhTxLAO uwaD9rmgy0wafeGHZ/01apcv1NnUT6AwcjJO6iAmvdUktbyLhUzeK2qCFbuH0YfQ4V+gKiBl0Jgn SrjBORf6NsdCAki6OmpgyacVvgytSYEFoXalpAqZODfU2hv6WaxzVPzMMS2hl0OYf+nc9uwEFxbY 0Sk/p9ZbCboQbWZWsUzpHnKaPnWJFZV0gQi7umPMvIZM1/dOaxsU8QZBFg9nCRmLBmQmrRnloTFN vBaftGXMz2fR2aJG3Lte3pV/cdiwMn48fXome9cYdmGBy7BseBAtunurp8uolg/7CSTUbAmNrE3m //shxDjXn3evX6C744l4zzUtT4jPEm6ky8MPkj2P1x9Bx9zrXQaDxdxv2IKp5esIhVJ6WyQYFMw6 zEpkpkaJeQBWs3JmD3EuYsAUNpevFr0BzRyzaEzCn8JOkmpVPi8ii8GjcEC5jNwA77eE+6U+O0mC 2Jx6FzDqGZOI5OaXUx8pat6iZQKChPR1733ajUDSdRSZ30dY72oALzL9r8cNuau1y5bqdR0wsiVP vOOBpY+ubufveb6IY7MUhTvSTw3ODLJ5B9T+ELVp57I3aJ0Fz/AUg608+cjTj6g5OIOAP0maEn3H s2zkEv59Pt5wiCAKK00xqPhJhNXq+dpUC9Dh6XuO63rmO6CH69DN4fIaNtwTATYWYNMfNWICt7vc UgWG+v4ExGknKnbC+lXXbdNufkp8z7cIArm2W6OfcGkv/Yd/ePpWRLzBs/M1EYPgV6ba2AMSlTY5 WAZRNQT/b4CWV+hfzI8iDEtGEmNRhsszynk8mmHGNW9VObN7FYaHyvqi1vVgX8r0nl05EfObcziy JEto3kXSK2uSExsfXYrW4IMACHco8xjGsojDvBh92g1sSnPh22/wddc3L8sujHF8eUziJnhItMDU uxE1BhIiEtVlvUkw3gwBbFX2tR7S86sQ5++uJXKaS+MiK5CMzmkORxIVCtTx2LORPvDCMNkwWhMg QF2P0WU7/mCsYeSoTUxGdqfESoi/HfdFiPbJEE1Nc6f2jzWAGPHl4Z5sW7SeIZR+efM5coOd3TbS sAaD94BxzWdGQCKtgK+RSW39kEvXoxNDXw9bE1rlHuqe8iJ5Kt5//gVAkSKinyGaKCWxxM452Fqc 6g9VEjWS3KhEgZAhEyfD+1f9b7GL7gKwAkndCU8r48wjxZ0pd4bOKjWJx/5E9WRdTVxtBnThhbhT aFeChbQQIb78BfHxOKeVnkuBoZ6SgwCkx3pJroFoH6MAWEcbj0fHLTvngn3bwD58OZUoZEaj5Txo FTLwcy1diWDC7zFluHeUNVk6MBArfADCiw4Nc2J0OghDyDxYkbqDTLM8vZ6kPhGUWqvAaT1m+jZH XIRTZq4uptj8lANheoB+8BwDwZhM9nTWmmaRq7xF1A6sNbQbp95qvCzqNgnvf/opUd1Ckhv+mLLG CJUtgUvK4Pitcmw6WStRdQ40e4QJJiHZutXBpGk/zJfmwyr0ejhFCTszbcNguR6uYG5+T+bWn+fO 2hE2mwjdKMPy2DRLKPfyL/d9jqHNhAWVK/mRSZfNoTjw6o+I711AtdySTSgrfVOooVypL3FuGF/p u9NqdLiE4DRZ1YPszG+AUjWn1mN34IpvYoL04hk86TTAw3To7ezZdw8M7OURGTL6PjBZrwHSt2jO YwvKbk0xRUFghLA/wJqxX+yA1U1qipjXTeCB8oCxfWfHRIbhWdg+Rs38yf+xkOtdH9JzG//MNzE3 11vIZLRIE/iNmNBqRMhSu/ChIiAK4mwiB5SOzc4WUZDOh2sX+jx2ImS1A6/Unk3dhSTj8b5fb9gk zBU02g6OISne2QtYyGQU3vck+8rwcNR74neqGQBzOdufxkwpValdoF4GfzE5jYQ9xGZy/iyg68Pz kaEewleXG767+Nyh+l/hbSjpAwIGSEjqQngjhB4M70HbPR4O5Uzgtrxj9CrrCboxxu+a4O1ZYdpZ V9rwSlEKwPjgXuGNCn+DJQBCTcpF/pR2WEiOhPp4U84tRdphtws+DLi3vR825aoEdRkIFbGdjOtS D8NuKmLRJwmr+aRDh6DjDClBpaER32eYN7PLunxJG4AqGiNnAG2spYFnCjlIuS+WeGtq6EQuLcon AQUXKMCB9KIkxBANy1TcWxvM4wrfZHSbr6CBKsUhwlPqJmXFVSG4TKKJlfKfgentGhtwo/mnIb24 u8bs2L2z97yAAaaawx65LsygsImSVpoeoFPDtxd2XFw6poQjrCLksBDbN+s1kVTz3CFJ62pZDYZM E4ZU0FCP24eEbhH7qfKJRvRXLudzOSAHJbEWhBKanWefgtu6MyqyW3e6fyIbCI0rUeZ+cZbe1kSf IaWlUs8fgdXPK8y14LRkTHFgilWTaIjuyg2JvSzDR4AzF9zthP/ha6CFA0rymDSYVWLjamBP5Sx5 wASOOuLhGIZhFRRjVIx3KB08Na0C1zWrmU9+JsBNzE3W6ylKr/mBAmH79dbj5W4oNHRXbA3/EmjM z7xFql9+CE5RvALbux8vDGqjvx/G2ucl6a0htOo4rdWzwQ+uttYHzQGL+Dbk8TTOG1X2CYf62qqW WRa8pTYgfzuf8arrcTaJMtflcrUMJQn5LtM7ooUley0V9w+CBwjO3khaBUH8aceYLysy4tvxet6K utGjmcUpMCMG31ma2iYm0Te1z5BLJQ/XrEYd6+E3dIclUC4tkORtm0dy8C5Cw7pFSirve3fmTbEG Hcp/HYYrsl3vvZB/GnvqiDrBQMfL48nVva9I/HRH+6eNZHte/cJsk5woN5rljKDan8L8j+RJ3d1u oL1JVfDnndREVg5lNTt77t4WTAwuCdyf9NbjUwtHyBMCIb4dUw6hg8cI1EtbgS6hV0Vd3un7BsbU qYRmnvxAYRXaK10oC32r7n+AwzJsRz3wjKbhCVN9eNTSKAARyWhGrgA27cRGfcGrrT0anAJm3CyW T+AAKGGHxQZPe+C+05z0rMDkEj1JygbIx0eYfjosJZVBshBZgAbg+0bfhELHzCrd68xrLzkRGZQf ghWrdu2ZLTHtW+g1yqI6dTqTXfCD9tQMTs+ZxE4fIPobjEAyWsxyPqkG7ZppNlpDWyjqi2HVslAe FHgtXGPTe51hn++zeBlvdYAmBG+kxYGDGuzyiq3BzdlT36oaoE7jSvAOROouTdY7vJ9Y6G6FCNeB 4CW4Kn1ZH2oEVfIqMR3UCuO5tWu69px5Wy2qsfP+UjQeDVE2hB3Jn4VbdxwxSH3OxiyQL7udt5aQ 9xkkuth7+eUmPLje6Mhggchm0Xc1j9D9kF+GCVmGr0ZDAXfJWM9O/6Ey7uyS9E79fhwrtvkNHKqN LlWEr49rvkvez7cxZs9B1kxZ7vjs4ejvsRHITVDeoAq4j4aPHoAxg0ypnGkKh+3Gqc89Vt9i7wmG g2+xnPUYd5j6L9UfDCAnE6IzjKoFEw4eVka2GRJKqPGkDTLQuFGGU1oLAtNyGiCFOhXYv7nIr9v2 jq+IiQxArYl1ZbUDweDJ7Aw1i0+OlkJKt30ozK4nHPY5RWBgioT/5mynVusR0/4ANx7KNA5DMXI0 YObro3E4e9BSY9/hyC0PtYUmrjPQ/63f0dpT9SaDvBfnTMQiiq30BjpfrlntO8qJX7FFrlQ5wBHj tlbpwWG3NDyW8Xon9Wq7HYPkTKh9HlNRfGpDKLZNcBrAU93WmDQ/V46lwzFC0hvPh/Si4KQkYzgu AWWhVWJPESkEBCvOMYfGoCWzaKNvYGLrjRb8S0B5hZeA1GzHzANARnjSMHQIfAWIwrMHRAkulUwc C9tXrtFuZ4+iFnqZQLAogoxC/e9KSbSzLnWP7E/ozAnPv1om4Cw7p6JFYz3FnrhHYA6Poc/qAg3d BPj3XZT5MAzIeTLmBEPt0TwjJ2eWKTGEOtE2nUZgwRmtI2ePH3x4xX22HpiPddfKZKOj733IIx02 4AmZtLgSpt7plZ/4W8mRSKndl3aED+oMZthhtnhxLHzUCDp+/Odz/4FDs8y5CagMo62FklloFgF7 0D6rAWESgy7f5PLJNUun18TfsxlhNdSeRLBtUbdpMCqhRNK5mGR95nJt+Y1f7W/iHl70vLpVGQlZ 6PI/en5ZJNggtkwHPcjPK4+KMZ7SfMHsl5P8WAa2qx3vi8cD5iZCOw24dclYX9vWdUDAsV6tV2tQ hS5dcXmQyfgO4798wNcA8XNeYJkL0/fzkvS/l8pYGVlN0rvwrYuZVOIBSV2H7ezNHfMWFOckbbC6 l5dEmLKeOZZLC2kKogStY0e9IpGaIJR0fe+CJsm+IdVO9QG9SUaatYDFa52wPghu9/Uzh+r4YyFk zarf8x5oInHVS89JTgeNP2JZwqqr+68iVKxRy0kCDwcskB2L0bqDT1uAgirA0Uk5XrG9u2/RZUtg HySO2eG9L+cVgOwm02mf88lw4tQyMRYqjeZWhu8Sf+wOodViRKbF8zdxhDSgWKJTz4fzdpn1Vhol 9sF4jgBtadOxrfqkN8goQLa9RtOY/zQKn01plHTWmEidOJdwlseZscm8m4pUKirE7MtteyIx5Pty d2wgz0pm7WYAQwl3gviGL23H3iXcxuH7marfsz0HzIvgdqL7Fkr39yS/RW+AOOYd37LmJjlH9OMB 02b7vTosp3KqDtC4IQ+kxVLr330Wsv1Ofxyj4lEqStiXOYDSNQkQLovX1rKEulhIDdfHaxcbxeXp I/RrhddEuN9Jya/zPAgtCL8OzLzFaqDxnW5xeof2RNDA8mk6Eui8l/ZmgyUmr0vOEHf+9BNMpjAq cCxPhay7Eh9UVRMmA4IzDhGfVprMJLA6X3QklyOLJfC2ka+43oT/OKdSV9GcCUzOq+0+5D9dpgZ0 WfO0yo6cPYB3C4a0U611uB1Q3e6gIUjcQxTedY6McyWa+kCYXvM2b+XdXuupjGzMhgt9UrB+zVfh DRJF/zRea7WuK6HBtQIZn4UXTqPe7KErfNWRjNrzlp5RJPCfdXsQV77T/gozox1KidC2ldUUiUDw hlf9fqIE3VC3IesH6R2tGxnX+7WY2+rYymStBs25cqRl67Pm1YHSK7XzpyO09BeeS3kGwQVHQLvG Ip9WheCo11n/HRsL5VuBUVltLdI5hKQkxRMYjvfdgiyCVD/P3zz833hGCQupE61ypIsR5+hckMPW VpZDy3X/gxhiWMgT4ypajAf2s/Np1enWbRmHSnpwDTYRRt2C2gjD657vVBKlzeSCd9ULmwp72U7R oqwhydy9TdvPxzIFDqERZ3DZVUJ6p7hQ7w6NV4eB/S6y6rr2KWoUxhhU3NXjUX9dbkOtlLKTlDdC 8BPsLq/OZBUKVnP3jnvrIOYdT3kA/LwW4Ur7wbhcJfkW2tYsAiD8YrXU3tsSByjQ9g7ofgPVyIqC NSJxahvYt15BYcBZyxvlJWGTpy3YghaKJiHduyKxkMIWD+ocLn/0c+T5XxZn2mN/pQbTVl2wzoiG /0/VRLsYp/jeZU4Hs7P9IOdxjSRj8o0rnGr2PZ+1pCd5gZvh6N/HImTzocor9a1SFHFPwqLhO2QF om4w+Kmn5/y3Q3qcJOQgTfSR0g9477p4lWzQ+XjydMEjYImAE3TGqMyLGFv1JJrx/xMbv40Dxbtn 6mn5Ujr4TkahopgVSQF39fld/KGuR9aFjddJknFQuEGiVFyyyOofFXv9GfU7AW8GFR23S8wLKfEa IG/jOQhubnQu48ef7HqYejLScoJTvxM34RDHuhh33D3WfqqO3zSZEgIndmFYjQnoatZZe8d78fUR z7KuoPX2cHzTS5d4CMUF67Yb0lr6zjEoW8yTlMgAjttW4va/5SBylArGRLMtBYPrdXkRCZaLFAhk B2jQhzdhPnM8rwNkKRK+cv19U8aaBGhfUu4/uBPuzKLpa6pQUjCOBbiZBoADrL4p8uLmP1OTzVij pxdHGs5EgxG5RNe0P1Ut0HkFfhrAbrC0ytNrkIOVhM1UoLpNgBmdsIN7ZAGwsoZEmspdRmDc3er5 JuthRBL5WNmhpjIZcTCNj7dVHnXda6vKEYYc3X+bQjk/NWhXnPUACw9CF+uV6+BBBITiDNStjMuk JyVdq9NNlaC69w43ikvVdRjTrIt1JdO6dKK2VdZvZJmMi111V1oZ1ApM6zbDQMT4hRPee1j2si1o cuTqgOc3NKVL622iWsP2V5VjTV07/DPxUvKJAGPbmyLCGO3JPgy1CN+QxmkCDSJ57wBht36rQDoj xYsGCW0CskVeUoiptTND91tYaVjFfKtM0IxpQchsB2nt2o71S+roPbAukRxdZ3SEaYcYmEH7ZsTf ryVyRhcQJ3QJKX7FyC0e7RdKKvyjk3UL6/jHv9B2sQECSMSvnRdpD4PpNMyndv7DNX7sfCz3xJyj 7p0PF9+F9/WCBRCyRILDImDZy4NPPnF59fozPs6+fAToMbx+kXj/WT7o28ki6EUD9/A42PYzxXb3 SwvXhMZzrxZublzk2Xjb+WGLQ3iGA2mvV/DfAY7gygUCnqeSJy8IMCUB6bdT2F20Zzbe2lJtf9PS aXY1gyWA1sbWE1HYj9icjhIpkcjqhQ3OeRg1d6I+7K4ukwfW4BDIQOP+loDpwz1ISxSgqQd93CXO PIXQEdt2GJHcOFbpKot/bOJ9qfimPB0zfmThuzinz/3wE/Ew2luv0ufG/xJbfwWfOfeapt8SlmOo 19QaFQwl6JNIMLmobbF1or9BtF09eTW6PGOBh23aL8Rb+8guATGQlyVJKbyEKVdsG0IU7TMUlMDO xHcRuoF9g7ZMPZaKMr3H252bcWkQsPc0FdQUVEcqL8TAkE3+uiWV+XZjyBUCrtUn+kw5fxZqwppB pGok+WMZpjarG9kirWzWXeAY0k/XM29A9lmbhlKWaOCkKEO6EbyJLu40DIwxQ/VlWqE2N3lqFgMm nz62VhGxc5cY/xKmHAT0k4FWnvYTuZ6N8iPLdF3AS09OuVEzukKEK3b2pNMpaiJTHLER7hG9aVkO MUoD4LLM4q47qjjVQbr5IoB6ClFzTYekqrtjGvP/WRz133+5E8gOwE4zQt1sIrdXC+y+kCXgH4Z9 cU2DtFhet70zeiMKsoqW55EJhA/0MN2tgnkolAL1lSg/dKaD1Z60y14nhCFOrjcw2SVJZX1SeamG Pk3T1hAoAtTFfgXvm+7Je+jt+7zGaqXDkSjuEsysfv/MpVPjkS+MrOPPKNo8c0ktLOLI2UEoKsvD QiB9oV6G26KL9rnCVdRVXDQp7lJmBzZwW/vw+JcGBSPdXcqkzZlKccaKo6metKs5GqYAYiHshdiN XKcv64ouQOMVj258MrCo9GwwYi+/ffui0CBdm0BmFSr+OnoM2w6fmgScIKMM2DRYXMBZz+TODbO1 ApwdrECAempSz/86Bm4I1wlrAT8tGkfqPXTW0NAF8OEOYdbKLwaLiNoYnrnpEH64mDvyBWSrRxcZ PiBL/LaAxZmKeRcCN49XLhCgfldE9Vv7AC9Jf18W211vFrbvv8cJqGnw++Ya4w2QnxSoXe+RJZsp 6iDrKP0y1bah+3R7BaZFTT/+0VYFpBi//iL1pyQ+C+/5fGDdDgP48b7xZgYjZlYLpQ4ED6dgu7y7 g9I714J4mpVCqvH7l8l5sKPlbS23v2WZ2w6ipSOkSivj+UGQFRqD2VWC/eBasMjvU8rSVnMesqbW 4Rur2zEyN5hCnj1PGtA2s8bZabbe8wObKcHJUfd5xz9qNzsNnrYQUdDOXcZml0ckfibpDQq2zCS8 enN76u6aj104TNR9lON0Fwcer9HZadB25eAJ7zncpFQ5SmHKcqPX+xF2W7uCVT4jSxxQdrW3WgOj AVCm6tW5IzYGjUjshmyvsx1ar2v5Pikmkqx8NXlVHUVlT4ocCi0a/4b9L6qGOOCIWJ856kSc501E mCxjWkr7Y2Yk8mE6BR3zbqkuFsP5SXte27ZrGa4s0ZdI17C8hE9khZ2iIJenHFjb/vlU9TPw1JU8 sG91twAY+P0KCpH2hejqgSi9mO2SHv+9jIdrpfj8YBNrBBTABV8ZFmeAA7K9070B3hmb+u2U0s0K fL9E3oLPICGBLk6RscmH78tt9w5rSYQvFjOQz+eRajYP7F6Nl2CtfsixSAVplF7MV7SuUyGzAbHj GWIo8buJDLs3iemYFePWbenQjrtd7mwji+XBFUw8IyWZ6kbfzx+GMLOQV9hu47SQAr+bPGbA0HYR p95iUA04VUVB2JtBC8+9oGQQElGdA++xPUP4gYJYUbkBZI113TjfFT6q1YNzV2NGdJfqX2B3UVyN UacZwCk1vB8N0YCySxN10HVJ8LuG7iWr3bLI73sDF+0Pr73HwIoDysYAtNnro3u2LgZ+xRrE8d4f sPaH8MwqQ4YEq4GCd8LcTBtjaLUSUyelwdhxZytmlFyWUQTHRTydLMsce8f3df/LmscWPnL1fG22 xThfNLF9mDuDh6b5FY/+jpP9oWhdOEXz9lEu+vfxbFLX/11F0u7fSCc207+SwJOI0nHbJxV8I7ay V/kbxv0lsc4gO8+VpvwoTQ8L949gr6x2pKT6UNs9qeOKgOEQZG9+SVzwq3ha9Isgn4VDRj84AGbt +WBisX9Nr4T7bJUn7AOFMnzVLa+zQz35JsV4ZcMXnnDbv8ECGkC4m8fXrgFqf/8pxv9aQqBWztRv vK+co7X2VBDIio6Q9mjVST73gqhfKOltt2FYFpJCnE8FFfYABOArrsmax2iPYH0GwQ7POAP3qsyN ltAmcJlcQtZzWNtPZmk6ZCAcV3xZtna7sEV1GmrnIgK6Y9euYDnP0jIUdLgBavoMY/JGJ70lxax8 9aRip2Ge8nMyzu1rOZBPvPJzgXj62x0FDxDCp/dczzZLTVSkDqkcS+KcCmbet2VqBH1OWbhFIl02 nwJPGAieHUyfWI27fNm0TTUGBEI8xW8Na5qI3wamOGsP5SRYCEtbhUEvLyRHY7xIf8RWyppSGPzg nbQWWrCmTUaw39+3g4hA3aEdbRjfthSSeLghcz/vI7bo17mebx4qKbEqM6bxCRIHMHEToypwdQ54 vyedhUvKK95nCQjESGd0zBjjAV9uPTN2H3M7gjG3yN02LbqODD640zSrJ+KbrvJm0rdNu8aQ4d5N U10D8UUkO4FcnL8qC5E8yj2o6E54xg2G57dWXD92cHN3n+ijyb5vZKLWw7emgzqMHEN/bHKaALu7 saxcE9rVFSAjKul5rS58SwEENyI5Jq4xrnChNgoXJkhuPGekhwO5zMI3m1aSplt+Ldls3B/NZeK+ bxSCrMr0ZAOr5WcojlUNmj2ty/9Rbux1Mxf/FK8GA/b8pDBWXK8bjKiMy1iJx2psgoM/gGFxZGQb fw+q1dtMwLruNq4RpeZSO/B5VuZ1JqC7bahzVIIgeGgmKkEQs5xzk5V95ocwacWmp0FdtEU0/aln 6nhL5J3LpjY+KHKeWCOFJwZ5zwOP6OSY+IeLAOO06DTjHcMe88HSBn44A+VxgDYJw1O0+x2S0Ldl Skyu4ZBZFdCU5WCfopVmW37Tz7buHzDlHeHe+FdU6YjAAZgy0Aw3vRTEJZFlfTBplyK+NWTE2MxT 70Rq6UvMwJBDw2GnQV3Go8iMRQVN1SAOkAOsBaOxl5UwyhymkD8TSD9Fi4rSY6wsn7uH9vdW/g7Y XaBuaMDx/lTGGjpCAIsbO3mVFFHP4fhJ1hSFYqWHgVwgIG4mkkGaIu6vGK+J/4I5PtmlH3qX4N3G RzbhrZkIDpAOoFRxBicQ3MM+m5+0qg8VU1D0vwLrhXe5q4Inp1GKTbu9RFfpLiJLHbChqw7ISmhd 9K36G7p8YS0iEGUUZk+4LgPVxKc+q0c3Y6J7AkqeBAYVG+EXGg6Acyo44a5Fx/I4uVvEL9GAbx3N aohQHjNM9QHS5LxOueCMtFzeVz/3SIWHynPzaHPBptavsN0w+4125kS2oBgyQbzhU8bMzPCt/Ko7 xA/nLPykoR3UANNNQsMl46jNyCdDoc4AS3n0pXQ92llFZmegCEVXu94xxnX/1kUuTPzIfYxUADv8 zxwPZv8rSoFqvWdu7tuU/yGOqhWlS0nCRIrx6CmFHgyL8LuRNGVTzsros0UEzIxIpGucUxIhAzkV TNzlRSOfmoy855wGlb/06sACkh6qAlmIRmlSx1xYl6TPzxRMMKrYqTfkEKCQSG6GAznzWDKnYsKu WohGZp0jpKhUAWuoT6rwBtUyIs5zbvrgDELOZSTfKpM1T02z6yGRtyVAHB6nW9df83gTRftVAp/3 JainmEGK8HhpA6SotDYbhf2Ov1BWZddLj3MJCAPsFjBIotgcGzVkYsyYM9mkVwpiatywkuRgVk8m DqUzwXshj1iwoofBW7Ly4/yX9+O69qCgMfCS3GHKIG2lvPhNBfji5A3hu2iabjDan1zLAsRkr4B/ /iigHDmzTUVVvzd4ERZwBOKms0SgqnKZZbvSv0dsUwTnt97D7DZ6bGB3BcfyyVmPVfrlL3v7ceZR hUAeSCd9I4qYEb2IH9/EtgazycuxeAp7rw8J0PE7sWk0y39IzteGkjZrR0Jtgen5dyZayCsD3Ry9 azmjVFRLpe4r6Nda3vUfwPkiyMC7/4vKwABhxjaL4N223Ve/UcBtZ1vXVjdS6FyqzO3s9gvxTN7y IdrTFkcVNY6GYzZF97eumIkhk0DQ9r8mM427ydpF1TaJ5v3lFERDDLxRSlVNxurwTFXrCzwV3WDx 0jgHZm7Ffk4v7IAR1ZztPhHSt27vIle7vhl/KRUm5ASOgJX0Qf/1Viu+FXjHWWUI/A5gyaxzAqvH Q7XKoPv3MJVrYWjW6byZpbtuaSLZByioADf4O8T6iARaHnO1PWHNinAcOUEqbPyU95q6vUdlDaDH cxr1WLWsETVLDCbkm/gvKhxRhpZriknG55nrpiYm67W/SC+b234IqS18+N6z9lA+7YAbDwvD9H1b pbWbCPzCvOa3YwwH1qpx2hYDUvFm7neVWioiOKHQUAQAFCpuAEcm6GQDAZT8GVpppQ4rJChN9q0P njOQMeTpZ1hzFfqFcNS8R25HbQqKzgGkdGWMmssKqsqbUoPxkf3RM/MY4MD+t9WVIuOgQr4YdMRK rdMO4493+JrLqE7AQ4Jp6ezNme/6Ti9Rrh9mXKckbaZIEgt25J2ZQp4Xga456xxnQxpttjA/w8dl Fh+R6x1rkFHuKICpUhSbcX2xVsVER0SnyY7a3p4isorFhypG1Qk+f0JjEOpDGA97aFcvgWvqmXI6 F3zL2a0BF19tKpqSe7pLMmN9QvxhF4V39vkmqnw0CLRrXNuYBCPIIxZJSPvsnE/BgjAbh2esVoiF m4Vhi/StGkSozZy7L1o1NtRgbiIr0ykca0SLJfMwNKesfMiQVL4yJqitkbt4kH7AwN01/2v/KB2/ ERzGJslfBg5fKI9vdyUhpR3RoGLRnJh5R43AHLLWZ4Jl9BeTISyR6ckAV+D1Umv1ZjoH/I4sYvpz PjbUiypago3rcMdOQPvv3gyX1zA4Y7Zn0hA92RPd1XcSLB9K2ZTNYsyuOGeV+YoNlszqO1GVz3AD PGB+K5VVuo3EhnNSq07hlJzhTFGGOgiGVCczOBEskqzSP/weAjAreaiJ7yOD3s2PAtr267RNGvFi 9Pu0GTzcjpQkbL26X4d5t+w+w+ClJP9XGUJkUg1IQ5q3LP/yVDJuhKP4PHwrqY2EJ2b2x4HtNwRr 4W5AAbBdewiicKhNsxjF0asrAzOoYre9mdzYA7E8ZpCY5EP0N354wVY5EGcOafwsyirJet+rOa32 8e9XK/a2F/2kKPnBmf8Cz2nUZEuiOCsITk+fipNt6vNT5rYdQVOaBas5nki8pEaNzSxFPYynu5YR CTXEZlulnWjhkaMez4yZv/WXnkOI4OgRxhO8fm1vTRYWOEJSE96dKfzHVpCfE9U/6O4Yf5qnzfBk zaiEYH0JSpEawMPaDlM1XorgR+xCnfCP3zT1th3yyplLPmHhwXx2Ac48WmAcpx4ufCncv6QQmDyl k7yDoNG+lAnureAdEm6pOucxKqfPlEUtri3nf9sF7RyKlWnaGvubbBYRPdPHTykVOsAoANYzMPyA JIVFQ6bSktHzwvee2viijzvXKJnJ0pXVyi/4v6rN1oM94FgANeeSopN/hBDYZVAYvk/KkE1xp1st 5eN78nsy4Fb27YwyLQbHmmOrpfq2zv80UIwpoI8+UDsgENFuSg3KuWA00vZoUUP1HMD5WX4tn6J7 d9B+yUvXke9Wt4q/4Ad5vgzOa2UQOsiqE815EYlVE9H/qwk/HG7Ex2fReCfcGF6rbmUUXtYTK5mQ fbGIe5Kj6JVRDB8ndjORyXQJgTNc66OoJxwV8yddvvKAJ1YvQUnRFN08smP+htdOqQKvNKE1P+bc UACWWkk1JL1AIhsSUXXBRjlkfAPTOefOY5pll/M0O4S8LUeuWJllzoHHMBqTMZcPsOqi8QniAzY8 Pd0UOP6UBKcJ4/lpICQ14XjK7OXr7b63DqdprNaYgiZzyLL9XxQ/9wKF3CrLEaV+4AnQ7/tegiw5 D22+niZZ3A2k+CyEBcfRdsuTxkv1B66Rhfv2q4eD0KKvEt8Na3gyA7aOdGykcdq6+vW1tOEYB8f2 lKO6PLYNVwpqeBW9d5EvCV3/h/3XvsicBRFAcr4xt5JbXfrqgZg0NjwCjTeYw5aXF3kBlpP8ktqJ jAwp0ddPhqIiNMYj+sxi+S8R+NFYwmZnZOezN1M5ijy6njf+C0/taVMuAmIF7ktgSTrO63EMYCUD juH7eKEKu8VDum1mQ3mjIUKWMbr6ioIepn3Dsf2fJFiQX3AxEfhuk62rDphhTWoF1TcqjKfUzDhZ ScCN3RqqqqyhoZQmqASqeMkUE5NKmV0zW9QLLIQ4FpJpuSzOjeQhWJS4Bh2SvAkoL4pA7j6y6C4a 605rPBp1l8f9/8L59+XqncfvRdxdNM7By5U4Zp0CRe0btRhn1Z1PPGo2LuVc9raP25qfitD2dsUR mmsYI7QQKGNT1Sxh1PG3IRQUkU5cy8eyWyLu7F9lLI4ZH0Ft8eu3zo9lCRct93B2943VN4TZsBcC sog25cESKF/G7HUCZYoFK66qEKLbJcpTOTftxxyyfJUpJwvddt9FEhEmZx8LR+Uolg5iri4/TtVl NCcfnNgZ4/D2Y2sWMyvgFj0McrVV+be/YGGmywyVLM9jLQ0WcGkNwzZjKdEEgMKtYpDNrbY7xA0X bdA6hyjcPrw5B2D1BZXa3n+noiShg3SwTOxX0YJezswxhT6rWPvWrsGe1ctEJY3YD+7eMk8wwN0l wUZUyvaPyN1Bsli1x/DxpuqXEz+SebExR8a8U9dpYHzdd2BeowJEfp55RGMshJGmXYq1QSJdpJDR 1ZUQlE5miTSQleyz9C7CCAE9jQ6YWdeqfweOm09+dpT5o0fI4ZQ4AREylttwBzpJex37u/9nfRhL jer3nireekvYrS4Q6056Y/3A5JOmuxf/X6LO+9b1NCXJiZnS5YvaKY/96AWq5lo69SmlHqmUgTeD Bvtt2FuYI2AbzjVAiJVW2j+GBp9zt9COEkzoAZRMxXSx7sgg/YPN+IxCPykwAnT/vYaQ1u/A+fAS SbnC8FNtMgtRaAgx1vLBTn7VGdA9DZNAOvjpna/W1JNjugSXzHIdk3VKSgxFIfmK4lgZdUh5TFav ldvSSEQgneZS0TK2qs7GWSJ/HzgBhAHwChg4oMMa3a2Oe+1Y/PVJQ+sKiChowpybWjviMG0FxBq/ CIrX1TdakwRzy9xVvaMiMDXhC2QwPNzMlx/U5mZeHFOOfnOki/xT1KRolQpV3hz5Y/NBwa4YDAMb uWINTSlSFkvzBi4Vn5czWDySem4mQYzzEdycr5gGCwkrb3HmQFh5opHpJEWTyXNZblBjYmzNzPrl vte1GX+XB8M7fZMpq8/JhAMZ+G+JFDbO5+vT5/IZ9ORF279XoNs16OHqSfHjoyqUjTwipECwJBu3 9M8x+OFcQBzaeaD6nNGfd1UzsQYzxiULKvT8BJU/illMgmj9sRVGQU+7N5oau3bv2CIFXFR8y4w8 Hn3yDFnqsgxHl9z4snmL4BRMMVm347BEUjOx18isNTSR4JWVzANu+EtOpWO8rEf6/Dsyy8BdAnwA rNADjcYNaLo6MKjzZcIDHXtjSGCLmQfHPoXnMoVqD+BcJiGmdWKZnWCF1DwbVTgbx5Yc06kj6rwY vztU+bMCh0fgIR9c0nMdGrO2KuinYXM4qU2cFQCoW+0k6RCSreAjPM2x3+7ePbgWIYWVv1K1AwGN +bZzLVtF8hC7B5Mfwil96XM/JKfp8IX9W2tStAegvBh6UiNB/MfO3IiXX8pDk8SF+RahahjmF417 TAjK7WBVHEgvE3hvrGi8yi1NuxfshHgPv/Z2MxIRG3X6UJ+cDlPL2cOcwV89lr8W0xX5FuYIDLWI fuwF0XRrr9ZizHUcQSwKl0k4Pv4jnCdTeaJoCIIDkNLtjGC9W1OgHUZCjox8EvOnwc+9wciUntry kxNcP6Vl7qolCxksGIzExJoLtQmULC9lGA7f2lhEcQWGOpB6wq4bAYvr9X0kqJ5ccPrVYf4fNi95 u6WlquUAFyNlZej4kMDIhdUmBXYjfmxZt2CNz+mTUJQbxM3C6TyBHS7Kt9nSXbQuaAL7EYbwL4Gj 0OrKijhA+qSZ5g8WRIFNZFRUkuwNSic18hOXPwcDQO7XsNtgYqM4T3yL9hCP+bZ4aVET0DzT6r9F IEu32khs947Mx2kYFWMys/2vJ6l4a4nVbKDKF08Kswel5aWMBH9vyPDMt75zEMztzX8B88xqlY1k nA7VLCt9ChB765XY0BwWF66jFL54/tOm0KkNMpeeW57HxxT4tU+yyLmFmaA3EV8VhQaJHrn1JoSV 5AZe0lvqQvANrcjhFyshJDS6NCIiZRQp/lS2ACFRpEeeC5Z+znwVyd5/U2UplHPD3J5mZ7vpJcPF 2soUgHix9upH6cHbi0bhebiswpL2w47u2O4TDcXOCq6ys/YCLf/r/WlDFTIwClPXU4GtIkKbRH+/ UVHMJyUzs/wjmz1bHZ4S/y+bNzchyO+JiUBxAATJXW5YfMk0ni7uH2pJlEbHLJUnU8Jvkkr9U0AE GJrdValIsAH+//4rEouy5R02E7FGI2Pg0zxxBFQy1MY3+Qux/6bSxWWeqYAQal17fUZBOjgHpUVG DVxIV/lTTCy0lmOYOmKeFEBxBfvBSMqzagtVnphbFBHOSmwf1P0Eb2jh8lr5PXeb+Wpsjubnm4qK ApjYccfN6XmJCHdwJNU69MwO5BxTTCrX97hgnJVOxfPsCwSFODAfrXrT2qjeEpSzYcdtC+KO/7LD O0o6lj/iQ6eZ1xFwcXdxltrWblPQzKlJt/p5TXXyCtZYvmB4MdNh8DDl3DfG+FO/CWxNynYgp8ro LOFSk/U6XIlzI0czB1kqaPi107LjNwb545+oYjH/xcMw4SRBNNXDe5WWLd6pDqvT8EiK3RlVG9D5 to+GFX407NqGXwLpqE7yFq4xnPECRTEg/+QAeO0MtHJrQu2+lWswEsRuGHLeZpl5D0ZnRtchYpUp OxBxax0e4dVZdrQ/MaNUcJc5unhJGEuntpF7/qDrYn75GbVpvavjEEZB7r0se4epd4Wy7BnyzoEm 73FWjnD6KCats5YQyUYgfG4AYfFRO6z2q2imXWxp0kWHKGRGb/z603SGzq9bZ0Jj/GC9fH+Y8bYi 5CAbLsgKz6aI7uctNbTrIuUpE8noseagMkqJCheUUDAgSPspkERdCWa4TFmwxK/6mrDNNMOgIaSN 4EnTNnYov+NVTqB/vySETTclk5Q/Cna827FjL46R/Z02pPD+OFgkpNl5UrnD9Fqhui9FTZBTlSF8 E85npAz0kwIuk8TJOQlXk/pQy9Qvq+yPgY+ZylPlM+d3pMjqv+65UU9+Nij9cN5C4zLzRq/514aN GE/S6qJ520RC5U2G9Tdixuhc3X318l8O1x72zwDYuXv22Ue4keQLfxyKUWbeb70QVtICpNaqKfEp 5TOUZ7ooPGRwQZ9EvVG/IkRVukzF9g7a/R5CeDydShExuXiY/RRQ9grtdLCGn6Nf21wciw60Dx2Z Z4HZ4LxXayZAzmhKVY4QNRjiTnnSIWookDRJ5DVXtEajMBzWwrwCnzxn6FZd10mE+9B41uH+HcHR 45yfyow2f5BvWWDKeZcmuXXOzBM/QujxxbP5yLMNe7OU6EE7LpKKMKvSRzqJ17SRELcahaDgRIU+ eGy9GpBq9vQW8w9TSHNsLxvZB24FGC3TgkpLltlH8u9mubAIRB4UhTAEohAqggJAWyt3tYW5lZLY 0qKFcXW+5XTKKLtIeiYt48neJ7+wIj6BxNoP9EM7bpYCu4nLXjZ8eOWAS4PhRDpP7xRr2/hFk5Um I+YXn1RN0QtN4+tILLm6wMdgGFWH45OLcajpGt78X+0LTm07LHsxKQhFKGVWaF4A9wq7nOBSroGD KrKfza5EkZjvSzQ0Cxk1CVu7k3fJ6pkrinYbevcmimD24kCrE4Omscrd43Wm1xJ1kMN5i7hczd7q TltyTvrjMTT0xXa+QvC11qG05+e5ToKazUjwtE1FOD3hOH803M/diNkNnfyU/zZwTU4TqubzNz0a m7IIq129njopI44Vmzv9n4Q76mzm5lP60KC9LJ+TuMkq37JSASisMO3J2HrkVb8hdr/JJfvsqz30 f++oCjf3X0I5b39Kvk7IOZ9D2waE5ySeUrTS8YkcFC0NI1N4vQDicFIPogKKalK1TOcYKnbt0oep zmHJA0+90ibTHe23R7UYZ17P9ZGGBLfPVL86y72zu0AHtbKVPYWIVWOuWt0tNO9rxdHLiXRC060Y 0keYhUgiMgI/4BpMZj6qDwyUsN5r4ISnv24py+Y+gtAa+fcVU1NEMfkbfWX7K27aqsgj8BOsNF8k /gJD5N0kP0+cPUAOn1K5Df8QhqJEY1WoH/MFMl+gZ3OPGJkCwzeu0OfwwAdIQ90Z2J/0b42M8Znt 28Zc6/JCaLSNewYXEJNTYOcH97CnppzJQKNISvufSl9WWbdyOONMalKz0/JJkSHa4rgIt8V965Gd 6CWigE+ons2IPYfjFBxtGJQkFZnlxDocIRvTmkWE37BoIZI1FP9MuFMswCOJ0A2LgLevpB18UWNu Wd5UsQ/3ABcU2hoPZTY6G+UsOh1WLqHDIPwsa+5VkWepPoFJ4LYKatLVdo5mSyZDCW2HE2vbGPcf koM0YCvJWLMQ3P7zLOFuGZq7MBqV7UIFyMKSJmKoFAw99TbyfRCerdF8zn1EBZnbNdCDWLLucdw3 HQh9VveRFCIzrUdlSXSHAB2jI+azrCcZUcSK2Imkr/X65PJGbJKns0AiZkQQV8LnxpohokfuLbtB cMULC+lIgP1Ayt5QUh8IbEeAA3L4o7Q+RvAYJVv6VjrpaVSz5pDujDxIZrtmEzizEKpA4k/CMkIk sMl3bMmiH5uTrxzVCHglM64YkRxzuCoYk6yXpyds6EzgfuOcDuLKVRmU5pAPUz4HUEEuTn/HyA1v gC8mc32OFnWjzKhdouajXgSBLdpqrsMI/9l+BWKrErv2Y9i+MCWciClVchEmHinn4CX/XQd2Be1o RSVEyZ8zXmltrf5IGAbo/YYigRqhoK+lmd/5TLjHedAj6CmO5m7MKhvaCx7IBYv8lhGQt0uUEiwP iMhvJl9SQPDsh0MwbJGUFVKjicHEet332XJ41yVElE1u+w6xbJiziV+GzMgn6C+AHJHIIf/IFe+m VBZUtyNH+WIPJ1xTlvF4BEPdBtZ+HcWWtvwPnF31y92OrPkgwCMST/NxNS6A7jeOI0Qwg6j5/sGk fH+S0xrBwcZfpfI/RBR4dDq3jkMZoDSt908V0YN0CHmXRXpsou1QXtWZf1OzWaOyJ4wXeCaCby8K tkdqPpkXWRPvWVlYN+bIu3vjQSq4Ct1gj+XcEXWil4G6tnXXGj/+xfY1NEV2OgsQanUp5c6iPQFZ aEewl/9feOHrfeybvDk3bHRsJYRxi/4HIwZPfyF1KKlFnFrZZWdYYHd8BFcWGNbkdqf7MeoGdN5f VFUBudAkN3f8d+R5Oh2z3rjt4h5OMgOdZCdkfrUxtr8GNzb8+hDP+KbUlQnCjDeCNlj4Gqb/4/9/ Ka2yS7bVNg5jxGpSPxVfmf7P9lclIuCgiOe5NC/2ORsI9+4flCz4HUeVATT9DSnpYoAlyEKFb4lh m49lTfDRI3klDM1y7lZjfQmGEPVm/bpgv0sBeSvnHDyub8T0M8ejfcq/axGTIzdsm7qtAv2EMtzK AzuAa/+xFWieLvIS64mZlEtRzg5q6CsJPR1iDuR9ub/893xBWGY3WraSOzUlGIRqb+CEiAHCPviz ljoHR2f41ZgfsuuTn/9NCwPL9wzjZNBUgrCzN+nhl0XAGo2gaaJF1SvMZXQq8EBpfaQz3crXKlHY qC0pw1AMXOilYA9mDVuNK1TZZX+WCs/yBEwJS7ErWvCHR9cv2Z9h4oN+s7L/FwKiAEl2EwaGdvY9 Selp5ZWpLRnPjSm17o80HDn4aL34L/eeIz79KgdWXS1AXRe67nrN1SWkditZeH22n/1tKYHk8vA7 gvryLP8NsBnCzHdSZCaUzfiVa+4mYz/MirVjLnaLetrWB5ToptVZiGNuMWaVd+DbuqYdG0cpOJnX PnudUDMHx93OITANzMceXScxn2p+WW8ordclDXy5ci2nBkWGGhbND/Yum3vlNCFxqF98I/FpdBuF rnTHsGQjIvdKhNXU5z0EsUeLvjFQWDfSFBmzJRjV6Xk4d7uc8yMTKWDTwNz3kEOuTLnLg/3Yx596 7hCGOuASZxglgoxUToZBv56QGYeCxXxcFgUQv4NhulYW/CfZHuD/vapG3fXcPD5DgO+5XooWjfTl EL1wxf2jGIIgnn7a2yl5MHLUUp2T+tmzh/fgaRXaS5YmI/PLFVF6YlyKq+tZ+VnT3em+zpqxsPzh VKVjQivMAVdJI8sTex3u7gmIClN8HmK8Yx9UZddcLj8BeMku175am1XyCndz1LnjrVK72xotDAae 9/Jqowi1qgBLW7T2n3AJG188pDVotIMbuZwQRvHhbt5VxsnplWIdwvJ1vxGNxpyl24uBp1M+Cliu fik57ETkxqndC3TXwOXa/6Ild9W02V0X4DEf+VeQarxghb4EAJSf2uOEleKAxKHEF8x1MZY6+/jH uLMODfxMKIy5vfqpePBVsH6dC4jBW+wQshNwktSrxLNgWQZZSVSrIWmUnRTQohdshM/3i8AZoFp/ s29RU0QKX/dbe+npt6wmrnjxux2xaeXcKidSJRb+5lOye29JQo4YcC0nU1k+ETbUe8kDugaeT8rW IFrRsA+Zo11BKvyT6kYGZyjbq1IiTJrnEEFS6yRtF2XtpsXzBOpCRhYD3PjfQ4epduf5Yd+hIoOH rYZLg+S6FWaC8mm1CDSj4xK+aw8rUfjJCFEAetWJgX9o8k1S7tItLfBvdyluMmCSlkpSC8C7yFq9 USzfia3+9VfH6IRVTH3bNwtvO0h2EgVWUPaBq0ZN9mDSk7GYIOPLHtQeIu+c7FrBtgm4Cu8Tyn2k hlQAfk80CHKTDkFYF4OOor1HUUnJCvE3oNq/UODzQgSBVQbZ7j72qTjmj4HYVl3+FZer1VekpiFY 0svE0+aLnlSgIXomPttCtelSxKEvzPDkvyTTdF89mfQwHNZEFDDjzogfK0H1kiHuoe8ULt67e8/B qjBsaakseg56dNw2UEMG4az1P+9+NO6Rry6r+nggUH/HIxY6+D9zsZv/hxFPihFNDX2Aj2cCxWmo m02umaBAxPIBkVz9Ddyc7lKD/vZLUaxEgnFDrLaJ6rJ00XH3V19RY9o9ryuzIkcAHaT82Oaw2t6u ti0OjKCByM4iNWhAZrDTwCvR0uP4P4SSNm5pi7PbslQ4Dx5PY8qCPA2682QvL2DjULVnObi08EBK RwAJP940Dtweyc0++ef/1ISCpIbKwat2WCt2KgLJMvBuHdixD/OLOzZBzZzHNO6sFod3sEFEUdjF gNwrS2RTVZ6lOEcD2l2vqyW0ES90zdVUSZRJ2MVXT4NoHfgCgI1TRD2Fw8vtxDCJpmUdinSTF3aU xdXTUi9aEUi0xhppTmyL3nDVb55y5wg9vJDtCbcAqCo0ykmjBKRM0uqyqHurpxskMx0Kaou72aTB 3hi3kU3/XyCbKWhPV7+Tg1j67jStizbH12WfalGVF9H/RfrRfMiYlfNenqzM9RVeHLhO1HgjisCv lfBhvAStlSdSYKsU4TubmpeVsVKFDAZucj+oQTaQ8gUCcWknjbe0JPQ6dQrznoOGmnMilP9neIaY oMkp2AAhcC9Nzzg2FJdnKTPvgbsuWG8g+5YSG4h6rbZaVFOIjbT4GmQSaZ7UrxrcHCb4j2lSGO/F HkBZkOOaANNjP9/iFnVNmmm6VBt03gLmc4h0OfAuFQtd4T42zqAP7LrT4IbQx06Rwk/CL+0H/QJa iOQQhaTcYU1qmxqvf/dEYxyhpzUwi8boJv9ZK2lJZUH3ke5hYcLpq9RBdHUdVuHc6fhrEOV4dcZq 0YSvK/wl28zmnaZESA2i2fhsRVKHUP1feTh7F2+WTqB13HVtaJYpVsbAL5P1JS4/p8w51SmUkWFf im2JcbMS3eCjuPN42ivGS20wvFk170WC0f6JdlOu0Y+68smF5Mq1Va9f8nycEujWP/cYEGIvhWtf wO4+zK5FFqNlQbxzd46T+hrG9qQucmARi/DLbgsPmsl/ooj6x2QMBCQPa1e+yCC3m70t0mqy91II CY5XgUfyQnDmuzMHjMOYbasmlT1PWJVgGd9h6sMkOtI6ITrABjLPD9EPibbVJc2Z7Sk2O5ATeU/8 D0JKN/qaRmUu9GIzcirpmLCb0Js1EdyQPrDGQg/qLaPXRtcpPVLOzUYlBXsBTrjBhsmOoM4a5FM/ /7bb8AxYRjYdWrc8x/r0wfTHo4DWQJh0R/j/fjtMt7W98D6+l9gUm6AZ84W8DpYa+HwBURUATjw5 uDhsqIPmNiE4bkfI8Dlw9mXwtZyQuoeUkEvHPh+Q6zDO9qevSf0iPLvxPq/1UyhjEq8Gjbpdvhey mN59GenTclgyj9X/qiYSHmw7wTRXGGCFAnvp/vmrLc0KExHoeQYJrh2u4kniLIVxkUvr4NnUmNpa y+8svRHG4RL6ItuOd3LW5kkIy4+0Lt+QwzCwXjU6oJmIcP3tj9xIUr89s7uS9h6JAmXeoWCcsQRr WOmnCu7Co6q8vaOiuRqPCwm/1WvKXmhwO0DHbKYfHKPW0pWGcVmY6CITmhFhjxTbcZBUwpq7WZuf c2CSl+B/Ze67892ZFEeuUgxkwttcDaeHlRK/1KDjlwi949IKF5Y3vn2AlIwWRRIRDw7w2Phqmsjn oObeJbNqfAXvYouKuiNAubQH71FKmSBO4S0ouJ2cfVRXaQXbbhtyEuQSa21P/h9mnClJMrHyNYwR 2TO264bs4Ew9wT1t13eASEK8ZAV9OBgvTy5enBC5HVrDVSnFlzP+ozxiVzUtuIlOCuTOn+/4CbSP mqq0c6R+b3Ki5qfkq/b/7OOwimtbWrVyU4z4CeseSD2p/BoIGJA4YqoehwI7fN8piFTeBOqErLRE HqpnhY9lmW7tCCFeXqDeTSgOMGSLYTDOdSQzKl/Yl5OpK0ZWJhh0OYpN89yl4TInOxhKJtFgl0uZ mdUfC2S6LO47/xNzF9okbl7hQhSdRYc9smoituyd2KV0T9qN9zkVEPq4PVNIOj+Y/RT5Ykp5fVpL UBIojOQIqk6uApB491VC5Fb+yZ7plrbUqmetzQxoUlT9SXcPc8+VNNoTvLB1cj+uqT+ftv3PL1/T d0+OMdXkAtniWd9T/6dDDJaDsuhI34SjU9r9ks3vyZj4w9eIIbP8bIcLYRvdU4obB+jR5VDBu9/E 2jKCsVmJlrwSpNZieMRweX6BZf8fgOuuC6UO28Akwtk82LR/1iycb4c9Yu5118gB8bfc3I1yuUrG QtfajF/9ZmuZn9aO8KFOBzNeCEFtaFKcbNtsVaA2GJF5acIEUiOCtyhBjqPQU5P11X3AkNpKggim 51y7V2epA9BMw+ldgmiHYjRB9EgmsHYYe5GBGeu+Fc0LOA7ZfmWU1fnx/EFL7uezKz8GadkxnCm6 SyeG8FtKY9LhP49f5XRwekISIAP77Pq6UVDEc+occRskFyQI8GEh+tIe8+p4/5r/iBGaac9mdfuk XJXPHuZrxQzjqK4yRzc1Ov7I/Us88Gj4PPmURddG3pDWhCouLTENWl9jEEO+rgy3xnd93m6WNTaC 8klLvLKx7AFG6ne2fIR1Zgx8AChEUpGyyFTOtNyJII98N4WotOk0dxkdl/SLZ7fUqJXB/SZtcJkg f5JH3M7fY6ifgu2oH0oK0wQTSkYAjthUAC43WLZpQ0vtczTWmtLlROBiDI98Cf3kgVKAjb3TroJX OK+YxTAeEl66mcHpkZ+OIuAvLfZx72eF8sXF9XZa4fYqtFrXcnTTDMOjbbDU5FFfEDZY9Nn1R8RF iy8lOhHbzq1Bq9aCafTt8Ka98eAyvQ7pe+977THAhn8zIgJavXMB4OG7170Q+X/2snmH18DqbFx/ I3wBBJ51ntKPiWJJ9IqEAQMYDFEX56bYwKDyaU2OZ0DTW/Wu4trp8nf5qPVTYSKa4PmmdSFlr6Xk jzVCui7wHQOxEr0hOrE7OGc0LJFvp5Lu0HB0nTYuAFqBHuB/HFr+9Bfxq+eikFqEvgdNmF6ovxs+ zYF7G6NiFxmT5Ebey6GJSOF7rES8HU63WNYMDBaAhnzRwiSzP9Pdb0zyFdrjF0nHCwbQUA86Wt/c KdBDUQa4K9oOLYDV2pukWIRmmKLyic6gGytJadrhSjgJHD2jpK64dy9EZy/Gj9rDeFVGpOR3CuM/ yAX7O4XUg4LccVSWVL+uO2r+NySgww32vE0oPpOyTrPgYm+cpT8LEFCTlnQknqWyoY6rANn2O62/ kedqIbTMNW7HjEmiR62825eQUGlOug9Y6KQ1acIWvpKI8dsXw1gXEv6GDqtONCYuXn3shN4OKC4M 3/KZ3nR0KlDhs+Vt7ukLHaNGLqnOoHnRZEX6hJP1RiwDnEfHw9Zr+J+8phFtKp6B3IBfCDhcSNgU Vu5LwYyljg6HhKBmbbKmlsQaRaQLBLI18NfBvsGgqq2TIMIWtmE9sblO9AISA+3RGisl2omAG7WG /t1VbR3C5yWgHZpvO5zKQ59sXadCe2XNUItPwcWDncbEArA7zzkWiGELEjTzm3g564YcT45nF5k5 qrERyCmf/261EOetH1Dydk5QM4T3XOnjfRUzGu4r6k+ik5Xldmb4y6ao8eEM/HLV7iRR+Q8CD+td 9Mw6AykUAdMiQsN0bLVDYY0NG8zaTs5Wr7y31B8v1B0q+j0pI5+vmk0kDHBWRVnGJV3ni8UqrA7e aFR/Q3vIHcGccad77zxT9CYE1mbnBPdJkz/Ez/rg2+lTjxs2eCEW4BGRhjtjtedpfh28MAeFx3FM O74atR9yQmiLSGkrEBz+Fg6qchGIeqnJyYrnicIuu8AjdzsFKf94Jyyuy070uDj2epOfElsq2KnV b72HJbxwNLUXbJS2UXv5xcqQ3iMhNCkSTGY4Lyi7xhFoNyI1CSxbfguJsFHaVVsMAUztAQCpDTRB Q7oZkMPaZWVU1StuiLULi8J2HCPQiCZI4FwS+ikS9cd1WPYlkHyfO69uAVpeEjUeVfI6q5rg0+ii h9f0kg0v/gUoE0K1BUi+1z9Wa6HYvDHSpPcLjvHoKMNgB93ErvWepb1jpQOB3hvT0CYlMeJLPgQC z1JQGGBF5AhNjpXN+qY7rdvTK9pfMg2jm2M0fHe1cVitCWl3MtDLgegMPKHZbfb98r2djhcVFKLs PZI8GGa2JGh4Cgq+W7arUop/0GwTs6nrk8CN7pDC3exHahO/m7ShKnbdICGKFQg/R4Mm/W6Gm3Kz XUnlZijEEL0BuGeDHAiBWx6GRIV74wPWjtN4Vef9CXsaAAtKuQ6grWpz8SMOrUemz+10S+QFwQBB SR2T2fh/keG7/ZrTyxVQWqmOVB4C4ruljhy5Et7fnpzVZnk6yWPigN4D4F0ef+OhHMO0dFRG3V/0 +/SfYXbcDuvb6mUffav/qGDX6XLEx92kc/81wScCjO5AdYm6gLry29kGdFhtUgOQYACpjgZ18pEB ETEM1hLntuZW0JsJUe2kmDcgx+uzPagcHKJY8+uEbiVylEx1HDyppGmTR/Kg33/1NAiZlbSnXSbJ YeNlBPXvsEnh7djICHFLTebHQOh5ly+J8qx436r36P34z4pInNx7ZHT+cULSeVOjqjN40f/WcbVG TIS3mZ6TDd89mSrr9FBGMacZRyw0fCItkkq0taDSiv5EAYuX9L3EkNAcqZoYRCIOVX5IeEOWjr/y AEIr7eQWZvDPlvkvuSFWlx12I5JFSzR+U1O0uXgfpwjRfBH6zZbRNnS1WCYz7MIGp5npR+ipyYLn 1Qqx0+LZfIWGRcPe83jgIAvdWUeuDvBdZr2A1gpuvYIxaO+kU4w5f4cIKQY/u6oKCre/T62Xp07k jITjj4GdjHCgY/Zoqqw/IDvOAoSrW9HmiH9QVTnmh5NhjVSW9z11hUBeE+FvEBEmI6xDl1YExVwK MbzjIdN4bGuKNm1ktiSyOq4dzPjGg+Ag338ciHKFCv/dA0TMoC216wVDclz0kPV0ddKu8akSMQ8x Y+K6OQR3n4Xu6bQD0L08WeXunl8YV2h3damhX7gBMyKy00F/vDU/puADWMEMOwuBwXVvt8V1Mdbu kWEuYYkrzEYcnHKYUk3MCoBwExRRqMAy/NY2XPlDX4A/Qam0m6qeJZd4titFpfF8Cbku7WstUFPw dMh3J/t+GuMZ1+4wqqSNZb9R9FqEl6jaS5avSe+lETtWrdgIDv7XC1RO/80yRYnrBPxd7gk6XsZ0 Sa6cMTTbNXiVa0uTL2fgfCNvmgH9iOrpJW5deMUQJDS30hu0389Ca2ruR168MMbmCSJILD4UijAa QPSwjKEMuZEMgPyMiBfpgg1oUpGzRRM1z/WuQ5OymKKoGpByMt5/7CvQEabs3HOLqtcZxiPMRKv2 KIPJpMIvi/kKqaRzoTecONqTLrxBTKK5hBMA1G1Gu9PMN8fSCuswwAXPOh7YQm7yteiQg7XuOJwv 8Vwb0zMxeMG92cuU6cfOu0kPySOIjnlSL06mY+sHpAP2thnLRuPtdfWWhoI/dgIF11427sxoyE2Q 3YPOGwd/qpdkt1PU/HVfDLwfqGREhuiR79m6W8DXQ7Bmo5QlWAbgNphmfYnq7Sm1AG+Wx/D2wKur IqxMBbwuT3yt7JW851Ft3VyNTxkqwyE03U3mDnjCt3B04i96Aj78mGFJ7JOGTOGWN4yPxvz7DxTK SfuPrcEOZ7aCBEQHxY5uwPvynX/F5gd1HQL44/XKW7Jc/k0mOT01PuKu4xwfI3M4Fc5uUuzZv3M+ T9Mgdc6cpWQ8fTEzrXv5lgnNS7U8+hJYp0W6Esee/UkBwR0PK6kMj/lmlHirTleGHVoDmo+OMoOX lqaFR7pPlqlBPALVtj2BKpdRWU00/Lay96LtOOs+Vvcu5gxeSYNZmgn8k/1bRl5AQydL8axIWzN4 gdVsTSjHYR6IegJc9uAGN93+IEPZWw0BUSDuGV/jERF1caiM8yT5OTq3wibqW3Nwbr2GrhNVtxkY OBjJphC1OeugXDmrOqmY4Gpd4pZxA2r/oRinIsVKBRaQ5DFumKBUfkSAIYfAjJUFRiAIzI/X7s91 SsVgnQs8hHEciRTqp+DGj8NmBFZW64cWkb2zOs1mXw+OTNXl7LhzH3uAt1qqAZ0Sh66IW3nae0zH iTjq0jZGJSkHaXB2NM1K+hvDdpFyp8VYpyd+ZCdQRPSW8xbYnvWaYcSz7JcoZwcBcZD8JpZ0NnTk c3eRaJytmzdSWkZ/VcW1e/S2m7TBWiPrxGH4v9C/GRMekyzG6Ur2C8oGra6jXsBN+W5UQ3WMxI9W cYunHMJF1Gu1CrXtVMt+/T9c3ciuiyvETV0xPOP2ACDvVY5jfTnuW3+s+dGm4Jf9dvUqnbcz8ZED x1E7ppb4KHVXPR4bHotA43P9Nqaqr4l1K4Ez6kimm5WKl55qnIqQZdAEo9eHFsqoMyznbmo0kJWo krtimkVFiR8CCatELnBRTSWmFhJA50CQMj6Z47EUUj+TbNsGFTT8WFMmCyBKjLW10isBCBfWFcve F0jKQdR8oVSfCC+TeJBtdQncCiEdS4hPKtsAUp+D84iFvl7YFES7f2xuekKp3dDBUkafqIhR5oRh OOEw4yCLV9ySq2iXNbvDjwVCndQjLogWN3L+St9thDo9E+if4/8lRaQ3z3YlLycMIX0P7a6lLhgu eN6E2q58l6heT4nwdu6nLSAxihnWZmKkhjCesloI74aZFhMnKWT9TOMh1n6Zp+mllc3Np4Y3OIrw x3b1aX1mz3YA2MCBMFKhzHUuCV1G3qlU1zOoI+NinXcYN2tzlqiG1sFhQaLnVArV+MLJuYVXey4x U6S+oTvvKGl0AUVyd4cN8gFVHB9355FbdNwWxqI4ZMCD3uWZ5M1QZfWI159mqUDzr7udt9aZh8zs p23vZlBEFVj1/gYaLERKrWMV/od43eKQp2a3GHt5q2zEOYPoceuBSqJYjbJ+Es9hP3JUvTr7/QUO hAim+Fl3TU+hIRBd/GAreXHtJbJN7yeLO7gN1+qDatI1gChUW0N18kehvPlHIdXiPJIrAAKplIxs x6h5b0Vs6npFTbYnrBq8B8MDjyAN0RWzMMD5AFVX9C3PWuhws1PZjO3WRw2/lY4IvEh/XuwO6WVo n/FyLi8ia4SiMo6pGx7cQChCPPcnhqkxM65UAqBZtP4Bttr28g+NUEx0sCN39JESuFLIgoULaXc1 Y7ntgqe7nNuhq0vaWA3dW9ixycQcIIT39WSR9dwuQGNfRFMnLrSPMpZMoABc8/vcdKpUMILwrfex YK3XOHxy7vtOyCejdIaopwNIiLRLjk+M5dukPw7fCmsHhZTfqqNCEiAadYyKS6iTxOeooxwU/j15 6JAX9n4TLRtjVEUU0uTtM1E+HolZ+mCfQzONBgE39WElc0Uu9qq02+zi4XtX+wuX3zyYfQZLfVzS Nj8z8JOTI3UtCsD+vzKK3PMaXcEhBZWRpPIUxCcynR/8dm+TxucVibGAcMjl6qMLbuzlcJzzMWNy ZiWvPHeum/rHsJQlREwIgY/oT1rLdgAnPqXqQ8MbxjM9NeraMdYnSdRd2ixjMBeJaQR/Ff/Nk6Ri FadKJ8HZVew0SNkTvUV3Zkc/hD+TF23OZWS5+OlObJi9YkX39y4EEaTnBpg6JtDi2BI+y4xeqox1 2Dt6ZauiFq9KnRTNsiU5Vs03K+/U39bUBjXRWzMqnPmftqvAOVKsCZfDEZ/qPqvysFYknL45TlyJ D3rbHvSWeSBq2fI+MGnqnAbCHdjgXaPR4qlRn8Ap4uv1sO/XZToCpsgPRbzCLW3DY/oAsiPTEV8/ baEJdm2DE6G26c0/V+wNiszTNGq7Fq5/JHo9UklaVKqwffe6xHSGyhbuUkPg5mi7rvEeypRvdny7 dvah7+sakmcn7JCzw70sH10YZIDLU+u8nCiOCrArTBKgbSFP3hvtpAU7lg1ycqkpH0cYTD5dGVpz N9RU1c3bEwFYFVMToHMzrtWN8PudI1qRB1h4P2tApoACO0Q6Tf5yWZyUS/F1fV65Ic8aCtU1Vd2Z IhJZFkxH0WQYtVvRyL4GDvEwItGtUi/sHAy2rQI2DDljKP87+2wvY3L7zll0slIHSlqZ9yWUjKIn xJmjgcpSscxqu+U6bWSCTTicC+OTM3nR5azGT3nrd7yo0UQue/lSJilxeQbZlDdDitIV5lvl/tMh nDUrjHp+LLrwpo2o85Q4ob+UXsj3vQyZZUdCix2KrYkqHhbY9TbO3xlOnjmMB+GaDJ1PyV4jdlCF w1PXFsgxbS5+jpU1MANAp6Wd+ANXND1MqFyLwowHgfX4RwLmNcbPSeLJ9B/rauhpJfX5THy4Fcqm dhvvI/86W9yXz9Zr2ROvG6hs6sFWb02G+rLDbGHyqPJ+Bq6rEtZtaQS3ZEVOa2C2dyc/TMjeWM9B o3qf7+it4MOU14WHkaJbxnF/dZquLr45HX4geJsemzMmtidjA7AJ+KDV1Qg6i80987bPKwVxY5XI NDsz5PE6YiJ3GXi0IxwGAYI5qW/HSuAXOXkcqV0Wbmlq27RPiMf/vqRBZW+8tPMWnqpJQHVL652/ 2nVBC6I69NFRlZKaidmBF7eQf2vULwf0ZFvFnyvMEIL6m34OjjnagB9NpcNM7/weGR9eRuQS6QAe v7SCt5TeXrw6hDgdkY5bd7CvlTCczpVWnWgVXXzfrv81/llpgKep91Fc3NNnZsGBabqrI3bINb0W yMeuJKIM5G13F8v/b2U7F0/kTyDCI3L5ePuqF0nbt+HMENlAJZI/IB9nvR/bzBu3bbmYquHCm7It h7jrwOKnYrRNASUbYSdmRG40JN8XrodxB27C5OmfjJ498nxkyzvt2YhZCwrr2hYwFnhbqI5K1ATd Z+o0djiUHCgYO6cY3r/PnBzUrSxoFMW+QoIeIGLeM2Udh3szWWY6C1oJKJMPTOtCiT0sG3gY+/WA UOgy5O/hfushD3+ndzowoGHZv4FnnZ/l9HtYoO0bjWp/ed6FYlrh0XMX/ZctoRyCCFRtFJE2fNBA 0q6LcEzMw/AYVFtudIw7SlJo+6UnFPsEMnyeRJEplvL6X6iWKTEsbs3RclDQ5/Z76d0ogz8QeHiv 3o1A5P20TVpPj1b+CYrr7GEaQCCuWJGWpyyKN3qpVKqKJnB80mrjiHZmTX7rrVB1DbkDiiRbFZn1 mwv5LUcEHKPFJKxvoqas7ojj1GXUuPpd0MTXPVdKe4Ayq9A4BmiBgIfwXiQQTWwwUYwuaN19G+8u Hm6bs3FHfw2IE4ajRB9Mc9to7gbwfzhYgAqh6XtqhTfMcrpElNVyHrxLT7GJwJ2xHlc0ph/WoTdJ UGKKDu3UoYrejQPUWBWHpDk69E9+Vf34FbJzO1rjgo4+q0xIvXo+O9/Njjq03MsY5AQFb+AeMH/+ d+gvcKrPT6YRRneLYqvVbCsILSpeTLI7Deid/hcxmDNwvDjiGvdK3kP9xudrfOsNDfY5Wv7zcTOo 6SJLk25t/WMzA6GuVI+U+IMKd18FDvJYn2BodrLRPtGK8ZeTLT+fi4yt+DuQG4W+SzALOmZfWWSC ctSPOCaf1Q7dtBj4MHv04wATqLrPqfWHnca3R5xWoWDJaZWFf2bQHft3FzCXq+4wEMvY6p/GsZ7j jTfLpaB9RcBzYs3vyBxRhJWLZlLf0uoom4WHMwU4eY1sPfK747Zz2V6DJNbvM9NlBx6QE3oCYXZ5 3+MYltdrut91svPufXbNJOqnJUyhw+VVdJTDa5OBsn9hIEPWz2IvlIhNK+ssLQRBoS/ytfBqR2Hz apEWy6ZStpXr86oJps9Pt9Th/cTh8M2wtie5o90Bbt+c4wq0itorkzikWNyM54E2p0J7PQHdIeCu jqOI53+/yKX45xTWG7OeZ4H3TJPa2C72rESAizaDUGcadS3dq3bqinkCG8VjoRTsFrglG7hLrlU8 FBpRz+PMs8Z5ZtRLXHVw8I+rhLA0Oi6k6pN/ma8huS+q2qGOY3LMvjqygMSeV0I46kgbRRV05fMX cnKupPy3ksVo0jkLFZcFYZtXumTvgMbiVBmihFHso7zjeTo2R9dJgX4+tzLhTtlaahsDkLuoc4sI AkBbqehv0ZQLXlAZkomRXFjKMHNl5wHm5OiXvBpt8H2EbIf8gUZVajMbKIT9wWd1LjfAt2KSdb1I GpB6jZfy9rAsY8NFe/VxG9dxDaAKPpK0ekO2tGxU4C8tR9FIEEN61uU1jMtpy+2PHj5lmk3/zJQe LU0Jq0CxVlEENGHZlxQBpkFGlg3P66AdBv0Ytcn1ljl2UNVQ4kPVqylB/EPGsgB84tY5aN7bajQ2 KP9cyQ2gYmsDgof/i659eEuwiMVG734Frd05OlFClsGxpNjsaNuXv+/d4+9tnz4buTBk710mLgTc J0s31et/oETO+b0peEehbVik1cjDx9wOnc7f8GTwqGFBf7VjL56QSmwEsSSLGHwPUzUEFfIA8RFo CpewdiMG/Nfog9cFiXLgCzRAMocOnwpKeT1XpRLn0GTtX7c4opZAyyVgtyf+Z6bl3nnL6zZEwj1A nCbpuDk3psKjfP+WMVq9djw5MH5C0iWEyrDrmIvf5q9Cb5e3QBBvVQYUwrMpgho3aPBcwOmHS75G kzzurhWFDLxv9mbW2UmfEBsgW7JxNtc3go1reYuH2eTFp7/1dVvA/03hLEMevfQH+iS5UkL+KLQh LUSOe9EYMkAZ8cP7IG/qG9OgN8GPlxmF5iL33dFxlH7ozfOS6tEAewCoaawuXZLUu/y8ISSyjgBC d4mWHxtwHXeaIAdMdlkkVehPNYSsDy6DU3nN5k+neKMdErnVdxcl/g7fumg4vEI4++4zopVNXMCy jjac7J8DcbZNmfaXOmeqdNketfD8u10QU/N46vbxET2nzbaO6PdQ2vSCkE27nHm/FbelN/xjBK/D M1ZzqExDKVQLB1U4iNZOooVRmKfSVrbV8d5Vnqfhe8eBbkjRy6ZvRmtgkdn71KX6QGgInMqTrUsf edCQnKzqQyuei5fxaQTEYP7SL07IRUBqTeC4maLySHp+Ss2K/xJ1EvRWcpF+skGAHYLEv/qZqJ+w 6KSEGT4OEiwWYSAWkkNfWtSmtLigWhAHMPqAPxR9S6kQJTBI293DT140sFZvk42YOM7AqFGJw0GO +shLab5shNH1QpGOTF8Dtjc0E/RnenNQ0cloz3gFr3HO/MWF4MwfJ21e/ogfnpGriltaykXt2Wzc aHSDzOCymsAoQY4LRf6d49fKr8ozKachggU76MxlDVpNsB4Nb9vjD2CJeawUz+pFpsMNymJF62gR TXcXlp+RKId+xCv5X9Cfq4jH6/8J/2GG+6zn3MkOfnE18Ipxif1aHtHIX2N6L52BcHFpJ5CHbeaC B8BU3F1iLuAWw5hNq/YJd/znSo3bEKgtflGHNcutwvDn1Y+fGoViOQ9woUBhpEiBgPfDpODzSxG1 3DC9DxSr9KszDVjHZTEzIY80TCnrefZsrKaAMXjEvy8JMGqXzsLw+QUSRTnuvdMiHtaz8ei+TK2t fbSrMFyz4c6LJYk9h8R2y515yJqOZtOfePVJ2R7ttqSIipTNJ0QYa2tmb+CdnK/bgVGtxCC/GZHu AszhXzewKijCxiZ7FvXOMwWe10Ls+LvnKWyGAPrf81SqnoMWaXIHQPYBxkLIDErz4FNwdtSjzBAa nrLj6zeyq1JbmoeyWxUyIcsKOKULwLmAbyplFZRgfYygdvKgLsAuQlNZvOT1z4FeiL+lSyZ4/Thp jNQ6AQkegYKo/one7v3gSR1qvn6svRiZ9K6cvRVgMzo+mo47kTvtrFeE5PCbBcpqQO1NFOR9jQX8 0+BhlCUWkwGhLj31KcbWn/LTiQoL+8/k3S31aDkxbZaUUnGfFOrqAY5Rn192shoHFDLIKGb1KfJ8 xu4tPxWYHh3xk80FoZCymxkSPIiA6i54jUuwKwV21MIE7ADKPBcFWbwZ5TasJIajqyHFHmQ/Qji2 l7etTgKN/Uwx52StVFj5OWCfLFsF0ZbicDiiR1xTqvutVhTCMOY4j/XheNk8H+dPV4syW+yOYjFM b/EDifSkg6hBqgdYih72Oy17/Pvcaof+QsZcNDAvncgc0+QYzbqu1aefCy7qo5lwKRd9rYAB2bYa tKoeM9PQqHTU+Fc/kahPqLQbC1tRmmU1SlRTUNFUHg4Nx4UYGGpUjsXGKvYH0JCAkqMGIGGdqLZj j3BYFYdIcnhOb5XC7F+wXVoNlpBpGfQvxthxh2oS1zZA2aJPsB0l5M+Ys2x0vZdtVyMPSGXF2b9X 7McZDftu0vsdVlpIfpEnGebfQ2wlgeDRKqgqPht7dQ3hrpUCTNUWuZFWVSQ3O+UUNOVZTHWv+Oyv QL6Cd/w2zGEtWmLzPpCZXdhcGml82NdhF7aZlyiQs/99VnoBsA9T5prqSekBoEGos9fYpoSpcZjd i2nWw7BV0X4pMYiGyMZqxL+Kj2OzFvggssSCbmFqsKJ5llEx9DJmlvYw2b/5tESEoCw5pL79SpS+ K2anm5EfyXKTq6L9VI3JRYhTpVhPTWiJKng6VucPZJv0MaoUq1+Z659XO78lfOG+y1fpJRTvO8uu w4AZUsRpY1ZZ7PygcZLYCRnTZ7Vbo7hNJAZeD10EN2FR51Tmjci5l/plhuPCn5s9dFQLCOdZOINv vYojCQiQLojzEP4wihO/YGBsYAbAqWUrKiPqDfR5qGOLzgZQWd6z99lcLuWgav7DIjKCW4HmaNRs G9G+cbLcNf6trXLOmG8saqfiMcpF8KsqqE1UhFnffPU26qv08WuIv13N+lAbkKSGRP3llRLUrEC1 uMr3Z77USKYx6qLfyTyuEBy3jnQHqhLhk3zym98B/rpXmTIrGm4GbhbbjW5wPMBQmySKKg/L6pt9 22Cf2cIoiRs6vKNfm6DdPXioazzcflGIi9S0j3AE5DJPq+KGDfMU6qBGFRzCd8OVazYYqTg7RPRX yyAe96bpPuciRUVETEb5b7TomoStHP7S0w3mCXVVCRIq1W3D1pYqRfwdpvLzQopIVo+U7mJ8XFNi UJxcKCBnaZib9QVKEjABu5YfrwzPNnsYHwdh0wr0S2NyLIFQXaRYXpgarNCp8np29MVzgHz2nJrc bquSya6pSEwV3ylYTMMTQJIylwOxdWwIjnVagBI9kAGRy+wW/Rh/cqWpywceZg0gs7LV3xqgEq8t KZk4ikR2HnkZ6PuX5HuH9OYyM5kdYvZg/jetdRKTa1Wlh3Us5rH1dTqK+SN2dzNp+tL8OJubcYDj PCFSKNd3shGca6zNm8sPXBUqnxyFe3nhffj1oUYDAwbnI2n8X/lfSRGQWX8SijmK+FoDyjpC4CEd +XIoCvsiF0cOsHaGV5sHITvHdSp5rQeJtBON5X6mg13o6dAQvf82HZQZNLu8sswVp2tsdreQZo5H /X05sAnrY4/poQyRap/H0sPZwYQVyEXgZ81z5t9VoM78Pq/JKn1IxnSx+r857565RSUlB3VL1JNx WfMT6pQo0ZzIzD/jVFmn+o6nO1HepYDtvKFRUASzr5ygWs0c3GOVPoouAudc37nXCVnj+mun1ci1 YzLiT9rgNHc3DyZXBNt1HweN3MBm6L+rTJDTel2Mx1KoA01n0lp/RAh9mfYr9x+/9ITpsdecbZSI ZD6SWgwwuIddO8Dnj7UHfAajdg2QGnk7xp+SB5heUat1s53RGsOlbfY2hhvqRKaK0NRVKfK7M4Ka aOc494eSsrb0GOoeco53g4LSvrTRoWuMi53Xw1JHXBhAF1PoWVm2x/XECS6DWGkNGbwxv9vzN4v2 IHh+tO3/VJJdrkvLCB3ho/7XnuxsHpEgcWpuuOOrcV1AGFy+NrbyTW/TYlWwuwxpCmFs+tZsdgRN ziJGnhO/APTGuoD3vZViV1zkC5cOFGnedp6VyR8oF30O91GlWaHd4nKvag0yr1NHPMS/PvBhjhOc UT2NlCy7Kvu1kmpDlGxNis320zLiuasSOSdHLMcDUGqTmM6NryQRq5k1GbnJZHuyxCMSVLOSrnKH bWvyM9TZoYsH8nYwPoKNK8bdv0jg94MtyAvM9CdWj/M2YSMTj5EbPJRpEKIK4T9dKloUd2ssrWQC TDl5JvJT7WlEVl1I2FVs9Td/HhL1P4DGkMpDSmUYOrg2/nQJFw+NAQixHtCHEoeP3tCfgOxwvuCz iqryFxJrw+INIwr0w9czwuLTSCcPa6ZLg2d8OaaSckMmNyHnXm2ic9YvFHtKgiA0xTr/xaqYP0Y2 IHiEnvjQFUUPMwNvEpxLa6MUYHfmcVUlO+YqomD1V9Bwp758rmdEE8E+tg6rYlbayVLIhbErCZ6C LecztpN/SOSQGzFoancOHYXFeJjjYTH1JkOiHJhPtkJ6zWRSiz+PSE8vsSVMqJdTKGjNsG/ZIZoG 3LCfrPbhqektbHuiqZL+0XJzVfVMsQQlYO1G6VWL41SFBjLQfRGsuzR6WgVGjYAYzlUdy7J+XW9W 5SwnOGqYa7HjeYni0Z8fbncFS5UkRQ/d0+VcpopZll5uIRyoW3wYz6WLALy+B4wVZoaGpt60nkKZ VaK5yWUmKSS6fED5xGndHJ605M4r6NuelHktDknmv6mNvDsFig44NfUZHRk2F2Hgp26grouDiEy5 IVJl//6Pgq8qul7eAtjH2ivlg6YxCfhSrbt6dDRKC2qAjNUOIV/JVXrUIuhUugOVZjLgY9NGAtcM AuoCLwHr3+O4mVxK/T61HYPlpXtTu5X9Ri+EuNusf/mOVO0OvXY4d2a4cfY64CXGED1cspC7/zl4 ZSMhIlWDgdIqM5l/nQ+ykQGt1tJZ1dwVHFAXeDfFQqbZ3FY6dlxaAFBf645sVCNJ4Mh0awtS1gBK D+wIPvoHfxL9Aj20MsgxlAqEsbxnWzxOE964MHHt+LO3CBWwC7oRlkTbyRPAhVHyjFuXBAT2qIaI qJyo9+lhMRv4+MA3X9YUWqEsNB9QeOfcaAQQILF1xkvxjhDV8Me7ezxYcz2OFQZFLjedBx8i4eJ8 c1k/AfUp4+3Ne0X487vx+qxqZFIorYGdLjLHxYtNzRu9T1G2P0lV2Ojyse4/wUzEIZ3HRr7yAZtD gk8sGuFVeak5/an9rbpg3Phm+tjBgTx3v8OBCXK01ZCXl+niCtlhvLylVa2ZJu+z+YKWlwXwOiwj guNDH3qrKw7Sj8Y3QKcgAz90VDkwvnySUrf9jevs2nzofJos0jsUPF4T5ji47htSPZll/nmzfI0X 8r5XMSbmIhT3yvMiNp2lod/CT/WLZVpvKZPaIemDnF5q8I4c5U4OjPURt5ku1Ye12/0LJ80nw6l1 V1P/zSx2EehyrI9Ick3voDPLm2ZFcjXTjFBhSPUP7Z5s1CoBGjAxSUm/2SJndpPvGLN/AcbkcLIM 18K0p4TK6l0SW+DNlTWkeOdLwIBprLQu7oe/kFn0I8u2LnmW9qppYpXp7EYihkWOxwHktjdlYwe5 FdksWeNSKv2nBj/ZwWhhC0cZgPa7MI0SKsk22BxtdZh8Khr3GNbWzeAPV/knGGR+2anF9JhRdXu1 wrr1oi71T8ayWx3/d7twdsEWRsSvPfPKn+jQRxqjh4A1Rgmy1GwP6I6BGtaaU380q1kQ2JbdngVK 8nPbV19WPZVUi84TCZH3WckRT6giQ3djlc4vjse8h70bVyKV6fZXpwa9uXmloLOus/gLImeHkOP9 HqG2XiJOeZb4YGC0hpioal/I2iDiRLgYRvfZ48pAH73wdVZknLl+9G8p7xmFecsb6JdRAanU/qjm 2SF1tBK9OvRQek41vqT4/X4gsMu54G1fjaO8UCj8Wy4EKKWolFJXC/jQAJ5+ITQf0eAJtl0KuYgW qMzHmgiY+yxEdCUPf6A1rR/tuJhvStv2/NG4VCUpBrM8P8bgcwQSUudLL0JMXtuOrHh837jYo2M0 5uI4Rh7ceGIyjrnW2VPTtHo8s1nwss36jtHZZwxgL3RXKA8Z1Y12Nl5ofwy5OvrjnCOyGVZEarEz KtVNEXHTZpyu0w8tRBgp6YAPByWnJvPFYbN1xk+YPBX45rCzQVH7o2DzWrXS6RzCmtWvP/IPkyxK 1yiEwXkZnADSKO6lKg34fHn1tN3/BQbBmLdz8xT7pzrxQ/1AHWuzVcvAcyhQaGXGJwJfk047f6g6 dX9czGuqYKuiM5v1lc3M+HCD49qeFlwZj2+AkY1wpeMSPftKASRlHN5ZKvSPD7n35Dwrjozwsehj zvZIPnqLfglYWJ8PZ7bdCVnMH+z4I9H6cIXKDo9DbbrtKfhDY86/7v6/GqWpS17m2OAADqIK32Qf WyAVI6GgjyRxN+rYKqeIkokGoQpaPPvkuu5FdzXBLSUP5M8hoBbgezywYXONEMepwBF1pB0FkXGc 7lPaBsntINM8/l7jSnPUtGcjFSC8ML6KnHaLf1SsgosR0N/Tueq+p6raohbOP/RQyP9uTcbNUVNX KRJjteQg64KIYBSVh+mGWQpBsQG5DBJ1Re4jghxCsIDRtLtgTc53esmV2sSkTGjYPtNK18B5wgq0 YFZS1KkuXdgefU2+54/l4s6UK+6xjwMc7cSZ73TMVfPIHUNDpRotdb/gj0CdxF5o3o0zTiGnt4EP h0rqGiDVLXaHrTZocuxAsZDj+w6AF5F/C3A+IT7u+4IiikRbXyA+IR2dxyC1T/aFsY0NTX8dqTR6 Zkdidjv5N1rZ8V4fwPxyJjNwSqT5n1U7XAlteNqCHcJBYEgqxauLOWMrHR4vVhzskcBKXhPT7lX/ Bxg+w7MuNFqGAOsWJaHy9AgR/pEJ6ieciiu/Zp1CTDnlzI+t48Xm5OuYLKE4mzrGec5/oDRaZDlL rflFCrMGGvEdEp6UGD7ngD5rZypDNy/dMwjC5+pPnl7DIQXSWvOoNm/vjjhy+HkqLqJKxTOrOd1t J4BEWRemXzcW/cU/37o6krPgsT0vLxuaUhTP7VbA5JHa2tg3pSaE/Gq+z5bSwnT3jD+jh9pOXHCr ODvP31tTIk2sWVWRafYJDhKIL96vquZD1rZjQPjUkWQMTs4D/0CnQOhS9SePQjAhz2aOU5WRFnhM whjO/Yi6FhX4StMTIZ4Aqb4+7s7P3MnT8dZA9nKDP8EQDoUh/u+7wIUFDfVoPXLo5Om7Bls+8Pmm 8S3mkzUOMg6uaFaDuVC2cV3kX9bmsJ3sTmOZCSnw8EMe6XmH6RYJeiO01ic68vAGb8gIuPrxpS9s Du4e4TdEC6PrcXau9GdzvXiqx9+XGkyZXidczpYb6ZcInk2ePkw2k86BWuS7E6k/u6Zfy8Jz/Aul M/dho8jQ59bJmoOI13g8fMQ9IazsxH47Yu8smamiuGdXeyuMN8YhmO4KFwY6ulD51bFHXx/5D7y+ pBISVPGmX6wTtQxLtx61k0abXSSFKr2PsLY5se+JtfSkbV/398cbz0HD4TYYBhQL2tKZo60mEHB9 OG7KN7Qi6m4hcgAUf9IqfrPsG/+TuM4m2qy+YZ/4Ej5QAIO5fyppqxmcFPPR58qiKmMat0IL9vj8 i1xx+Dv4EWZ5BMxzyGmDAzU4xQ/XUI4ycuZVEOMmi5liLWuPAyzNmvUN9kVuIk6Zp78SmLHuE9cd +oeafDlJ4Bqr8gK9LWkRdyPLyGGUETHs+QtP03zGUQQ2011DpG0TbhIiwpFXmhYqOn5jqfyF+nt5 HLb3eMkJ+VWGK6gqFDlITdd8MZBDCTyLeG2+w1HxmTczQ6ZvtTAuaCUSxmkT1YZ8+ce1p2lgM1Ry 9ku75eGPFjsFGYKIRjYXok3ze+LW7I0b/WU7fKWBhCj57ScLfXm5h9KSyyauZSxBSxDW0R/8gh5Y 5t+zH8KJnNij29ABJdnwqtniSp/5PlVY7oCVbysa4i9pmuRpbGIYjPJk+zlk8qDcP73fEt39krT0 gASR4C43Sj8dagGZfJRMMr1dJ55rsqMEqi6SF+KErX1KPQVtaRYLH0NzN71tGWSGphrcjD0mp0vu RJ8MH0Gpxz7pA3JENUK4mvruBCj8eUXPQmou3SVK+SFjdq3LAlQRy4jA3cNtfpFEXXloi/9mZ51W MD2Q3Ae+Lgf4592VWZFpb6EX+TL1qFosf+TUS6dtTFCCQ1u2BEoJTIj/7U5079FqxLaNOwiZewfX jQ+aB5TwS1RdvDWvYFe3at0EYdT/ffNgYTJXUGKvj1ZITWmNQoxa4SixvRUQRgJB/+9BUwVZBrCw MKkMrB9lFN0eFEByEF6+kJ4gu9s8y0JghhP3//q/ZSKNvF+1/BYWvfk3A0ggpNQi/gxJfQf2JzVX fdFXq5klyt1MUIBSGY7AUHcm56hlkND8a0u6Df4jdl76ho1FjX9yavPYIKBpAp2aTyq4kf5SuVdt pRO53UpJlbqmxxX8kE5okxOlaUi8050XS+gzUQdFN0ZPt9uwQl2FLawoONEkThVdnYiSgHWgSz22 C0veDh1qxX6MJgjaJIYDldnKUSuvBibSejbshjWnzybsdbWLaf6lIwb7vzlVxYEHJLVgv/xcGe39 3hg7zOftw7cYLHEST8aZR25kJcnr5ZSAeIxjuahxqhW3N0JFIH74mdy5/r9t8c1QLrzox73QKLdM KE43lxCi/o3/nTYZFQWLMjFxR5ajhlbAOJCgi8jlrkla/w5mFSGTQSlSIgMLB6dxWnYTqYcqsqNT 7w9t2CpOuUhHOOOEhY+hzf8JG0C7FeBMJORfKvcohiHHGJwl0oCEYEFyYxQ5THGcDZASA+dZnt4N 6mx5nsTonAWs+DQTcv09lPzLZA5jMLgNIWHypWkYVRSpIO2Tpit4wc4BZMG52uFwbaWiUdlBw+r7 B9LHIn2CuRk/w6/0rww8S8Ww+2lr/Lg+dzi17nSNimSNjI+IDoJStY/AvmgJG1GwL2FqIMP901ee 04fRq+qbdyhWd6W26Z+RJmi961mZsK+L6VdW2+3imSPBgeNPrPNacicr+ql+z1IMI/YGEzXh6h24 8shNuexur/nEJMoL7/86WbCTE0ZY6rO6tJ2tGyFKIKP+Kn8Skbi7Z2lgBz/dsKQWlwt4HWiYKJz/ xdk7cb1E+vBu3v0IrwdQjG6GEzDpdvndxEMEUgPnAvbLClyA3wJTN4p3EX2DJ9iV1Q/KhDkqQ5Er pzRrLoLskq3KaWNAxJaPPI6mU+ikl7nxKVeeOFa0nmT6OwHtt91Y0Qeg30ylaBz9TrVqmf1XmtxU 0LHHUCUzpf4H0gL7WholU2sI/K9HOU5J00VUil16HmgD5SfBzQSrFcVgqfqJ4rQPZXhno0o3bxMt +VTlVfOLXT4GjhAStDXS/ajEoGHJtci7q0yz9HHebHGQHrhmBElOjVSOvJH+YYAzpUaXIkQ+ZpNU XFm0cg5MAk+a6VRBED7/0d58784vS10oUeeugJcJRdDZi7AXPxADGAAgwlRxLsObtKOlYRgW0pjh bUNRwEc5yltXTlchGeShbaT+zqVlEGXeyBAMNejYOpnVjdWIBT5ctx8wBapVXbEhyRSIjdWKlsmL Op8qEhR5XdZosAUHX+zWcYnmJWrM7I1cSi85CHviDoPFWPFjQG5I45ePFqaE71GSlZvDSi5B9IFa KL0nYTxbJXfnkIiYoGJ4FVYmG1OB1PnhdBzp7iIqMdwjXYjKcO9HDcbNR9euAqfQUbJr6RwHhgQa FzTtR55PotCLe2piINdGuRT4UtHWvoIS7t+STcC5yyjE7EEWazLdQAeOXy5SBbcJGtFz375VhPFB odxsqzuMNzP4ez9nnsLxxhhyHJZJ5efwLjSYadniIXD5SekM8XrVxfhdcR5LPpJc9ppJ/92Zu2Wd hGW5Mk7yH6qYmnJajyDA10sUmHf6Z8uoAeKB8tiYP69s3+UwtPG/sMwI8wFo3XBc0b1D9l02/jQz TOYMW+zDWOYJhZIs1dzQQoadIDYsQ/wpmo5sw6oFRmWP9mzORLiUYL5n72off60+IywmV480t49S 87kyK4C5bRAT3qEIogqm3LXdtCslEj53855DMZSno9M6dMO4kuGuMoMM0V6lHRQt2N2a86r7ct3O PWfR/IxiUjAB0BXx2hf2XIrayiQZMycWMF0IM2lONKT8eJNVM//1CZ08LG6qhvfxYG5lg6FAZmS4 iUcUGHvrt//cHz1XQgi0O3p8RGNo8Jz3OaS5xbi4mWeGOT+s0Y7MY0gbTEX7WUyCz7pX1hWsEqTC RERF4IyCx5U3aMPVtnFu/asy4KmvYfJakSwfaWp0F7v5xsovY64cq4AbXNATKTHPrrJy3sFBXg8p HQX3JvFD5U8ahbY6jVdbGdvCndDZBZCDZGNC8GbUUewDD2qgwrHWrnDwET8VuNGXhLV7vgon/SVp A+qlFRGjsyfIJ/Hn7Zzoa+ALZtceGazQGvl+DC4Xx1VbS42UeW7BNIfvIIk+zqKqxFFYFhDrmVDn bAvd0wBCGQNbO+EIGwUVytPkvwT3TCGlH4nNOD/sr5u0uLJytjdC50yDfrDo293GCshGG+PsHPaV IyCDCM1nc1os/5MvmY8wnI68lerQEXYrpmOAcRiZn8rJKR/I0XYCIsozy2dZhHQxhaOyvJoZqmbA 4td9UefVWhiQRIje8LsGCjo/EHdQTP8h8piLqbfjwcpqXFRK9eMDShThkXqzo9uZN/vidoYPCXJu Fx6zdM4a1mb60uru7xAABhvZ5GJpHXniZTzCTjejekqVrg2yXLgJDCdeFUox7uak2bxLltm+77pJ ZR9QCK4y9TVxQ99GDBkiWRjiTMMc5o1JaKniTR+h8mQdmz2/IVOT2jYUYOhxkCjMGMlhPC62eD9+ R9+9xEX/XBg+M26rRkctfGzG/4J+SW1reTHkM0QIBpRTnJGt3Ipo67DcFT4As3dVycXRFLvU14fS LdmDrLlbj9LSDaxZP2Av5JJD3goR7Zde4Twbn4yTxsby8ZIW+BtIcHzh1YTRXBJePjbKE+5dXFB7 pHdu3MH4NoQq9Txyja4cN07nbAUnWfhXoh1SI78onAmtvQwybruDpn69LThxRAE3ldH9lq5trcuw nExHkDyPj5p2MiQtWRFy8LTHTFJIvXmG4SeI0xX63jqXhlCEeQ8sqEHbYnnKuYx94oBRd54wy61n 5aNecRK+k7adfvO2VSNSb++8i9h9+84iKPtRJMunkQRLg5j9Ai7pD80clN7CKSoyAl+fwFzlWmGY huyyAtp9cjohdG1jOiyU4QeWwajnv6hDsNvtx94vvNoade+SybRHfgJxCOJaYa0v6+HDPcB6j12t w/p4OT/QGHTtRdhwlt+m/pDqbzgUZjAs2iDJdgth2dUTgjK5B2mOGVkfr6rxshYVyyV0LlyljO6/ SiV2B3yhF+FNhuU1AaGT28g139pFEYfezxVHFl9MdHs7XMVwThMm1lMlx9UcLa5hgmR/Jf7PRZLS YWrfCnlZesJSm+HN2yWwja6wEW8Hw9E1CvU4fBp+yCUN2SPQVVj+F6740cjwms4egGeqcrdCjKBX /bteCHPnJFeRTZn1uwTxL48mZyab8EnIJdGotjuEH7xrWMzzyXFMYlRzGB/5LELtfhZQ3fvumDf2 U3VRsQJmteQt7EWmvSs82PjQuBRxjc5sGTSO7e9r88xP++W4r9zo+VwEJf2ijyIjLsfKydV6IkxC xeOU9aKlyae2P2UOsYPgFsgmXHwJTUWcab0Vq/Y1lrmtXF6H9occafCs6Bu+wzW8bO0wcVVjIku2 anyzhPV06YjfFc2sc4luw6DBiU77x4DZ1XVuDYbkk/lKkdU4ub+Cq8HnbHVG1qP5BtMG/NoV0AL4 Y+YfEGIIzPML610LIbuwxKOJgS6u530Hdz3CyENNnR1XYKwoQ6wojlH6JSHAVb3YbSoTFX4Zcyfz KasoxMUvZLvHFCTt67QRerB58Cdb0MjbkWovG1nq9GrIS2VxmXYa2DCRODVIyXJuamhFSGeUKSbm zUoSIlMi/L1NdItcqMWIwRXv5zOsilW72SBHnTtb53Ghs0spzhV/dsC7xwkiVTwQcUcM3cXBOny1 F2iSclX4gSkRl5od3TUHrsXg/d+AjD5hGyHpjJZoDslLf/+vdgfKcSIhHi6PVTTopDPPuAIO4Pin WcymAxQILsVhE+OZesCdvRPwynmMxzUt2f09Yw+j8GyT15fiUIVbGGISAa8IG8auqQEAK21wv2m2 EtpfvrYlQ4ao+pPU3keUSOxPryO9D5IHlvPoHDY4KsJt8F460CaynZ1FZId+nl2ChlQGPk3LabhN ySF15I8oHyRQpTZlfpSOtJJzQV9yvR0j4YSRRC0042+huaqdA1AwCzFBcY29+T+zgKLVTX/6Ew1B UrREssm64abzUJZKzhX0lksP1qWxMt8IM18r5XK4KrXPy4+mD8H9OZV4nAzPO8lWiRrwJlpfOts8 He811zIOIHmU5FfBTv48JTvtEBFdJ1b0SFnkg9RzAF+6M8kK8pY5yIIehw1Lvsalvy/61fPf+gg3 1vBIceJyCN9tsKteAhM0edeHMLjydUc1EdA82P6GE2NgdVIwriNxaBDgRe3x7eFsFhkUSPyIep4U ilzF4mbrQQBj85ww7UqaaSb45OsUsolg0PDZWOMSUsvJilO/pBqxKA6d3TK8XMKV6NmpgbLsBZup muD3IZvLz55J5Up+wKo6SWq0Xpq+RiOlLskImxE0WBBh5fkgEpDfRgD8aWbod3+VWTY4XmKACBHr DivwjkmDu+OroevTWZtX5voHsmL5iOdv1RxUaYfrSdNqvLyX9e+PJNOyN5B52WmJxflM/qFE723b jIvK22QNKQq3Aa99u3wam6YjVB0DbPC3f4ROcFNilq2Irj/G8DoBvSVjdwCAlY9G6uoJNviu+eqv qQwTgPWgdWQgfQrFR2L//syVs+tKtXryChuCn3c497xiiegx0mpsLcfczQyI3l9lI7ZDKzhUtTdj R5ut0K/1XSPidFcRBHTyyxjqDQGA/1Kvlr3sZrhbflEiEcXOr1s86I1jOwyvUtkBTtiRh0ZYcmnC YbNqY8RYVOw6eNUt8DcsIH5t8IA/QayX6hYG/v9gpRbU/JtT6P9P2YpF+RMlys5pYu60THrztFFz qMKXE1KARDt5vsrJcmQSf4brIXLwUs+mZgC7lni40WdbmqD1gGY73BCPM/O5fNhyOEDeOqKNb8zT rsN8xhJ0k1KdJfhAUCBrKK9cEHo66pbC/ntCLZqYnP81yC5a40NftD5B82JTxbgsERbvfPHy02PW /KBUr9QGgIftXwD5TshxmfT9uHWWvpqBYUFus1cFCXOy25d5WMOaHSZj7eaoQf70KoDe9DMiaFvx 2gG7jsduXGfdlrPRmP+jNExBVfD8/9fvJNtjccrkq3+FrgnJfdDOqUB9VlLFDU2r0rxjcE+eo0fa ZkV7I194XY2QqphSc0gPokKcbBzMmV2kdsYPrXQqVdvdvCQAELMxu9A+WZmhMjxPMc5KPpOiYNgM Uyv7rEZmfn6ekVmsQmQr9Yg5iR0BormQjStcfJzV6qcMexA4n73kxxRwbD3LhBfiTWRZz/Qyoi85 +3ZqN+pwahYcQBih+TlH+v6yH5dlmE+BL/0fB/DhhdEt7w3cCULrOnFvJwU+pFlqY3uzV3cjfyNN fLmiuaDy5JaA927HdIPofybmGo2rupnzVZAyjPYCWdvrrchY6PmbjxFO2vHSafuKicX6fnbrvyYz Gx/U3nrnLwcG6aIq+BKjy6RGn7ZasasypvohB0aeRel2Eh/n/kuQDjwmGKTkzYimzU0Ts8U7LewE 0sSyPe0xvjcVd2cA2ZbRgYuL1k8LiTBKv+0kyBRaJhZDjOa0k9yTXcwQ1w/8lrIxQwd448bG3fcp BTceSVJe1BXwYx8EVp1nHqNtKwPvrHbrzEJK8xo+VIvz37V+uwY4M4H9iAIFNaNKPg51l2h+PGrZ 4OoV1EPDUT6vFyjbdDgV0jAMzAL22T+1PWIfuh7Bnp/laAtJ4tFjHEneln2cbXzTWOV/SfSxloxX LUV7ZJ1jdp5kPwqCUCAAi28hPCLBHqyOBB1RQ4SEVp95YAtQw2Iu/h2Mu9mhRKVvRAoFp8sWN31E TOeKU/gnH0yUHyonk1NRT8dFLD8oj1pg2QgvITx3LZWH7cquuuKv9CMqOMpCEg7/fNVktDxHMkcG a2sR308xbhsRxpWf8iSOsmvgxoC1PAI/3uqk6dFTQAKmQffUiDD/4uiOYRngmO7SIW0lnhXVZ4Qk IRSmDkqDGuE1o+ZYT6wQjwDqnRdhZyV4spqxIfZ2soxc8XwWZr281YwCfSo9p4Gx42sPoO5np6p5 ZNYbn5crYOsP0Tm65b83uAiEVNOLVYKcZqGbTR7vj1G74vMhzeKts6X40mo7GASZuC3MDq4saK+i TRldhK0jiNRgUfpVKnj1R5d8UjuCmBQzuE649HRhLoUZ5Yq48PtkI7RogYNom/76HWC0CzIF+fpV RjxpQzajbl0DkbElflEXIDVyPFw+6z/gpEGwshGtkUq8KpfshMYeXg3s6aGkobT9sabavYFDfFjv Vq9d7tSPa1LacH3RcentoG+wHOgwBbNF9os+3wa/bHzoPeytkIxuwR16jW8vQnvemPuYNfsas0E7 uxqOPSfoElURGNDlSkWvcx4rZqx3DBXgQvhQiV8rwOvtxKWWNJidYIuqZ0BIVkyN1nkK4g5Xmv45 80s2/L6Qwxj1phOjj92YE7U9dzdzkcH6N3iDxkdZ1Ufz0nSOO5WTHNt+jtO25Lo6/QL/9R/Y/61w xUCiyAuBlnIydkjdrqo34Xk2kJ1UIMpGBnYxzHbeGPWH+qtzNef7v7BH7/8E+qWm21OY1bc86v7+ bIUZ95Sl0NHbJ+rDx+fSZ8s9gNqco79AYrTNUz/asFn7Ctkjh+dVxvGo4CTOsCsTFzwU7G2cSTGe IWzT4ULQuIgIsQ9mH2J2GnCgW6F38a2drf7IGj8X6e+FWsxTdgjkWk6o/ZBaETKjAk/mVtdVoSfN Ed2SxYwF6IJX+8Wm/8DWlMRUM98cKKbN87R7zAH1UypekPZrWUPtGgfvETuTxLH7LUYQIYyqLWyx LJQ++U0LvgI0rNMs09uobGWP4E6s1Rv7VmB7PQwkLDKfrEiZSAGHPMSXc5sPvQ8Sog5nFI/gLse0 Djw8VFFZJQIZD8SW8j9gI9asuSlqTboEi2mpdVtPNkUTQRr+t3wTjSaT7e+cFEZYJg+cjpVZ2Rp6 G+PHQCMFwNikRp2ElBFG3H1vDcyHCkRRp8yinSqbjvNw87GK5pLk5uU6nEVniifc9Rf9JBxur5aT 51935nvjHzruqoEZ1nEQ3rjZ6NhTG0uoAkmT0vVP6xuB1hJvlPe8GM78sBpyKMtb9xzcUtaizSsY YzdzWk8K2zg5h7lUufRmLMsLb6DHP23R4NqArM4aU0lap64ZK/G6QVgX2CEgm3RVpv2cyIzGRESb FJuK8lT8jlo39S/QFLAHm/jg7bATYXpNp/k2QOu1XY5jCJgVLQtZIDDLNzoW06i0Gl9P2FaE0w2e 7npAQBFutUiT4zkoCgYBfzpJicSZaQOqYCcZPA0OSCwBKT3XGwRZZyi2GdBQpgns+m8s/aGnH0yX axQVE/wx3vsk5bhNtaqgKXUyHiIA8+2K5oUX/Vx9gQoKp1J7TwMnY5EUdfJEUFL+nQhEhdlCWVdK tokCacez5IXo5qIKVyDkZ0ib/7mW877f9nVfbR72LERJiWpeOScncxlY9QriJo6UMN+Ykmf5+KYi Kh25+TV7v+ilVFHz1PiWGNTo+w+80vWyb4vYQOAOUjyOXcL1epz0C/KWPJ4jDkFstRL8zMEqGG1U mpi3CDTkEm5hpfYZ37cAHjCwyyQZ3Hh/UjQ3Hs6/q61WxlJUrvpKkZBt/Sr5ZNXo+AhX5ikiPlNu P4YQrda1Bl6GoCwQ1sDZVe72ORKPMSYfO4Z6NF27FF87ABqe2Gcop2lZe7coPn1uO+cgg4Txpv1H mBWcyHJ3fRQ/Tt2kZqjEIp6/NcfnmNxAqJmfN6cpi93Sn0hNmFJ+bCRUbt1t/ZWbYDsDCD7+83uG rygjdHn/rg9y86L6buBWSm+fuuY75L5yxooskn7oIFuc7wMWgAzznm6N2W4j2CSNESwhBUPYzpGV lfOlMBHFluUtSOFJMEBakTeU050Ng/A4n0B6hO3qz68g3qqpuzcc9VW0ZHQ3E9wTWRabcRzONpJR IRYcm71XWPZJSkHf9Il8Dk8YPG0NNglaA5ewOtn3LmgZQ10ocAC31li10MV32RrmFI7Vey8xiDu9 ntYtx3p9Szs2H+9dWaUyEiDWoUhKBoU8nJnwLWP9WPJ4GgjiQq73Pfn8Y8YyPJBcCqkt/eWF5fVT lMVy3cd3nL9J5T5U5jMvfps7LYY2Pn5SZ8N+uykAfHbLStQHW7VX+gzwuPacERYvRQtEJwbw8wSO SfgSYDDgMGRYivL/zFdeTtxcAW3fDTUB0WVq/Up0qMgIbi5joKUSc2RBwqUGq2QTlpQ2QZjPz8+X AAFLS6oXx+7W+fE2qapmYRi+1xaTNwiOTTDYUcpjqA2c7wq9XMHL3PbmIrRFexmBzRyoP58vZvmC HB1hIGltiF8O3yU/V52CN57dERWfCw39SoapqVudE0GdjnKzfQFbp73/fH5I6cpEKTgjufR8TC0Q 48k3v5dxL9BZ2+KoS/rxBx9PvMXgblq58u2vu2+1+DqZDvqYEYfGwK4RgST4NYwBlPdAAo0aLhMt WN445sWcyV1Y+H0ToF6u/PIEprn9OxwfYbWbG5Glbg6jx9+ANSMW0BL4VY7av3YcnwBdKrPMy5VR ckB4Z3QRyFZQH4ovCLWmxNPPbTFqwHIQjp7Wccg7Mt3XSfLJIwHxd7CZi22weQTT30/X3cVRhi8y ABO1risqT+iXjqoV6+If/s/K/wUDECgAdHEP5u5o0hOI1lpf1yFzpIJdPZLWj+tnp904CjDYZndQ plxr080KwU0cyVlaP1NMM6EK0Wqha3LUgH1/qDgQ1RSNVop+aBY9yDRh4ewECfTU9rZx8txKNB4Q 6bSk28doOxS33IV22BWpP/YIaQ3jyOavHPHk12vtUs7VfgppgAH6jWKk7RqSmLlwpMOKKIRsBebj ufjmc4cwnsgqUHkJ+Nr0M3KGyq/ps5wL12+1TSpwb54KiqLqzDt8+ioTkhzPv3XXGrT+KgJxArrG gban3r6TY4TTgRj3jDnlxq6NY7a4iPp1uQRoMjvD7TZJGj2oUshnIekkI6iZ/2t9hr86zIBtqppC xfARMx6LTNWL2lkUBK8ViCPGjq1gPoKreYbZxy18tQphS5dST4piQyFFOAfgaXq/2SwdI8R55SW/ 8tF/iKuhfqS70QbzDnKoVVKsa8vKpZGddyWG9TrMH82mVLa1x00EqELmfQreU8gmcRSqu/JSyh5Y B7A8OKRUxoHVLfmXR48RzOxnElUNOk9K8acwXX/J9dtvt3Et2GQAcWxxMlcnB8Y3PtPCXdpmTFbd p5FeAqFN6Oe4SVt5LOTRqI+U8abZO6/4/JO/tIuJ9LZXB+qPzPayTAaZ7mdzloMhvHF0RV+UiQht oMDgpxmazG1j5skCIUv2RSiEszkVYz+hBTJODx7LESmKsdXXGH7cVx1xBTrzTItxYGHl5fzixiNS yqMjPqZZAK2GJ+0lar+Fy6lpgxIMQLtDLBjTigDpF3oSc4UJ5fiI1uFmZrgg4gIBvczlAmEzXFeY miZTGSyCCfto4vlQK+4xW2jOYA0iilWwRKv1PeenZeQJCs4DSY0uZve2+T1rBcBSDae7E/T/3nPY hsZv7SjoXdXffNTrYsQ2sLatc5J9BDWwQxlxKx93HatYwO66Ic9YU7vOn5izW2h+SpalqkCWsCmK xBVOjatwO+K3UKTGqV4JPeUyp/kP0TbqZWFsdzMhaWU75Vn037At2VF9OgAAk0NK9X6MLWeF21/I QAJzDSIQPdpl8rAmFJSUfxN4xnxVLfcB45ABaM9AUbr2dnpJT2V+6MJWV5nwSXKplyIkp5nuDfoL DgsNC/FFJ7hEnILDjbYpaoJ7V7wpeJrQo2P60E5RY1Ljh2cOleZbVaQMNfnaOoOXOK3bPQuEG/Ro asKyBK0KwWAYj9peyz79bs8Ado2c7ga/7JMhV86r4Q+kl092sZeokyBvnBUKosz3n8xmbl1VhzRW zbLVEpK2a3eZjZ5Obeit1TVkontjVcrExPVW1FT0/rMvtDBzOnRzUHK5243Bwbm0hVcH8MxR46Qk van5JrSmd57We7rnw/2zmwmcsSTyipOz7UOfCnffwlPTzs4xNhWergnAY5j0F4RBrVtJhu5/0l1N u6NOhhRklpUQYjrBvJgzN8CjHBaZQFQQ/pGhdHJq61TEG2M67vqXEQaJi7qe75CupHSfHmOsM3tn 6BWPjSGf/Ad2FdoI1BaSRBRx48T8gBFbtDf1x++3WR3E/nC7uX2tqd3uJw1Ny0DZkMaSStSaoIaP IR7O+YlsOyD4AWd9dlG23fqlgnS0vJB1yJ+1qaePoD32KpnNNnSaBf/VGRgGMmDG3X7ILlSNqfwu H6KIMXUfxpGSiFVfCYeWKO0JG7Rhi6ABbpfzH1pMUAhRRseNm3dB8PLefGYTtQGeLyCqAIyAEejt aBl2ShEEeZcf6DU0nTblvQhJHpw7L3IUoBTJ7FDqBV/WK9jRpv4J+8lIvL+ymWitFEDpEcenxr2+ YOieZT5gaEdWVvm00wHnkDGBfdMTxL1a9OFo0tLVGzJp7mp6NfWwMuRSvWkRntjlOWHJ6Gf3//rA 19Vnl4YaueKxSvLC9sKhL/SDTzwesqts4rW1tLdqtsb60ppN7+YUki4dfzSl3r7kB1MKatR+7AWV GQQ2wwIevnI30UssNrQY+6TzrS49HztzU9TqODt2aVUDpgc7UK/4KV+iYm/MSHbxYPdDoUe/Bx/g gWSNzcofc2SvxZ+3OAl6eYH+C58ml5EqpvGKaF2Owmpcoo1jh0ZriUr3Lwpv2/Vf8TEAu3rbyqNr d59X06gKPQB949+VFXyyE0BVUXyrj1IiK+cnK2NXJpRgLEgaenJnxkJW9dsBKXNRQxj5GpzseyAV MxJAY2Sa1vRCd3AtVo2XP88ic524ESoghJEAzKVKp1Pwm6ttzpOpNylQSUFmmHKsDYKb+tJjtLjn r5YIaPXnOiBs47lBuidNI9irX5wu7boTNtHqgrEE/nOwGqZPJOzLm4neIVk0TT859POCPq01RtMy 1nEemmpz9a6EiUojK67/m6KBHC/ev2WKiyu0rpv944w4S8iXj3z1aF1ZUBeANq+sShlyUfbnjJ3a 26hqJZAebh3cxYs/hoaZDrE5uojK6Ckx3rKPfTsQH9PbNX3C/LDkE3AgRbDccSnf6xHRQewIIah2 IMfqJ2G8GjUTR4pjZFRZJo741D+PlklUNN/QI/BwNDE7zGQ+UPdnyRtycrxPcRBp3RwikEIPulOW TeAnzPYkUWbgO2Kp30jBkQzOn0ZSYk3hu0E3C/f/AVQuO48aYgfWCcakng4AlyhxKR50yBldIKkN rVkPyqpSyNpZQTuzF97M7E4o+SUYP1HjySqLUBfLGAzmU6SklFVavJj1BhlOXSiZUBJQmF8mENv/ yc/PEB1KYlD9maEq6CUNe6vzs23a80vhyW7XbSGXxIlkiMOe2gIxsDJIYN+q8ksvkh+u7iZVyzgX dDHRUiZ8A62VbitAUdVnjhmm5/dYUOzsjFU6SC2JHuWTCOja+vLZRVg1QeN8kBb2LVZhLLYzsq6p i+trkUIA5+QSIBatLsaN11q2hZwkQZWFrrtpIVBysexzBusOrndT7x75teGR0Om9CZP3lKYnqz1E Ufckk0rlTUAIypAFgMkyub90avO7Bi5ykzMhInjxDwocD2NmuKwexARYqkWgsJIa8GAy3mH37L9l hX9FkTIrI4xpdr/17gse3MIh9yKMotaTLbt2vpjrje4Ph3EuVqBlbhCk/335sz5SmYyueuvWUkdE j/NMiB5qb9GzqxDvJsu3KLERQQU9pbOxFD7Rb2GlU+ZhhYkhE402P6H7kYq5g51HRMwa8Mt+AKT4 U9ftBHj33OKsWm6vIDhlyxLF7xmLJ2tb9k0mpw+QTj3UHtN+xMR9yWicfdog19Kkos4/nMA76U4t TWS+kqxfiLqtpvzqohb8z91dZM9gEh8ACvbYtbp7tJzO/x9JgChIJnRaodYb8yk+kscTDx5CTQMf WSO1K1206/125B7ZNYvoVpthXsIQOCk/di8nKL9/XklP5x4s6FETtmqKBkeyZQi/MoNhdZpl46A4 TNW0EsKsMdTRBxt/0gfNGzh20wCG49pQSATP2GLwS03WVdcIAYtXKqwt4+i1ISBvXET063jvS57+ Gn4zqVNpu0UObDJWHal5DHD85Xfmvj28t0tiu17SIf7wLY0rUY61KJoBgxFrsyXpDIpmyLdrLpFp wdGbCwUrfsEJhN5f5FO3waH/954Z896LEGckLI7RPSIiROy7002fyFJ79UJKOc3V9oSpw79nGqct wdIe+YP1AvTMKWDKXtpFaPDyrT2/JBLjSp7pYs1crwnW0NZWxAaY/pQNHNmAxvQ1KCBp029j2vAv 7jPrMIhZfReQvgw7YSNc96A1kTck36dzzMvhGFFsYNpgGzb6mBGrBxxHcY4bvGiDzHlUUX+ecuQK g/lNZEObhvtHMNI9Faf7YRueA22xAGPNDjlHaarzjUCzoe82yPYpa1eOOoqj8xpRD8u3CKKSn0gm Wnhw7agzq1sAxwLlAVcrHe2zZkIL7uJGCA6xUcscznT9gOHWRB5Iu5tH7VBTKemewrEKYQgE4OTN 7OVdfSQumPO8MTzmKNCi0KeHpPua1gZtdNgf/tS4giKb9qCC9OP+3TCOJ48IwJw6GQe4eCZosahT kxe/mAl9vnrSlcLGAM5FWnLeXJshiCLu+jexM7YuNPzJnVYU11cc6hpITMe7sAFbQS/WwkWQ172+ ltmsC81LJ/pGbIKrwA8Z0dxnJuYHjaGovBnwkLULaSdKqteOsBOUfAoRcHR2a14RHpDrlgat9X/c fMX6TX5IUWqdulLH2vcjnZhSLU7bQLAxn4TwNfB7Sgj3VYRSioPveHJMOeXWXDErbkylwu6FpRjU SvABLmNCKdONqV8G42UX+WIshbwYAjW3+rYrlmkc6BaOKHP8XWhkEMTqr7ZHUA7XDL/o6pZiCQA+ QSJotKP+qibMFLF3xXsX/5Q7tj67odge7iHV3s+l7ebM9vSo29VvcqI7gsAAMZGpVGXE9iUR3v8u MogI0tXdx9KxLR4RbAGlIsfMGrLmkDnfzMLK2sy7nv+Pwaf34XSzTsyvhSU/vQzyR6oh4ToA89xl a/LlyNSNN06zatQ6BaRnpDxCCK6lBgmrnQlUY5AmfsVqlXCpXAVP1mPLVZPz2SeAq62yTeOiLf2E dxfVjjUGqgTvAtWd5SFnzYG9EBUK6wWgURac+rnuZE5sj9NUgQMpOZZk0bqSGUDlAQ6SwjjAflB5 HDOOCHh46PkFiqEohbY8+ITHUWlH+hHQz61POQK8dnF1jMzGAs3p5MGpR3J6e1SpKE4EVvcKhBEN A+lVIjye5WSCVo5Og7UHpYXjQ3u9JMvS4FAzkx69la2+zYsdlqGMwW27LXWWm1SReNCY6N7qS2xb xnPbLYHguZ79/ISTsKOhfHoJBwkScKjW8kmCIkVUc7nEB2O+QNjnUlb/6CVLswyUL1NsRIuE7+i9 5XozRZpxGHQs+DF5mYMgk5fhVYAmJX+/3hRgYEVmrc2VcLwBjbHClDrtLBA4HfpWFKPGIhW4bQO+ VUKbL2n3wDRKpVM6yBLNe1itTmNSpQkv0lt9KDZbly/jZmwWDtoa3b+6c0aDj6y1eFCTa2fUcqB4 uzHYWowtfrl5ONE9+j3T+XBNSTD5yHPQRKC80vrbTtATH4SrAS2f6wiFWt6z1dND6e50yP4WQ4gg q6In12XOVJ2RhnfVUUFzqcyo4zYZ3RyX9Dl1ZmiY8566sMwMKLc590uJIhWSleWNavBsXXGVEdK8 dyuPK6Np4Zh2YbU8T9aIVqT+cGLk9rRhetRqh3rEfjY594795fhrUD6PPOxy5sfu3ZNp3WoON2B5 GFq0Zl9lxjHoUUouKuhtUmBK24KbowbXMmawi5SBlwFE4bOcbnopzXlecABFK+Uaz3pgmWJtJ+Qf uqQtgQRpjccSkNQNhmDirts5QeXQxfIh6gJSU1mIgbUQ5bWiYrmIf9idS+dCrh1Q5ga4rtfzYmko lcwAwFrA0fFhvBQGDnQgHugT+6FNKKzOVp2YDWEoH6wAGHMbM0opb0tRmpETqgY8z6fOUsfyFZ5U wuGaRyNnXzQqgWi/Wbwf8A2EmbKO71BErJpN3R3E00GAhWweXaXZ9877GdygYUoT6db4y7wHfagq //IMy3EEoWKx7FJnsOdG8/D6tpCYHN/Y0DTnQxy7PBEGF90tqc8u3aeei00D/VeD6v7ftPuP+fD8 xZE7PL3xrvpaOguGpZM7Q2SfoEQaUOqIiOG+XjUndCxDZu0slzQ9sc6xDNBGbj8OIQvmpWw3HkkB y6PBVJqd8yoYUOSuQMsU141BiaSfYCMSGRpMtlhbwMh5q/HYmI7gK+NKaSwbxzJxQ+Qfwnb7UQis NQi1bR1otgtr3c2/bgwQ8uPyMkfCY2Ki6eHQE1CydBMt/AVHSpyP0C7J+firrFoI2E2knfr6y27E OgpzgAX+z/QZ7FtD5RIUtLyMfKptWehgQIYw6RI45u30jS0EWvxEch6Y5ogCJeNrleHDsdpCNdMZ NTeCxmUlXfh0fVkGOlo5qcMQmRoumlDtr6AEOIkaxnCnhSdzYH1H95dvN7M8F5luw0kreem4ZDSE W5vXJQrxx1JhS16GNPuDb6s/Qx9s6tTWM1T4D4MMtAoCDWCUW1I+1pb/WpOWhhVTY3TpIwWlD+Rx OkclhzfQ8ciFa4EbFypjiIcT2sKnEawFy9bn9WwEWcKOmDL7/4Oc0ILI7IOQvJmT1W0YiamraKGM 718svFC7SZnnzsv3YvsXRY6xwFO2Jby4QuEfOyIykqxrIeHz+c/0s7if7bQPZILh1Bk3vtNGXdT6 DssxbUNLFL+Ky61WvSX5wHNpvEiksb8/7WFJeVWDV/4P+vUIpJi05LmaJQBsi8n5Ev5owEH0HUIy uU9CvXNV8ySG7tSkx7N67U17XDPURo0Nd91AaqAHkRygYjfBMHbmRCCGN1B4pzv9SORjAVBk5ku7 +7FBelhFeejOJ/ydtsGhcS/SSTPMUbY/zUq79PFb8DoKr52eq1H1+JhQYEX9ooH8HmiUBiTdO24v CcNnTJdWTHBomCZ7YYxbkXYQQywWIv5WlSRHi2w01i11aWrhG1K4bWxNiwAAN/Zuyfl7j4LxEbxV gSgKufK7S720SlZW5A6TqblXwnVp34wPDccMxRCuq0MQp4gwIkusCvVqdPfjZKNm47atNQlVNmGk 0TH7zuwmxhlXX38CzxDI6wQYcK5nSbUgWKrse/EQSm4sY+kiSjLNFUog5PU0vzU8kDGlhYLmxv1D gw0bbB6qdVjvl69oLxlkOolPCQa5aohdWKOowMUDtgIS8DFO+NfCb5BkBGqixlBFqQdIDRxK/XME 2Cc2YwCXLXzVmpGA1THUtiJqQVMMg3Sm+KDG5mAUU8Vbi1wQkM2hT8b/NWyL9V36pRc/kitGwjho helqj0WgYmf2jjSgtazL+hUL84r0RVWueTm7sIGOSK0NHLuE9KvHVzA9bdHDQ6qc0ng2ZaCHSS7Z i/CyxdnVEAESxXOutCv0n9zcVQ5aD25MddCaDbUob4U+/z9/rANGd1hJT5XmH8euYECVXMw4bg5J 6FuhEkQAabGr683rxMD35TM5DmY+tLHe6EvZyyKei/T6DHnbAN6qf1RnemUQDZvM8Z94SbA9v/tW QGLyHH8DzjOxnSVptCbu6IcDsO9fXwssGaPptrLuBstcb7x4rHGywrvukI2dJqrTNs2dWTzDWYIE CZa9HLaRQP+lumOGrLNa86SqlP7CW4qiQ4lBNtbHOTqInA2PDLL2n310czZ2n1NblbA2TGkRd257 4T4lmlrmkp9UYhVXIwKGMjrSzlINVCwYEHnbvp/gzjov4SZXaD1EmNWnP9Nz2yvrmlxSaXueAKOe 2AnySxrF3zp2N1Rvcp+mpls9mbs4qM4lv5jOLaq7tiKQ1tlBhsiU+IKWK3yPHhdB16Li4UzQ5Tom IKHcSnk35RIGCUIqLsmNiLYbA+mUIxTFTRUaBefQfi4K7uZT9edXzu6SSBY0pBeuY91k0UgU6yt1 3QEq9/MGaw7WlXWYjWc33849BkcvTd5EFseZzrpsabIHZJCl6PKpuuEbSMfDCSBsicB/IdNfXIA1 P4XUhicfb3UYbrvc52nQlDMZ7TIqpbGBAEgnHLbJTxTBRmTYLa+iJgTKieQVJ6CALkapaNPKjnxh l87UfQu8AX+/Uq6Haf1dwvArxfU31TjXLkLkb7cyt8AiNx424Eqdq8tTDNLk64aYTPXNMFB0f2mN ow1hx+LiBdbgMcc9Oaa/ljZ5M6jj9OW7CfFKiM0YqpeJTslA4X2nJ1cdFyyr5HJuxQ72wYXMt2Am MlFGMQHuPENrr1MgvoIx7i9XsAZ22Q5+EBoRpBmMqwozLJpBiiznTcckqg6GvYUJiV968klX4Sjv s+1+1K9EIDwbCp9xjFTxkR3EURtvibCHW0McoBsL4YIPdb/Egx+1thpCUypX4zxnmYiHQTphhWH8 zZx92wH6YB12xcH9ioWhNhsVr9pt9jVQiNUgW5UnApjO/9MKzhXYtohHzdgU7yZmj21IsnDgRzX4 Nx2EA+npXK4VSIXy/+CgdY+/IpFyqCokzYr4QfUy8hXeklI+ciyZk7t0qxSOVR6w75j2REE0mkbD oSOjM4qD0+CAdNWZZD1AdWsakP4xYSXynJbS52Vuk53LFxeXB8dJq3zjoQKvrZCYz92VxiYyXAUD 92p386hEMsX3NHfw8v1dioRRAWnyKZIHwPv6FQyVVmGcdlkkf8w4epAmhp1MTANAXENO3c8QnJHI qyEvx7AsVsZjTeoeQYkaCNS/KEEfgiQ3b/Q0XOOFoDB85DfS4Ji1stg5Rg8QakcikKG9VUpW0Eay 1hR6Ws9+O/xbS4bbWmmqQ9CPLcY/6W5WQSVG2QPsYk2PxYwuLMscFi3YkqaWrHbHZYDgPjrpfLvA TX1O5ZhV8Z1qq/5F/Oh7iSw1Zeklc/yF0VyrXW+MmZJeluTJB+jv4MyqAwON3K91Yc/xDHyDHKd5 gQtJ7uC3pANinHZzIniMbON8LC9UFlFSyQ5SpbiMSQ9hdAygt7ZgpCc5wXa9W/fOakB+dDOVvTUq 2SZ/KPusYdpb3xxTu5Ox191sVmYXRkE0IwGTBiiD+ZfVwE5ln4+UCgUmyDHygWFWq4CnnLHYDpK+ NbLmxPEe7uuJx5pDUD+X/zka5wWRLfsNmtABhxBaTg1EvLsffjcGywG3ou48Nwy1ty4tQs9thDAt lSTZocsq3qptkQEWFL/tCd64bEu8Rvl52skkZZ+ytHou/hZR0OSRSZ4a8BYLb80FceUssBQiNi78 LfXaElZznMYt95F34j5AQU8N+TXjFFhXyxMFKGrsnEBEFNMqAYKh/3q1ShcZdPKCmpXkL2u0lz0l +SIfcvg4HANBXAE2jDSe8DMq//hZpPH8xDEEMC89yfKqawuoZD3NkNB40o6zuWz/BeLhVZ5LSxG/ Hkqi2wdw5xcWK6jhcZHINpwOKys4ujvcIgG6TCijz8MyoZeo3AT7d4+cF5BniOLdPDgk3zymQ63s 9K3CnKJAvWnMXYpMFkGPOaja3NW0I5mbaLCDMjs6gJXTK7+RxEwXJVk07pRv6Uphxi9CX4qISl/s LYJbtLaP6N1nORDoh5XtBUIyZvtBrc9Ik3xIS8F4WxWgAbLJz4AObZuWspsd7k2l6v0i9G3qlK+u 2E63Uspd+UAoPbGFtEuNC2DApCw783XgNZSIfg12mM826BcOYhYGRjEtjUHyVG/egWGNxXC4q+MY Hei9bgPW5CFk8Uxj7LQGIhNpzEGQAAl8XemO70NgNkLlgLX2qKooijNx+6Rt0I+Zo29oegnHFh57 IzYltLjui0grxrqnqphEJN2UmqyhMTh2J6nt3lRdx18vhRidljYEa11dBece/zMOkpHjo13jEeQI atcT3LpnDmr+eGL91fc5GVq/+AmebY84+ZEZxS0EsyXI2OCMxsfnZg8rZYFC0wWUmvz9PvoRIIcC XfrT6mq3PwW+F1Y13Qo6HWGWmJgRGT7dZEoSqxecD5RsEQIHHojOowea9LH+JhiZaCButQcbcqwv vMy3DJ2/Rg4I4RcLOSTi67ld9TRuLCG23GoEiddWv+x0RvA4/LQ/Gvp8EZ+eTA6AXhb0QEQqUHg4 6WJBzdGjX38fP623iR8gkBltDV0STnj18poDq2fZ5DtcisA/7mrKAqxdlW9/2djEKZ81L10jXC4n X7x1QFyia3BYlmcrhJDyALMUTkzDcHWHR8g1CIPh+X029Zqziy564XzoAugrR9WBdnPp/daU4h+m HnifpEBPEdeqoxFNBl/Qsbwbax4G95NsIvOhQS3IMGBwzlUvoruYf0yy57NdvnjaKW2Bj11TYHW3 +tf1blrsEPnoGBx+2RRri7FYHfU68ij00m9Qzi6OtM8kn5k9lwEWC/FjLqUWl/nRJ0qjtkj8LANH cUkc2nKOS4aToGjBHcePThaS/L09HKLA4wU84DZKAiBNPtqjjRrrSG0yLbCWq+P1wtURTGIBcoRy lEKI6ty8NWnWL63byU18aHtChl6PRgjlP1BEWN/QQ5m7Ook1V5BINRx/c99Deuqeg58iabbgJulV i4BV4gtUYgj2QmqkSFHh50oLxdRGZdxJ4EN8oUysYgMbKHSINUpYRypB/oGQrkC7FAFJrwZAOQcM IXmLd7GuY9y/vWggxHzgUL5jR+2ULjpKDatgMAdIN9K50Nig0End1Vb2qP7Nddwl1+LxnIOeNVLD nC6j5oZ5ykXZ6wJkS4xCbkVkgCjWIHjGzAiSX3ZRVtFBMSIeZfEKfzSO5OI5d4VJOU+Px85kkzf0 fVyQmWVvs16acRKtEMaLYjbh4JE3MK9oGDz1bjmMG38u21aod4MN/iMF50cXCPH1lsuFllJobYXP or1FjGo+6jRxCuQpPaSjpoeRVFoYr/+xbh9tFMGY7AyW8cm27n3x34rqWaIlgNCnETifr0SNoAtv Dg5Rld0w1+c1Mx1UsOiNTEOvGkqdESHAWa2k+n4/l7QLnQ0ofps2XfrZEpFYud8XbLgl583er7NP 2v0FiRYHuibpNK0TsdN4ZrHlE43yLcbK8YDB2GFQ0oObBSuSBtw/lXMp6tCtyiwgZvjV9Fd91ebO nJ648Nd+fIQKPPj2Lk8F+mpPcUA6AS20pFcq2DJ8to9e1tsrm8Fdlncg0iK1VQcW4n+mtVimIxBk 2QIGEXeluDFJ+m8XEFLW74NLpFVeDgU5MulGpDAZ7id11hObHoLRF86ZjcXEOTvMcpgI0ySJ8iI2 dIpSNOShZPtb4GETRnsZhCojEROocoSsyItxQAKd/Gzi7f7V+dFxlMXQh+wgslPZ8GKSTHPiC2Io F94jn93J3EWpSRrwsn1o6aeIZPVYfiUAc2eUrcccSjFDy4oznPli7mfoKpe6SvPz7n7Fa1onsqOz 84rC3xifVsk0xooqwV5JM9H6Cx0rc9OxOB4IrJLRVWuRwMHt2hZqxkr4T8hloiewXoIfpwcwW0Pj D8sbtwY6pnxvgBKJQRa4LpOhlTBZB7ZLpWyN/jPoZhyvOzQX6jjX99b+PDT0mxz6EJS9svs31ha0 96rrM5tMC/3KGILyr+KTRdDUagbY0ZFvn3mZfrt+Wis7gy6hqxV+cPGWDdcqf1NGz5RDuatugdM3 2faEb7/rfCEC6agyMjDK8+hDPW4KN++ouS7zZodcVZcVixPNFXOoaNB5CCfItvlje81ZbVOHUPYE XVEXyZtsW3302VyKf/ZsWsGz1pKTZIVMlFfhGuNi4I351qtI5b9f/83WYfrU5st0JLfv38TKTWxI oBBnfdhB+Ng6Eep0PZp5XuYAOE1L//wGkvLK/BML/epL4oFqhWz1g0NQsyuMzgbrAHleJ7z88VPm eko4UBVO93F+ogtXsbp2WKgOC6bSABefqwuC+4jqh3SSXLqzjTd7q/LDcwS63h4RYModhEmGFKGc 4cmxbtx2Fu4G0iqmJjKN9lGPvX0l9iroFX6dJru6FxuQQi1ZnIzO918Byp9vdxymdJyEyzsbJ6Ih ISGJ9yBOtfhQEhrUlR3P2rfSoxinmgQStOPhD7RX77JMF1d7QHsAv1XzKEF9LHKka3I0e7yOdWjq 88NtnvC2oDcvS3fQn4A0fWJLB4DDogLHHBZ6sP2NlHLHPJukK81cBejUPyUcRlwf/DajAyZk4cMs q/U5Dndd5Gc7Qbb+cDaypLu1bHD5ekzLZWJIXFkOiuPseGOqdv3FaDWOoI964B5wpqGJ6PgLSWCf oyJatvpKn3UTmknWb90YKTs0edFPiKpzRV3DZzXOYZ6GcXVhDodDvmdbqHDmDB4uYFvRex3e6aNh +gLHxXnRNwW1rUOjXLDGD0Aieg26QkLlklcic3aM+98LXi06aeph+uHqwZBHb2h23mHIyoAHr3ch Qb7riKh6NhwCoW3QoFoeddHwr/vp/EvgRvKRV7TA/y0H28qR5hu9g0kfRzIgDKtQDRdoYT56Bj7j R6xusRfuhuKicq4kTiGGd3MYmq0nTthOE/S+HXg1e60U+Q9iJIiAUmdCe3jv03pHnECKaowrJf8B O2J+y0kmvWYXQW+uBI1252ID/zBS32zAkhnip1A4vPqznd9zKo+RuwerylquXmaR0YXps7YbSVM8 RB/uybvd7znNKKiAVk2ZtJJ7gwRo5WYoaNjqeDOUjwLLZReL3h3JazCMTymgzOlrZsIfB4MaqHgE w5YlZhsJNxCufDwOAcBpDOSrgYrhLt4jqZfGV27au0fqLHOVIbxD9UAa/Pt0xsHiReAnxTbmukzV q4VPLu0ttr4nZEqEDuo24RHPTKVrTUdlNIgB/SDa1zqmAnEL+wevQyK7sUlx6PwfEtfxt2W01xI9 5/OwXrJFvp+gk890E7AdBpc/fpxhwPTfw/l1xAfGcuk6l/69xjzyS6H/Qs6TI4rGIKiGlVHuJD+W RIrSg7p7f2AgAp0hayoMwfgXI6AkXctj2vZqho4D6I/hq+bQw92/+jo9k4Cr41etTOykcyqnjF8N 1MThMPx6qdwLZsl7ZixJpWSAMkyyP6Ls6qGzZcGS3/HLO1EC1k9Gki3sQFwJIXz+glKSwAQ8pCM3 3zAO2ScQpI2UO2omh3FoFxRSUZFaV4+6tpMBey0pN/n93p/o0U4fubPJ2VVN2iqsF7CVeOjEkred +TmJckH03Mn2SWD85zlT8uKwCHWSaf8G2cUk41Zsy6V8q9WrRAMJFzUcengwo/l4sZoX+oj29B5f HcJ5oFmOOlo+G9x8QabPusxYS+jX5h6oFw1WGNW4jKmbqAyHbnsnLrAD0Al0FeawOJ01q2Jh7rmA KpJbIb99yk8tvySKUtlsDft2UtNUGhQjExW8C9QnkC5C+DlFvVwC+SgyCV6ZnmWZ+iV3w312B0xs 0pYu0rZYd8pRHd0Z1vA7xmxEhQWfYNy11CuJ7IT1XLi1WdBl9z2qMgwJdkO/2ZNSEPHCHDLS2bq+ l5yYVdsv+1VJjttjHOUN7K14eRq4FDW1FHDQP+PiiOfSxTGbEIb5VoAeN6bHX9UvWfXluPaJJs9K PgGtqvW6DstimCDw55P4xNS2jnuNMBeKwmckZE5+LtmOaDk3maR6RmInoHGTTv2tnUQlnzECtveJ V3UJoGbML8YCZxUTxOZx0uvRxoI6Bown1/KVDeF5Kovlk1snD5S2tKj8BQQ7OQ6LRItkZMk4j0Xy G51QAhkuudQbumx5WaqgMp7CxerIr0NrHJTlW41sXVWfTpHfK3pPuztjijBAUSRMC9ju1N+y8GvQ ei+g7ItfEU/ofyscnD7PtNjTq8SOyXWvSlABVw8/vgT2TxJv3ZNCU5/Na2vw+qILXDVDW31ozwgI UX5oL0qdUr5q2+4WFva/HJ/QRSLQyGuTHYMniYDwC7hUwFn6+3/49JzYm2fGOXR5tzsU2T7zHQ2n R8TXjAlpyXfcUHLczOdgnmAQGVdVvnGhbcKfVyfzeADiw/So+4t7CbFb52gU+iHc8ccgUAtPlePP MHK9SOH1gnBp2d1eJnMVT18ElGA+cYaIyUdCUv3yW/URkXK70aogPK3r2wKIR3w7hOlnNQx+Y+z8 00451r+t/oJTpRnUGJhUUewuMvqYq7X60LhTLRfDDIf+KxpmDLqVr5rgNPODuIxNIPbPuc2qAGtL OJ1GOYQqEw4vzZbDOcZq3xg58ga6g4A7hm8iCjIjg0U1urg2XOP69TtJAwHQ2EKDw964xyUB/wMd EbO+KzRinyg8mLXdgCVFgueDv0FYHoGp7qx5pRCUu09O4bsOg8ugtorqzScDDcsb3L+CjO9Byvhc TEnDzCz+g5VD1NqN1BdYnuj+BlFETcg4XMuD5QV0zOSmSF7H7lqyYKu35GiCmBInDlGeXDUDFO2O IGZuabRQ5uiViVHhVsUR/+O655kj3xaItVSRdi5ZOO++kmGeYR/2jm7XL2BkVSy+bQv0hiR6GT/F JuNz3BO9zsIMYVfX1nxqeWQLynNzHpr8aGN0ApORDBtT9RwLcFdkCc4HV9yA+/mpDLlgUY5cI5xQ vZjpd7pMjmlCPzF5I3vkWByKGgf/7rTWn7IXgC0eDa8FTZYdq3Y09N4fH0pNB5lH+hoQCiAfVFd6 7qpqk0yFuONIUDd3YX9hUL73ctQeHjMXnzH7zGPJ387yDTEVzcT2WHYuX7UvN6Mhz11O1YmoFHEF +dxvb4cH+UeZ2Ncg3WbFQWEfeguB9Yt4fijfEAl+ZLRaVltTCE8Xlxbv/RiAJdNWNJX8tkgY3Jdm wxYydyksNOHnYWcmwa/ZGxyfEz5EDfIxIJeWzFfPf34OE5IN4tntt22BGbwES/aOWN5/51Wg2N4r tqMwb0YcpbMxtBjGn+ZGt6B60NY8sKtRNowMaGr0kzXGVAFQqSXAS8CEHoQN7Rh9hYKnRfNcWtED JP3koyAtu6V1OMphkzPSz29s8O+OeVr41gb27ktvycA8bxz2p2SjjaVgSJUhTByU2umQX3qrqewS Ckus7PLu2M0yrBq5sLHca1AS/M+/GyQqFwGS+6ZUmn2KXpzIllt4grie0x5IZ/q1xRNHMKrcswZt bfy181waFcgG3wiZkk/RIZpuu4AI2StrB3N0dRrkHeqcYUOzRZuwq8ymTujyOEw2GewYX+msaw/3 y5+ozC/klWY1qBCOZweERNxf8hhaEKfEgMuCN0uOu4RxU++VzurtjOFS4mcrlSI5iwgHVkdUCjkB w0bue+rS2xL/BBIb7ncROLl8Fmy1Qdr2eFn64ShafOwWTO/CKsyPMAVdyIp8fdsz64pc9wPC4Cm2 s3GHTPUx1lQgT+ygu4n4Xy0LVWESHFkNoF7D/lGKqwCXAQbBD1oAHxdo9nwyfcKUfRZYsqCUVAgP 2MhZM7kmJwdFg50/rmsFue+Yl6rK8G9sfgr27Q9JJJr+hb9BaGG8Ix2EzX0SRhBIGnZm5GWknmo8 ATHnI41VnGv7f8hoqXzATFTJYKZh8vNX2krgDj1wRPEDQoKcGEoWSN5DoLpL9yWq9UgcfaSDMMMr A+kpZ3Su9S9WAt6Hut+oD+GvWcUtliun9IVDUbmQxgv99sdudyOHy3861oCI64qZsrAH7ZMEhdw+ EVy3ZTfFQPwVUMeUKcaf4xgIIFsdmJti6mAfeCfuvohjx3n7FJKSp3QM/TzKbtY3N4QTnc4M1bII 3+7fV66pgOTXXH87CBOulynBQptiNzoZpePhqcOvL8w6gmWe48suPD/odmgp3gl+reJ+RJyPyQw4 qomIYdPLfRr11i0C8wIouQvs42zxL9e+LWxkqSqrAkg8aTSQSArbVqNIFQjHM/pGGsRCRjXoPpTX Hz3gS71qlHWWB480+3F3S8bvOB9I1S/NNJOezXh9bYL+vCV/jtLhXR8g0LVuPKSEatKqWdOOkfDd uNcBXnov9UFKHMfZrxhINdPbJVSBdG/J296uguTpHeHfpidMEtrsHUi4X9EbumNol3BZaKjAoApT LGuh8ExV04WQpedJR1RbtaT8+DCWQp2grPC1aluebBEmztUzEMzR/frCbBhUPidNUn3Lqci9+e64 bUBcXLi5hEAo1fwLNXiwzSijKqf5CGCFDHDLB3tKS3Vf9WXMlAKjQO8iBcmuB51YSusZrR7OEjHM OusyIloATyF2T5BYmJmrM+zn9roe85RlbR7OxTfsIkbOs+b46R3bc+u+qPwtD380uNe2iZIujQZS Nw0mYJe5FwNUo2uiYTl5gAe4PyjhHIQmqpzeJy6YCGTsDJneFKOx7Jcw6mFoQIN+8M9EGiportrx RIXnsjKFkolz6IcucyQZ0PF7XW6+9LgWzsUvjRqnhfJOc0JHabF/Yp85t5FERQ53hH/xccJaAXo2 UHFq1OBwhoL6pp0c8x7hDFzK301+31P0Cn04TYf4SdJ2d21M6fzxwz8bQoeAM+XozOzbem8/i9zq 1gLCTDhgACaLGpZBTVghZCSUPr8X6rqIS0QrgXZmELe7V+f9urGY2bHVVnDy6L8tuxF0mWD0vMKg 297NshW6xiVK+xgdv/4A2c7iONbdYdRkU7IMRcHAUjH5tGc7QpAKBUG7Arb9/CuqzqoN8yodBkn+ UUQuWDPFMA0NF1n6l0qenB617evnLm+z+s8XdqlBduQmNIKUg79Ra7CeVlTenjC0fxneHb1EdsOc yBi6h10mgtNoloJtt8mgdhqDNkARYIuL23oK+KJPGOMLQ37xpW7GPK6NVCRels1H6jsDIU58H38N 9Hd1l4VcPPPCSDUy2KuoEfRPxHVm++4LT4HML9b13PwJ1FvLre8GJZT+zEyXmPyG9yWM8Koj/yL2 nixjGOSMAzilmzIQ3ifMsSXTCvIiIevasvyW7yIVeyZdHGfk5V2ztQCG13lRCiNYD4Vi++zMUyWZ HAWaUkeUqos4jeSVvBS+QaK1UNr97vTXyx+wk1//IAsCcE8SCdVy+9pGEw5dkEcoNnOSdi38J6BT cKt/MWud97S+GpfmsrDfu5mzwKPOsOT+xeArpXDgKmCN3mVuZ+/eunCwznEgw3jLzCIFxMN4MPog SftrO/vdDgQC8Z46cGFI7zj3zXlE85kas9RapE1HB3n2KVdCb1ua3Y+nTbWS3wiJGcPeQj8jTfu0 FTzWzQxPCdF85z44ZysHqz+DVhr1Sh3BmcTu9UFFyyuplz6ox40i57Scsa2JeHs/XouziUXglo1m VUXRqXm4yP4ZD419AJT/AcjameFdMM0WCWn4ReL2iCZn9C90Q4aGegpZfsv1JC0Piu08HyK2dmMD cJrFtZmFogQa7PXxwLqz7oD0Kp1CNXLYIkHG9vf3Vxz7qDRcM+YB/kbH/5UUcepJ5SZWeoOLxyz+ olZvxn4hQz2UxsQJ2hRuGJaD44Lu9uq6B/Xlo4UOAiYV2opykN0vTkbs03nli9eyn1NkWvJo6d87 TPeXRE5wfIgBL9hVb/G2UPjmMl/PcQO15sdpyF5oZ2q06DxNl1fRHYDRHLhDpJKpvrmD5atstkzV 9j+h2bGf11hyYazUhhYoVKrTwM+xGHwwOlRMyBkJwV5s1WWDQU+cYDIZfog3DjtPoEsqKthWwP/N SSqxbZkqVP4oD9gvkymQqHqJAoITg3/MQiMDmwRby7sE0yJ0QzXt5AKzNoAiMOvhGrCa5XAWkI21 PxmIBzzf+vILz8Gy3oUooT3Z/09PWIkdn+tQ1G4VQhpi48S0hODc7iJGVQCMI8DamPIZ5kMc5roV F4Ovnc0c5tGMbXwpUedzpXIYl1C2wDd3EXLTgaioTo6WWP/JGHQ4nvA48Q1Ihs+Mv9g6Mk8job+h 7Gw5cekFbOH77sbCd6s7thnUdW2ToQaeOw/xWfUkwcn0LODTgL70QlmkKJaiyt76zAD8ILdSlc1H UrNEPTZxH5vQLkd3dBsDIGnuchL/BtpqruLCFnqYUkpTiiULZXM3VBW8U4dha83nRlOvo1KQhgwy cPtJadqr3xuv0uT73sLdlX+GOKRLyVeX4NtYzbER9M5LeFLm6mjsHtolI7wxGyJjYgHXI861Cek5 Z8nnZWU/xLkc9+w5gbiS5i5GBFqw0y1u2uaFeoGgeGPKWVCJkO0df9arH7PIlOQO9B/XtrByXGO4 27d9ysbqqrbXbevWEqS9oo7EEs6NPLpyBj/PnK5gujgiBD6hlvcpLPdAQnCsrEwYm1u8b98Wp0u8 LU9C/hKhsye6CfbD4ptPQp/GKZkRByQO69223A6L18mDD4QqeG4KdB8M1YN6NkPgT2x0G3UTgUor hF/ArS/Z8KA689iKu7AtsKHZ0NPnKifDpe2V8qN3atOlCw8ZcWX6Hn5ojySnbh7MgtoBL5+qSk4z e5SjM3E/Q78eBiIFmrcdIMTNqVmASVJmr9bYldy5iJ5HmBix8qFKcJBXI2OoWgP6bmyTPr1Hdl+m Oal2bYzTNg2bjkk34iFuQf0odeXiVrdPot7f3MGPgyX2zhw+liVCYxdl2bb08rB7ma679VXZvsCs TqoVRpwPf7ss+PlZZrXIPs2tHGTQ7uJ9PfqFILLdKxT0ecYvYuptqhNKYIbum2jcNWjw3BL1P9mQ 0Z0ZERoAHCSmp13FTTWWvgR4Ww6DJesGQIgX+XCdDrHYrECFpBMmd+C0k/shDVWl5gEIb5g9i5XV k78DjZm7pEoFCIjhABLdve/VVuy5FpDsnxmIxZFYXA4Y0lH/hSqmh9pqzWHVFrkB3Bw2D1Cbru+m eh6dOi5dOIu/E9cCc2fVNmXIIkVgGGImbVSMWSoL9iBwVo9A9IoKKLQex8xVw3mSyi4Kk57YqXz5 qbGAuHq2mcsVBPb7iG4KMqUGKf0xqqaQ+W5R74b6xPYozgqWdEpCjkpR1pRkEhmXL3cwENhBlqBW n3VPXd7Q6KLu2luQaB3OJu1sFDsJbF+U5tFIIsNAOuAtl8FBX2En2G5ZxlYIXXXXNdp4DWw3RBff OB6TBQ1PR/FPKpmHSGvW5H3aHW5nM/oI/CnxbgecHf/NJA++1XfsSD6u8xk47DvGlFXQxPSaC1vs 0l3fLH7pD269uqugFzJ0s/wBYOIvQ4rO51MRAElN9RtBYPGMkNS+8iRNSkfgwOVK0PxoI6kBFWdZ WCvnD4quQv9OAXRKENfhkfiyC6bVjwMaRnFSNPSqKqzqn+lGCpOZpYLSqzQKeA90N4ycWeqJxl+7 EdYF+0Ha3XSI411LUaDjqTpV3vD2FutDPwZNAGrGIZZ6xVApPjmIj67bdjMp+68/BJKypVybVRk7 6rLsTR5BadKEBrL2DuOD36BVj8exFzHwS949k8tb9cFNIaRwpEJhPq9jzw7HqaGj2ZCbh5R6L+g/ o6Uh8uvUtVIN+wuhmr2VXQDv42aANfg+pT4C94adqoOFaSidRJTaqSCn/nyPZiV0Dyx/CEDkCLhC L2d2WO10Tq7aAvj5qvKZTktaZAnyBw8DYQDQsqbletmXrUafvim1Ex87fG9iy3+Fz0MtjCXPk7tt Sg55Ya9Wk/fLLF3RcqgQ05qhcpGWmaCugcr1AwxpK8RBNyS1X4Vt0XlKK0LLYn5agog2RI95l04J +0Lk9y3jBUxvpb86/iWstyMvEimvD9PxVVPBzhxmK1Pknd6rwQO7Yvghd4S2pNA62RJDLYGm4XHM YMzP8iEUAHQZMMe+SRppvKzzPmmiFdwlVvayj5mgIrm7gWTvEYejl+G33hrSZgO+ckrO19716UWa 5mADcN82LKoTqg4u5FEuxXzH2vkGX/hhKXkvhNmdc9BYy6kIYrc0xt6Y1sAToETAtvyAyvM4QzVn vT9VPgEMxwn60zznm0flOF6ESJ05Bf+M0BpzDJQwFc+i81GGow4yozIA7sOmvKTHA7pS1vWmqKYP lIHN5eLIOWM2D8qPQwaAZJL0W3S4mdPuetGIUR1Tq4CEn2kSpI2y3YKaSfN/E2eh0OtMpG+b0hPe bHTBYf+xQP4o3T4NN8qrFoR3VubergoyD815BehYC5u/L+cygE/C5wOQyXZZvsMDj38uZQsiP0nw jcmunIAL72JJInmfHQpKaxvThHu+coIjvyrPVlvaIOOJUbh7MLMLMd8bjacg6TQolpiTbbU/GAG9 cUmMgrmNhmQs1nx7/wVHxBCKNWNSiEJz8KrqMkaKtmdW1m4YbqNoJ9sfrGd1y5SEK+70LJbVrQBq LgVYZTUT2yz+2Yo6Vw/8lNQKGv5jBZn8ebCXpGYpklzvK35yY6VUtX4QBEprSP5qRXWzu8sXN2X8 zaj1tct8gOxEnnfQ6wiYFIk/nXSmYSkw4cEOw4z+xsPEUAA99aeqjTpmTK/5t+LQ/sVUYx5iMn+m pDKTfBMRvivFicitgc6rl9D9B4FRVYmxXx/nY2xpcUzMRVdE8ri2Lez4BPm6cmkYXoZuGxAOlS0u J/oZS37vXc1JtPUUzgGAw/wPh6CVLko+f7ZW6sErrQdAGN8ctzgtZe7lZfgcz91oWbwVyuuItfRv Yc1zYcM4wpYCdrZD3NqgMDdNZmOnTsBMsmnYIpMki6ySTxxdmHImfOpUeEWy942E3+9mw7DWLpNN RFPknH7JCuyZcSxNloJMjWKXaKbJ9OFzayqrqQQYioOLxcDeNYN9V7E37KzxniZU6z2fdsEnx8GG y8T1BAAkSXeAG9DH7Qcva3eldtkZkSh1PBN84+Doxns6EF5S935Pkt07ktohnSgdY0wntUXdOMVr 9j2Vu3TTzsigGqnbXUzAeKFTHOxrfpVpWTwX998AH6/7xOtxK1ESHehnKP8/bT6uURaXUvSYEdEa PUknZtjtP/gSl9nAJN3mpYtCzlIqO/NhdpZpeixDSN/jP/bJKWYRoavk56Y7Ups8kxaMeRBjq1nE 72G8AnTxd56CWa4WfeY/q28JvfX0YBjzohHIWMNxfKtg1LWs8A311EGbc4Z1SHfX9oics3WXG6av dr549J/2m773kh0W2aP0O7a+pX9ic0dm2wL4lK7iDb7/haET36P36X2D130Eo/dIp/0vX8bpSfzW P8NTgSEh1yHQ8QcOvPByrUFEvF2BDCD+FqDXkxEBB8H+Vd9as1QBjJMaNyU3oOP1erazygo7RUH4 oj/+3Uc71evcbYIDpXa9UJCHM6zYhvvVlzD8H01yTt+VdDlcpoNqrfMpF5MsMrR5qvoHgixuYQpF 8t6xFOnhwExz3FW/j7l/WzFd/nDBD1x5DsfxRonlY+ckYAI9jIrhdCzor82V7IFPUCil99lO4kTB ZEamHxKsbF9rL1RQaljQbm+dAewhIERZEApBLu1EinkNQJxLWb3X33b0QeXkZwPtuDT0dufNbS+p AMr1qU3XdP23StvUZddCxyMwgOnuTpl/Nl6M5AMa8mOR2zM22LCoHc3s5VKCJcRqiEyZyUvIzWdc oJrwy1FdI+kuqxmNx6IbkIX7lSdBi5eo7buGbXDyPzJ6fr3Mk5BIglYaOaWGSwVrFLm0oY+KOGu6 4JhA+B6+BAizsi6s0otjK/ykrIRlyMvYpJFGXf1owWd6OY/VsZ8QCDjPu2UNp5J/5kSqyCpcwI6r edTilAsYtNzikx0q1t1a+C8ToVQDN+hsu2Mwwbey6z13u1zrb7BY79uXm2x8sSX/pPrjuGuVHfIN 9MsEpa1tR1YdZEUXe/X3lJCIbd++GMNzJbOtxrkrklUSSuV7K1XC1Wgceynb+wBEYZNQRdlNCTh1 BOH0YsEsYW2ggJ3H+5gnrFwmvBLqfA3a8w5Rkyg1zDV95WsRmVvgD1HqanmntOQAzV3T8lfJPzQ3 DTxlsJWrz9pHnd9cy9bXGg/1KjIS7oMwIIKO6WqLGNu4ZZtSJ5sxjUSF1s4HjpyMn+DJ5hgfNaiF JpF07oLzRKzL0l3bSLfMqGUc5nTsituElyVXYjCmaLdaPqgiinIm4Sn0W/nVf0s0BGzEtNFvu3gN XHTJEAX3rLPPhsyHftBRgK1bAzxdZKZQgi2BeWntv7t4bA8PM58ZHcmC0vF2LL2l2LsgSfY6k/hJ TAi0gg/albArYrBnx6AtqSYYh1G+aY46ieYv7Gao4CPGFuLkR9hgdZrAXZyXovERhR6OGkg1HJJ0 +ZfyfEif3UE2vUIF3hZrVqEQ0zWDAsH+ghgijkVTUJSrhidb2qcKjac7RZs1lru9wQK3DfwDN5ch 5jLCDF00Bv5JK9cO59gzSv262jJzyK0SS+h6wWg2MYiCwfwzMybQza2XcccBZ1iLKprAB5dGFaGj nw1hk7/VB1fHbQVOvR2KzCx84dsdpBfbbB//tXz6zHmZ5h0OOZHZXIyc7/gT4Fjtdb/ogNWjjlcC wNxDGuHh79Dz6K/vTy5pVWOD3LjsMBt/9/3A+OVFrL+EONkJXTSg+1+Nz3fMXU8+wcLgXTqduHRO evLW7w8cwjqfGCa0bh8v5fXhZkZ+xjDgdTLX9ZHQ/SAUfPh21Hl8GR5Y6Fl7b6HZzAnX7txtf2qb 7Ft6BwwpAAltlSMohro2WazgR/tqQ8eIFsB4KHUn9ZJI9cJqv6Lvd/LdNNlvmfw41I2ibvS17aea ee4BtWi48L+faqIjEYbvLM4LiQDC+z0RLKsEMgJEw5XF6uKWSQRh9YIbPjXhi1puvdnQPc5xClin fUeiYTl+brlGv4VP2B10+VupWIn0pZWSJUq+iNzU7xhNJOEmtj3TRJ78HNSGFxhi0gGOcQEctZ3y PadFdAOYlLrQvP+BRK38FXgc5X7UBLdVQSLB+Q7QmMGSmEG3OJmudI1zDDMS+ExogY3t4IOr794k EQ3PrENMIwRAL05ij4IjXmht147S6F0I9Ho75hs/CUfdPIIp/FbzcfIBVAxuBEdbYm9VBdU7RLZU wVxgSFScIAVITyqrkwasf0515fmzGDkPH7j63d1kwpwLbEwNx0StE9YcXmAVBYfeArZpEY/tZvbf 1bCZeqojVqJ7XCh8eesfgppgjm9wgsyY+nVKhh/0i/SbF/Hfxd1ZKw7z2s6VS82iZdkYUM4QwBgJ caktTHhsBb+AhMpp/hvKoYipHKjx+iOJI6fPCUGJxPZJxuSklZlbApiPSl6F3Ub0xq0FMzKc+Ng/ vEMrqrNdrgkMyLilC2w8E3M9AjCc1qi21rykBihAiJCLGY4IqOmW8J+yneIraqfLe/ijSyi9ssGI bdIHOXZd3jlfUe1N+Xyp4iAkm5JWIsHaTsdlk1b7Jus5af1YCakuDDUG1A64i9B9AV9lCNdJj75V 3Oblx/rwQF0phU+x5QCWD4fFUnogbVJEy6oSltiS5TtnvGxEz6rDNmXSNsi/0Dv0Qv91z3JWkSAx Esf7rsdGQZ/dvgWUSnPjluVfg8wEXaQ28u2Iw58pzgnjkCA/xK9EvTrUsxdxOLlmsFCRaD+XJu9+ kYtS0dKOsdSLy66Bi/4VxExI2ZIsOiYavhQbVnCbH8cvZAMeQ2VWOw/GkjfXppfMOOy5q6God8Xy myp7dTjuDUANsdTRyLBbUWr2AbdDFdvCBu37M8B0gCqQqDQAe/lkYTcJ6EmH8mF2PN8XYdx9qnzL eTPVfUb90r2TFP/2Hc7pt4aX52WIinj/UJ3XPK5f7Z265oEMDiSTQM0gm3NGSZ6jJsi57cLaDyff +dJ/uyyS6ngmHHtwNbW0qNw6UwYqY48Yv6Bfc/WgLxNXrbeQMEb1LQgFf6E/uePwoIVTpPI1/rVE dEdHtLkfd1jFBA0IVx/sBDYYNxUBs+O3hSXh+NZ7QNyhmRxH3rosWcWuIxqX71EdYK3o9lQ6RPUR J+wikvAc3i/o7EMujJiX1Uz6Ks1u7ZvbiqF8c4X6S2mcfa39mL1XmXn+8whFcEYDVpnxq3uJKqqr ktMGzqSjdC+BHFnR0uKZLkHDMdOA7/0XQW+znPRGHiM6h86JRhk+t04bHco+sw8iERXvT8RcXxAw +xCEhtts+Ad82TmFpQv/RzckkkDkZ6Hhgzws58mTMCCBFBfb3jzfVQxbVYijj+UdMPUbUQ7ZsNfQ HSbsty1XEERDME61V06UppIP0SS+aK6SejnfEj+X2WW9CXS6VL3BDEbP2BENlOxfHxIxEIMG6svV Sbehryr1xDobjvnKKGUdO5+5jndi/8bq+f1Q3R2WF6npcQGDCg2ZsIyduu3ytRhIKiQfpnjp5O4Y 4Daf74TzwB2QQC4IwBcLa1mJeVbv0fG1aCmu4lNrK/gUe156gddDwJ/EWzMGpOcCRM9v2Auk5PZ+ NdEDS9HDC5RDU5ih6AyTomSnxVj2lYRbGfxiaCamtevz6fuq8R+xozzQUhqdAxhTUxNXQUEiRxZb V8huhiYHZfoZA1U6MqnpvwcYP+DIgbpqo7/CU2+rOPLTVMbFn23yLPIExff6US2M+7dh0FEG7ArG iGk7TXC/9ff4chlCaN7jvwTaJtJ6HEAcGKh5LU1p1p7gwvR9DhfV3qUdNxtrsHeN2QQuUNTglBDp DVXOXcN13nsj50pqwfJeN6chfAv5E/U9WOQPEOCaJzW8lhojdFE9vl9wMKNpXYHtYkEPJMX2jAnV WLJD35Lkg9IqW9buXgqA39sSHwqYKapHj74A+oaj5NABHM39YXO8ecbu4lhdlB6u912vaMN5GpyH F5tFxjhsnyFgGX43Hv+P8e46qzKNwAg8pAL1JSX12verLuw15omPtLcX/HY5EZ3f2fGKBAXstE4H TMxck4lZc0NsSjS39M3zELfhFNe8L8lAoaHoXUUSQ89WBz7dF+FvC3M6xx2KduZ8KAg2Pk5rG+KF bopILCAQA4czLvWK+qrfdbrdQurJD+Isyhgdc0z65rKkz7PUfzC9AJ1DX28mXDmPyoakkgqUdinK mlxdDqjF8qWvH2iBtEwBTMhqshYSeSyRJanYbBC72WCuw3s8MFmIaL17LyBJ3HmzvL8/WoRyumpE BaqHR9xGqd4v+BI70VPjR+2HeQaVtJkSdvgxxcSwJ3oxsIhy6DTX9oPl2HquCZvDXy7lvIycIPCj tICYGmREZweKON96Pop0x5jqux9DNsb3O24b+yH8SWu58UEeduReVrBsM21V7+TFz+tNR0R7pfGo dCyh1PwIz9k6MUSjOnhlz6ESoQG71LaI8Qy/E91Wg1nBWxfM9gV2x7Ghfq0v8fUiZ0J8Eh6Cdt7k rnXEtC3aEkmp5KQ34j8hSu88bXFsGJ+AmqbnCCT8ZAnliCIHX2fhpJYueTgMLaRvdBjPFQvnwGZi PCOhNA8Nyl1xiLqMaoBi7nSh7bG0DlFMQMEh+LVYbwkIP4CRG0TpJuw518Oq67AgM1i9W+4zJLAR kwvelB4HJdJTuG6C7UE4XnQo4KIWGg3lbgud8WtarFfwpJ3zEne8g37h+xL5yRsxuU4RZY6j9tau 9zUtAnQdr/5ZkhtOwRouNMid9Q8+qxvoZzKB2sO9foCPj9wM4e+KRxY0hzt7VD6WwohXZRbdIIbY Ci8SIHZAyRDkYw8W2GyFMdHBcz/Bdywzr17YGkQVhzYOgldurOS3m0Cx0hXa4xeVN+p90LcQFkgX W33jzWMfFx13BxyVYeFkR+M1BYx9ceL/Lv34yBWDw6HymrX9/hWuVXh0Gdf8f634pMjfLYTrXLuk LGMIk5WG2Upb7gLFN08Ipyae5dEPbdn/O8ckrt+TUG9q1N4At6JayY4Hbpw57RscmUY7RTvyJOFb UwLg7HG2ms1oSsBOscx7mmjzRzUKv1CA4E9puQJofHB3bKB1nn/cN1uODvLnNa0KsddGizpOlTpN Ry/mOZXEPwvRhxMyfQAiDh/Lc3qVFKm0JUz43zdgrAxwx/OvLtiiT8qsgrpJtkIRFPh21hNWBCr7 bViYPzcxuRHUJUslXXjCWqgK16l3KWTHt/BJo8/Rj9iLM1pGwrTevihLGgLdGQ811Uk9rvaOVnn9 pgRNGDANaQC58MmC+BHLpPJSCtHOVTk4PfWFwd8DIm1+Frc84fm/0Li+kgXl9Rg5uBJ3UhTrLnfs Jer8xoUUpuQXufxg4s7+UgkUnb5STWCtmrGIdx3yEmwGaqcslmm4KkoNtlcQK/19WFJ3OoAkencw YbuSEUcn/Fj/5Wp2qg9FWec5Ni5AVIMX4p6nncUebIfo5UP3rJ4F088+u8NNzK8RtYk8PJBmObau XHv4rUl1F/lkH3dDTAvuuKq1diCiSNYFNk9XLfnQCJt5MbgYxTxaKi3WsnvnPnsQJZaBwyhXycfi eJ78FQmAzlUpW4GqhTJ0xgRVGPbhwyiuhCZBg/LdRNouZbxQs3dHv13/0J+MeMPiqXhrp33fY+PL nYnCufMU5WLNc9HLsm3TSHD2v8B6uQMfhMTGpgOpjGHBlw7/s5stLX+le5LawYq3f1yA01KUM74q aiRSd3iCd0Uih8Pz7ifbFiyBJTpefD/qbsH3LAfenNLdqQZBCwROgOZTmJBiI/ElVZvYsb/0lvMT XMamaXOD73BVwyxJ2kKIEEvtRN90m+0pEfh5XqMKCzxxNCMhgz6VQd5JEP6JhGkW7YHFChBXfWwE nLm7WYnNYAWtjpLCZGe6wdJxMvmCI4gQBpG5HVB6uKhEi/iQW9LIha07aHSjbKHyCjp4zd4r2i8x 7hiZLuaI7/XqOfiOovTsM2kw5K6ZD3G4PB8p5xqxKslsTQXp5gWCKzZHEzYuG7+VnEFeN1kXa4fU ETvkdPnrp8n/uxPimLEsXnJYD3PRC4fmvurN+goUss4KrcSRKRMVoQ/6dwAuyFXUfpWA9uR1qdqC FTZ1Wjhx3C1GOQ0YeUZ96PM0T25IUVeF0NKtutGo/r8edG151EnrlZijTnhagtVCRODJsc43iTgV InlzT5GUE3uRcDm3nSUg21cZ++loiE/cHZzrjZcp5OQYyZR1cO5Ej/jN0Fyehfcs3b0HxyDYIjT1 BYtt1pS6iN6GFmtSFCKL1tn4fY5BofhGJkAwnEQdc4Hi88Dbsw47ZvFLzXebSqwEpe9MJRafwibr X1OIltGR9AlunZHH7YXlCdzope1KXtkeBUQqhyBolfa/T3ClqHvOQ3TmvRG0GO+2DvSm0rQqs6oP 1B7m6bvW9hF0uhCYU7MCGUCXGUuqYRg8vV481yyZZycyXGTBNN2iZDlWMBSUZXgzfM5BhaA68AOI 3/R8Ee9JAPKebO/IJ0FVyKBN6LZGqsDNLY5PLfoNlN/Q9YL6vi+rAnLVFV2dGkTu6tctaVugR3QD Cr/MrxoZReclaeeaMNJv3ZBt8ySOnaHB25iB2kaY+q35foz27BE3KVnpoBcf8hIgrvQQoWJbf748 d7K6XkMO4aVmVmf31uTFsTMRwP+49fBSosr+plHPe+vgxppvo54Ethp5+K/OwYGeQjyl8hjk0HpX d12pst1Sok55PwwX0AwYoTW/xjGwAmL4WjFgD9k0wqNfHuJqF93+/pYYUZWtS8me1hWlMN+XzBMq CPLPgq7WfDQFvPT8ceQmqKBhUDxauykEkww4qr4/S//sJ2xuU+q3IfxKnmyiQD9tpsmkat7Frccd sBaOpd18axuA+/DI6iozUVB6cu2HlUQawH6kvaLIWVeG653pXPS7zelLcFm+jIT4NK8dNs7Ykipp QjNqFK1ZYUj6gWTabgxb66VCTSLhkqd61IAMUyZzrlwbaLf2eUSwNdVbabH+IAQlJpiQ85jqunmf oZO4pojqEoXrRIPj1TEfRTu3EONoHvsyxRk1Nm3kuhX1Flo4O9Kyc/k5iTJvDoMuj49xBkmtaREZ vDFDqE7xrRorzamMxaSZ1iUtQrqTopeJRgChuC23o7Q63RH6NJNAk/FxGBJoj3vOxFwnBiadDUyS KrfFrl/E3hvGBZHYyPFHZuGV7H0uVMIKp/TFMTOTmjm48k0i9THjuPNB9SFkl6jzFdusp+qv0DKy n3kzzM3qb6Al5mRaQ6Hpp/I1+qT9GSrNo07vWZzxXwWVI17hQM6FsmxkJHOlyAM4jcQpwlc4slO+ hP+1yHT/H3ZfxsqN9rfsXecBNWvlS34m0bOd7GT5I7J7rWEOsbP8Wwxn+LuNXPgPn+SnhKYn7iTv 9sfCG2qbQvHnwGLSSzMY75mpUkcrXosHMQzc6HzdNEJA3QLb9JCf/3+obl6TnS4QYP/NRWQfkCDO DPTDO6FUz0FYyVjJgKHYtMpLNYdXihNHM+e3MQArAiSOUgLbR8R/oqLWbumoqonJDyqhALu06uHF dyQlKcVcxKoBGzremSloyT9i9BYJpj36/A2TGbWqUnvaQPIkSj0jTN2xnjFPmi9VnldbS7leZKMu 9fgNYgMF4QEd/EjhOwWyX8nyqofrWTuh1mQsvllm/Aemr9G36EQh/3GaWT5XzRGrxDsp+vFwrbfF KP8G3aOQ2ZQUQlnegO6d/QLsHupwn3lhmM0MKEsUB1D8FJ8C2vQKwBkvJrHn9rs4IDOuOqHJ1L3z vFBC0CpGC3xklH4Rm7nPQbnh93SjR9gWcZE+e8hpPjteDUR+iTjSVzEp4ULA94Uv6gk4hwR+H200 kW8VLzJFYHwS+1WHM/j7Bwl7D6KyzyKFsnmHlVLbHvBTIWkMCi6/UW6ix4iMcoISzYUF0JnOCtKn iCqz1UWxRqp2hlSZhGD/i7gmQ2oXwZLjGFmqRYEmN/JiwK87mfQcty1ujQ2P5ibhTHNoPcUegxP1 K7fPp6Ki7EAnW13SlTH2xA+45zmpJ1pO8nl9ZfDW72RLSAsNJehyFfQiVS7bZFpB3Ha8+bBb0BiN ci+deUtxfCimRiBLyo3UZ0QCKAft2Qio6Ytet0Q/jXNh0egGCBPNH+aG7Wm4z0dhQXE2Rvk8AR/g Fyy8jG9roD47BQ9VeW0fypvC8mjGojMyYcdGyBH5zGlZHnnJcGwJK5FZ1MQe3DcVGQU8RhRmpxE4 G9aneJ++NjY8tppbf8tlXkuvwDscwGugsS2Rx5dkHSV9mX9JPS5JTX1kPgOXw5AeSinMGPjAXC5W uGq5CShnLtApTxSPpaZ0uPj+ZZ6KrP1+AdqP7B/s3gfziEBqQ35GyNvZBox8LYBEV/0G7mW62g01 BEOazBUqPmkLaxr+jJsiIDOUqjvjdO3EDV/SEaIvVlKmloz8CSygknidrVz0FvaRyic/RmXjM56/ tNvR94rSf20eG2ZDZ1CMlsZnh0nKWv9dFVArEml96eO46n7qAaO2PnvGKFjbppKUnoVuSdxYG3ty dLqhFuIoSS3NEpKnjKKsm+RYhhEcgPujRA/PHseeeahRKbZDtvRQ70qDZp12QU3QvAGT986Lj9pV 7dfxD/0eSTZpux47bHEB3KLM6pXVuuHC6qAqTrcro720UpAVX7ycYDmFsixAEV2gK11e1SsLuOCJ mGgCA92oVtWNwwhddDr1HNPaU7BxkWbk/72LjUWxGSXgSoXnmVl9dou0iTToz6Jz227r8jpfJ3OP Xq5hFhwoyOahCDIH+zu5ogoznXfUvOw9KmahCVApj7oWlZ0xCu0Dr4ixteMyOh/34/9fdESt5jOZ 11LG6lwOeXRFxYdetLK6oE6IOrj/4GITiGO1nX5ecMi2txcm4/vIQxye6EjsT7kGmR6aFal96Eg8 U0zI86/CmjAtGc4tPCp0t+1yCu6NBcPKHr3SBpznViALL3eq0kfayh0P6rJnvlfrDlZKL/7WnH2y 3J+6WjnjJLbqmh8CB7j2Tuq54tZpeO6UDr0lZKbQwIBOFo9/xc+P1KGwFkX2eujTp6MIxdXUDjki 2sqO6zy9FXVVNlYcu48lT1OLQ3OnsS9ae6zt5OfBySRbRY2D6mgcuOoXKcjjjRqUItrDfLaLFquv s5khN8iJkwOqo8Bj0tNMS5DdKJZfmEWfrgHKdAAWc2UFWVVoHz88YkNmyLtMHnwx75IrXFxf53cW bd+YyzQD/JRfaV+wN6QC8AxygopN45xE/z0NAkvXGVUNqrb24nU0wQpaJZPQADvYN567cp9FhHNH z80dWNKQ7D8B4nq1eRy8ZiZOoysHsD/XFpKk6qY2OnvxBg5TE9SC0mDvBZ2+20VGQ6bNSzwusuxV uj0l/KrHNiSekwkeDwbH6IneRHkk70OhAVbi7KjhMbYLj9wYibhvfHT1VPysqzNLJxe13sbClGRd +WFgDaGfsYQgogj/g1YDIbT23O9z35Yi8MnQQcgp9gC1NBLHJBHOWf2iQM9ZrmtAcbLAN09tJ4NN 6Iob8ZeDsgUdpacvriQWvzJVXYC5R7Fj5NKI4EXzjGPmB6N6e4Oy5uIdgh8nC/89mP9ZGNUuZ9Gu Ox9lqQkXP28VRnxIGSvWqno6u5NR1dDQTQvijZrZ7R64JDdF17OdnbsJoQr86lt7ZRtXUfZ6SKQi 7WYmu/KnmwDwT+YdE8L8b16Us4VcLMvVMOU7eQcga4n1bp+2qgv6xh3nkQUFF88XAvMoSmnW9AtE FEr/ELmikDTJJUzB/1jzIf1v8kdToMTaNvp+i1dxDb5NtB9mMVWfXd3vZ4IFaSocg2EwSFVEo5Yr nrKurDgBfoe7Rg+3i8k/cdTDrqfxrKY3+KtDYFk/PNm53UP+Stsbb+hAjWyd5DoCuttyqC3W1Gby 9L26NfLY2GWnDVgXF2IasSUrindznW3YMbbWdYjVxHmYzfGzmtOVwaPqst0Arndiaok4A5Gj/ypf 7g4VgHaupNuhMFS9lhD8oszxkSuIPJDWcH8xT9UGMzwzzQ0OkgFCKYjQLRTSMrgmgItBX5WHcqxA YL9aEowMHKseSDBu0Zb3Ao359IGBAMCUvreuvnWHmteMkUQi/pI2k2XhYNj4fjqmwerOjZkdHm0X Hi6cuOAfnjHd943Lvmtef+7ERMpRTq8L9iRmWJBBb4naXamJZhDx8QsupOc0xKBnYz2A1NQHAFVl bjBqh15h7rgKvY0LQvy51Q0nsGoWfQk6yORSh+6urJ+Ff8OSpG4CvPC/3BcHsv4lomcXW03ZPpaQ w9xPHAObUtkCfrstCjsofdYo8zemBd67Yh/m7mi2UlRimmWne49NE5Hg8prPkBlSZV9wSQaqQGSx a1oZLHhZFN97F/iF4pIOkdmL6etuMgSathPApFu5Ea9PC+4z7ac9+Ft/mfVloBx7yQxp+gSmWUEO nyjmIhXjJS7xIXZSe5enT2jj/FNrfn77pKw7M+UcVEvZpsxJZHRhEm1Nrk0B/zNwpWf4dnm1Rall 1hH9XhATN7B1XwMJONKCFARyWkQ78nNkBiJm6qiqC4PPAAtoDGvyJE2lQooFRDg0MP3zkfiKMRXv AVoGb74jOcKZD2ADrfUFam3wJLN+BXZiykU/XLsrgrCnHmpSpTZuZO+i3mgMptABwXN8J4d/Me1y v32vi/LSgp56j5+xGOSNPEUGrG80CmDVKUcUMaxTjZwz8oMp/rdF4j7UP6+Q76EC0HZPPXBkGZYx Bbj/rzQukJgRTk+B47KEQUdW6Je/fN1sb91Pm0m846IH3g2TxHzKlr3gLQ7IkWXAKMfBvqwjqQHz v0l/BVfmnBDZdJ5U0Y5BSLKMj64WcA8Vzab2gL3d+CfuT9UYHJToBY9sh5FpTeUSYnSsV6PVJ+/U pPo2PG3Z+++AEOCkMZvjJGbIfwSuMmRg8V7CsANDKKkPmt0qP1u8STZvJrhMaN2HmRHQiSFEzr8k zhkUMwMw/RNP/fYvbAwuP5H+x59koC6D3MSzJNzMJkCZ5wsT/3ACbsO+6eqb6CEUlNnVxiwHL0uC CbeTTgUuagdlCCePkVdnbRETt31bDTDtoWWxsLCecCJdBmx/LjTek/fmqKynfPjzN5OZXSHXsbvF zAifxqrr9TAWlgDV6oY4BOPHNmmgLcFnPxDudHM5Sblp3i6tSihczxj6xtmi+T1MN56ICtYwpbRv EGwV960ph5V04uApbQDnKKlENNg9crdRpSSxcRF4RvAKuwwUJFvF+U6SrAplUUIvgrLuJPmLbh8l 3nLw91LMBT/0VOK1LJxgPakwcRtdOrNUlCM8mUDMA8AssxGzEwCZtBmipfQk7cERECcxH9pws8z7 aDZF0gfVnOTsJqvsU3ge2bkVwhIfHDIeT2lGlClZ0Yz6SKm3PpCyMFYmWhy51nlt4UIK9E9Bt80e bm5GBRmrHX2fKYHPr/3vL6icBhw3YS+vpKpiqP2QklUE4jPJ0Ma5dCKdqyM9QPFp+xDnlHSaK7WG OkqESa03D4niz0VqKlN2/E53UhMskNhWOyFAu2ThIN5HQi78g2w6ho+uxTUH39vDfb4yQR8im1Em VPJefbWgjkXYNYW5AVyXpBInvMsx7ycIEmqMfd3lb9H9n/8oVcF3g74k0XEZ2vWjG8U1wtbo3R3b ZgWPK7z0qG2ubfVIy7Y3gjlbUTUlGdmC5tAd5q1muWvSrxdxS8XkW08+OMuP+ss2JyHIdT+9c2pV 19fK/4M9aiunrZYMqqe1xDlMlMxUnoR8wM4RDcZIuHj/20jx9ltnKHlCtdF3nVXioLaapzBRy4qp tgrVU2uJZBDKmywrROc0H3XtvGh+46pnXPzegcSLVps7cAt6yd5v/A4Oz/sfxW1a7KKpneQk+3iq MJdmWq9ShaGhBCqh3Vt6PuoH90mMn8QhVa6BLRxOMYeUxtlz/oZoe8KtsbBFbGT2F6/Ocw1tkztO sWmpjVx6+GyeBsbnmNYVc0XTuTBCCTUzGukutOnP5FcZaXbaNosyRC/ExZ9m0VTORuiQm1sl58pJ N8iThUpIH9tdigfVSlq6jEXkDdZmlAJmgkfuQofjM/9Q7Sof0pigcSLnpY7u/CtXU5x9o4To9sSM ra6oweaVdzo4zepOeviwuxfAvxqAQMEdzZFel7vFeM8fGAc1ELETpbznyzqDmsJu/dAsDEdQz+E4 ntTYXdw13O0spO7SWkIrhVFJ2Xg/1J0buJ05Wa3F4iL5ehkXF2HM1dUoFPa2rK23GvvAOCTEb7C5 ujqUoM5VKJKbTNcYst2hJvICk4v2l8STYF3wvsX+QpJTHwPyHzI+qzG7CSPR0rpUjLZsMgZjBD36 +XYRfm+vBRDE0kcN1wzuNQB9jzQOpRBFQQbgf01TIhI9J5FNqjjTDdip7M8wvZsa0SKJ9+96tQPq ZEQdZcDG5S5/tbMXaDkUZcSOrzlMm0UTkqmP1KsZXA5SwI5iJXONl12qohuZEPh1kWrzxCyhII7u SAHqcTWMAzyPIcZH+eEmKxalrNFFIXr1F/R6PKSboehhZfUHcGWnP/4AbVFmNfyat3AJQaigSQ9Q 2QC5vQ+rOG3KqoRbgGa1TZSw9bo6UHNkWIbHbX1G9/3NOhRWus9f4Y8gE7rMCWC9mus7MjvcGyxk grC4onNFSkamhdyFG8bcKoe9PUK5P7g9LOKFnWMtrmoi7uVeVBK1pUBp/GzURojzlP4dUBDZS2Bg PMTikqvCo80Ikm+DIT3BkIxHtIKicBsr3b64A5Jd7PiWOc0qj9ftF/8IvJzo3f967o+jAyoD9fcn EsMuWR7b9SknFZtEUvDo70qkaQ7caLIaYgY93SzKS2WBjkzysLT7b62oOMqBEK93lDULN5M6P0qP bUSWcKnpVzcEdq15RLtBJCz/CVslo2sv73FfFvGcEbkLfsUaxb9BLwY3Sbs1k18DLYgc6wSe1fig M2FPk/qBhyyyO8k5nHYvYZvT+0S1jqKv/N0B+6BuyXWNDsivolanXNU95h1BUXs272ZknvuC5eIq xpv9wuh06/P2caxh1Todu60NcZ74TgFTfTkPBumdqjBSAOO7ujgcKHV4u6K6mfmYdhcO3ZzbIiNr MzRMmUF30937NXU2ryI58Ij27PJvCS4rRn5Owp2KTAWdeh6pwKnY2tgMdkOJrJqLamXQ20QGlO/c dwt7IbvIpsc4BcwEmA9QwQ2MJkNdisB1PGcgGxEza36EOk/8FM+s+86kYu9rMGZkESxzPcye6TaI 7rwuYy6igTLrzXEtfy92o9LL2AS+Yr3fzP3sLSH+lnypyKZ4VGELvi7TEM39sJ75tj+9oBvnklDQ aC2eibIZH5HEmMcgNAhA0Myw8JuCEOkoDCXcfRH7SEzBWB0T4Gr1+XkfFfe3DT4vfkOUVRXSvP5E PYXdXi2DrEJfPFYpTZRtCH1uRhheacylaly2egnO7k6GbfQpJ4dfeG+HOH8nuu8AQrTQYCBCqtFh H0oJTLkRNSYOEr61c/ydYA9M/YaObMe+vMqNuQc6FaGxmSh0FkppHnxdoDNyU1d7x6ccsH6X8xWu hc/WgoYAwcnHx44ZlRVkG7Qf6i2ypl/Fw4ScRipDoliFrYcHepInPQ52Q0lJrKErmpe3K3pPinPt Za7+KJ731aUsq9AUVMYuv1o9PBWRLrAjvOUEYlFNeJtubtQslzaikGQxvzgYfvc7RJ/EzLBBdiSb m3oOMzWEUxWm41ZLfgDiFoV0BFHyykz751+ZZukPgJD4DJFiF2DPHem5CX1eSZUPlBdUI36AS5O0 WiKP8R/5AdW7z5bjYYDzf/UP3T9X11g4mUi0k5jrub0MMULawvw4og1bWRLUVlx5nyjoEAyZn3Zw 2ia8FlkZxawK2Tbg9rnpq1/PH+EPvsdngk03SJv0eb7WI9dweciHXWvzhl4lbuQ0oRxUlhqzw/4j rR4XLXYZWnEjC1reDBL4KSsGWl5AG0YWQh2Q7Akrq+K/G+X8JJxZ2zOqI4SR4bASDj/myTZcnHav USNg+luIndEM6c+gfgoiKd+4pUbukduCQzMAW8ey1WbWevUs1GcPhJvGN7TyYgL+6ZuqYMcPrTfU +zXQGamyUFSvjsmohpctNoP5oZ68zvlzXDeTtK+VYzDtrZbkb1cvCeWMlIE2rx7PP0hCZeRedyv8 qcTtsqiH/OasPH371zMTos+51bnzKgh9tw2um2WX1MhwxbhVr9eqtYu35GiH/1g4an41bBD4U5ux QdpCAotnssiVHxJzzojNoNBuBjIrwWXjpE+k9fRD2MOMRxmUyEjo043/L9eSh3bIrCsmQM/JvERQ KJxGGoC3Cbu58YcyO/yWmpystjF9xVd9z1E/YVINUDdFz8p/GuGavTgghO7nydhvltCakDOmtFk2 2BF1f/UPg6YYtD6ZvWieNBrmkdYAxQ9dd+56G8TNljpZNfXY2fPyeeeHtoRvJCSeskcHluHjeBT7 S0kfui5282TcAq7C+qjVHGBrZHhvfZfe1BRI6oixfd7sGtYBbQ6gKm9QJuWeuzRoRg0MDkyYZCZO imVfVd6qcv1EvPrsJMNhDB9k1CE4EzwWTEAH7ucPmfTZDY/DXbVGTVVsORh4m2IDdmRVCC4u4oQb ZJG8rH85Jh3aWZ2ZzZ7NaUSlmnOOSP2356e5z4lbLfalktO9fBqz55QOXn//JXv3o5NnLyJnZggC 43F/jkpAVH8CzqlPaaHJujTGNxD25tRvHcy06P9JHgFWWw0gX0EzVFbmnh38NhsnIJG/vOJOk4iM epYUqf5Zi9SGJTvjDJ+vMbbztxkexIZ6ZNb5yZN5ILRrgFFS7p2vpONK7AlEmQ4gyKUldb37DTjI dYbv+eHMIBIcA34RDl57bXowdWSEUmm7rY0YYqSYJ4m/fcPm617kp412pVOMIcs47GOK2tQFdNs6 ZRwsTiCxDFB2BTVW+ftOVMoY5vfjcAacs3IfeyaHfjsBIufiw9ek+2854NRcIZitiikzHdqKKvNl kMde5/hRVGZHY2PXjILefCtf2r2KDaeHVtm2O0X1nXyJx2+w987Eyb95O+/cHwGj3qy+bk1MrIZJ kVIUY6B9HIS7oZDrnliFmjR8VJJ/2fCZ0veKwrwp5nTk3ypFjZ3WzTFLyeEgOy+OikGwCQ8UTnLF 53gN5OnUyL9iNNw7+kiWCuSQQn9Y9W1LGQACKNA/oJeorz3E0Fz3vBnnWaryoGYRT42rIoiHnQrV f3grv1z58T73vR8UcLpOcMJAfuho/FZ/I5/6Ybj+z69e58iSxUQaPu9ULmAffiKmA8njoyXm+Kh4 xra2aI8rQjM5Cw2oGZl74rFTfZbUOBOkR6ry7k1jEnbapsa9plw5jwMlcPqWTfk0GXynuODvPDU4 NSbMuhh65Du35lQGAXYA7MshRHBYi4aq6X2wQ2yn5fCPGycgZngmfBpggb+09NTBE/SUOCYBTCcO uoqZSqRYAgZAux8hFIyr2bsKBHTXDxMiW/jtWt/EA+Y2GQ8uIeaZNauZ0rCMsDYEiCfZZOhZgRhE F/zF3jc9tWYHPATkJFe4/pAw7IBc/LO6Cb+Ysniazs9aCNNXKrIf25U9dT1hHdVP4X28WEg03N8d nHD1e+3b5HpJFwfzpoUEXZgnNCwlvFPj9jgglY9MFokN2lyDzw6FFvked0dt0SvskRPBgUUHiLxj mSNYfWZ9Xvxr4AxK/NtiIslIsezV9BR3w+8p6CNcpbmN0gmKIn2wVPULQNfr97ww3+0M5KFkHzj7 5B0dUdOs/ZR8rG1iE+FAYLEgHGXNcH9nEi+ulTjWXS1vJrO9b7yb/TK40hBSZjGOpw4gwDAuvlZ+ 4qaDYs8mvfh7Fp/FRtlE2KV+0MCZ4IHbbNri14DYx3nBMZydsQKad8d34z52mD35ASLUSHRYrM8g qW13HpodpLLaKO1v+qTm0XfxRUI6t8FZd7zdmO6mHSFuNbQCeoj9g5mnpTww7XK/s6ZasKV6Vmsq 5mL4YPlJw2J5NeIHOw4JxT9gHCEy29Tn4gPx65/N62hs34gEV3wnnjJJfGb8f5YYLqABHJ6QFieV X+HuGqZoDmDnWhQf6PfHKWv8xluSUPhvCCugYi5IVEwLr0QdvnnDYcQSj/av52WyW3IQKvDliKnA BUBebDEO/9wS9x471GIrKew7K0KBj1TRcSjfbiIOO5BiDcpd6u4zbDLbRGmH4bW4DpepJMKgPpT8 9DznUjhCCgOwliq4ZClbqwRFljX6VPLkYuVphzuWU1/dUJtM38h45FP1srnsJ6W/mvLnz9fH6Ev8 L6uIqtYE1A96QR+hWFBiSYdD49Z30BbNY5qQ91CzF8mdjFeuQfS1N6oLKYA7tW1Ow0FmTdths/mh 5LNXntbvyYHS4t2iO2MQ1yUfcxjtz/mVlaJfK6TosDFm4/aR9qcTSc5LB/UbZCHAWuv5wT68BL28 /vQ8Dk/rN8OsP4JWUXvU53wkXT6kKiYjTsqpjhY69P6fqmJd1Aq+ljCv0oDvld+1dFV19hltef++ xj7X7HU2xBm3jHjyj0HU723n0tDhFLJnsduolpepbb3UJVxpBEzOZxMi+2z1y7Lgwlpk0R41o3As kjHTnNwSgy7Tjw13jxjRwkJQ3E3a913DcYYqGfBWGIHAaUaT8qD1mlN6G9tzlqnk3bm7JnfYKAlV HohSsk51qBTKjWKfkAbtsVwT5fm0m+4L2Qai7ruB51dULBLxxb7K3CSjw0bz68+VWOrauOGCnhtv lCEw342RQUGllzYtxvU86PtEI3ZyCF2/uy2CeouExec2AkjcQiWA3FWUrsiKW5thgtvAOi6/ralS homK8KYQ41DYSobYZqfSmp85vQFtn0c9ENDF218rZjDR/Z/AZ83uWPWI73pH5GJb971CTiY2VIeI TOdLgV00Z0pZrR138yy4L+Ouhp10yR3ghF8XizCjLSVzwTqndBGnKFWhrYaZCxxC/4imRo2H3zbg CPC66R/ekcU+35JZsX+bqQdp7Kg1DtJAG+2B6WfaNCJzPg4f+skKpVu5iok5WpBb6XmgtWl8QNmH y7kt7f5AhA+TBTJFz6DD2kO0tQlc1GEl88ZQFdsAudbduUQfgrcnVYxfXuuUny4y0VexqsELNlRM VXlshnDnJrFX0PBKeSiTRRDxq7zsrMIqXywXugVa5HIJCBtRA32xlu1a31oywXSHIYEvxwwPizxW Jivc0G+2bBZkH92wPm5DnnCZ93FYQJi6TdKLUz6vVsOPmONBBy3sojJ3gynXNok53wkfpH2kBo7J 71hQ/+cjloqHJpJW9JLxoUhXPbaB6jV6flhys8twUzIPTEZFVJyHm07f0d95iW0Cd6V3tZsHLzPt M4c7Yw94xpCTZTpkX6m0cKuu31ciq8M1h8T/M1au3lxLbWck2kFFTnGmrKdSm0PQYHFKUSLwS6ys /UWezCzhsTggq5A9eIJ7T5MjH0+tB768JtUFzIfDMZIA0Ws4DS2Cr3YURxGG4xQekpd6c1DJ5TvF yYIx1gpT0zWcfxU1TlfTn1waD2nGJF0kHM/AzCZ5DUFqL3CKvXAUZBBIxs1Y2m9vCeMLmKmlwhgo 4VgNpgdw4uANhYJqKRZSaTlfGZl9FWElWo1BxdgdIaebU/pCVhaimuh8rQwdIpK5AaR+r1svk/MI 88A3LqpkuLmW2rPemUcicewygZfP1ynujVS/0Ycke1XfdHq+hBbZZJLDeAo1s7DUecXp1OrN8zJx clBRrR0h4b+P4W9L/tcNPiBa6uBaIjVZQHW7TkL+MkFbgKZhwZDQyVisB2iC16dH/AFqF2TCuTkz duGikPiGxBmMmCCRtpFYVI4j22kO3rKIEDh6FvphsCJfkeTWTBu+NkhIsCJk1sTnD1D5MalAUSai 9UxuR6CzF3yWm3uTK62i91xRiFvPJQQCO2TDb7St6qrYzYOkHQLuX6ESLhVrcpmuPAC46222UVs9 pvPQZj3YgfW8Y5K9icsh6F0rZxTiABkV0BGrPtzPe/XZebiru7k1KtYhewrvmp6uFD+Qp3G7azu8 khbpRniBda9mOxHNfXthCEs6mZI4K52oKAvGQ9bYCsZWBFHq3aCGuReVtBZYsEZY6RmGYFdb6WKH YLUkpWG4LvzHf4uQkdMdh9u6pzYcAVd33Lmyy6++6p7jzsnhTxnJcm1c+PkujcBfoqNEJaxwAwat GRHJRI7uKStZbibBxeaWJ1ecLq9DCP3qhN/lCgZnd+Adjy+oI/lZR0HRPGlL4CYYt+d+bkJ9aum9 2hEo/pbkleHV9d7NeVe7dqG1Ybyvm+ZvG97YNA4Yk1lPSUe7sfrq4jcbfUU6e8X5PD2xblmZwrnm 5q7/C/9AZ7Wiv6QULF5BYph3sBUSkFUcoVs6KptPdUD3KBpKU1GXVhlLv4eA7cukO79YPqoguMKz s56sXqGxV/OsxL8w1G0D9RfJHrD7F23OWFkyxRPH1WA7SSrXEianGtbCTblAOsBFzx8H+rwKXpOM xAE+d3bKQ2fGqQHRYgHf/VGUmJVy0kat2IBPqnLWVAXvMGVQMtFe11xBY61Q4RQfVBLlRV/PBCvf a/SiBFcVzBiqTqwuyNfY2iejavM0YKMP1V/3PwPYPlarb04uFUxTchbpj0tnY4JOKwmiSlWZE3Mn CS7h07XAv/M628YxEMcIkBnpizeRgEsaglu/3yqmPDLbCjS82SxbDi9l8Lk/f/IUNjWBrgK+uk7y 6HlP9h1JZaKI0Rh440GrHr+LaxNkb2mYkbOwrr+mAwl85qN9s9ECmnpp9zBibz1wsh24tQwvwpsb qmFsTdDFEnCzB2Dhmtsg0HKNqKHRpSyH5eaqY4Y9Eyxf+cYiKQZ/DtcJPbuJRDK+4fFLeK9NmgZp aXjpe41/6mSAghNwSCT8zC0SYT8zKfeIPBZcIlCh+WIulQSYhYXGz2iTk5VlW224H4rHLPKug3dV GNJAzYkBMMdMqQ6O7LEUqkLyLi4HaBwKuHhgvbm6R0RYWJYUVvscLsh7WmHCw9tad2A3xdpRFPDB tyFvQPEIr6ThDacSFloV4O85sbmSwI306TgIj/P+ud+YpLBV/hd5oQmUejupz7D+16U962t2IaXo 2WE+RPJaJF/WeJT3//cUYiR71NH7SMOd44HkusycPo6raHUKbra2eVUJfG6WSIqEj1dZ/R7xemYW VgKe60WWM78FyLOFKodi4ri7TPwAIIS234vIRZPJNODHDDoilw4fzjStGMR+Bdly625pxEEN66VU mlL22H4RoL1W+AzrxXXgwTqulnzmqxFxujGta1KFzW2BAp9IyMew9XarEl+YwusNeNvaBeIaLtWP BxjzxAQJyvdVVXZVYelpkYXEqW+8k6Z1Rp9mnYm0t2l+dblV6JJfwRnXTG39ZEbo9lMr0Kh4/x4q YAaKTYaF9Ch5+PKPcuaq+yHGFS7cIFqKzcY2HNdnjQMBcXi8wws2uA6qnVvH1tOTK15iY8r/3n1e hwH1saKbufJdp5WsPl6+/OhBrMVsb5ep/bv/SJmTWnPTK+fU2i4+bDItZgPBWGtURXu0ZAh+Ctfo wlfq7HCrkZjy3CP0qo9TexbwfQZzKnT71NeufEIU9f/ZU6lTPwHTIsWelWggar8HDv/5SZyUq538 vACQYnnGZx+6JZJSD8UDQ0E3g8tYt8taDpEyxItdDuAMjBkC2xqnJglGGIqBszvxh3GkJJMipHK1 EdkSS+02j08Qjyytus7OPB3mQoIRNUsNee8q77MX2pgSHYvuC8lezjvKR9qQMmbSZcuVvPIdpmgi JaVNJdzrXySgiBUsk8dSQsaFyBTLpcj2kHPgFy33LR5EPS0gpv19T+SIWJfVVOW95f8XG7GOkBdE GyFc5k73/+I26NuDgNRimcyaAzeZBvvRLysWeXVHWJCV1GhwPw0FdGi9rNZjFT5GkZ7WgYrvM4Zt UhBoQjn9BT8KvMkggRd3Hm97v2arTWJij9+gGANPBFYOcPFFUUa7+GnxQCcOVqfP9Whn8SCXgOQa F3QeaHonz0pagIKQR9NjaS0tGNgzJHJhKtBxH/b3MP2k9o2K2l1ZyX2SlEBSaraRTdKasTOAoMoh rwRqDzp/7gRU4ZVBI65HErxU64zL/E2PgiHCHeioWa52PkOdKNXRC+JePLiMwKA8wK6FEs7e0LKI uRrxAceMhRr/RiAbgkO5fSrsRmWbEX78ZF3Kah/whKPYj5cVRL2da7E3crR2ACtvCuamvU7wI6PE NiNFtQNji+SMu5xHXTcZx7xs7h4lCx6VE535vBFaf1/0/tIkF2HQeerx4d7nfyFbwW/V84YbM2Xp NHKqngiif6s8Lf07MiFSlB/C7y6Hli7ZbtqBgkknQLe3NB2BqV6OLrNKPHy9KBqLXKZZqd1/o6ME wz16bErFTduONzNdDxt8R1YgpTaGWqI4yokVwoPVScIVCrltPPrqCxlIRDrlFhgmlhDApsIV4U2Y nQhRpX+QrU/ZWmttXgnOKkaHfw0dRE6M+nmdWuX2u6gQGd+11ZhSrmhgmJMYWaardQc/do/e5wRH XiLLNeMrQW3peCfNWjEfrVvjms1HadnlFJ0mqAXbQ8W5ffayzwQ6V6XbSYAx6uRfOVOwwE3O20G7 JHYbAA2GBz9EOZ80hJ2Cz08VC0fp5mAMTthZuu08+i27dPnaOe15cyZcZcJJmGSLVh/jZuntM/f+ fx5HW2SERiZVPxFen90KrCCBUeIsgeHpsQcjIY0T34y9up8JLzOb5Q9B2DWo7mheDN2u/ORQZHCc dHuj0u8vDBEQWcqmWmrW0qJ7XInwH+Vqgn++rT/CPyUVdrs5utst9GwgAxH6l/sJ2OWxcQyQ/OW/ xiYFic+h9xMxii/hxFm4wgnVz1DcVVIAggkkG51FE12GRkqBD28dFRURgQD/iZhBNrBj6xW6uz+6 v16W2zUpXobf1AJhDz/kxK50SoO+KrQojMZkub4S7UNLC/MbolvTbuoQxsLjhFA6zCAdo0DT1RNH N1mvU8/K5nZlqA4AV/gRESASb3dkirOGZ82eK9Ai82f/6cQK0G2/vC8Lwlji383JIr1C9/3UBnqY WCZwiZgP/N0Kwb7Ce9/wxam4kgguC55ColA0+xzsJrvSdG4RQwHiTm7bSarIBAd1saLxHbug5Dcq HIqldK9qUWDwWnMN3GJhSMnIgf62BfI/gD1Kg6K02aPEVHIDDYfQLyo0HgxSTKGWi+INRxMQcyKc MSg5TlZDpzoBlQMOC5FjsLOGSTuiQF2rXIP18ceRpc3NtRIBwMHTzcpABckj/lPX7x5fXZck5QQ9 gWDPSpXS/Y1uu4mNmdpSEmByo1hhkEDCnn6lqs0R8/vxN0TKC6+opv5eDXXHYUxf4fHereDohtVl TH5v3fEmonjAdROLULGVzUEh2dPq7OaZlHxdC3Z3xlSBVoFeIJbB7C15JvxD/sABpzFl0IXHfHsD qZzKLEDY9+Wevbd2p4IMn2RuxvIhuYPnSpMX4jAl6ANE6Bmzg7jSeqGtbTMzmgUsb22GY6k/B9ro i9Mv/R6c4kdIktqoZT15jI35i90Qa8mje26amxnJLlbB3KuQwwTl10eECDEEd2KbXJEaOOAH4Zc6 Z+HFnKZ/qammK/v0gxvshPsobWZw423jFRDCmqDwcpR7trhuko+IetP2gLSuEKUCmCEXfHh5o9Vz /u+f1g/Tsd0UAUhZLReI02p8SHnzx3FMoIPBIhbgWniKUXATIOiXnU1tVE5mSOCw5795rkCUKjIT qzEPpb8I/FBoJSy/n3/DO9ynx59I20N2/eK6kmGCjbI9tyR+BSbMeZNw6BtVPY6bAFhEGsxYnHu3 rMkua/YhW6FFrmlTnqPvd7POjZUkmveAwYX4yQlBvM/FA9Q17uG0fH9a84W+QLZxElaTolasYH+n cr0k24CgsF8NV0k+Qf8Gj1DpiUXybQN90QOpHw01Mx//I0yrZqtit3xufVT9pTPfcHtRcb9Hmy79 bPwYC9EHZfcy1HR2Y4GM/zZLIxv42m1q4kF23IU8nptjNA3TG60EofjLkxRFC4bIcT6vqWsC6vKa yhMd7ecZyafj3xaxxJUUgx7I0e1FrJA6HXukciJmZQLO1+OsjH5VnS6TZqBGJrkMU5nC/c504Lh6 N6g1jY4Pe4b8P0VSMTTeHvQzRZ44Fa7XvKrj0ZehTQH9vrTCoBCy03Nq0G84dQWPCDX6h5G3N+ni A3o7Tlgm+QpqLowsrjjOQdmhrm8hPs3FCkTcP8hl8eWK3+/VqukGtDzDCzBzPalb8qn2BZAQ+t46 2HudZiIKHeQcdepQ/nfSIuoHl5T/C3sONVVuJkos+wNx0AU5xg1pZqCPhJ+GjyeAKsMAJEdNSXsw eYK258Wh2ue6Gd6AU+G0LCJ4u7hEgS0bXhQ/xf0oi70bpJPugzU1vcTEHoRe4w0rrhzT61EVhQMG 3RihuzARIMZusAu2dOY4gqDX8H9Q6fMKs4s7itfBn4ES6LY3aZDVXXCRWnmoc4NWAU8UjL07Phiy AMA5bcnp7WA7VNxJWoGi15QwjPH1z/uE2eR0Hnd0+kWdFg/TRLHhtAKn9GdDrgK374DvxMSr7vn5 4LEkjKKDGvMh7+B+0MvPcY0z/vfKUvHsdNi16NVV7EODZ7e3nY7uP9MQLB5L5z0tvJFyx2s1X/Ao InWjTqV1wer/Kyj2mkOKdlVoQVJZ7crtZpdKzEJHLsskeZckDHNeQuHhJ5rqHSjIQw9tkY1I7AfG oeQ05WNKUgtwzA32vrGVdpyftdsLjB5swxflMFEkEvkdstuLj83fn0NsA6AdQ+3EfhuHIbYO1g2a 6LE/DOMr13V5PzLhWyILXhfcemaU+K90slItfW8wxdyre8eYQIjiSlMrcvJaj1JecpQQGl2fovg9 pyUNW7KP9RX4maGpSVD1GQA/wwsfnnIBIP7NjUrnbeZ4S5ya1EogI8A9ldYlCxgMlmiyFauEyVXr sVy2q9uStwuE/y4s7HNoQLmHMn2QppxSHKUFUFUFD6+bKnTlTLhkBcYVnohBYkCutmep6iabtHGf M3sQoHN/qf7SWu5QpZ5C1tPHwEPVga9R464l4Zmbl6i5s2sHs3IT0BPBpjlC2D4wa9MWZ2cAgHiF ka9uTUEidB+GeEj/y7TtOPUnNT9jTVOYOuGE0w+HfZlBCEAlxzZemd3GJWV4z82KG7QHE6bLVt7c BG5pv+NEtoJWLgrPR1G4gQfh/2kiaQGaFr1TcnE4FKGt2hLzqnHLAp2DapLSslWGqdqiiX/NtGAT Cg6Lp/pjU72xbguTgEO+pVH+ILEVf4HMsTAdK+PdGNJflNH4g4iQIvu3t4zXiwYS6hKlwemTJCKb T2pxHER7BMm98dAPS2wDE+b+3pRGp5tUvA+zeDjh7eHMwDDkWo128tDQKQ2lwQIbQcV5kosLenND 1Ka1mQrhnQWEPUJjtBvcYAm06ofamxb2eJpdIxEAL94apIo/8ygf5ChCAri6QJGrhHiOHv9GcQwV uaafJLkdsVa+PF1fTyh1zIBS0cGbQFxbAISNLdk0EKAKDuql8VL53zBWI961syKfOebk8uLHMdz3 8/hHclYN3XQ/YCZXGPxg1pGg0L8fFiSvn52SclnZC6We9ZL0OCfHHjE9jaaD+kMBzyPoEIKwtWEE ERJuWekF0KnXP2B6gwx+3dblNQmMKzocfLqXFarH9BQyg7iW9+kEwDaa88gyzzTAfNCeE01QNsYQ TsGoTRT0lfP0Q2sx1x3buthCD4D4r9cfgSovkfaJWoJ86m9CJtNzn4X3pwkRCSU7pUwATF8alRvS Q/AALapqcNFlsnr0F4K8NhoQQLqHtHGz2ubouRpU6esYp1lzDx26GW5nPsw4YWAL3+rqB00WINOe A2y5+JfyRRFkUQ7i5c+2X8lNTzkwV+F5RK/a3dww8Fo3h+YGFnlpbazYUN4SEuBnPMaycgRK8LKq 3/vYZUtKN2O4kogok/AI29RpbRuOkJIR2hWYI1fa7mXpHBJBT/zqY4o8ottBZhjmBXK1EZBrzOsZ 5hmtVBuisyEAfZiy142brx4b/TvWKpSdcTe2WJrKcsbebIsWLmh8jeA4wmPYYMQcsF/jkVKb0Bh7 u+Hun7dF5MN7IWrYTZIx3C9ARnHKZL1Aq8jB/SsxsI/mLA7Ew/5FrKBlcCKjcwq8Bp+BDhYppiTG M3zKrxkxBhjCQRBerLmnRFS4PGC3Lz2AWP9EM2DA8VkT3uAmapZdOHVSbVD8oBz52bwWArq2pA8W pcn8/xs1PyWwwYMRjWe3OKe2L0Ot4yGueqVau5ojDElp7qQLcHfXH9KfTieDYtnKlW71J0f6n17R 6uVWiGjSmoXdz3yr7xqiZYpDKSVVaMOEFSbN9Gdh3XlxPXnMfYx8/qyKBWKyMwLhKTDx+pT0fBJ3 X+s0CdL1sU0OqZKHrrkuaq6y3ad55/QiC3SGPYFAXs4+qTOW2dD7/RE7Qqp4opS+nllzju/Fdkij bCYtaOeA4yjX1KkE9TwJTbpHuDL6s/uAOIF0UMsq+Dr2EC2hn9gfWdpvf24HDzz6Yz3uJMCOQsyn fM1oduk5XFhgfxNxmDFqC809rNuK/tELXaBDaR7/AezO1nT0z6jG1E/Qjf92FTBqubc+bKKwiUVS BND7MaMgyq6h4z0R7N80IKutqWhdD57mp6N93cujzFLuzM+tttzvmVx/F6B7TZMadJ5dyzJt41Rr bFc7qcxdmIcDB/XoPCJ7eatw85W7kcEuR8rhJlz7wi2RzeC0uRuTliG9G+nbDEoHP9Uti4h6Jmwc o0XOuSxhLkH0IYMtIxfi6CjYyUdgT/1NnmbrofUx3gEsDCrZ9E2QemMK+WvbxaLQJ1CfjimFNG66 S1F1fTsFUpqGn3rxi/PsKMbsPp5bELZP9Wk2LW/bzyb14DksuzyIgNkmvY5pi1vgStdaTD4BACr6 nJMzyg8YYeXjWvXmtLDyIMXYkeDZdItQbANQUsfnCUzfBnD55OwFSwWU1od3Y5mhllfsPcbv7JWg gu1hMGrxDa0oyYEP5DMKFgojqVCQBJV/PAQuhBXAeL5pxwgSvUIj063adMHM20sNdF1Nbi5xIliH KF2rg5dBXXqsOh0VwkeVAlTvjxarlcfACNRUjaP0jiJkfL1zWSOA/rlA6AeYj8yMcx2zQhwzQuFl a83kZI9sUwaNECR7Wa4qR51+vlw2Io7PAtwjVXWwpGuOmM4Qn8+gpw2nZCSLnSRNIDnQAxba7/J0 03Sjgpbw/Dyazt1xe9cIYF4Sl1OFVBReHrDBKkdvWwDfsO/PYnz6GFfytXsldRMLrViOaHjZyEji H2C0Jv9tCAp7jCVOD2TY7FsI9wJ1z0I+/LKm8Jst3/+5aykeol6psr3l6ptvmy12EwNoCEwzwQD2 Ffwfb1eOGt9p14KJ2JfEdOs1hmWzvYnF8r7hJ/SeFIq5pZXXq1P1d9a7DGFp+Jdl59cUst2NmDsz 4kVh4kUw5A6BrNnuYycZ9vLJRpDQSE884/ay2c40+Fq9wLVVvPppQ9zerOQ2oo9qEMcFUMgT9nn2 VVKBRgo8AhTBZWrNAJ1qvMrbP27hl/nuS36JsTPdM2zZtBofsVndp8sGmDaLcOtTZij9tRBUvdxR IOi5ImMrSY4bWMlsuTYbEdrZOa8GHvEQF91wP5dwH5jT6DuMSIC4dD27A2csC6Ea3PyJfBI7OGyk /SxSWk8+ilHgM1IlLVu+3MWLOsDAKYjLEWeQP7rp2WgQ5z670JOH+eDWcwqHdtTwSCJy0SOzLHAV EitxT0IEQwHZvVMB0udf3cbA3wyVHxSrA53eODsx0l+F138kijD0yYXohv+Z/HOW/KgOAqv6DsJy 7xzdp4qbL+bSehsfPyQ1ON63kpCvnVYS8U18XKGuwtz5l74+VG6oop3xUbUmQSxLQQdsbDZT6wsS vkgxpBksYdhiQl2xhJCoyilFad6ZVZgsP7VyMEYV1mZXRWIM1pcxD0n+dlpn1GkZBUtuqLOGFDnU WB98R58JqsaDndXfOWMtOmvpstyD8y+mXNqk9DBJ4pWMrgO7gmQNifghaHOT9LxQHOUA4Wdn4BXo qj8wm54PRUCIvynCTIN7vAEjdhc6HM1ZTRWuQJ+hqEmoTEdsACNIAK8jqOS1jZh8uDC9NitalMif ubFoopcbno4JhsWT0Vu6mQt+0RxbeYaAmPWxYe4T7Ea3NUosHweCIN1+AD7PgvBFo2favcVCvi4A iEKGh/BiXJDTpaHJEatYjFO73H66xYR3OPBI9COaIQrpi0tPjNx5uVhVEUUCYTQ8mfWGwcwkzu4e 5LIUHFuZdPjIICfitUQwkGqLM96VLtzl7NL8mnY7dTZzf5QKwa5+cB7Rsy/y4QiOlm99Nc2HBOB0 Pu7IwUK1s/niftDConwUnMa6qIoeW0gpO8kO1z9PzNWtusPqBXlHf3YWL7O1OmeQSzStkLjs7h6n bWqHnv47KIrOelVrmYkxAWGFuxqDnFuMYGuK2P+E6WW95zpqyG22MvHUWU+ZonRPf+0etKsQDzWj gnCqflOKkd/iLf1Djkyi28/Qi7vNYcgtfDcSfSa2iXLHj7JN56q7yF1xjNyibNTnWyxa1GY/tRiN y0/wm//LkMPf3ZRUidSQ6INm4T98OIhSKy9/HhqHH6xMzjKqDcS3EFnicZSy7vfpibq2b7nHAzd8 2FHGE45eYwOl2PbwoPyyy1z5ynvmyHABNsZGsx7ajPUpSai7sNJLi1yTX1aoz8QE3GIYahfcH0p/ NT1u7855cMeDKnMjZW4ifcnQbJXvwgGRGu07T6BeRlMOeizPFhH7STCJjKDBs7bxwevXJ47uBlP8 MpOHCKadvxgJqXIgrOb319Hjf26VzfORK+UVxuiN6wVQwW/0FjD0j+eVgCKBPY49TmQ4ScrAxwo7 krs8qaSSYJEZQar5S2aage7EKwtH6GEd/ayBNM9xxzEkooY6dYyEB2JmPycGmUiQT6kIhnRY9iNr GuHOdMTodQ+RercFusEU/HKIesWGQNjED9c8fcpqd53by9asX83Lip7OaLCWquYlUcs1Rbns//j+ c+JzV83Q1gwTYLVT5pgtakjR3v6mb967MmxlQMwVp1aOn3QVooctYmvKylirEzrqPBjBcWiXKuYA YQP1Ah1DZnUgPe5kNUIHKtsFr3jhvZI7PHtv24m6RSEk+vUcP15fZb5gbQmWTH21ZAlya62O6/Rf yJpSE+2Jxe7eih0grINRwxgVxqo2uAPwQvgwCf/Dw8bfQcIVBK0Ls6MlmxVdKp2mfxWWv8wbfVKk KrwJf4jtTtxnoweu7zTsVcBXqu0KDsCkZmqATkqADNazSN9I4gQZpdKP6bTFdqoozOIQtpy7Od/o w/vuYClVaPXzcSrR8xb8Y3T9G9RREQv2VpagpXqMXSjoBAEPCPoPKvqGKfG9IkrMBr9TdSUrGJOg iLYaWapsNpepIw2LbwzvI465E5f7+4wOhf8M/nba2MvCVdZIuRxW5LabPxiQQssj+k+vq1q+dxXw 7UPr6MQElB0mUDNa1LonxdLukOsAk8UVk9OePZ7+VnmYt/Kd+eNrn+jGgr0xQBPPh0dtpM+yDcAE V4DCyGg5H1lZZAQFN1cQQIWoOgv5c6e3DtVABLSHVWRBVi6+J8KaaGwqDLspn6SL+uQxH6UeA558 aR8HU+ejm+yTy2aXMgovH+53k+IbR+VrRkaCopiflcRQGTq+twtSnX8hyd5vvS3sCitHKUuIXFuH jIHwc2Nh8hWmiJQHcKffzABgVwecm8SXx3J2nWFED1bpjlDhEWPVpeSOxzp6k40e5avQOIo7R6MI ePZuhYBQbBc7xbEQDpVsJDG8J0qs9/ZuWyHfxOTxRBZO5rCF6NZjtML8VpKOajBRVeJi6tuO6ayO Kys52N+Q/92vvpbBmlErwlUJ8rZKglTVxHg4zvMY1cv50HmZ68gSRc5wWpRCbP41fU+2ayh/F12P JGbWpSHDutRFhAhEGPruk5Xk3wBstoQ8vCfyiV/mwG4r0rNGMTpVMCq1LJQyPlwANmnLlzIL1mnt akAhwqbBqzieiBpqi3sO9eLWuIPxgfY9R0uYPmdd4KvG31I+NyOK6Da1g75/b8jaH7Y7rtkFCVH3 lnypDKyQ1dffaRhsbADMLZiFzu64s+ubLBJQkguILFLMI13BqUVIeyn7gwo11XlcawXBVnLZCU0J 2fXVle5tdzjGbQQOJPAs8S07swlqkwWsUgB6fYRT+bvhykGW4Ld/bGowr+bWF1JGxEbbvoHm4zda bt5o7G1ivgsaukaPE/m3f/GGlAZVQSyUvuuZXB3Tinb3GuYxxT3ZHuG9xDpjFE5orS0ulo+MgVLa eoTKQtBIwWRHM7O1yWm1IKmzIB74VZzWQ5X43jrftVZxjViJFrU4llnJsMNp4/PutAjW2ss2aHZS Epf+mHILbP8t1k/QcvZuRHby2HT8CLo8rk2Bx1N1CK59+GTHKiP/MXYzujNDFsPAYrIzUalDEsn5 20jZFtAa7MDITk3QhdeJGJILTCr48WuylPvNLH1SWplGBIYlhZzJP2LdBi5mucoP/wSOFj5+wXV9 L14+Ysfv3ZPVnp3gIPu07vrISP5p33zgPpGmYN4df0diVBN4vVCt+NzNNwI9+ASHey0CFYmH/N2r hFKYQYBIuc1lZ3kYhfC6pTbJlbxdYK0naT2T+P0HfOaiy0nrgfDOG+CFF6fptePmfTppsVAWuPnt XDhfnnZw/MQRIrCBoN1KoU69gadCeP3fiGBHbQ+oHuKIOtD4zFemUPwCGFob1U6pa93mt91nMOfM qD/MpR9gqrW0NEj9gE8r+vgtXiGo6rF7cNqKtxQCPwr/hvykfVhEP6CH2n3y4ikCmwZhqPz17hMX 2uC7kAWMneSRenrmXLuyS8XQgWzPWhOV1TICU107q/NCmDWz/ntfwEuSkyhVFpD8mdJhsRii/QKP lp3dBtopVO5Pd3wNqDfxjpOB05Qz8+y0rG4Y6R3ztdoypaP9X6bfwdpJu41FddgYw8507RlRslG/ gPwwWEWpCfeF3bB9ZgwW9vkVJKkpi70hrIXedJrBa7vpEiLIjPsjGwrNrtJjrkWK8rW7wI04d3EK 96/ZULDnVI5zQChTAjpNdxxDZ8RpMUIhC8vbVAPoGuIpha86ea3qYyNKTQ0v3s5VIl1KOva0620G LZjWK5jgNcTMVb5pZ6Fi7xasTom2ZPei1Iv0z0SpZhANpgUFlUKKUhaTHGEtf4KuNnybYsB8ulqy hsYXq3PCWjVXIJJmAONSeWbQ5xlcXsSdx8dCtxLjDoh1UFVyBEwrF45UkfDgEf9RJa4Ddm3GCdlG k7bABknRHKlJCib5l2KYmm+LjgCFM8/Feu6ZILi4k6YbHdd4EKo7ruGKERcKOMm4k7k+Xs6nJhX8 o3+Y4FsI6pK6DaEfB+nITyKrUmehtqpRRni2XRjCB2FJTMDPubmvQzfdKYoSPZX95ED3pdPZwi8O fiVtJTjrM7NUEc+P7t/YjWiEYrzi22MSjG+GDjQd3/qhLjC75tPmJ3Wz2leTbhbBN1iozj+EX8WD tWJ5uS829boq70pIGliNIgB0n7Jcnj1fCKn/vqJ9r0CpBbeqOE+u8LvoVgnSXV+v4qb9otNqG+38 5VJWAEurc7UvHcOPjO/usMg4wk9Nqt8e7vT2oqPoCz72z+4fu7yiZjymh5qHybgVTP6xTz77ySKf hWdbnz7PJBsC1fNrjLNPQ3OKpqW00SH6sVf/Vqq5JK96xx5hf400QvRLHJHTKqK0hMiXnW2cdE7E fbq++OZVLI7bvAQ8T3XpAm8wFKp3iWXZcNuOOdN7y4f6iX1AXtruuBQAGdJnddRu0YhzrqE/fvm2 mNsX6zlsh1Nk47NM6jdxFJZUvKZhVtm/WXCOy2wC8bE1V+t72BpL3p66vAmTAW6j1SNLDZS/5IDD DYIpdkB5ocSI9zoZ/3vJAWxx1W/r/D4wEk+Ud+fN4mxIwYPZzRhutefDS6GxkX/9IqYs0fgE+odz wgcpXwxPjQZYjwZxq+/M5S6N/pNMiBNUUCbH9UHlnaw7yLoo+t9PCm87uNc16p8cQBx9VLQjv8Dd LdvFMO0zFtOZtaxScQK9gUMKZW6pjN936UytMoAox2y8YaFKP1K8NmZF/bj2rBjC/ni7ByKHjcfX vTyCP/PG0mjRJgHZUb9LnqJ6TafuZ3GaXHOwaM3hOynw662zV6ijW1+3Na3Xb0bNk2N4LEzJEpWf 9kJ77sV4wc+aQcKZ+qbJjX9OGVTKQjT6BASv1eWgY8Iuabtm1AKI2dtMT2A0HlB6TMrsl8TlKMPD QIXDzK8i01UA//TLr20e08QeeSTu6JXeFgM2MOMFzVen+4ZiRs6KGEJ4pD+Xcj8j5UyIRXJ003K6 445GIvNiqIXzZC6XHLJtpl5y+QpOckmWY233QhaFhwzTB4vm9eHsOkc9Cy4At3UyGeH5ZteQyNzW Rdnyw/NJGHbxLN+CfKwqU8+bT8xDJ21ssmV5ZayJzEaCWd408bzZyZZF59bNXXCty6hgp61bMv4R 6vhtBb/CPka10eSs1YSc+wiK1Fj+2DAKSNOUF+Y9UQ89lK2cnVprtzWEK+xlP07LqN3R5rZd3kw6 cwRrbA7YrWv0pC2O4IUnA0yHrRx5lfh5ScQrNkL5SklA9GB0rE4EUXDsCD+cjwOo/IAvrvqDa25p Hn3VDXmOtT9ZTfPmqis4oZx19dUHXumkSr63L1ftN3W5qNVyDCBniIiCCIqmla7lNP4DKcoizoFb Kgk5CExhPHwcLqslQ3zyOPSwaxvUIgP1GwCQxDl+vmEKRrW2Qm9GAND9CrQBI2lkBe4H9EVcITsZ K1380qQwBnSgzNldabEpPdX9rataCIqSvXDjF9IW4oiJmccBJSHS/XqAsi7QA0q3QCT7N1RHr7TD 0BUKiDJHK2LOcydU6RFxIYVH2YgccsMjIV2k308IlA3hTMxJOkiYYn7heVifcl9wXLsH+jyKOg9V YrUH7kCjzGHUT468bdg+KyCEG/aeotRysuqugv8rXfCpF5K4irQFxG0jmVdvx/yrVWSMoZO4KnVv JIjAQ0vartGoXNSrvvnjVtk+f30DHZFEzH6amT06ikgHN6hIlA2YCmydZHijbXqVP8nEDHCnEhHz SixIZ73XlAUqSkoEYP+aNQr3/B2TAi6nJpOuN9Q2nyZnUSvoZBbeuWkJ+VxV04GWKU6cBhVO+j0r q6zDkxWbmdSq61odki8o5W8BgIDEn/ZxI9A66REHiUpDJ+vEOgXJ/k4aCl5tm95RGDtrcz9Wxmzb zHo7andxrmpWqUDnZsthETm84jDj2eZv4YoRqT1i9bfpD2tQLq0aeB280/EG/7NKvmGTm+9AKNzc A7qm2NBQDrTWJDHfKYjoiiqDolKO2a9IkKKXI+zDJKm1qmi5CkahfHNFAC3g6qp1h4bUP4pFi0eq ZzUNLuKzEcY4/AQGn3xXCQCInCnLsCK1Q5r2nxYV8Pcn5p1N3QuWK1xkhIVB1j3ZIZ7PFLyHbF6z oEIGIeM1ad2hgYMhuslayUKK9SsAd289gsJFxogWGS8xPIg3jcKHdqi5ehO7EW1QUt1EfbSigySs d1j+PvKiY9YNbQ6C880SG/1A2ObCUKgF4ZOH8egZfKaIWVlrhZC4IOPpKgvA9Q85Qs4i4YJLPJZF 8FbaGqh/SteNdU6uStgexi/CGkKO/9zBoaOqJfi1RYze2VfYrBcZreK1ceqHs8BvO8DGIko2ho89 B2orhNevrR49uYPkCvOFeWZNvC0uT8Fsm/SFb1uHcEnMTfClRva1CZseHItA/H1se0nj011aBJLS 54SQfvOxgWf2Mov9xB1UAc/TfnkKNk5cGctm/kA9SAy4EBSI63y3CUFLtytYemCStAUxNj+jQEzX Gl4/MH66ejYEiQPO0DOrDta5etOD0sAqNGqJnK4Jk2V8g80uj1fOWXDdzW4pxyVccEP9Xk7tALVv eMmq3GzD612y6tuPMXsPggf62LfIrSHOXdCrMmQj8v+xpCMGCFn61ohMwRncExd063we+IkFpcFz wkZHrR3vPaOaIlyYts5IwvSsc45Z1WAo9/KRfzLJMkHJ/BPyppdXzMTm1G7LaPETK8T3VENcVrK7 6c/+B63ECCjIgfN01JxHHQuqIaMCN/nscTAH2iGVDInFhvwgihdB6BlREjyUMNEpCw3OhbllaHkT uSxLnzwqGudmvCq5lx0lVA1rruaHHQSF+Jrz29FDvPuiqNwNdsGK3thX0imYLiS2Fj/vM7nbamlY cPASN4ZQIHGB1KgI70/devXIRcleOMhLquAtCL4hDOJVB+2wPjokNJ5lHgcw1tE8tPLh6ZAdrkHX sb4ad/UFoVmNErdhXfvionSHnQWzlMTJbIU2Qp7ZL+ScxhDBp6/jjPo1kMXeGMl4nOBknyk2zq4U oLokT4WAmDRulLMOI2Fv/yFmPYoGDYA2gb/jWLTObPQITCCmrpe+DnQxoCyvBexPCrsWJo3adUMN aTpptDgUFrLDMpf3iLtcIkwGxYs1w54rnM2Tqqp4kv4259OkcAiUZ7rjPyAguXTvwZCuLegInVTv gfCevL15O2Vesu5+LFiv8J3oXMldF0Y00sYDmTwalv85alLIbg8Ynzczc6tHLYLqzDO5PPMk+O0N Z5uJsTJp5wKX4rXmE+esYImyPZgTidy1jeDSkwArBXdxllEdvYn7F/Tb3aylMaI5eERN2M7zNa0Y qODUOr/L5oqv6aniHRb0cAtTFQbYIBBBWM9/39boEOBux3LwtqLjyHpP11UGWnFPsepEXInhzB8x GusooWHAZ5na208OrzOEGhy1MRQdmaF3+62n7sVZZH1S0gT3ugFj6+QwzzPB9yKWvDTcs5H2v+Ri mJHEezfBu4fJHHWl58Frs+8re8COyD5s7iKiVC85mPcjNPuX/MLegQQePo5UQCK1Ef4k1bnCsEMD n/Wz58g0um3FJ168kiijGSzDsKb/UXB6aP1W57RgfPVbr/x1GwuvTxSqxyg0BGAmdu3lRHvbriKf EUhCXreolRKuLqCIWHvC/1p9vcaus78fNACrR19DdRxw/vm9QfhrV9UrubUMrBy+h0LpN5alGQ2s c/uSDBtZLy2SqDzFOb4V9gBcmm1dAhy8+a2QOKbM2owA8ti65Y2lug5fl5FS5FzwobwCGWI23Jba eHd/6XVeddrGNZhV2CNdhKkYS1qJx0Rc3tBQOu0uJlwNL5tAXVaN3hhmSpc9hZ6FZHp0KPCDZmRg uH3aVwoVDAHnkSCb/uaeETMmpJNI26TbHoXeI/kxoenoi4Lk0Irkzdya7aPSOOsckgO6SaCJZkeC MIM8j/wnQ1xNlN/koSSJj4Y9I0+o0xoa92OpwsNCc30Hi20xRpelBD4p5IpAgpHvNDDStXeweDiP 0NSHBTcLqCnqtMq6AK2heNGkEkZFVdEMivHZnyEiFAKw1PQolXzFF7M9owUi+JyaZ95/glruOQUy 0JgilYcNMv3VZt3RQCP8nriAkErq3FJG1pYGGvepIJOWdhKnCzaH1B1y2pKKMmIBZmRCD5O691Q8 6+fPzcJ6j/ebPGmDFHr1jQu8+gBqSIgILdcEHHnBjJ6uKV0oCW6q737pIW1tui6EhwF9lA00cifi q6s1bz9ylQ+txQ+AnCI/yuXL5V2QUjNvh+xEqMxDxPvs6zQqOf8xU2cf6OaXlbxq5Fm1kxrwC/+s zYAWmZr1GtKl7u4IlHGKj2aJ9ReqsKqrlytq/Wk5goYzGfIXInvMfo2zQrif5JK652T6v8UjXBcV vWFxVRk7xvtbcPnxwfF1EOV+KEpQWRqEZ4bJBYor4eamPeWiTytr9vRjYxJCAg1Sgtt8v2ybp5Xq Hwzfq6cZzCPSQpN5Z25VjMDZCJGNNGV4wcBm/T2swn63R8lDcnu9mDnGb64v+WQPI266u6Y33fY7 365DFePMl5/KXogaoVRAw52kvl0tTLlZJvANi+h2H4XmcVep4YRNDBuVyEzS/3gU37av5o0fyFvu 9OCanFdilmuEF1WDcFA5q1G5LMaLCtyPUabjdHLmFs10EHhfNc8/q3F+lNCSqImt82sTI5UNEsKN rJYfeLYeojztZ94RUAwRurzKxshcyNIOF7cB1DK35v0u+MBfNMAnxgvoSJJ43JDhIMmJTbrXEJEb 7MlQdcxAucTRBlF5n3p0BamWzcvhpxS+vLQ2LK1wE/k7MnMSR5JpN/C4sb1n4WPJuftl2Mnr+c+2 p5xbi82hmAyb8OOYQPXzv0xycvJOmjgMeEPGWeu+CB3g+lIY98vQDLweQyMBhPCo6zmM448SSDdx CcSXovL6vMvTIbD1RV/02xAyqgjJuGDpMv3dE9wwJTfbjtsUmGnaHL0hV2wvRxQcxbRMxL7rshQN ctlgD1OV+mfDLL/vyoBZqW9XgaIOl6VQDPrUhjv9igEWjJhdBYplwianJAq8ylHHqbIXRg8++8jX yVBxp+5v9z7WRJRO1wlu4SrZnNxlknnL5kqNOe5AmIR5pIrv6s39vzYsKv836nqR/rn3NNo/Sxca MIiA9WTToYJ+f6aRLayCeVtvdjrWdGhBu/wSegStum9ubgvlmrf9phNkl9UKhEjxbr2GUHyMq/Ns VMLPrNoLa9rLi5UWMGN2iyUMp1J5Mpg6cIVw0gK/1WPudi4fwbPOPOS7ZRA9rCwlL5qMORy3s9Lw xmwUV7aW7hTg2whCcQm16JyloHKEsmMfR3qPIsl+awPeLPXVGuD/SGloU/KXhwpu3JbhLytmtW0D bPQw5IAoYwV5tuF+fFfio5v0agRAVLU39IEFN8QI9g73KE6m8f0ZjZItQy2kByr+PwfHgAmFV9Qy WvBWSzUvcYkqLYVIKvxBUQHyRyFaWi0fkYDnTNdR8n2mdv6koK81XgONRwtVnHDDrZpcq437ixNN +AhdaX/yM6d3urgsCaaOvZK8+/cmGzdNEFfxfouFIYkjsdW+tpukajigYVw6Omttv77l7pfnL6wc OsYgiu7Mz9T8GT3vnSifo681r3UKt9JE2mOhMxUfpoBjVdLUQuJsqlhUXjVUh7lBOTEdk1RX7xvC WwqZTFXjlXXvNcbYBJqur88jXd/hzRgqFd1v5iVMdH0Zt0DHAQs9qHpwHSlXAGdNVhYazdh+sM4u 15P2qPmExMyeeZ000KqArkyIVsuELIewdz64k/KNl5a1V2JIDKmRSdZrYDe2lBsi6UvTM9SOgRm2 vaWxefDHD/RR+w9rPzUTHySjAFhymGf88O0vNuRGaw4Yetu6bMZH25RPeG0/f7wAhDNE9j88Tb+F RYFUQOMPZthjAMzJd8hWJjCTJErBZM1Gva/H9EIetBt2dkBeo2xqN/o2QHe5fZVk8VqcU07oHLZt pgzdPh1/GWlen7VL3st1IjepN70Uk6ZDaDlIyJALkL9YWv64eqNNhFGaV4IKzuXBNs9sst3Htn1T PzW2ldocwOH1DtqFOjfS9D6yqeR7mFLWigdMEQx21YdR4YocNPdzxF9xUD5ZeuukdX2g0mqpVNAS vBpss9fQVENkz/fSNqm4d4M2e0T4C9V5NK8xA14qxgJRAE/7rXqBHQhsQVFJ1n/U+7MfcQyK4nyV 5WN2e4KbfBmxuOC1glBX4SiT+o2qdS7s9WWp01JOmKP7EdEQg/CdrT/NS7OsRY113B2UxHC5TsEd O8+qeBm02/YrmkTm8lBH0ADQHgEuZkmPOTq/yewz90qT1JyP9a7IBQHhOJYuWRoEaUszZdynNV5z 9UsJOTdwOGS4kcT8qi+aEamms4r5liV1RBGFaEwsq5c5uKQUNBlYbE1rILhuzw5Ck8NusAhU3mgf fi5O7JqLAk1jR9Ygru7sPnp19wx+tnuWJDbl1Dai1k7mWoj4UqZjjqeRHkMcRhlQkQ7CfIlP4DQj oM33tERuIM23ojZCZoZFYWvU4PN0DWZS1GG2FaWyTEY4+0lS3sF0X9WYu5uUJz2IQuttCMyKpjZ/ rgrE5L67hjy9DhOazbqqGDPFGX6dUbrDS/e1an7x12v+6jtxCa9e81K++cow8XtJn9kvNuBmDXrL RTdDmUaxvSKDP3ESlr8AiBxbaBC12PMj6Z8BggPcA/brhY9A0L0/9bdz3wTtrkjxr0JDfPM4ic6u pelwKdGMOZg+fc3atniyG4rPBKk+kj7+I1K5PjlAcCsj/lhIDxe8xR7nnVxImsL45IAcY+E14jnz FfQa5f67tj986WVw6UiojvfHZxgbqBqYRRlvSGYCw1ujYkHYvnTPHUdRbG2Gf2V6uFkSIzKw1R67 wXQcE9ZlyqfMWZ/ld4MPsDTnYOf/NTBkrzStUiGSWL5P4Q4PKToNSB02jVMyPJqWZrfeiE9iLBDP beHoGT7G/BK68qXU+awrXn2BJQGeBkkkp1YOkSuvyOFSSuGe2rX5uJbl7UMTysbKui+LQBwKOwoT 2Xz2DobKTGK9D/2XK8qPjddSfgu03t+2OT46D7CVvKv/jFufQGFPxL0S4hmnzg52WM617CWgE2Kq J/GI8FosU6PI+I6gU1LvaykajLTs2kUOt3ajwU96vTJLZ1wFcqUwK4wWbaP2YjUKD88QkxGSvkfh srdnFOP0bvYmOhZmVpW08ab3tcYEnyR6/vqoFXHIZFzsG7VbN+QZtO2LKMYMMoo5NixQv98Pc7xf PUTSWnjvOCGrG9cE8H99FyG1vq7j5FOzGVvJbfOtiIfGsMMkgvdTBTrjD7Ko8AH+15oKpELXaXrg OhcEUKMQimSGz5saXyz411sUExL+GDwXb6cbheWIC3AB1BT5t2vEw6Iegc9udVJgBFRKFRSfsg3n rrOUxObRC2HyPHogG9aWKzqlP6Ju1O0kaNy8gtQAkk6ssLA6LUoNNB7CWX7T1IPYCg7Copxhc7yd PLpADHKX2ADnyAcjlKVrdaFH050guukvNMOrN3tbvvJjW+KDxHC4Wt/lenR9B/Nuh+1AJORD03sJ esqjQPPtbfNAgJIbzz+WbClhnJtM/YXqd8suyjZX7W9lm4dUgWOSbhMl1+I0OAeRVVwZZFP7LNkW XoXeIkPa0+qorbm1vVaFuDH1FNHtl5Rnp7AY0HsZrPqwO9/zN5MPAwZEMI9DxpJc0XBOTBLn5UQD q7szs9nAEHyOjedkv51ERN+qDZrmPjydk2hw4EHiUHyA5/Ro4xfhSBMrHfiDF8OmT3AgsrzG71em E1ZFwTE/KjtughwE96+lhL9WPOs1hDZ0pIuYDttIEf4DZt2rsbKCpU2aUDuRh/PA9Szt457Mokmz /sDvBHpMxaRqD2Bfd3r+qKEZaJut84tC6M6ku6QGBEP15yteLUbci6aASEyqv0bmwPyWQbTs6ocZ S//Vppfk73m+6Qdp9YAzptI9gTYKPRqXKbVQ0SoZQuqgXTR3vDooKtdThJRRhVzhy0/Zuz3816nt uXNWp6S1gJ+N82MHzzePS5dDQIAT95YHGS8ZdZxOoIfsJ5OP80dlgEb6WNGplsoHpA0l1SqQMdGY sQRwyWT4Nt9Hk6GfpuUiO0l/dCvZ+SM2qhUuupfqMCl892PbfDdRoQW+g5RTtu83OgCLyiD6jlJz azhMi/J9K/d299MAOavL34lOaIWjZBxzsDpEkdB3CGYz61qGIzIQD1oqKL2dPG3brxAX5VHUZulx G4/5qzuCZx8RfOCTLhjnXyA3vNBoFh/Io2iZcMdTBnX9jWw/gnVNfdRqCih1PyKF9EDfvBED9DVn mnH0ato22hSDdCq5l4OdNgoTsvKM0SHZwJjxAoFLClDvti24Kmildk3jfN3nUs+xnar4P7IBsbYs 7RwnMz4X56w5eIc5QQ3h/ArIyDhknClqU9jXtiZ78wx1zMiFTYpv2pokIA9C/J6P1d8DSUhjl3f/ Pcrhez2KyR9itdzXSg3dFpDhtrIgKepgzcBgiKDHsLZp4MWov9QuVDV1lLAMIjlttO3UenQlq1nF JSRmkL67LAFAocchfKvQfhzJr4NXiBgOYo1ghgsDYygqexqRoQG1HmQCGG6l/4Zdrst7JhkK5nNo jhjUOqugNhbxCWNFSTmlPMdyRbnALXBOlWJ9sU5RmyjIiMc1RRqqbKy/4fJcS4xaZ+YPB7FPcPnd aRGIppcskesllKaF/ULNIUVVkugkASJXfu4NWP1ToxhthLLQ7FuOXGU00a5NdA2lALLY4icpe/kv PfavgnWHc/O5ia3YHLWHZpuypImyh2Ivh4uBbxNaqheHvR8nmrQKvdvmwSLXNJLP5XG4ZjfJKWzd mkqBFmxMk4TUtw39NqY2sT8GRERIrWnKXtXE9q1TfEZDu+zDqRT8OQaFjid7kmr7CwhTQjQ6gGy5 rHCF+0vKA0esFNse+0QBOl+Pfuylful3EwnzL1Z5do6YrUsOCaY/mKG6XO71rBdPvDNlaUgH6jZQ K4gTsWyz0eP+LgSp8kbB/Z4TWBJQsrJksRPpqFlw+uXFHz6s2HFnkh73EDHyeYacC0HgVsFge3Su A91h6qX97Xb7d3Y2smC8BjcuNB9T7iFGu9oNKRlPPb5H3ivBDc5WvxTUWxiy+225OoA5in677bba JYesIvGpOt+IxWIbrp34AJbuntBNq5LBHiQ7ohobSk5FFm805UaGr799NcCjEsBKktmPo4kIKb+3 BFa82JjXjLcfydnP+vptZSL2wm6J+S5LGzKNfCwjBJUcq824BCOY0yy2GhNEhEZ8NRhYZ27jmxnU 7xg8kzvxOk1UuYhDrLuWMuen3FzIFJ7A/Ms3C37sg4kiwx/f+0C8JvaRPluiN1FHFOAfvw7ZzWpB DJ5b0lJFoWr0oWlg5xk7+cggB09Ce2FI4H+87fARl/OLC1xXuoB+lmXDaS4ZL5M6o8rlFUkpRXwl mqWRwVCFI8Md+Qv9XqtUsSy+LZYgzW5D9RXPcYome0XuHIDgp3YvaldqjukG/40N25eFFD0dG4bK ZJdRZQB8goEdgRhrfQxFdbEQID/y2qvI+iMeuXxci3YZf+4Z5itBzxb40AxcI3sqDNqrC4NZgpR4 5zotnX1bY3TpL11g8Ny4JHSorEo8Crnx2KtNEw8HfrXxpcus1VKJlaxg45mD+HHGvjIksQVeJlpo x7NT175zdENoFPbfiY1JhehwLetHkvUFfEtchwcFIA98Yfit7IHvyWqUI1GgkCQQocMSlFWTFNso qQayRSqFzKIWdqa0QYsoWojCSUUbH+63HUJVnD4x8d1/qwzsMPbM2HbLlqdqQAnb/3hNDSXpmVrG SDa/RmHUwFIy+fxLnDMNke1oP4DpqMa1Iq39oFdHE6+QPKSkm9Ef55774XWa4BELsORaib6HYiMy etwO54w3O8/8GyKUEPynegCvxQCuGsSqxcVMDaERXF+6U+Scj8FhGACtH3kmK2eK6H3Q2xqD2nU2 12Gfde2TySSSIVmcRnPNQb0VSCBmtC3K7xFEWpz3pzC4NbyXz3u6na02Ex1/8qIqYuwhls4O2TqT 9z6QZYVl8XY5M7eoJY45cn75/9D4tioLWrI1anUsx2SreXqMiEeqc4pbIVOJmo8XrTlkreNTwwVf OiSKlImVRARmAd8QCl+/03UdRTC/buVHD/I9D1rvh/XMpl6Fa7UrvKxfLHBnYh4gr4N2EZrR4X2l 5ujFMbqPLxds+Knjj0/TFn1Ed4EOQSkToUtHQheQ2mElFFKgqUQP0cxhbL356n8cR1naEfycgXV8 aPLmycd8UD9lDtsxzvdyeJZZT+ega9bcomdLwIi6OKrXlgWL1vXtsazudOq0zx1ySbCZAliUmS2V fB25/2HAy+GOzUkk/htTWLUGYAj8KP8KQgtKJ1TdpTc3TvuWb02HycXTb653AbrugAXgSmIwKXp2 ImqNoewIcTRimy9UprxuMt1mtYant9qjaduM7JBdrKgzM51564O2XPVJHral/F91GLrapU+szihB uc6cgLb2H9te/j8OIAJPlG58d76NQ9oeAB0iI8lTEfwfVAmvOgJb0NeYpmcHv21aEQCmxBrezN8O 29kugfnsIb9wwqQFBqCqu63A6mUmMFm+hzUZM4c5oyEFLx6JihjEZg741WajGqxm3fDsYM1Nn63o LqM6ITHJvFfnsXdyusIMLVFfvyWH/O1DYH7UaYS4HbaFUt3KaM92+O9RNDxd5IOnI1GWT8vX3iMZ SdqxeN7sHAd6dgjFcEbyc0500JVqSSdvKCZpPjDoNW+nSHd2mOoZWLNvuuUQ4ACWAUX7qmVg1Paf YqYPqxaDeH+hXt4mZRKXUFbZneVcYqVnxTuelY9UMdLWthe6uuQJDlRBLykd4LPuImBquV7AQ84z cpvXb0cYu59t0eVKTZ1GvP25MH6KeBB9k+R6aEKkH8PADeJUsb05OVrVplPEuwIWZRzPCgzhEDkk OypKzr7xHM39upCE/UAZxJszdN+d9oonATiNnqAXWItBH9gwZ4YtPV2CK+sBE0X7ODiPsb5aG0Tx yLGIwKaReS65+k0G/Mww0aJMUtfFqWWxDQFsyh27ld/imVJWcyOzVeCwLqmzNg9tDe5PfeRTHAuK DpvWP3zIKW+dzqJRZI1feU1RvZrnpgWMnZmHKhorKGML/snw4Lbaz8nDg3lzb0EaYv2KahhxA3sX 7/CyLNAF/c234IY7msOdJFHv03dcCe/KyzeYIRoF8+quvT/XhIQDyT34bLGHYVxcyfr6guVy+8ku n+hBwoXLxL37F+jFJz+bvRfkHdo2BiIQWvYKqywhGK/9bdeTSFy+a7Rjr0VdOQy9+4cL8ku+iQ72 zkBKBQZsTiL7xFqD2OH48VQqTKiPT96NJPKeZVQezcg8DuxR9cnQjFhuM7al2LRkZD218HI+zCou +qdjqeFK470CP97kCKgH7KrIsSFGANLkuYkPXYGTQsrQST2eXfs51iXyzuC0pGJfOUxZQPDU6IVw cmPc/4joWwV1FruLCZ815vZRHLwcrvYEzPE3JPW0oKUeP6az3ghrT6IxbsMOWIqI1ANx5HZWg8Uu nplWSKB2mBE1Xj+UTwrzIpW7YE4WgTHVZX90RF62n/mMsTlkkK6myTCxbPOrZ7+DoUG/1lBvwZS5 hF7UkBzRuYB2iH1Uc6T/m0nbbqLC6RuOPoQn1p987xEU1KoVMcyCf6aJcOvRxQzErhrRFJeoovjQ 7frgsiV4kCmqr5q81m0y8+h3uZD9T2pI5Su0NMtNUOGbzmGX4c0GyidwtbxMJIm5z7a+UZlg56nh lBR13MIShrjZCK6HjI1mXEC6KSfddwN1vGh6KLW4985j6gqNvb0g/gS+mK0EsNa8tC5QMl5qpahz dq0Y/CFqiLwj84jd0FxphcXwU9/KH88XMZMeqs6dSQo9ip1f3iSJCgs+MpNraDsMItNfUYbicK86 RJWV+SXU8xP/Kdt1NnDpAvChs8VvJnuoa1pU28YGZcSGGeTBTyQ8OH9wC7Z7Ic2G28fWzdWY3L6Q FSqCJMmZ13Zc4ncxlxR1j6qJqTgFc7VE8fHGFMw0TbcSXK6rZ8GtTvnVpIVAe6o5yisCqTn0NTjg QriMvKi9KDe9bWwBnfslmJ9NC50Gq4kieAGWKyFuf6esI5NQ+BmP+aWa5emy9WYo+XgKcN7l71qh 7782kJWJ4SWESG/r2crESFWh3t1DjKTMAe/msytN5/UBwPdk5SvvANVEZrlCiFGXAJrFp9XWS8dw /k4C4wHCloyW9PuRqwz9/lTnj0oXswULujS1QqPk4YNZsNLpaaElf1LD7mIxsqIsr6DV9vxgWiid I9JBSN+3CwPTpOYl1+OExFlAGsftmpyKIfZ76gKp8K1hMFa/YtoNW5Tc0lPg2nhO3T86MoiWfVWo qXLfvOrPaRmlnrkBBIvtkmSjwuxo6vkWchK3i1RYk5ONCOLuc1LB6lJyOWhPH+bLgdeMvIPAzNC1 zy+k8+DLknW86vjUGnf7jUTVGznFae9/cJn/ucSvPIPzn/+QHT3v0d0h0IyO3q6rvkiR7laClN39 JgnuQdOg2piBPU+JAlKI67qG6qanXEtYy/ZUcA9xSsIccXwFKeMIPWoBtszJ24SjGCJWQSOSCAs2 BW9mJSFSxoC+V743dfdvj5NL1SVTiwRa86fcOpJuKAnczl/vmc8Jjnfg7gRHjH1FlONyIo+MZvR7 pnfewKQXmOdXzzas9la6j4WCEzw6ivOeWwNcTqcTdpadKW4zSz7IZ/2e0O7euxRY7Il+JsWXAKKz d2gvwYN0BV+hkjRs3JPbkjdCAjcx1+6ryhtoL5PfOXFaH9B/9T+7lxkJlx4/Mw2U0iDo9wpEO3rq KwNvMtzoeQgUdpB9U6KlR5i9rBp9Lxiu9QYVbuyRwJcDPuRhaY65oumnBYHlDce5w1xnT00UmnMa ORvyqCDbZSrdD3BLHOTWPAxJVUQSkJ+ncU8PCcwrW1dyjYvqednAxYwR+gTd2utNZYgeqF1jL0CT LWqK4ePR8nAlZtOMbI7ItXhNqMcXk7P6x2j6pc4HkuuI+9cPGcvJEy9LI0F2O+Pn7+nCMyBk1JrX QeByAb0RkHMRnyVaq4+nWAGVf3MCsMy2GMstIGeBKCqQYkBnBlBSmtJeXPTHlkJ0XoAYp2jzsWUr FOS16wFtjsZyzdO2SNK5I/Qi3FkFaqr//20AquHbvw0ET0bojyl3g2mzPmxQLQDHE7lHfR8Fc1cQ 5BMf/hDYwUxcbCVTCbXkh80oC/RxuxEzpJKBJF/BbJulqBFZrBFKDUHB82P2jKb0vj9FUO+1nQ88 NPJBbgIEcmPWBPhNvPhuBFVlvSjPiCQa4aUxvNAPvTv+r6WKAF3cfGSQtLCoMMpZBYmP8c9vH3Cf cc8GyOgOJURXFms2XGug0LJ30whEO4+dcLrogKOboJXWOGz125DxTicpu1VJb5XndihIvnn41RN1 ke+TcXJL5LUFkV6bkjocO+yIHHwwv3RElL5kxhZVTQLlAxNzBeV7U3NS4vGRhkuDEJCwFp90ZR5G 2WRk2+4Pk5xaW+dM7eOERdHo2A8+Sy6MtxrnEIIGrm0zu5Yanz85Hd9itKvToaT1KQyUVAkTTqFP SwFj65Gk8Z3P7aRMP+zl5kVqZwIzwTT9sevX5WU7vfB4SBXT4/rDENl3gE/rkQ84taR1yQMywxEK hzoaq5AadOwCmOG5abjpOjOelPJCdTrYBZGrfWoWBmD8CAXzpLfVXkfUS7f/iSWWTK4A+toImq+Q brzKbLoMX+utCy2eJEpi2efnw1hOVyOoEDmdMPDiDsIk1G/BQgOFjzmHp4WDfXvBQ8SmnCDfIz63 nJAu6z8jNvu2vWi9zZEhFVFvtiNg5+Dy96XaKoPbsDlbu+mlD2RrQrUs6xVnUU+quOJD3i+eV7En iX/BsDyktvS3lxVB2vEd0qQF003vi+3ZJCyPytOd/kgzpSmvtnukGhCS5TPl71/MkHRzQxYhcEMI almp04hhjCAotvoqCyZIrt/aWXFyLuGXkoSXuQS++LVjhAAHTrqDz2X6kAPTEEJhA8T/xwb9wJjZ soxlvDVNjalO8bjyGVeqoFJrIhHhkB7hkScg0mYWBRcpBNAtmCwmGQxq1ZjHFzM9UAriuRmClXCV TAb4TVLaj8efb0wQpDhjIvEG1NruJdYq7ZrccfvYg4p+gm2rLOJ8cay1ViW6by0TMwH4h1onEA3F MCg58Qe5e5O1lUDurdzToli6Ne+lHqmNw1LGsKwTMhV3QGy+hxHjn9654WvJB54W//rnWVroeRJv oBcuSzbf1yW1ZlAVYgJOdtMzFn6vS0IO+1/atv/z5K8LZn6UMRsyK9JEZlMuLEBx41jMTKit/zA9 E0q5Khw/vgtIFhzBe1SR095A2jS7vN7cHmhwUjcrF7Jjz836bh8+C6oWbGKPJG2t7oXZ+ltLEHwo OnZHCIfOFuk3X3VtRBCv3v/uWG7wstaC4pZq5tTUvzM2QQVRmfpFfN0Z9lZO/wNhRkTEYBz/8vP7 aEyNszhOXhNRMfHlMVDi8Fs33hQv9Eoq0Ilzd9+UciCD6RR+We9bguN79OZv8UEBg8ANeRfq10i1 MkHbHAi50bY6ifKOToGmYYXJ2iN0tq36xfPNDQQiBjRF8RnFMNf+L6GaV11IrDUOdP3r/6OBLt51 9AaqoitUVM7up8eu9McvcQ0kAtl6nLm0Ek4mKHnNFo4zKrJCUkGhN1bSqbmeKIS06xFVBQhqHtfg fbBuoyO3DjKg1V8HDxZxTDZ4HkAY69e4LeGhQIBL4aU5cULw7CpTm96xduMohtPVgndYNWB4Vq4F tsf5Pp2YC3hiqCV3SAmm8DYgAJDoFIvHNpmVm+eNGHqQbQAKid+s02gZbP5DSRCGkTKgPie87I7O uh62U6YMAXQDFO7S/6uSD/qbIw/uTiM/wZXvM+xhVZmkOBDibEPQPy46oPYFIR2SAR4vZ+H5X1en q7Jt5T3sjQcUdSn18x0ggiBYJD3svoYfy2kbPlkY8CIv7CtOrBUbDQyu6ruQcZEEQs8fsiolbXMu NAI9E0m64YFIu93ez+m8DDYSjUmsy4UHomCsT56QfMcb0gn6Z48xWB4YaSOvLhlvraN8BNb6B2F1 nlQMHUVXVPh9CI4Ph6jck/1w5uabFpvfwkkfgtrs3bdS7C+IptVfATitVZRGcEzYUwD38ipM76dc OInmaQ5nA8AU8z/0t19DhAn8s5VcpCr5kV1kV/Iy2yRxNIxs6rT/neTh1XOOB0vMXyAhxF/pDWN8 q8REzxOWqjuBtrm0Y+YGbJ4l43d7Z8iJH7Qzl1TYnrLrkO8Trq6J6xK/HbntqMH93FIhEJqFiBVr a3niVuJMwsoLSYnsm7THowA1xh5f4/36jaHy9ocZrOia0tKt66QEIouaAWb1nisDCHs60S5aWS7r 1QdgXwcQBxL0BSQ6NuOLaxfb1IPYEJ/FWysmie5nbv5cWmV0mFGoSaqi21F5J7HOXk6ys0lkSrYk uWjRWFXWmtBEH9J9Z5lLibBdv5jJZPzMvjnIo5VH+Yj/5cA7rkUNOz5KP2LO2zsljSespEuEPuKY 5fYxQ+7YshVKlhkI5excRerE5Z6VRjFKlF/g+3c4QdP1TSVEYfsOQjIwWV9SLfGUGF5dOE0Rb1/3 KoUAxdggnT66IC/lLK0QPBPTPhMEWDi32P1IeZN21CktZ0uBSJikBu28YkTUQ4ZBDL3zR0o/9jup soXEG5eztlnmos7V8GpBCLUVwJd1KAsRpejAmRxhiM0LPL28dcg8aZqanB+wisZ0MdvshifXJ6xS 5pY/8Q6aybsmamsBaugxs8Pr1vfKWSh+9pARDTJPaOhM/4wPBZt5uSHiNBFl1ejuflFOgM6Qz3pC V6fELmvr4G5g8m/UinmWEm3sSLop3xVTn36P+OT8ST97tW25GfquIAQts6V3nrYisQJ6WEyx0MMl Esy+GCB39sNwYaFu6pxoHkTFH/IL6CtLbmXaKKk8b/EhXtpLWaoAtu7YcdhG2S3eiY7VNM7Z+DI0 BUMnuFoUuEJ0ta2ch3gfUqy7nyspxjuaP6x+o337JkwzoKA7ROpFALQHXyKFIVwOwROWIf8vQrWt n767C4Qsq76I+oyLZxbmnyhPLzhBGQ+5Gch4m2VvcFYEtpEdcB38MY6YxBCYNLgmvcdBRQP1IG3I hcX3mWIta1TDfb47+DGDCgPPZut+JiTKb/WcPNhHUYe2gOiP284EanX5+CjWN0Iyyem41gcQ0h5Q DHReeWxF1uhCo+ZfX3ybhm0IRE8Hf+vdoZOIr5GklAZsiM0gfLRodzFB1J0PzDujw4WFv+dM173/ jFOI1hpTwjhRulc6o/oJLCh+TZtTZFxldTvB4/kh/OkVVapJpPMLEVatzGd16EmRJmyjc/Rtglhc +QR8JJB3J1J9OZsO8UhqruBy2bB960Vk1fq7A/LgLgOX+E/v+HwtyNeKQQ43kq7Wjw2Dqd+JEDa7 AetILZ/K/X/FmWHlnyJ9gyCZ3CaMiXVxIMnfyIGLdKLXM0ZqossDprhn3GBeXBAQjAni0YUTQzC2 LoixsFhdx1l4E13DY+dPkJPoIjNyWxbCZ0DR3AJRaJHDvcPU4Ifaeb7jFev+o+WGv9GlbuaeW2Sn uhvAM16ehNr5LEXKW2eBVXROqv0h28JxyRGYJpTlk7TajlO5n3VrBmxJznTpfEEgWOrA5MNhCd62 BlXFyXx5/24fQV6OKqz7Lll4vwZdtYk/YHI/ZAuqp84pvObhFV0oyhO/a0Xomi0DPZDDx1U5dyDS 5DQdCbRoSEzivxhEMGgNVLptSY6x7pVaTpjyLcSuHKWvGw73o2zOVvgI8T8EccGMMCZ/gNSrqE4l lgA6jkWrUYRt/mkR0QZbMqfQ+1rZmrY7prh7Oq4kFluLNMxIhQ0LjJqTouV4Qw1UAOSutTrNnVY3 jdCwyFt9y4qOuWdgX/nh4C6vgIX5jE4zc9QW1gcm6eQFCHWqf+hhuiKIe661FvF++M+fJpW47MJe YQT9g07A119o2MewfNcNcA7Ws2p+Aiy5nJt1Cq0KF2H3qv6lQHbmXh7D7kgbD10PECO6VrUjE7Wt 8aKLfVNiRHSRzjIbEbE54LLnKTHScpy85qxoic8i2JWqBo+PU4TlmFPK7uyeLdZWj/5BOgv9i2+l lrQuDsijsI8aVIWqrdGs1Kv5/VK0IxktdrixyxypGQhnOiDR9q3K4bvhFZ803oFjxbvFLh6B4r6s wgxOajP9QMWDSKBvsLfYcTXOEQWd7U9LOzsceBdxmA9X8zorg8XTiTOqEErLFb0ItzM+j56QtFBM ZPcWyPyw1va/SeHyaqcZNcSslHDTvxjKPwM2jjO9vsWuAdT54Rzr3vkudHOBWlIoDwtR6YPrRPu9 lOZyn/IxpYVe+EDQ5n2004Q3q69rjAHuYVhWzXL1nEwdmP9PoTzxl8SkwTfa95QwpqDqXxIronWe elMT8y4oQAF1GttUvY0m9vMQZGLQf7g1ryQ6IbwJgFvrEi4TMIeR1w1/VoGkEU6X/hZxV1VVgZTU oHHdmkFTJJ3VyasGKvrY1uyjt9BV87W1JrXeooh8ng0N/4fOzxdjHrwdWLdC+CVAFuNJ7ld4g7zv iTDPqs4lWqhSK8P8KwdBb45AEZBExnIVSdICKtF94GFsU2yT3DoGhWYccCZ9z/nEN2Ej4ZiIrif1 FrDFURd0nEauXG+iLK6ctrm83evqcZfQO5MXJ1ZvqlHgk5g7aGyOtzgzF0br/doLzyD5MK1Jvbq/ DiGtgG9AqpkaaCOsMDxlZUrDJvgNxQuN9B8A/mGv3F1EqBywlXBTXvJq4wHnvyQ4NsEZvXD6oqNE x3OH7adtN8xiiXgrZCdCwOS6xI9RiaI5cQaY7LBI61TIrgJxmGiAZ7MRAkaIj+ktHEJL+ghJKfRr iDDswrWHRaYJdfc30hMmZl+Ut00nLgkX4H8OCWGyxajPpAlWI6QDNkIa+La43PMcv5HMZwBNR/U8 WrIFbDboJOqpzOs0zxw0VeWYl6gyk+9iSAwxmHh0uA7zJJioaZr6HdNoyz0pnfjo7XWAUDYkHi6n tPw6dXTgWAAqXQPTKKKTMNqVyeySQocDFrXWbRlObig3rSK2C7vp8V/O/xJH3OM2c9J7Jjluhovg 5CIh8aUAIwphAtg05Kmxrh/BPhCdje5Ms/a0Irk4QUKc++LcQL/ngcu9dUxh8tizSgeorpfyMDpA 349cLm4ofeyMAEpL+ti8/c4QJePa1i8AEP1X8W64xuWhw+SHdp8gCmiA+G0sYvoMgYvOoVsEZVHs E7Zq00F9uSflToFsaBGId9oelQpNbeJuBmcK8F/tJJ/5EhjoWoJCbYmGLmbj3m8HzQgSw45dK1MZ IriyIYdfS711Z59Iae+YMPdhTQhAC9SFTCiovxrO35a9x5EsyPMOdawC0fshdySKoYe15AmxQAgp RGXsTBY0xyYDpLot4bqQMXLtErCN3lZNAOjjbMvRyYNW2OiW/WJPEZ5L41eCHl0Y8GgNsQ7Zx08n McDA4AMiCtuvA2E5Wb0kzuNXARpwqeTxHzRjZir2XcuByQdAxWDwflOip/rAQsDJd9Zt2u5fKLwy d2fygnyqIOucqo8WPtgHZ8ga+eM+uMz1drysobAyKqKAvdHLMRgobsCOW7ND0BLeQCIo72oDcOqp CqoyNedmX/TNeHWzF/fVgjibPDRB3NCpP34Y5ijVgZGJ9vdVQjwQc2bIGT/BpT7rIOsOEcAnsLrP wyMfuMd+KaKwkEc8EqdSmWjNhjYw/hizXmduxj9174Mk0gJDq2axxxII20GQRzcaYkLVfYeHzFCw F4VdH7h2vnPXLWpNEkCrUd8bnbtKooAaiddlLS5UlTR607Z5rHYO8nDKabdbYrJT3YqlCacrRdgx UHT2j9XYR3cQfKg09+88HnCYOqDM4y1AtnDQZS/WZKWdA8a0yiUBUguSnhTn2hrej7Q+G9QOHwCX BlqIVibZBqzkMWLSlvuAu92z65dGLelIg0NesplbJKmQwr53tpscn21IFl5j2dEqfjFvx62i0Q8u tONCgFt4qCBV9mvDpviXp/HwirADn5IK7mBHSYRIUgEP2Vkcwl1lgFk9D6QvrPkgIP/wi9lcOR9h v2mbpOes7q7iA+TiLimuTTtenzQIozA9L4tkPvuAY0FsK4f3+2xHiCm6Os5Heavb+BfKjRXCXBki Z3Ky4DknTiSom1PQdoFgtqXGsOBwvpA7HNno4BkjilWuXP3ZQHcJFY5ncn3t7OourjRA8ejK5HlD qUt1DiG8y8wEeUtmv2/mp4rbtYAEVaBPq1Emk8GYmh7ZC4J+ajcbXx/LnW+R4fHuAw0T3EUC3TjV aBmtRW36FeRnALg3sqc6ESslT6RJUsZJuS1ox7Ffh3SJ5eglpi8Da4bg6Z1Mh0aYIN3BcC/0RXOm glb1dx6WbTfAn9iOn2EeBCW1vF0KOCM+DxvoN0CCbL4MJKLt1Q6fGZQ5+c3zipgCoJYJiHkpdF3o bfXrPxWuMvHowO0505jJV9+NNeunachg+Nff2ENh4HKd+MP+tQAnM/AQ1I76y+drSOFQYIrNpcfN 9Q1yj5PnHS8i+e5uOc7WiTqVuA3/JnEsAlzOLdpm+TE0awYF7duDaV4lvrO0eWfY+14J9qhCWdD6 mUKYksZnyw27JHJfBZ6f9QD4KR7VTLghruP05ayD++uGt/AtN00lawDvJtdfCc74xfXbIQlMDzuV d4chcyIM1r+i6yTyEYDKAbHgTxkgAfX/JO4DDU9QB7W+jJqIOvGy6VSQwnp/TgnsbTqpmtgqWsRJ 3u1XutL9aMRj6hJVkV501UfufETMnwTyJb5ntj8noPDV3T+RIopDhy6HU02gwveYjtf57WR6zdk3 HyaA8u8F2qbbrqOzGDlyf2Z5xT0M9p7dyfTMwTqKWJGXGXVM8CKqpLJOKHHLBCxYHOlD5pv/0zW1 LuqsttiyeRS76jWfwm/FhL1HfHBfJaFOovKKfnp2sNPPk2zsMhpDh7Lm4XM8+EQIbunjh9LYwtUQ PnBJ8UNwG3tL7O3DzOlaAWfX/3hZZ//oDH4ZGZOZz6VdmOBknNm2UVc9tPUBluLpXMvnC2P6gCnj +Bik22xH5x4wzUcnE2AVsT6YljPL+sCLTRPNaHScdqBV8vGrSuRy84iGAebiSzc0AiXd0IRChPoh c6H+A3q+66496p5sWL07Ip+a9hOYOnnz08g+Gt75qRMnXUoF0U/fVwSdoDM8JkQU/aBfz+Hr2BOy fKPj+1UyN0asxkC55HPXjUvmCqrcjHjTUfDRHWyH/J+en1RJOOxBVvAzIB4o9RRPUy/r3nLmpqrI PyBOk1YcocFP1EnhnBu/ep5Xn3wHhv0+SjY63IJeXNmpmq5ti1baF9GU6j/33287AwZlsDTHsa/E j+caD9ClvfzC96jMBv6eg6+Xdd8jN3+avFBUA2Kk5vHxl1fearLpXCOdwML9aRc7NYoHRgG22hgM cmNdaMJ0lqNZ/ZvpRyGMUsBHz+yhLSh7fkDNiglIZEto3s3pncOKZlwP8GFvc2XHQXVKvascaiSf mDReI7Ma0WEJZvCKqBPlerM3mtttYHrntCyygIezTj9xMzvyfMef5n9XZ9UUuEICObNdGFxL46EZ LO1ogX3r+mxkAQfe8bB6+Cct4KQW3+Tg0tFD23LlTJgfjPfHTPGyafNVTvFE8rYsA/8P9y1h2F7s VvCveNGePvm7hvIPrd+CZv566sqXO0K9QNPpeDg9MhG0dIcMth6ULGCeddHfSUjylplOsniclaEq c7KdquGE7vUfMIra1nnJvkwsh34k7Ud9rYkzkZkvcEk3YKqxJF7IX6H8VPIK8jTLNh/D+scaJAT8 d14L7gJzi9OVGUzl2f6JxbUP6r2V5sSkPl7cS83kO4S4JjI+eFJVGLR5gQl5orSzaK/XMhR3+a/K zFW+6lsETtsPSJWFSC8aaCTdTPWjukfh82Iohkap81A8XFZLZA2vmGFyQXtKJgLLcP+wLaqZyBbo uDaFLbHtoRbTxCMYijk8CSKvE7/fnvSXTXfiQpP9XrQBePrLpVk6KhBJOC3l/BlMm17vSIJV3nN0 66QxfpoqdbozjuisxhX60xiZtFl8j/XtYjUu5VNMNuFRTZYMPhpqh9PLUI3wvSl0PTU4AUGkjBw5 S1jmilnhu5y2sM3eCq5BG5lnhKrG2vdqOf7jopPTk3aRBwKsFFsC02cpU/hBG9OHd8dbZXxISDuo FR6Jr/embt59D6rD4XWzVF/Cbn9oJfM5jLMS3bQGq/HiY9SDnME59vEhmXT7qdtq45gFiu/saDWG MgZ8kMlQtFCkZ4TF5z1ZVrKF7BKgpgMkjJEQVFiVeUJ7NSkBqVmVJK9jnAnm9SKgj7tqEYWiHfoP 5lHzFs4L5Ep30jVPaKPH/0ACWN74GMQck9QieLARAsFlO6S0VwgJe7fw/8Z+ouZ5ryjcV7uEhyDJ SxK6tBepSbul7aZ6kNg/8C4+l6HM1COdPUpJfMR/BgzLGW13HM2Ip9YiErO8dEDJP09Jd0GWV2+s 7U1cydtVFS6YV+fnj1t4Lf9yjosDOmd8J+ZlxbTSqJfM81oZQxldUa8xzjo6JdeIjpA264bUIj0w iN9I1VhLUMQKd2bsffsNYV0G4ba2sfjhP2EG8fHiG+dkQgogJtl09d95yaplSrF9eGCKcj27Lz+2 ui/ShFfEy42NLra4WG8DoK73yU6gVB9JtTQ0OL0ZXR48lSLHFSFq54kJksE0bPn2kRA93yVwNkFb SHnabaOwicWguosfvXJzr3mmbuBlPILd+ZF1qOhWMulHmPg3URKVzRi03E71AkRWkkXTkANBYGVP Tl9ktNebySH6wnOk7xQ/J2L/eVnDHW19I2facJE4yUGyvcbIAL7ZN9Q/c8IIopmGwwvyij3sSYR3 TY82W8NJERWonWA5mPWXu1vtGwMtT455CxT4u4/I1NA6p4J/KQCzzLj6Okqcskaq1+VtsLqedRdX UbXbbnuM+FAN7wyF71XJ+qPwyaE1MxzTyTw/33g5BxtytR96lF4uqQ1fojKBtahTAIAyn4aQqEmU PhgJJbnoN9Lo/4K9Mtw7CYi+ddZW0CXslQD96UVNdJsqoHZrN6W23WQ0hAsLV81Y2q6Rxho1J4+n /iojf0NyDWgQZr1Wv84FaLT2mSdBZZmQAigNblSWEQQMtpDv61kTwesO/t4r/cPp3ttH4hePaMkS OYmmLmarxW4quqWeIfg6j9nw3hVgO7+0HoG4iDaxypyu5m/p9BSPkmbP4kRX/1we0SgS5VeJkIAj 8ryl4ndknZgdHl4jpbSi0Z60eeMWD30ESNbo3FPWIO9ijiS0TS9fmTN3kxf5FgJ+4yZkxbwh5vJG ouoXK0l/3cVIzh95/cosSAL3DvOSkyf0PytDpAl3Aeafko95AAs8koiPS97sIeBOcI+DgesDj+IY 3nz2q4B1f9u6qpnAk+j7ZNMqPTuU4UDTLXTiaTWNx4JxpZKiC8BXeRvzg3mYRLBXY7IocxUM58VY tGTDxfIj/S7oLXHLiS27PxZOQf7yZf/Si8u/8uPqXw62Hr03hj7G2YXKREaTkvj8HDT+W+q0L1jK 2tMNCBOITL0S4fyOwqzkLV72ly7lCh4KhtKKPEyfngGmIzo7iqtpWTgbggOIfGxmr9sm6UhEOfu5 QoJx+Z//56D7f8oex8G6N8proSreOFcyHHaoPl5iNe50A/Js21TXlVZq5BvgLa305p8kBaM3HvvZ IMBfCPrZnCE1kBMhIcWU7xSbLcRTotPWKQTjPfUK4zxt+BMKjfYzbQiTaAySuHO2La9cGjokGnrJ 5zCpl0b66AzCca4OBfSR+dcnPMDDHv29E/+z9brYDmyG6g6BPqVeE/zTuFTsyxCJ9RjMEeBhK+qg XyYNauw0qNPNvRJs4u8ZsKxYUDJ21Mx5a8TMYGuE0oiy5oWm6w50ZGF/ZiFUUfQMwadOZg5zZn85 eT2ALzkOMfDfj9gKTr/rolZG2NlRRbh4b7Aorutun1kmBg46/R69zU1wysBtkh8KzVHQ1B+RfmJY ELrrtf9U5ohsPCWroCRtFqIaWAChfVpZAhaF7BJHiX7gkXmx/2a6v8KDNYN+/NQA1LIpr9JKr22Q SiwQLk8KMXhSOmWuzysPPWn7sYvIexiAlKiQdOI6o0aQ5Dzhn0IWYW5+8ZxZiZLJNlBSlXkGJ1aL zqbpe8TX3VDrhey1lNNVq3jhb7Une5nASKVMBE/8w7J1LRY4kGE3stJrWizhBe9BdT7ijMd0U28v fx6C+QstNweuvlfmIxhSyZgQF2SgY6A85R2B6bK7TUmHGCxz88VmxNKc0RU9tdyQLH6xJ8mHeXfy eBdjJUuZnSw2PAZ4YhsxWOHQC77tr7vLBvoe4thu6574nPsXSuWPOzajv8zUmtSerRQDNmCvh0zW oLPqepmQegLZ5m5NpXAE0ECVl/GbP65cQj5qUpYNrDAyu6mq97J5cBuI92M5ajnXMwCWPozV+F81 ZlXsjENw5WfvLDfVz1nGWKkINd5gaGHAWiwTwVRL2SwLCpjZxjZvoEfaNlBh0Cfj+YhAdbU1MSd2 /47yKzVU5gN9Wcl+i8Yf2PiLD5/J2kz1oq5+8Vcey7njPkzvXCAB3Kuy7u4G/5ohPlrgMm0uZZQC m4aa5vWhpgUcnIookv9TVsZQFhWj9jp8SPvkJHWre5qicRCfiXQ6ABEmNJiIxAZGIrxDW06GIBTg +0BOMZLpxnklr0kjLDEulmB17sH/KfVxyhGZQ0Baea9FWaJ1I9+w+tu1c0dqXy1/WunMlP7l1/Rw Z2abj/c7O200PNLfam5OGwgL8TAt7yi7RNsFmATf27y/dYntHxr0uTqJRRXeX5A/rM0M+KnqTlq0 +ExIqgJmi1fTssDnrQWOW5XQw5bM3peTgUIA62mf/W/lQVminzRxOvfuDiTKRRjr5IllC1iLd83Z rcms1ILZFphIiymmB1mlOswClQ6CXwTY030zlXL6tByqxitYrh+bZz2IIXgYEpaPz/nc8rncxutY aKg9SG0qx1B53jtoPz6QwYDY8WQ3D0qa2w+zNOOk9ox56vFroNBvhhqMuiNcroGbn380EgjusF31 mCAcQqqqB402kejj1N28uumfR73ybj5rT608pXWiXMKOGgUNDlvievTQ95x1bTeFuA29OgjhFJiI 1E+ahrDZZ/TwI9Q9plpyCfbEZiVsBuh8WzPJSzXsRzcorhLBg75647VdC5k3W0En5db0V4H3bDnF SDXfuDRXKMKn2iuggrHeywErI5eLJ9v8qVTHbH1II3RRPhdZyJTOUa0ZfYqfZl3j5lv2bkDfS2Hl EIqFst9DL6w1R1nMi9aw2bjGUVeqPnnE+DjUATMYJ6CQObfnKbZwxjwTsou7WzDCBaWIvclvm7z9 QXD3aNbYumWRhBa3akKagaFX/d15cCkbqBeoUADgzd8kBt1Z/BCcdQUDj47AaiVTER3gg3IM5OgM TXKZ1Q85KlCzBwuFqbEGReGow8MPtRGed4O5spMoaN76448MZ75CJeyXmTdhvdi1N8e/rvd3BNAQ +p0jbApb1DN+h/36l7KvjdV74DbQ5IQ8q6rUfShSgu5mCnbl1e81YA/d/J39iWiVp6B9e0Sy5jre oosZuEZdiQxIX3RIS0BIaEc4sR8EcUPmf+qJs5J/KNtABkFwSTzV4GPvA2lDII7msmxYS4mcHSWA 30WkLVkhv+qUuDsiQ6RlebGuPFVcSBhLBlHO0YE4yhSJILG8th3MdbDSAqnTYm+JrfcVJfjdBuEM tBnxeKVXvvdxkzDxzoD35MSHI9I9pfgWcT55l7WdjbUpfwUol34Ve2p1d1zfMgv12V7/w4N+G7so 71xy/S8aGZ/Y22T1IBovQltvKhhAppNousZtJtqZZQIrEPUf5LQT/WuuwSq8/gqYRjI84oxUsPmh Qy/VwaO2f+ghAvlSJccOJCr8aazbbN6U0piB4iMxSxWi8fJ27ZjWhE8gT8l+ZRmRToTgFonQYK2f cPy/UL723R+JtX70H6dgmHiwdmbmGk2EjXEgJzSoTGd46tE4AxC0ab3yPcXu7v7HpLp9LfzNyLxU cWBzISg7lzSNrxaUhgHiqXYy4p5DsLjmRW2//1AQWA8ywxmxDCc5HEejBlrvNKIBi+3mmKDqrFJH V6i+n8+jkxm42iXMdx1YbJa3Xvfxui//EeXnYA0Y6Q2YLbfukjaB/tY+mVt0DZrpSgc7qfprYODS UDdq24ZIBnUxXsLzVrkLP6yEEwXuJa8i5WOiGojdChicOc4L6lolVhXaDLI0PPFnUDvsnovBX8hx UTw9CM7O+bzw5sM71ZeDHNN59isPKMHbO+A6nJSdYXxtrCE9ZsrF9a9at49zAfzIwr8E/Cd6RXUv M3GfEvbsESkAbkN27FvDEb/30txjLRJbgF+EIjE0X+h1NOKXcOoFY9lXvyxt+f4YA41OY5hiKG+K 6MHWKTaW2RpbHRKUdORG/b0wFLwzqDY5yBkrsGGTepURJYKEhua6ZyrmcujRK4fQ4mYwOPgSGAGd UA28wlfzOgdqOittWdd9lzO/bUeyRqk+eTkbCQfZl7tQ/V9qtXLoQ2RrABs1ptGrka4KBL82ulO8 krC9Uml8SyA1B4rsoimGUONpRoaIh7uEnPYBpkvWrmoFqGw+3mt4SPEDoGgiquRr1Z/SJKcZcyEf d2m3dRpjVVLlFg3zT3tkQFcE1zK5m+mWYpSdrnaB/+eIpbPB4rIp+h02BTTn8WgwUbAe8nAkR+G0 Utrlt/3MjDVdM5wAq8kaFBvOTAqk7EICX6nmfSJPa9C3ivTkokozyTBGX44Hw9Wrn66/gvL9xW0I 822uci4OliDPyysf20fQXaO/N4Hs97oUhfKjSp3YMdIqewOXJ/zjWWik3T29ceAS17brGc7ESDgp zWu05smhw9iqnrr1LXEIXQiiVbe8iYYfh92+k1YegkqBQZiAn0Jv9jr/xGJt5Ew8GQbmdQbQyEwp cxy0EOhpTTX5ABlVmmg2TdUphzj2qcNevDN1iNsETdbsX4sTPuFyg9mrNCM9PvR1gPSbwXrvoitI LNWZ1WFBR7vWF4kjtzEjrg9D+drGf/LcKjgmuesse9vfupNrt90bBbiuGj9c3eEFDI4mIsirP6AE 4BrrfFcBnJPePtPF6szonCyX+0stkX7+oRo9XRQgbguWMovitQfv3WhuJLpQojC5UCp3Pri1Iz1z 1Rf63gOqMdOhv5TdLmjc8uanDpxTciEOrxgLiYpmztVr/aIcn5WPAQIACjscLXi18EG9fiMr+mLx F6ce+ngsPw5qZGPnNomhxt4CIEsNtnCd4aszl+D7juj3ukKeURzI7ZC00rdQ+QLun/ic0e6Pssjh WqtaumxCv72tuQV+9BZJQJZGigurAHebbjnM7Ip6HB0bHgrUgXp4YGnXh4SWypwb1Y77ZxhL69ui JptgTCxpCfZW4VZIyDjGkLVWq4xVek5AvL8qHDxjOsmlVCyubcK6xvvS/Ce+i80BIrbRtG3dQ2Tb t+vI8TaspVtc6liCWXEYgaXRbb/R1nCvHnXCcO+QosHLpdBNm6T3Jn0Tejj5LHkzS/YzoiF9k8XR Fjntnjc1siarQ/Zf8eWexwpOuiRhymJqz+WqTheF8FU5NKvoMmmGKhoO5jKSQtqP70s8B2m3O9ts QDUQ0gWttxM6pa0v1FN6B3Dwbe3Q6Tm4FNyuULUFnY8haCr0EL53+oWHQ5Ctn2m9z+5McjhX96FQ vrNodCSx+yUjySgR0HXfgHLGzF+3kn4BZYnkpE8TUM7Xuqvhmo+8qq47kk237Zz43HBuDlQ6mp// +hDpVrmEf+DCZzHi8Ulu9kywywLe4aJIOtX4kaZSmKz5hgRepsQySbmaEAhrtc8dnMZTH/vJsUdF LSb2W2o1j8Oxr/S1wv7PlRulVUEQWkOKq23K+2uMG6sKdCB+kqP2kBQdehkAKfBp3YzNI+a8u9tl Z7HFIO3fNC/o5zFaFks1SzZ1NzRYlBI+MJqhRB21o05o3rUxyikPFFSMtk8FG9eyspIl/oHEJE/h 6o9cphl/0iO4QWueSUZHuZpYj3NrKgLhi3G8vARLHU4bwS0qp+h7VWx5xVLpCZZenLICDa7NxF5R GYQTRK2PstbsLu3tGGe/NelEEzS4of9jxUtYzpeSfwvydnP8MQGlV4k0+qzg3st1pNpE3XjBpRrQ hQkO7jRjeGgRDWNLoyYB0nQJhK4VKMPXvXA2aEubMeDgZLlrVficeyKPdwnII3MNmTUem7zjJOms p1TEsu7oQw9OlfyoyelJ1rLmxvfQqMRF6RU8JnfpcyL3dTA/eRXXP7eH7ac8FgHOQBTSMLU7vcXQ LQ8BqTwUUR4vw1fupkaggca2VC+8RoNCoLlEfH1rWSkcpZgp6C07EcvlIyhGE1d55EM7XNTMS5c6 sz63annU8Okyx/KkSBGdrgsBUGkv+fpAtprNF9RIMAs/dMzB8GY9DfVL4Lk3UUMxJubI+/CLWvcl 8h4WkLsMW1iG2RoFmnGnNS/HKod5Fe5UvPUnZIWC0QZgyvHlPBXWUYG6CLYbxjugIosx83rMPdSN rBxhl4dluw8SqtGAyxSR57ZIs8dKphWDzss7v4/s12II0N4znoFuqJ32qs+VkEm+bNZfu02VS5uP 9COp/15ZNPJkxS5CpI4tCMbI4FWB0YkpVX0aoknXkFcuoJk/tOYqMdQOhAWyQVccGrYHZRCbBw6s JTsRdP/VkLe65rzntMv9fMrEDF8cj91o5+JwLE6HxftLYzA7yrfZ5c0XXCR4Tat62ixohBMxDlse md/Wmnliwgw10PPyEI3MATYkfGjXVLsogk6GKhbIAEq+N58dPw/xJX+UisjxYigHDrtY0jW9BF10 RhNvNfteGc5X/t27IkJoeYoAQsthkP7vMEcH16SMWXrsml77G3r0vK/rclAEm7AojlBKArYzEac/ JjBqZBFWb4XUwrcG7oSPMbH0nddm6ZurOxR0geBUQYyxPqWifP6+y1Ktfv1gT31a8sH1rnsZsIfh ZNtsvPRtM6Xz1ds8djRikYdJwNKjrzSwPqlPfdsOjywY1iBvGZlSqbUiCdK2GuErK91GwBaPAzMh OtROXlr+++uKtKRFzxK/jEM3CZfxdEoTga3sIoO78RX96sYE+AAft7apEidp7n5hnvBU0Jo4L8QN Mjvg3LFHrCn05bK0lxbLoxPL+vOmQc4ObhytObtT6hI34Mi0ETkI9XbOhG8NMrIbleoLBBIDxz+y 1Pwey0c+3f9AmS+iT52FrRrDHuckIfilhCt+vP5lhrJ2XDylIWvFz/4IZEaQW1XDGyoGUvjBV1vO 3dBCiJNeq2OfWslr4Tp/Rnm4ThA3N7B7woK19Jxr0o8rYE9e+WLyzZHAFGT648e6y1szdTQ/mI/W 4CEce+gV/yHfKfWQ4mc8y6QwcdbJKMJodvb9h2VjFC3rvVV89TRsrXUePxN2eztUuWDXnsEzYrRm dxA4Fake5THFqLRGdR0xLEwp0/RHOXJ6gtGRsZ7st3JER6fJDSpAE2Zh2/LyHeeU6Ta0dnusMo0q IMeAS6/3JCSUZyBRgtLbmJ/Umcwo06FSnJ965zX/YcWv5gDSpQciNO2syPg+IYRtceFyV/gQh+1N 4B0vPTdGbsXRPPdGsirbfDGjIuNOs+iZoODBbo+5Zl8u35TscCRK+vXE759Vj3jXglTgXz4Ome10 bnUcwTO2ZS1f7D1nBQXLiVnRA8vsW+IkC0sieLMTaYowHVFUzX7PQNruJP/iwfdgaUcwux3UfbaC 2Ru/EkQV8+BG3MYRwTAS8BTOE8oeTCu91AH/g+JBYG1y2Z3QghcNugPSpdW8C3nYI1wiZjVy7ljk pLTMps8uKpkLZ3CmVHKJt+tolclt//Tfy/PBDXmDbGi6O/fNW+8ILVpoVBT1j1m6hhzWwrbOKQpi XshRECN5oAiE5ozfYOJS+FcxKxnlDlarYHeUCLt3pinzMEDwCwkUgwtePJbl+pXZONupt39069oU R1gDbY3E4ssVF3qSwykmacPELlCsSc9jFX7UEBbxOavxfsGcFGyEwaL0os7S0T2ZEJ/k0Ch9NIwm EK2hRI3KYBv1bpQjr6MdyVf+rRn8aQdd0HZE8aL2aZUGKwNSDKzAzpuoC0IbPXy3Mg8jXC4cNESy 5mXBxF6QYncbn7yWq+l2iP1SocEM9DHdreOSaxeQLIMiP411CHAvMENKzZw+3q3S0Hd31lFCk3yl SkVdzMzGlzf74/HKobTiOQsGVQdiJwfgYGuFrErSlXHz52DxDSaiFLoSd4Fl8arPPUZ8yJwaIf4A zEi13xEpMDcz++81ZPznbiiYY/IyOjjZx/U7pY/BLZ9hXuRhA7X4I9xJY+6p5xLbbT3FFd3Nchtc 6T6jcbkQ9gTqHGO4fMdrj3cC/A6qSShSUD0F2sa8uiQLpDIS3RBwP+5VeWfsFY8D2eZQkouLnehP 4EDyKuTHTAIE0LpbncGXaRfdsEsqjB+mK0AsNw30TtNCw6LFHLwx9MLtfGURo0+sKaakAYXm+r9v ZDl1VqZQK7lOG84uUYUK/Efj5HSMhQXTqNHQaX9ItiqsI6JvTI5Csn+IebEAaDXccw/rMcI4MrCE ndSxh65zA1Atr1hRyYmob6wdAdSrnyD0u8qcKm3tqgjRuZcjdFVMHd0dncmIuHlWIFuiarqlAXCJ TLV8spuGIgGoSXQzPVs5WeKcemyHKn40pm7Wnn8oZHLPa4pHyEtkfT5Pwl2fU3/idzU8R3DCjWCr GMXQQDjDAU1dJrMSJzRagC+sW+SQlk4LaVw4jGW8r0GoHh4/2LcH3tcau5yYbaE6NnWI7QonqVAp xbWuJmCapxla/Y+9SvA9iSaXS+rV3uJeqKvyyfjWWUX4LIgBC4H/nsCqMcXKXtlANLAJLbWmp+tO JJBqJgMjJm6ytEts8WIqTaw/A8P2kD1hksKssfVYdxMnqrZ7cSNSCy8fydOiFhvIclAROkqCJDMG vGxqaGY4PWHEgW0tlPg+VWVJf1DZu0dy2YS0w7Jxugm4yaJEKQsnyucfnADy9yB39HvmJrx9m2v1 te0AhvJdFnpPV3QkxuZnX1pNYbPja7UB4IaPixVmZBSYyvFa7+TffRN4rpLx+EvhhGmC37uvMj04 0UzSloIKEcDcvkuZo1iOIpFwzTUOdcrBaoPTGI238S/qcIE/LTQCFzeVaptjK7VxmHeAkPNBGMGu 064eVUdhY5QMz/Af+NCci+lJI0x5ucglx450q/cPei5fcnGUst/Vxb6hfqGwHWB59Wmqd8jJb6gf LciUN+OkLLQz+ZN8Nd1LpWXAq5Qwpyecw13sztN3Od93gYLl/iC2xEdL4bk68MdRsCYze7otdWrF H+96yvp4wyq5uEa4HocKxpjbBV0Wf3KofjMvgK21azhtD86kWUpDqc78LTyKLDLBqYBhMNccsq46 6svsX+/i8zo+43p8TT9PhUYPCHrXcWpB5qlk9Hehd0XhWSkMO8LBreiwOIaO+4TbTkVrfK90jRUX ktWQpIG3H5phpLNspI+UXMAbRfnooVLlvf45CpijQQPYU9oLaXDjq1H6Fqzmz043vit5rGp4kWbC ucv7bIMavrzjIE0HMPNXPr3AWV+0h0+yAKBxrfHk657Pzc28VpXGhcJt0qejnt5rlWLQGhU4gO1F G5gOaDIXtwRBCV+5xo2VKW3AT6pq1W5se4kiw2OWKTbDilGsvAz8+il5a06B3m4lMUpjjBfGuvOc 07Kq06H3gOsvmCegOVhpjhlj8YO1sFr7EPWmlm5YGnM2wMqelZLeY/472Z72eUNNY3eYJJoiY2GN tJNexO3PjdNZX9IeNBgt+BrPAlBMzkSGTiA6OhrQ1OaMnrXQMPn4aUHu1UQPxH5/qGNjCXu4uxi0 wL/gFNRE7YFYZhQXrTIEkBGAVG68jE1y524tIaO2Vf0JM+b9jp4wJJaZjfQ+UgfimKZjSIYG9+OK Er7/NLCODESvshMYUJ+BHhLwWr9Cjqr92iA0WvtntH6rAUw73PuHVP24OjdZBlpmlfrNbHZXLGQH Skb73NUGRQWgNB1MhSVE4qBJ8rdzA1N4tTzmLPYHxw6C/LrQowZnNctk42AHQJa426klkyaerBYM IPHMazW8R4UuSt7ZHOQiyWbhnaJscAyb4RjYY6fYECAtQKLsSDeGMJLofkV5u9iK9JeVlGA8AJZG 4T04HfSYgrDjCTDR+pXO9BAKff+7HgH/EMPGhiUkk+P8elRj2rMIiiuuQuenhVdL1zN731P3gef2 hObrMYQ2Yym66wntccXxLdeDBDoZ/kmz5jsuvWd3c5lTmIy2+XgDnLVKXOzLf9Gg1n/okfITjaxl i9+HhKhX4PfVKo2It2mbyQJz01JpPs9mE7ZV/PzNULlgqswaoc2wL886NQ6WbtuFAsResAEHficg bAqw0kMyi5VEyKy1It2PXSb3Txz2r3ahkDcq8t8esfWmq+FK5XMGu1oazdhTY+P3qhoMHx02lv7m 8kLGUn+UtCJ1ArortZgqs6tsYBxP10HlR6AWgLWRR74ovKvpDE8pM7j6DYG4NZMY702ZF4ArwVNF C7fYP2oQL8Esg7wBtDjK4vueXjwHOuVDtGgwUdDmStBIAMXI1q8bcrP0OfhyJkTR2yq7Q8s6VWDB 88tU36HkdXe2IVIqrP3thexifV4hkL96Qz+Y4pGWkP1GVFEgKF5xVDmGQTKWszwwB+SPklGhuzRp l11NI9P3WeefWb5WH2NrvQhSPvSmzIplB0mjTAPplaaLMsceNKU7osIuyahUoetfSKWKZYOQljF+ B9YY3DJnr2TxniBBQ5Z2Nr/fezYkrXxuT7EKt0D074KrYehsSyBrRRznjsedDA9nDqICYPr/GooU /mMJK4f5bgkD8yCHzsuzVTtmW3pQKfTRoDAu2WhBg4+3R7nZ5BPAE0CyBd/0TeyDOrrG76TyZbRw 9CQDPbx5q9K20VM3gTKd6ShtbEDb3fniBTroptqZFhPdvQhyd4Z0dTOnpjZunvLozy4h5FeyNyJN MfYnd6HLGrySq4n6XxyCx8sTG6f8Efjh9JBjOGFlCTF2zxv8z72/IYB/SkectbdeBNOBokQhhglt O6J9A3Gsai/TWfjYdgXEjKPgBU/hz0A8pqtVw/MaKjMVQ0mLOULarnyexPcZGctlHPh0bl8lrBvl 9KyESAxtVUUu4WuI0MwgkDVopYA8j2jP1irCtCEb/qEqg1DXqAy1v57GcUcBOtdCCytNmcmcWziU +kNbjoGCtwDnTrheH24uJ3lXz3w3gepnZM5Ng67LTsHqGsg62etBZ8fLEAHfNQH521M0m+TcUm4B qwCbXW5UWI64yt7ebKPFiLoICGd8wASFown56m59w5E7acdnTu6aepAIIgURI6LZzjhXgHgQKGow p/ObJ9YmZdm66O3SJkU5b+7b5AFpBcaGV3CTJ9BU7CaZ3inzqi6gHyPFz4//VewCbwwnCsrav6lm cDIKxMUXXMahctkNx8CBuIHcWjXguRfsU7yEZ8LkKsY7A8x3eYD0PvyHEvJYJ1+fOCysV/bNgCXm maDFXidJEeytaqMXu1BVjKTlcinm7idzU+9K24Z/zgWnU8aGYJhOM8qoDoAVIck337H5GVxqRtje IDQPUqHwUFiOKJebKandzLXE9oU419xPPB4KhEp/7BYzYGvdE8KN1rpOyW0ayavvz7XIOjLXSVOz L4IElyEQUhKvFTrE5V/eUTFMYKmivLrWbvm4YOtMPWaB4Aq8jctY+Qq9qMnz7JolqARSPDb7rVgy MDCCEP81/SeLnPGgaQzWwUJcWb68tAFqif8nvhlquEdP8N8mQfsOZS1UInCGuT/sMWbXucaiW/iC L09nqA7UE7TkwF8uIDMQ/b5s+QBrMYymiERyWYfDDHfcEIFLNQ3WRwBixw1YPIwFRUNIo1JTWGPL H4By5bs0kKxHVdfKkSxz1M4+9PDrCm4zoJa7NkcfbsBqWHpSGkYFkDDKG3RCiMIHrz47xQefxp+K YdZBKSpJqdT/VMcE1NSi7Ll1fmcX06v6XZMhSXoXSuyrJiRGLVjleFYvByMEkqPM5dIMRufrLHNy lRWfeCPvZMbtFfD5fs91C8QMMKRxJUAqP9htxg/Ver/5HirMzHr9UrwPK64O6Ve7xZ+6pJXKH/4O ogK8MzpAHFgh/1sh8Te/TpLKVknMOPTOpOw8dEXm6Iu6TMbvAeJ7ABLTrAhORsae93hkLKm3Y4U2 16EMBZFRMPsW+c34oko5FFZp038cF7rH42M8IJbsrdeiPOlKzwqHIJcbTvOh4DG3sCUJIotn6HVQ mWYv7XM1mZqmXAOhHD0QNRMehceZZjOo/gkj7z/a6wNmzFWzzx2XAVL7Bj17T/cXZIaLU1qtinog rYWHULcDngNSObSzEt27M2rGA1+fiOLGD2rsmuVs0uS9ffb1v6fFHkRbcT6iTD2n6Hg+Phwbk43Y 8d3HvRooJw79ghLsVxCzwtMGe9zUaCi/7B6av0o8oGdF4jxqJ0AICgp4IIcX1EHcnQJLn/+um0RC Ymo2owmn8R2BUxE13k+RCVjFRlPjw254pCiTMAznEnnbBhvMzY3QWJBNele08q57djTKzk130gLQ XSVsqafMiw5Y8VML2CRH5hY9bZACnPyHurajWFWjkKG1M+mSt0yD+zYjYegXuqvdZU5Nh8+gw4Fy YgQiPQr0qjSY/m6vV5m/m2fRGWMaaWkhkQOCQycYhGdHPGPwtYF72vDKpauQuerV8c/Si++kDh90 +b3r3bsvzPMdN3XKP/XKVkMnckc1IAo8PeJaqqzK/4KEd8v4JZRztTeLtNdoNJIqSCnueIFDDHdX VAGXNJsxPn5rLRSZpc/082Xcu78dbLsIL1d3ZPZiQhuRxdRaLUFduD7gQJKoBjRhKzA1p197QlCs WkYptBy1Yf5GmCVV4m9/lSlybI/1sY/RMwF/MazItQi+ZJQrGP5dSWowOp7ZCWraRX5b77KSRg1o ebsO7VKnhvbe5brUzsa6RD8iSzs8EmR+41cDm0H/VZR9hPVBJrlm28aOvfgLs5Ed7pvFnZ7TrShF mFx4oOGnPUA/zmZNV5Fahcyw9hwd8aMbYRGcwjMx5rAgb15991daL2aXo2zgzVjp6/ZtHcC2JWb2 XnRNtauuLraTtatT2C/GlaCb40FIiTl8WS9USvASM0ZWPOZ83u2Fa/WSlgiCH49QWQjSaEd7i7Ip yhsX7kP5vR/Q7plsZTZMWYJ5fs7+ZYcFZv+GCaSvGtaYZaiUabNhZIWTPmpmsZjaKtYvXKYPzfwf 1cqEGAYWRl6LI3CDO//B9hL08md7CBLqbuhGEFSLh4vEuC46Qufa5mBnjVZLUTDfW5+oW0505f2s Yc4t5I22DrXq4/U+fupJZo3uRBFy10BVo1u5barIxEbi9IVgb6U4mKugHWwIJOAsUbnS39nbodD9 MxzifLzF51lpgr3In2Sq+f1YnahZusTDFlYaAXZejAkI41QEbEsLbvwpYFip8aHCfcPQ+Jf1d22q cPm8wLJM/whYcDXnQBNr5GXf1rl6kvf72k3HldljbwinwD56bbR80W2oR9nBOEGDcK8n/zGFqizD G/RMcnLVjjxF4LdoJjqYM+0OHQeAwBJNgUWjgSnNsELj7Pc7jRdsuqif9SAYNRRVUmwF68EIfIOC 1GmE5l5U1aQAItUkPr/fLkKp+rOjOfa72Pi+O64+VNjWTLoaRy/Ua62EzG3432p7/dSptc2ncI3u HecLK7wyArajcdAdVjhrjn2iE2DVAie/4IP+FTbo0LwgV5RehwoXsNIJmju5UA2Hxxvn1vwmLEky GzB1MEgZTJnXNohYS8taXSIUpFs0jOqhwMMkFXp960YveBHeoFgkqDDWlrU/wKet+HfphNtqpty4 7tnXMFJ0Gv3hv9fyGUzncs/r02U+DJHZeqqIQcRsWt9R29p5Lx45ALzURHiKJcStSBVZy/0XwgH4 x19cEBSa5CBIxW1Lrgq8ihU/YUX9OG0mUY1wQ7KM9Ku7DB3mcP1Bq6djQdL8gh3Ol4pPQkZU9Wom qL7XNmsYBzD9UvHSU135c8i7wuJjTmE6Fm5p36Ehn4PqXkI+UZFUe6WJCDGludIfhA25A8fGwKIi AuqTciWx4rpjP3GGoPe+xAY2eHAM4tNiJJw++94dwZYJiDHABR+RipQOY03AlPl0R3oRtJjKWt0B VYZzNBOTHB8Iy3+8SSiJ6SLyOItlpIUykCcgKY3se8gagpiv+l74VS4n4okbokJ5Vcpt6Qf3SVei Yfq0F5FsebWrdWHH/d/gB7g78wtHGFJnzhv7q4kuq8vS6Hi/0xQZUxb0gntzcRRE5J/uQ0CiSyDR AU60Ve4RPHMgKoVcBfa3M9tt4PhI2OiW3I2ESGjuN7hMicAjwKxtT2gsfiWbwHzIwRN+QmuHeWNF CW6sXMO0PQUs0xlslhwbSM21YIfvX9MfubL3dzl+xB0WSIOhLAZ3yR8kILWRj66L1gPl+yrqsysn iGQtvH5AIIzfdv/+f0eHhlGLglUVuLGJBgn8nUlTqKeGdH28TaWFe8Y6o6OerWXvPbi7N9g47+wd Rw8Fv7lSQsEWBaV2frANmITN+0I/iBRg1YJXT3cT/TVn+yBN0+T39tMynPUYuwz8zPgNPSEg95oJ 4vbttyg+PfBlZf27eLGJm3s/5SS4PWhmQ5oJd0YBYFIJXsSywTAQzKN3PgNck0CYoRjAh06CfjnA aZUBw/LP1Rs3D+ZtsTHERaARF5RTHeeCYrk9CSMJwjI8hDO3bzp+6POAgkRMQvJW1/yBNhVFwepY qD5YI1QF8UMyWJfDAJaQ3qXhqXzNL3EgpmXmV2R4nbUsZiaIg4eqqjFhicTgqCJ+01mmJAUnlje1 yH+fvyvfueeYiV+aFc7t76Uy9uOSeNItS2avh6OCGnIWwxYmUD6nB7gMrhDX5eNeKgvvoOAXuFsU bd3NHeCCGf6INPy5T/bhE49D8RWgcypGn7GQtwa9gLtOs1UxmQ4ivF6+A9SZWHOB4WBEqF2Bf9gD XgWQkunvnugn32cNMzEU4e1fZoi5uIA06lNXs3JsoLNQO6LfbPheCSuWuUVZSKaYSbHhyPAJT+ky T7jRSDze4R717opBSi4vK2RiKCYa0EVgb0AJZut4qaSe33RkCcxTYt+k5LSZWRZMQL5HjKzIrqEB MTTwVynbLpcnGymdP8B4tjJ7xX5DQ5N1jgn3YtVJbv1AyffKURmfCeZ5YwAhzZDA4MN23QKXv/kv e7YmAgR2A80D5H4QMW0XzrTu4R+pcPBDfVygUBcmPdVAO4rzdi3wFuC95mJmDh+Uw5vCqfkDPTTm X1fCAv19zxosggSZf53bjxbGblRlcM2tJu7r8RN4JM1DHnejUn7BP2/4W5tmX9Ztd+xFOohRWrPz gxsE/8cR8RxDUllPzv+0a6J00EXauEZSD18r+PxX/CKmlakDjO0bnAet7W1aKRYeILdR6ibKoOSn ggwE27Pnp2ev0nETrbHQbBsQNCpJ46Nw14JtI3v/FkXuSmYNbh2A5eQhIbpz5+7xR6GHm6CBZ4kb ki9ZRdwA02ja6bz+O+bqfJ2c24LgRtqAKT2jknRn8SEy32PkqDcZm5G4Qwt0i3IvmwirOnJZD9ck CAyEy9eRiu6EaKJp157/X3jgdG2rb8aiYyEp9Vqjs8PlmhALH/WpAiopxoXip3VCpZ4QQsUvhgSP tb1SyOBx8stgLCMQrrgU0+mFRTqcu8Elif5ozh/t982NkP1SDh0sX2EYvpOVVmV4C1uRyblosHur vGdsOEpc/cuwZ2YOs78xul2dEgJpb572g5sPykHyjG470tzPLcyOyXepH+LAhxpk7jSCCTcw4K8f Nmkcf8eiJm9DYxO9y7gEFWnPuNZGir8P1ifZyyEu/LiWJ4Usizpo/72sURCpxz1n/xL001CN+SLj 5tYhvfjJHSfSRynk8nFnv3KwgxwRO6ggpjY8f8IJVHZ516ZaRKPfDoO2aTaZ5nNgMjUf1/B7f8je MO16Ea70nzo+7zR2rR3aK79EvHNFdqg8KktjyiUSvK1zCvvzsgKhhPvsJtkDXyO03T/mfewcqkHZ hyNIclXvKYlFPHxfGD+N/v0h53J/BK8X2HjkeLlZhd2QgWf50e4R7Yk59dmOZJWQJmwzvvn32aGM bSPsv7hGcU1v7XHN7C2NXiMVLlSCoNrlRaeyDJiCTKOD3KMWS8cnzw4ef3cGdspb/wA/vqsFELwF k0ocg72m5awf+oQxR2+Q5Y+5gmKYa+zRXOByyJEQ5RMfKdXGCGz2HWEmF72ApCw2ZZuLYhbKLY7R ++AgJ379GESXeKtXeX0RzvuZBEguZX0/wDAbEcdG8YRW2X3lHJD/GhvugZIQ5vHaQ+V4RORfc9jd fNStew0TSQPpOE7B1lax9dzYAbz9mhn071kCjKGpcE+U53B2O8PA+wxLQxxCZ5Tqw6iONssgPLHq /1G32/FSwbPcI2R7HQW5Egrj4eZwdNXJzx1I+quKCv7jv+VjJBTRo2thIovW53r4UWBbGjAOZcKo u+vuAQo586ksHpTOaqaG96HBum6pPmACXC3jDk2ZSM9Y6JbaGnT6p8iOL90/n84KhItOEMOUQWql AuewpyCYKQ0/i8wuhpyVp1aGjoH12f5AIzgWMnxa5CwZt5yHNW5oXMcsOkEk4zPcFGbavUPm7b/r +86Ddcao6xKQIY8vPVsyUSE1bdrPiR8wc15MJIJJKB4vqixrNwkw6pmiwPvJBwoyaHe6ntTIfADT 97Pf2WiuVHkdh0PAdHi9vbWJUZ+E4cDoMKwxHt1UIEI4sF7cLNPSVO1Pvoj14HISy0l2Tu0+OUMQ 5F1i1pE9oB4ZzMoEnRB1qdFByhRnTslWWXYgRI3bxbyawXsnDfHHlPm2bK8N71/OUMTHtbmPArmB q8dVYFMHa6Mm0PdDqPV+4EeVJEHUlRlmZkCT4slF62toj2s8SkUdNbl6SGYuFuwwpFlPd+3jZfOU GM0QRAaVST9Cs5UtuZV457np9jkYeUluCpDNbc12EvV3egesH3rNCdqqgdrfpEhNGkibPMdYWICm 7VgyZNVudoWsYuow7txUNt4SGSA2Y5G/G0sR3qWoDl7OVh47d+3MW0GMdejbOyAc1a1PfSe1G8jR MHy8zNFQCxlc9KgsqIQEUmQIzX5x0NlaF4zRiFvpLkTA245MUeP4VVDchrg7GU1CgEp3bFYCoQR9 2dNtxyWHicQ4ZQJqadWd35ptdDeOx+AOfJ793Tbz3EwTWq2vBbnUiw5bwFI6K8KT0U1j3ZKKn25v v15TJHQucazYkQqNX4lMFfUyl6Vty6lXbtp6SxMGnP+qZvL9dPzp+DYtMJTJwUykYMSJOh58mU6F mKcYdnLTlZUFuj1zzstmWwqQ1mkl+qBPq5yNtOCFp7zXSsCM6Y27pqM8Bb1JYPF1vEta8UBCkHsT YxgVkJpA530aTkfxevkY/2s+6GwrTVDb9TdM9jAuHVli+sol2vD5QsqpTtdi2ADD17V2did/Nxh8 GMeidGJ6atEZm8c59vlkH/FM5l1JV6c6/+GCE+6eCqr+47FmwFx1d+Cvz6sO47TEoEYXO22KzS4m tV8ElotiPzdKYnu24rK49MO3tLdL5ehBbywr4XSS5CcNmNYYe2n6px3Am632BaRJMCckuGQVL+le GFdUE0l7XVNK0qpP6cvp0G0F89G/hJpfVmLGilTVEjXM0j/goKnb44nQO2ira8k9io1nvZ3EdJ+1 oaZxLqtjGBTqYfKfZGuvhvBTBDcOdLe1aM3JP0FHx4vFuHFBSox/brUBBPbmTUD9rMhpC70NdPmD r9bbcgvDUam8UmHMz8rm7eDESXPMbfghhWA5j7AQEdK4qRIRlrjwG5S0zS+HyRpF3oFEV64ll04c qqeJcPsmKAFiEuCkST9ej5R6f5VnnqKtmJkUEtmUc09lYIR9I+xpTR0yBEGMnkvqzQkaolh2I5fP kqZewNsxlR89CwXaEhQk8dxmPwdER96ceai2JdUBesY06VPMh2GSOPkPpEJA2CwoPCHKdussItsO YI5cNLdo9iVVLC8kLEzFqdDzZObZsXJsLgQy/dZf53i3nZGovmILCbvlm/JLX2ZR1jqJcPn6kaB4 mILBg/o/jyE7qvbXxxAhvowhqxejL9zbIKtYyxx17wYtWOglZGv22wyN/rGQLKhMccLqg+fwzk42 Sk08aPC+GRda2Urz04zxGfhljgEICIsaEgGVeKWlucYgIgVY78KBrVwX/GH9RqeG1ZMJMEFKZrrr Il/ECd9aqrGr6T1XmYagGiMQBXpp60ruQ4ls7I3KI5CW/lAfPb8BGnxB0mDBELqG3kvHOpZUMQM1 cFDgfUkDdI0ClLZXuYBdYR7wmwfOJBve4FhjJmuKFEfx+PkvvYeEpdas0lz+O4EKhGArEwqol5up XszPC9RD0j+JmI4aPvVHYBZ+Rc5ZG7OA3EFJzhpskkWMpRboRwVO9THVt7Cmj4to7u1FaSO0LjRV z3qbH2Y73ooLBpn60LV9Qe1Iqxvi/6XRdwILPtGgoipp2jpf8t2kdXTRBu2kH1ZjySliWuENB1Tr D/9LTae+ywjrA6KCwJiTLA4TVCETNnCErxxXAytX/TNn8w3Ry61WTRui7vNzPm6HUvlbHj69hvms JyPT5xWby7QeSMwV7ecdt8yi8naIvFPBEbyDPTosx+1+ZPaSCW/cO5W7cGNf4ZNTcy0jG+OBSDAj qX9b6WGWl+vUfRSnUzNcVy+Hn16bI7IwLAbiNfLNyjU02lTF8DBsv2zVkQ6MgxW0nGtkSXKkejb0 O+EEvIyWXMu5Fz+vqXoUnbcOx2r3BOJuwgW4e21+3qF5RDFuY4OQINw2gaNFYsp5ZF3kCNJqDHgi tBW69m2SQjVP53PfPAwa1FTjcGUL2GidZGji3p1d59Pj3JJuGQGVWuD6Y3epxuYrDCQeHPA6taUF 3K5KnvjYa4bJphue+3hDFUGK2zCBZkAYF6LwPj3Hl0Q/Q+l8kpY3Kzk79aMRCs4mtvIwLftvZGqT V5tJuM6Al7YBwJxpzT9fdYMIY+xICe+9y4EsGfCd7OCCHvR6KNM9cN5WFwVnuNdIibSUjOjhqGHG jGzZIya5aj7WhSy/bcJcimzEomrIomuZ1AXkxGkGK5Td5YCq2T67f9hzxo9A1dLNsG5mt9hGsNas BrPmyDdThZYyi6dQ+hZicBAej5Dlo5jDgqW0+c+54fQeXxtkEqvxQHiMP1i+YQjmvcSDWXd6ljil +bgAG6olYVr0Q65d/rDjgvCVEGYT2oTABO3SZSC6/zUlBvybtFNN7utDaVg9QshEEMkskgv2ZL4H dwDVyfHBmsSWkMzihiBQW50JJ8szrqUtcBuG2L1PkzC+BVi7eYTAXw49bBz3tLD+5lxiwcK+lnef AwN2U1BkUf8tvtyrTZSbry2LF8ZuFI1fW2bROTC+ZYBrf3w4xxhPIwaKGrIycw8uxabqnMcsnfXh 0y84GWD2Yu2Leudk7o/grUdQ1tNz2eU3299qwqohcU+5NO2XZdwTMSa8/2g2mVrnx03P4WKHwlXB fUlLqJfCxFwz5mqszHIlYOUi16Jotpe0nh0Byl89VnAJ5HIp+XaT3vpdyOr/03Mm5QsInBFo+y++ H/exmlYtiYrgABa8r/TQMD6MbNvfd8yR2/NuPsp/PVTRFrzeI+j3SJHtPR8HpwJd8ldUkX4nC1ui 1dD4vd3Rv/EIItS/W/YQgUZGNV6KJAmZ7Df7WjfyqlSuZJWOTk86aNFRqdUCFKDXTWPJ2R0MZT3x OQqxeFl1bRS1mPZUxFOcAzbWU3cG7D4NlUOB5rLnSJ+p7V+tjdeAW0vQiKGogPUMV7/s32a3g0rk WOYsl0x07DsllcUDfWqne/52Nk3NfAY8mJCNI9UKhAFyaiKC9Hn093R3raJZafF7FOotndExySX3 01SWzW/MEJ0aMwy2ncKUBlGqDWS7ih6WX2ehODz5Lb/GMQmFwi2GC6q7KOqky8YcIYlX5tb2/wrY gEx2ROGKDwiEVUsQPOAfU9YtGePRzx0iihsVxVVB3RqIP3l7zGiygLYo/kVuYCc6TTchn63Elh6R 6vl4AHP5t7oi8TX+fl6dsrdAVpN8q1ltwunMjJ7MmAw5T7RSyK8pHrfYF1S8Vuq7ztzinyI+Sr/V 1pMdtTRHNl2xENJ0M9OTGAnfbMsj04vAoRPHq3mBXvYz+Vgu/4cwkqp7ucYEG3eqZO3CuN7Wc2K5 WEZrJDDRBCxubBz51z4fZyS3b85LYObTax9BunBpefQaHva8eHvperN/bhy4LFa7GzDM4AKvRFjc GQbaMpYjM+cjP1/OOYuU3xpEfw0o+tE7E0TaIANbPt40PpHyoNRfLNcaEEsWwe5rVcIoYSGgtiHV lcScUkFxFYTL8dOK61TuV0pMnGsF/wmJXWNAmMzvT2ZSKlwY+yHZT94n4tdsd4iS6lgwrzs/p2Hz MrWTX0mJPD1yg6LaMkABD1tyNNw4eYEHu9FA3rMD1nKbsp9P5rIWV+2zElsKT3fiifX0HXuv2t62 8LLxGGjeK3eMvEECCYxd9BWoDuMk7CbHRTYeyxb2PfyJMojfRHobgXEMqYKjYCENdfnjcoEaXkOc dKnNBCSMoaXdsUjxhjROUEES0UEc5NYQN2WAsFc8nI6Rseg0r3VkXZUiDX+kJ2WwRo+wLkLw6MlC +QqI4cpZs5LXOfkqTOSbiKVQcu1LnBobyr+U3FkLVLS/SqFV6Wc/mvsAw1COWHPOinl+oA9zE5aQ xvDA+RqG/HSDpYcpRFTwhbntMthEhm0GaGHdNsr4Al68yptR3aZyqFxYqStRkZjkX8ByMh5ZZF13 J2X94QkyIk5jCl55qTyD4d5LMpqqXsP/Uukqj+eumglBnt/uawHmlfgkUSF6KE2shFiLs5+RF2tA lwfiqn9AR3tXYj21AsqZ+F5dJZssoHLAoF8BQPHxq6C7/yqHG8r2o7jD4iyzL2BRGRbIX7CXIRz5 PUSnYq7g3RPzEAxFNceKKdUDk8pRUNsxQDhsm15rkaO/AgOOfq0X9AHGpnYI6BiYv/h53i+4vm6C bDat+8gNVNIazNrM1bJ01IsjT5gm1gf45ku/C5qCcazM9LuX+cW6KO5sdNeJRqvqGRM4t9P5Ewnk 9zcQSuaO3EcT7d8Q297GYROENuj5NgufZQmf5qPlHyqQbNaQzlmbOKDinVwHFBgnPGufcAHAqJLk j0PU1PGkgkfaCC2Rh/qhxrALLW+iirNUN4yihnU7Ai8FcGxWPF7WnbbGVdw5G8SGhz8Ed4nGME5b rINv5yMU/6GPNNSkD3CxYGGVj7e1ptQOxxvKIcCnp2kTEKUqbjsYTan/D8YqoFR5wUsFvKSSpdKi /uq5TS0BRnqoMmBNiO1MAbsflXEvG6N2kQt0UNAu6K5iLU1YVsyb+zksHnltOXtNx2mMOgIY6v/x DWdxATTvkTrfBexcNs85BdM8nbLAbjzRYNeoGRdr4INd6FtxHf2gskLRrHsTpHcyAIHy1o5jonvt tEmpY29ah5gJX0hedee/fPMS7haPyIt2vdv1oOEkdCwefJ6byFK1OGKMQVyGOrQnkxTqR8i3rlF7 9OYwQaJqrmZ4WLBUjPprcEaipN+Y1KlGt+r/9mQTeSx7MSqaFrYQdC3NKtqvzDSs9skz52z26WzT XFE7ep24OuSBG+K4ePGFsU37jl8Rg1GTNhahm78J+I8ChJRkW50JhTB4jMsb+91mORhrWsw6M4d4 PrzXoWIrahFvVVxTUh6GbRdkDFyArC97+j+zXQl/v0WXJRJrTYtnKBz4z+BHIN+oA6JhBNtN7h6i elhP3PLKKd3P3PXSWou9fORiWO6eHT/zM1M0VzohVTFAjsmhWZ+2sQ5jtOcEupiUItCfpvrcGf9g 3nXgmWeW4fsJbWf58A/7ZXNeup91jNUefoAmkMBbgWpqN9F6Jyz75FfiamOVBNND2mdhRvNv/6SG u0k1lbCrs/7ssaOzPrdFXPNDJJUFIb72UsPGzQ6vKddJTDFJRVY5jzkvE1uIgR54o3DgUNwXzlJA E7jKJDtJmAUwip4YgSIESy6piLYKsAjVmC2daDj0J0dDVxZVd2Xig90NDO0QkJtPQBD8AuN+mbvT YG+Ipf/8XJBAwJr3ZpurnMKUl5hWzV+edUD67uRMQqVMOYpbCgSf89G8sMpULvG5Aw/Z9rEeyTGC Fj4PbFr7ukbT0hw0ZerLpJAYY1a4j5rJIQ6R2Pya/QX2xwUI6CAe8Vg1+a+nWeTWgWG/KKS/KKfJ +J2A0+42aPaD8L4FxFnhmzzy4U2GU4k6ailMxywzQkbe/UcJ1CrhPsIYlhHqX31u5IEuzwFjIjaJ DpFn5zF83zhBeitC/TjWZitf8AnBQ30YQftUV6+bu75W+LJWKVvFq1PariYKbZmDcmoB/EFMPbrY YYgOmKMlNhsMdhOvm+cD2qUytPxtXiqB1974zcugWqDagOjQCgzhDI8RBzHu2hpqL+RzBdXyORfT YfRfB0PJXQRpGuwgAwzIKM7aWdMERJT14fvChpkHsxeHyLwGe6Z8gB2ozGYLiTMyico49qmKKxIE lvJ3TpNO28kcxYoxfhHx8k4Ccfd8s9DFT97eJl52VV4eBuBE086W/7HiXZpIaEFLT/89rBivxfEH UV13K0D5/X6oGi1jBrfsA9YJdeoJiRWo/k3NCnNCF5GxgueLIdyPD/4V7TZoGEZ2zsoC8VI9WeVS sDgvUBvFQIdjmpgISOA99s2uJ2+c0uclLIeZ6p3++FWE/WFaTwzD6fZ8H8R6Xm0HpUqnJpvAezyT OD2/9jCFODGEnfdQCOYBRujdJR85g2rxoqUoWnUxdw6eoDUQUWzkKr4X+whDypTkvY8vDaoFM/6M Vz+RUhmAYKFfkA7+g17D/XsGRMsp8QxZLIc/v9MbyTVsH9/hSgm7JAkD6YTtt/tgNck78N27ijxT 1kkeiU1Y6ngt8avHGG43MRkSbUP8OelDSO/M/JQ7yPwrGbNzakvSBTCAbq03cloQuE7I8ivYpHJD vB6XLv272IxPouETHvfEF49a4UdL8puO2TMFs5bpQewdPhHBi8cPdBxqJut62ame6VbdNfqbusn+ dZlzGk73EOfmSABSuvA7yL8lOQBgrB0vxB/yecA8XVZNTngrT7l/B0c7q4nrR7KoKsh2/3Njt/OJ Ik1GoC+JoF3tngtbYEoSJvzU4CvUeIdQMX+Kd7jR2GMdpKB0ffh8J1BqQOQWohRbQnDhVoHCgEYu eJLJpUGrhp4XaCSyZj2JyUyauLzOFIyuHXGDSjiZG39Lu+rkxpTa3nNKOjFhSzeTNWehGnPykQU7 ScYhhMmOhlxCwWaEdRJUnk4KcGfRpS1FIjQyr9ZGgYYXE+OLAsYCw7cJei2qJ7lcuFSyh3FXzonP 2NsUSD7FMro4301KIbwvEFY2K28yMQH9Jmuq8fVvHOyFQzOqOCDcz0AkdVuNp+sZ9W2Cezo7TX28 cIVHd7+LZEY9qRByKDybpgh0t4Nrw6mQqb+kh1lgAxsUNHJ1rDKMoiIO3E233jo9txVramiougf9 D09cR6DTuvW8Na8/ZvacMyLZwTuTM8ZcgJzfSxHTZTEc2qqRBdoIUWmnLFGTC4ecjNgZ+tNa7AGA USwBwQ6akDcY3/DD+fTj0eKuymmCurNh2ll2I6Ez0RoWYd2nH3CE1R4Rxqr0m8cAVqabbpTjZWu4 buHfh8sxcVpeX9fjjBRfsqMDctn3JYUBX7GYLI/K7taa66YRYSCHEXzQQASOFh8PVYK4EBaT5mM/ LJvzdx10lqGxOGjz8KPTxnTCvR+ibyv+cbTT2K6engjy9qpBguZJCRZl6HTNItbSc/y64uCef/Bu yeS2mxle2saxjIAMlqUjnfuTE0DN/Nisk5kQBo3gDq+/M1ckQPe/rEgLJMUmHV73K8TIbeB6AWAG y0oZcTzoaSq5WBA+1+Kk07fvojb1kyZbxcszM1W3dyNEksxDPS2gDxnEIZY9PWbxQkweX/EBBQX2 8TXQfXCnrN9Idd7cS09bOeyOmFQZ0ORAb5rrvjuP+w9bF2Rxdrr1xGjHYPTWaaHKEo4zewZMmRSB R9Yfm4o2s2t6+yh1wqYxPH14TqSWlqmBzSBOe0jlbdn6ztav/4L2MpuHkT0/1WJl4lPIy9cq4Zze bmEVXc8wS3aoqYqb9aeYLeM+bVHlezPAQGeOp8FcSASaO1/3HT+IMkPT0c9NFSJm2n9zvvIRgpia uBGQl0+egfryFBx+QOvaYUFDWiLS1DHpVM8KBqcWS8o9IwTXJh1zM+/ttj7/8KgQhGwsvW1LgA+A YZbVi4Km8Vr4kDEpzNwQQIa1AjgduNRstjO3jsv8cHgLQCH+cFzezRY1eX38yH5f+XmZVeEw36r5 78jJEe+rkyGpj8Brm1TIMkRpw5tkiCo8ObNZ82EDK4jUCmGqNR1e5B7eLCuFu1/gqdjbg7EyL2PZ hysX04/hl6I4o5E7+/jR89ll9espP6P4/hhBnYVVwsAOKmzNtUJL94+oHbJNlknFPKMKwqL4ZxAT 9mS5Vlm8wuqUYP+b7fS7+GQTqBvXsI/vj2hLZpPt/2uYRSBF46r4lz8cTE4sErcA4W7AOv41q5iZ cusbkty6ji7ind/zogN4EMHRDiQwdH3mHWERXijs0CvDrs1zL0SiOEgAWYvpyRwsjGhB6ovI+x5X i26S1py4+hXfGZMEGh4P/hfTDvvPKsqqbJb8lbWT6mVE3CpGsP0274iH++/GfJFhjnY+L0eh+V3u FIhzJaQsn2zv3u/Hmp5akEAsNpbdM1GsHpKZfHVSXYxgLnbHYKGrGunqOiOq9XBljPNjEmpxWaAX tqCInM81wIAAK3i/N0R5VmF63S5FkRyQLKTlhrNAfEj1NR3nrXqBOBUu95O8sdp/cqey9dXjcxoq yji15J/8K3L9zPhFkr8/9Rp10gnh9LKGsxFRc9i8GOjaTZg9Z/JUzORpUnkaC1QKYnNOWgx47J2j /XfS8D/rXIF1QaFWezsjaGZR5nW0lhXseMScmzkdsHhR6XVf8tsHYnEynyluMy6cp5aFk/R4K8qk pEmFBYrif9S2C6cM1sp1hxHzJseeOQ2uNYNwQw4xWzAXeUcuIpE9faD3g419fwAdi/7ApcKA7MtF V3N4Iic6PKqQ6Q7mEXt5vDDJhA7Ld2OwFEUn1bQ6CiGcnJtjU3wf6l9Wy73mta9ozF1KUuvNFyk4 JbrB2jTPCoF5ZRWJ7rPYqYIOap0fnWyA3MF7FfzlsTIpmt8qzn+drFYVfb0/ev3VUI0l2o+AdI8F RvnXaIPPN56/xygRVe3rL8RjZ21+tLZHDIVMxO7YyujM9EDS01E0e/wA/2gJMuBzWQg6OKdoMgaX ZiT6BBfG/hpIDgywy+76OwavyB9Fvfd1WigRyf3cDxXaBNpObrIO36zw2kAn8FI2E8+W4x37xmRY hkJSbGfV/UqT6qQrwpikXYwG1or72sdk+Oc2u5RNrK/ReFDlsIhZuYnby315zmGzJGWosOwqaOHj OLsijO5AqiT+/F+Ykc8jM8UHuqmFuzjLi+ltLslPB8XjfLWCHyzZneDta8LzY0IjwZKGO8KgZaRP npbes79TyjoPcrKHMH5gqVjA20Wxe4mh6ZwBVInvEyl/WDKavh5pV7+2Iv5HdXoyn0Cj3idgu+nt yp7p77/8NUE2mqUE5AW/lLDOQTwuHZlqKLTNUW0C2uQpVOvRK82o/oD8/bUWcPZCxvShUOiPSFFS ogVVtHIX95L3hV5WAVll1IwlvMwK2GkWYTuR473INDn7w4ckismv8WCmdfXJ9BqYzWq1pEmkyMYV GugrbqquTNbzVMWQZ+qXH0LIssKipQ4c9De0ltMP2jXfMNnCGkAgfNeWV2quUWHRIhpisVtRyCVg FYS7Dv/g6XDAyk2o18D/6v2eDWg4bpsto+W4JwBsjKR9TDCNcySpPNjhZRP9CZmr/DJS5pvrWUJ8 aeTf3KnceDhejPVXf3vi93mBrz5H73p8vgv0Sn2Utz5DP0G+87En1X1OAB1Z2Q6pFFIETb6Ry9vB sH9kkx0HQ3m5b7yHz3x5JYYbMwpQcm4NGk8mp69zVpm/tismaDWGqS96urfI0wepJjJAyidbgHNZ D71CL7bTBr9wKB484wqc74S6lAbaV/cC9v5hY9uXbzK0/MPW3rId3eBKAzlju3qCDo7PSTNVE7iv Dfxapa2u5abYPhvEF25CXVJSYILHkJTOVnIrd4/Fghguuu83ViEacLF2alMJZ+WpMk82Ub1sk7j6 +PvYDFOQ5JaYz8kCKf9PuuePZa8KU4niUawOIqGmUyv9oTrAeg8OpIu4TsPUnflFGnFt5zFRKs9j DVbN/xfwJgtJ8EgA0/Yj8jqoJuGXT9W3Ai48OrxtbInuvKEpKEXHOhHnsUjxrQ5SNNnT1Tc2TX20 Su+ze8EOltbQ8X3qdEczZS7Y22K/3vi0O8OPH/ke7Np+O7YsC7VADLEXBTJcET9X0vAIhn012Qpj qCpDS7MARv3hqh1PQ4XbzWPA5OYb+X6/bDdsCYUEEWi9SlPiCGJM1quVDShCWR7cd4itm1ULtJFv jx0bhtYYXrX2qcbQ9d3827grLmymS5vvnkRnKcTws0AOOtuN8jdKkIMflglz+PHT8ktBt3g5FSve C9uyiwzAcfmGgD7/8T086ksTH08Nj5AtReuet0942Q7hvzXxIwObIbc7aSm1UNZaIS5+5Oht9Iq9 CUZ3I4c9QAGSwcF2q6ubtjPAU+UCZT+JhXrt7smIFyPXHYS5by9Bx/53PQpXoq9VkNO5UdtoF9Fo yj9DqaaRm+tAf3avge5Li/9qoQHq/wLgzTy/2dWaC9upLvbUTA3on2sxx0ILWoqRVC3mx63QMMo1 pxPUhA7m+kVKVWfSDXYw0VerAfgpHX4gFPMkfzRrI5NIC1PkjesG58bVumuhV5GhluXtz9Y9Z7Mz Qm8kCUEuMQLcBHJvrh8WmKU5y75NG3HlKBbB22DakZtLq08sVPkhEFRM3cE3kZ1MZbT6zENsM2FS o1pA7n8m0ksTigCLpEGpa2csrICktk2Z7lgG3LWfU0yESjW1xjs3ZRm6vdnGn68U6cF3creORjnR NsUh9atIlR9jJwT68qB5E4jwY9pIutrfywOwqf6x2cZk9FQB4xqkqkpBKRuugdDwml5Iwr6pMQRb pi19SNdMnATNx0rjWiLSjz4rIHI1ZaLInTT7hlDqQQoW5h1NRwLOv1Go1S3QxlL5qifv6tefMtS/ 8P424/nF3WcPDchXoZzs7axNv5DgHkN3v/JJ8aIwqLBgzLQTxRW+iznVsSLWeAPDurqzIqAsDMdw zAHBCq2EzleIje3evnV0XztcBkU1vQbCySOOf4a+3LsG69z16KesaqcHTKpOt5UL4i7Kte8dBsAb ua+aKH1ThKMImPXGkElAXJicT0ijYdAiS5LT7agqY5Q5R6TNBbYaVWoJor8VNaSb7UTlLpWU+/kv fr56Lce1djsceLsBLTrJhlIqEHPIfuRZvfMT+Sw8CNfDWehTUtMiOl7IqirE954RYKLmRfJtxyXB t4xMgncwx/pC45jof1WsBdEgNL9qsfiQZRXYUmdG4YTeN08hb0M03AGGvj3aSqZpK7a9ACtX6fnW 4y43V7IJQn6XhDKk5gVn53UqJi4OsITJGeuvWJ6iZCaAVXmpKsXVqc3fZ9gCEUjcEIiY0nAYU1Nc 7VQuCyoYArhUFW+IVaz/YzkYZfLStzzPJrBEjsEp9FjFKsSBeuJdcFxqR57m8mNr2VUwU5ef0Do1 l4lTERvvYmBlDUdmV50nXGtwrDN+qb4jIbmiRHQFZQA/EywiBY6+fYbReMihjUEqbm5mOKcBAzj8 BJzvynbj6AJS1gO4Q6k9QX4odwXiNvzkAq8kj3b/YkJGY0zIp8s+qJzemV/0BCCd+0COVm0P4MDU Q13i6kEg+9xtMRRFtPgfTRb7x2xJW9lmn1VLgxXdk15kYXR8QNnLRmR64mBtSqp1TNQroQy7dcte jS/tj7uiK5h3EJwBgB21H72YtCSCb5XfQX5aLRR/UmWx06HNGXOkXJCqR1Ac0K2QyU1p+R7B4bF0 nrjd1skeQvzME6egm9xteXhJ6TgYNMbunIi70b5pAMH5isa83w7W86epybn2QAL4fzxoIkkS9Kd6 8ybatmqRVH0lrZXDvvA8roxI5gAhlKxUNbO+qbA82ZyinqLSYC4NSEdtS4gmfLwUCFBmzByyGNsX kiQ0p+s/KN9bR8vO2qENYfGGd1IUJpaE9CX/YrpDIO22LSkeNq7WJcDdMluBN276q3VX2Jyr5s8G hqkiLAtBu4CwxXPqM4H8zXh7Bbjsc9O7253cWhCngFkJR1a2o/yWivl0IIaUuixTImqgK3yabGXW IEJuqjJo5MAl376mMRuzko0i9ghtb04SK32FZHZdgtJnW5zJZaD3uqrgLRrLlY1b3gKRigWknEEl qBo52W/7JfGMJfcUdDDfQer4okAhn3+olGKjh9TXgsWaBa7evzW3bnVKjt6sz8ZPGKQHyuogZU0e t5ADtmbDlylM6M3M+dgJd0kBzNiWKM9DgeLz0BMclwUKmsoIlINGhia/h/xCsAyfToQu6J6cYnGt KYen7W0yYwHnbuiMDoGCt6kdJWicf/3GKOJ/DkV3z+gP3B5SB626hudnRSCJBMbjDa/qShexcU4H JRZSq2kqb/nrM6G7fpNnKU7lgwPOYITVqaEgti91pFrrcfmPLGaU5tY2rHwfZUeRjpdXBS9RJAFH flUxfUNzrMcccbIZ7pnh84HQaZP9RV8g5HSQKfdKHEzkM47cO21wu0uhgmNCZtrsAJ8A8xJKGnye aiQNbyIaAKwzjic7BENkSC81yXqF0EZCN7aoAqzhKoSGI+kVQLeesuwB9Xj8xUmt302w+CWBcCHy CA3oOsb3G78L4CNKV24XST7EFdj70WhzuZLB3JdFeifwS5XCH0qaQC0h/xSbK8xSB4nNMlomrqrn uDw2Oz11BpDg88YViT/VmOkqU8l954JU85G844r8e+8TVqd50/20lHXYL+GZfGTAG3J0HgKEb6jY e1EqwsFvcD+6ztLBMVV/5fvnm8HM40YpRilNhd0+JGKGk3KmI/gIL2pshv+kAe1F1vYIFY84Up6J 2vULOFIVYQJUlPD/+bcvov8x+p5r68K+y+d9wWN18FPZPgId6Qk0ZzI2arZmqp6D+MvxN0+OXUeZ C+pDKB8+noaFS0hvUDFhMtpAvOHYgn5LuVe5yOrgsuL0cAi2IPkwLg6JhvQJDJ7GLV1NhRezN1oN cKAiTOFpma2XFOo+GICXaI6KCCYXBA2XV3TnTsjJwtQMTuMvsCLWH4/t97er5dz/M07NxzlOJlyC YYnHwdXVodXlbVByb65cxeLx/gvR2HH97I+Fx5m2ruCdcOT52o9xDubRU/6vrNAqdMGZg4hWWpxU 98qV84Z2fIW+SNnbj+rsv9uo2W/YHhIiq8FsVc0p9cp5AzWILtrcjabXAAXgXDqvWK0OO6bZudOz 7TZquqEyGMoApFcbQHB3A6N6b0PTeqXy16GHISNJ5pnVooWeZl04bMNnRCZn4wGe6Jn92ciQ45h7 HA8z61tqaaekHUDRCAlk9nwPOQrGaPBmglxp0NoR5jsrcgaQC/TI92fvOxeKAl6CdK/8AoGVdt+a Hyg2ug0q+QpC6d0Obi9GTOxB+f8jQTmNztZlKO0NfDVTeIHx1595VL/NY06f1wvEwhab4Pj9brwP zXo9VvMUlROQzw8kxUx86y5arPV/B9kVH9gGhvb9+gNb7PGJT6RaAOBAXDrOvK37RJfQWzk35oG6 tCAmg0MII495P9IZhr6LZkxzGxpQL4kxeeNqy9QGQlffEReYcepbVNujfLWqDKt49nkW6xt8EQAe fJO9snuLI+PFLRbE+O9X9xBpOStzerqhKo6f59Z6ZbJQEBOHMvyYXRIuogsuM8hJztJ0dYrHWQn1 lfk6ZteQ0to+JvCMVH7s2BrB6CXAEbpAi3W7awIbXIimEl0z9c7RsM+nlWsP6ybQ4maD1ZI8pwkz PnIHlm3tHdXin7J7uKwtdYybnmzalRsSEtjxj2SBsJy9Thk9FlhXk5/DLStgolZtmZGg0V9phYg4 dy+cl3NHFIQShyJmSvtIoC+cznIjBOve5Oxyeh0mAzauz5Oj5noz24R44vtW91csXakMnH6dhhAr Ffr5VLOfrmBZAlRadYG9WPETnDQMu0cCGpU87HCL/msZcV90YDSZzMzZeJzOhQbG3DHKho5YARuC cQi8qxy1WizhaUrniRwSYtCSBxXgEd4SiBPb1tkEaADsuCHxk/UuM+pWkOinoMedFofH9aMl/Dyg VE6bhQ+gcDB32vFcBe1OZpnXw0u0iy5ay7aUI0GLsQaksFLX9NS8H52I6iqeNQmU1y9qeZ0nRiJB EpoD9NGgGJPMOZhmkN1hkx0z4Z0tuXxoyO6qabF0iXTUMGYu6gWCmF2upxwWd+l5O36+wScmJQkR H2EQ6q4+a1hh2gqCzRhVyvTiQ49xvXwxOaierjayfYEMyS2OCGfVO2B2p0Xunh4jjET6PCC9wPOt FrOsu80uFTFkts0oYpoRhiymHXCm53wYVLvnh6SbeiPAqbKxxNuqSxGHT2sYvc/1s+0JqewkEvxF aaAKhg69pYihIwMzWFzsnx+XPrixFNKBF7VDnQIdEV7MbbtjqiwS0YrhieBOTBMjiSRL9MvaYWKo abUls+TskZX1RhLsLc0mL30GPMF1CtwDaRMyc1m3/97ayrqjrE7rhbPOw19dIJMdh9CneKK0L94e XgWaVWorHdQ6DJ+x532hnF5mpYUgp1EC4CWApFtAosyeGiDUNf+BEggjiWLgHRYURRxlxm5wFC3F mejibcNJHmCIj7YV13pAbq8uqrfQd9nT83wHwB/w3lqssJxuByCLRFnURPCqJWsXuHvFUZs1pQm+ GKcthP0GBLBcaEwHpVmUM3wka/WX8BplPRqwVS29tCLSaFF6SMJHpKatql2C99B99ragR+o7I3QI bWz70QD2gUrnHNsZyaOyE6KaMdVLjv6d8t6i8L5UNHEeb62YW0PcOvdsohTvNJse4ARDXVqKLeq0 lrZoaaAAiAkNLI7NQNvikrQPjtHTkGNp7I8YKGuArPNem1gjg8IViEDwJCrc59/8rwLpTACIIZFp i3VOE/lfVZgdfeymJfy5vDVDMJpfy3OMDNvR8EMGJp5kOqlwsqbv/Yxnk4IrkqRdkzKaiJYk2AXs a+Mn0zkCUafsz3Er5rp+tBv5NAf6aL0BJFSYcJnikjrlKXmI+89SnMbwu+Yvez/Dz6ngWhi3lE60 P85tHw44ZCXiQh3zeTcRoewISU0j+yJmnAk2iFs6RfO2AscZAzxMtsxxd+f5D/krzC4YUyed59YY xH/Llzb4bSF1AlP2aR4IOLEYUydKwZmzhPpBO5sbgFFO1yGF+vWI263nL+OKmFJeTw6Mmqo92W3b 0mDHFVdoFWcjtUx+rKU+A3Ip3Dmej6tzFpeOidV51RiaVtZjJXjGnTO/9lUXOTBj9Uc3zAXerPeN ycLtedY741ergAi1PW4BkfeCWUVd14FWZkDVpHqJHntQ8o+SM9HGXnsmOToVxw+Xwklj4pjzTZK7 e+hf5hnXGxhOhFDSca/XBh5fQ3o7OYG6dIO7HjNsiI9Nyh+Ftkqj+PpWV+KiKLtFJIy3tXDdncEZ Z3lRqbe+QPOvIKAEgahBpmtDhNqHNb48W+3ifbF02fb0ush6VycKwd4mAKp2r7UJ63Fv7/L/DknH FTMLrIE1cTVojkmF30xjQzd0x/WGftVU457xOs82unJaQb7pw6XzR2kbV96C1c8kGcIwZHHhBwCR UC8JehE99P+ZpfkkO/8pn1iy91wI9xz0//bRH+Nqi3cDOzkzoPzsXLIxlKwf4zkJC/YzbbaSv3k2 qfg6aPkDENJE4OLc4KLVMJe6UQZby6qGyVMDtBr6V5CedmKtxlZGK2sFd+1w8YiCaq2UMOdoZ1Mw k/m2ZbJ+NglXsLauhgThTthTnxgE8zKgLZHZycSaCub7PyIf/xZfiQ9eGslliml5viJdb8o53zzM M4klxLQM0+iz3wt5N92mCe32MwEzHtWxARQsF3AwlOMdEqOo0zW2Lp1TmJF4SLtDVRGsLYJczz8Q bi2RNhSGCGOe4zlMXUETl2+rhwsXhXTfDl4ZU2dPTHs0TVsH6sMX9bZixE+f1F9Dzr2zP17tHQuM t9m+3+bpu+jIErS9tGotI8w0l/e+KcqnV5KW4JmSa0RkN9Se9KL1nBZf9vrkPlk6aY2w96zgsFJu GVIhLKKhzi5pgbGixwwSBwKP440ELjRHfyg3/lACxM3wMZz8GmFZMrecCDZviGtRORPNAMiv8+Ye 5Ee7gx+b4Rd1BwPHpHs0laKdLpdTxMLjjtvIAxfcj1pK59bZcRe8EgQEgVSPrxHi5hPFE9q8HcCU 65N0b+AkCFYHJe29JvXdl1feQGSMrN8RHRjeohAfFhMduNGAK0OTj0uE5h+yse8AN8fmfPVn6sgI UXuyukLk9RYd3Rrm4vVuP+ylOLgFtYeXX5BAxakGPp3Cr02wcZ+WvNhRTR+PmYnzppyiArsUwMPQ mAOqy/lOUevF8Qhlk4BfmQt+5TeXs6Hj8Ioz02JlBNeWLeNMdaXqWSdyf2k3R4/nJlkOCGxboPup T5M9pUIAFCpRzc53841VWldBpgoYA97OKfqx+JT6khZrod4+geEsbbkzcP+JaQeMF6OjcnXMc+z2 1WY9GWaf0ohPUDbrnO1TUprc8OGUXirltMNeK6pEwKxGclhgUdJX+OEvbgyDbWpOgLxw+2DbvcV3 BcfDu3kQfZWsFic36XM4d7uiOWd/ijWqIkrYUvxX5yy+FY4akNyyBw+UnkHaTDHyV5LQAj0bd8Q/ jDude2xVXkImv0GM84P8Pg2loZzVo85nw5RaN1ZEq7wUsj5sG33xamAqgulsKyCuHvwrucSddg+4 x6E6pvFBUKWoOafUZ1rVAaF5Zmhj40DnvYMkeJy6P3sBfNU3JVsRUXsekgdNs3VSmKf8Ly5UmI84 PLCvCfQfVWGbhb0Q8iOqZQ0dC3r8U7WdSocJmuZKG/HAbhyG1UuZkqLPuoqfDbGZaihmOohzaP73 oFJpTwMat1911wN+on8p6K6LApnf4norw3KgPTC3ySmgX+nQBTMMcIcnChfmVhSS8LcKhYj4O4UA frZFzRzxFSCABrRh1MWQbNNRKFsJ3vEKMIp/zSGuSRoDLs1Ueq4RXXgTeIRxs9tbhppO90vp9Mvi EIQCDc7SPUFlvS76NORuccrQlkbp8bP5IMyaNxoKsOmoZ/kFnAnR2G7x3yOY77KiVpzGKTv+gfKr EXiV1/u4xIumLOIxVifCofnAIeJlkuhY+Ou28/2katW3tge3dDg6fl5C2pUZdpKYX4vxn79Rd8A7 /szHnbLGWOSH+rUXW3KlU3ggSamn2KzX7nOWet/mAHcRj+yCsxOofKkVEUxEUkGOhWnry2evV04i aD8+PLdGspFkmcaYzdTlXQQrFlusXoIF0RlfBtabf+W6P4sW4TLC+jWxTRbZieEov5jc4eHMGq6c RbDylZCYvilOEp8qzq+G/zJtSDZlPCpHG5d4TGBOzPuXdERfrlWVMjiafpsJuvOXDFIJ6bhEmChz w35mMQ4HY+4p8znj0vLnLoyDgrpAiodtiKC9MXk19Vbh178aQTtIw5UdzpGB/3YekYMk5J3IOf+W YNQ7Eps14mBHTAXT+qyD42FmrTH1pQPy83jsa53KY7euqm6cP6Uj7xUeUj4sgNWPzhNfmbXv2M1y wDgoMaEWQhkxdFLcmw5stJmpwArYX5M+hHMAL1K5JYBAEXj/j3oyWNor8Umv4pAf8E+PdpCEbxzV iDwrLoBStPdCsbzNhnx8DHQQWHhur545GXEBCi6d6MJO9Tw1T3Rt3reFF8XGSYLlM6JBo8J6NCKv U79XcvakWLh2Ldy5/vS+e4K9TwGFYY+Fsi+Qb702ZZqwQlOM25WzLVsFpKlUPaxfhjmptS63YcpA HS+wJZePVAP42GX6a/9rPtm2arqaW7lpQgTARD8lOXfE5a0scyi8gM62rPyQGmzLoHTsxCYonhQd EDLp+4cbclxweCwc8XleUBU9EaMDW5wPr3OB6d9gpxhufStyzXy5LBMnQTAwMGQadedz2KGdq8mg nCfycrtjj7QEBRRs7UqcQOkzaDYt8zig0BM1YZN4HfrItgpNi/jCFtqp9yDyeD6Me7WVzpXPLpKN rS/BD4k787x/JHMJ0wVeQJTvZju7ehiODZmUX+xcXdIAHFWvrVlKQM3FtqlXwLVeRvoymvF0eD9n d2Zw4BLk6YJ47CYCs6R0W8uMz8kRzDuM3ltFx348ZFnXxZrZYBbZiN3GghX9q+hZI6NrxraX/Cpg ctJB9mvCmWcc77ahRNJVPY+ZsjClp8+NM7Puht1NT6Yyiwh8IsmdnrQB5HrsByfPdowXsEN0cQyb w/cOkmzO3qnJs6iJpbxPTAmAcAXEhdj9bnZB4Gl2j/w1nq3PHnagoxMCcpQ48wCKSjuQcrIck/tC vus8lg8B5ejn26DpuWVUDqBO0Zv6Of2q6ePpEO2iWPavw5TGthaPZZwOFGsaJU0nsLwX2nkqpuOI dw782DYVBRvwP9fh986a3IHjuurE95z3AbjKitfOIBaOsdFAJVfayva+dzhgH6p6pvc4hz0I1MRG 1cezqUHf4I7boFCBqw38Otze671a2KJyUwSdalr5phOU4gjVL8AwhQusIgYEmWSemg7VndzSBJzw GLZAAKLo+pr13S+CiIuZeglC3PRUjoEGxN+jSiRKX2D5pkBsEzk8EaGLYZJRW8O+NPGuJtYqM1Dm atbHQFvcsrS5yys/QjevGmBYiDKc3bjdk7ENzSm6cnBttsZLTx5/XnsNjhaL8vNVwo0CWQkyf8dC 7OIReHM50VjU1VcWGPw4E79Yo07kwjQ5v5BBCSs3DBoQBLjv8qFzK5Oz//pRQHxdFCcoz9XmU/Qr fxZb3duN2brRfOradxZqxaQfC2lwl7j68gDwUGFNB8QeG93hzx1D7G3Y4CeU6NCZAk8XXrVi7ZG/ pOIapbyulWfu/0//23E72y/Cq5zVjE77B2zu/1UOWOi4Iz6VovrLkE0cNwRexp6UZ0s8fAW+KhvS c6o6R7c0W79XQA40//oya3UayiRXJdJVwB54aILJxiwWptwa/sKpmBU1RCFUH/OjjfwtUzU4TDLE 8lDh9RkxZ2R53oVzvJJcwF8+2RYpjGDxeOB/kuGfwqpsa3Xx4BFXSMyS4MGOzO3hUE4uXk5/IrX1 UwEv6c+YkV+A5sJJTKJdQmG4R29ebva3Elf+lBjXsTBtAMlrgIxgzTlBxlcRK4SnCdtR85K7tkA3 61zCLRLDgphoAuCmtyreLRIy3of4mUD42dEbbvBnmjBdrDtMQFaJ4TBpgKD3Dd4Rekl73fqyOTYc cDxpNyTxHkvX5bc6KnrOytlQRDfwb+DHy++oYSrDMLrF+9r9JLLgb2xnVsf/ql9EdAy+ZRBuiPKe oHadZfmm8xB0GasI9zVT/FmJMPGCQVLnS8PwDAO1aXUdmZaloE9JbaVkmS7bACuR90o3Dqr6nRfS cVugFMC1bp/6MZ2X2PYJnXxW2e8tohDobbSEPP5S0/8BlDepFa30Vk8j8hD9GPDZmqn82o4rW+mr Ye/jPXqCy840E/QuiVoHVcc5A45SUNZM2oiGppKGXcVh5boMdyPmJ3y1r83iPJ+v7IpUY7PbL/CQ NulXZBxHZfLyh3H4pHrCIv01mnRYlUk3rjrMznaMjYGWqNsUSAqZepNMPp8V+mZ1AsQqZid1P6eR yyIRRh6ll7nMF6+ARJ9Q0322HZ8JJyouxeXJ9aJ8OhtnKaVajrf6srbMij0/eDDK8QycqeI85RAC 6nrs/k06rw2L++PC+KHIqOhWtYr0nPwBsz6JTpPhFpYoK9y1bgSTNB6niqzmlAlpMG/7e+KNRAm5 7OlHvyfBHiQRxRBLSO9Ub8BPJuRF4IqD+cxc9ZMbigMn+igYiH84xp7Pd9lSBr/FkqiOJeLv/rKL 5C45AFGktr7vZkNHY7j+ZS005zTR8hd6HeUMn2N9OREctSKxCM38nx+sMNt1VxIyhJ/85wDay8kK IfX56yiN7IqZZmYDTUj+Zf/r2peKQP2nS2I9Q6WW/3+yGt8K4x+sB0lzZS3zfripbevyYNmcq/aS h/vc63wXu5N2b9jBupH7/ZgKV0FPlyS70SPQejekx+R0hIbyypUJiPD0URzN36JUa9ZS2rXVWMWi ayyoorW/2LVYTLZ0Qn3VkeIU+8Zag4jlKg31nvPFN/W6x54uhvbwyR5ZGMcTk8VMGM+2OVW6S+SM IKxKtZGMTPLH2wbSfsXB2Vk5Fan6iuIfCs3wbRoxbqTJgndh0UF9eyl5YqJMQf//6/ORZ8nuzCWO Lbs4rNMCLu0IQO21rCfu7FQF3MDDoihs+8sc8sdYC0amS3eyvkF82KSgKsHUUFaFwYHCsoxSWRk6 4BILxlFdHoKCQED3uuXL3nEMOypUCs21JjPNbKlsusdyySU5mUqIYY6qM6l3cLye1LdzHgYHBF68 VNt11075rrCAo/sPT3HbhnSvNLMzs1M5WrCErDPA+ciOR/wHzv16YIhPXG0+17y2d0ecms6iPqbR Poz6O57OBWej182pSNB9IOs27DJQzOz7UjCnIwJfyyK5790S7WupOuwOA0tGrHIIgxeu2ecuKNO7 fXd6+wGzWEeOrG08BYuWprLuno2n0LGQ1o7dRXsAo6IqNgw46YQsKeO4QI5lO4gZj4fWHu29fqFN Nnj4lmJvIqITaRq7SfE21fHNvwtJhbVa1y/8SK/OhPjBWKfDQrU92YlLNo1BJUOMQ9FZRXkRnMOz EMEv0MV/Xh7piOt6diQ6DJ+91xSpksqSDEdfytoiJdam9MEff7EiZgNBMmPZI/I6pdw951gSIbj1 D1r6wowL31RQfg6JcBJkO3e6nSEmvmlskmcvwDF2qsGhuENxpeME700tsWGBXlYNpLS4+TGtMxzw BCdFzlwnUeb3qEe6fXYs92jh4AXrQu3AxGFZkUtye6XVQE2N0sd6jppiPZqD54cftYzHvU8MlVx8 9cSAoz4Oiz34ENMsazFDcfAgJNaE7mvbRzQD0J6WjDrhoUuHw8n05gBvw5HfSp/sFXSP3GL/8ll7 BuxtzTRT2s5+gk2xKwRpQRH3QtO9vJNivyDdrfzQK6OU4jLRb79SzJ/EodRFTrLxqnL4b5wapsNU iQeiUpUdOThIecwpkw25NKpmEqUfCIiIe8+BRDh0pGhYe/yOV/voVzX0ZGzsXbCLsOOAmbAvQkK3 eoAhx5cIT/uKWeYTbZTzVD4aePvPLahiuNAaldEJWp927ntxYKlNz0M/PQsng+il8MQsQVbipPh7 M6JESBSKsgMjPN/kvVFkfMYhXerRAwe+0iFP70q0VHn9/dVGMQ/v/BFfVO2EbO4hydco1ZwzLn5f nXul1yKf7fM310dbCgOxUGMsieevVlCdxr6FsHPK65Xd/N2TzcYhHXRiwkZDWzZ4JESSg3NC94N9 b8c3frGYymJLgJASw0vztsAJJPr5qSRforWoVLlPAkByvMeLmZ2HEvhUPyFPUdWZbem0nOB5jWyk 9iWTtq8OFYzH/Vvn//hYyviKxIXkD1ESS4PypWlTPIngawtNONZXrrU3dJWTiISIyE8HHabGR5JB FmdzxYhBQlyagu2C/Er96ho/3OWrf1lBdKG/cuLgzoHPSOiEaA9DX90IqlyM113/XPiyYiyMYPIe kDwQo5QYIjsxP0wIedgc5a4s63JszuxlWa5W3xU876kt62cV8GMcU5easdidd5EO9vC4h8WCC1gg crNrLd19LOZBlVSWvoDvGawWJGg9KqfBV2D/skZSJdKqloYR8jN3bU+laH6a9N6Mqp4CnnkBjGSK GVWVunsDtXXzv1puU5JGYLw12OCn++Pzkaah2QmyoQGy+lVwG0ICA8YsNNuAseXrI5rrfkk9ho4F dFwlEXRnF62eBVq8Dnk8UFJT9TS36/YNegazq8oLCSUw0egxICJqgbU9SSqrHwgoiNT+tMtsA2Pz Js7fOYmKOdJi28vr95vtYP/ak/22Io+RVL/JH1zyMxNMpTWKnw2AJ/voFzVoO0uEqQ+nVHW6TteH 01gA2bi64bhm4vormfacjWxkFwb7UrCODRUeOQhN/MNK9KDf4JgiDre9X4f6DRgrqeYqI7EJ7tsA Y3j961ACX2kzsb3fE3a3D2V4by/T66kcOxCzMvx3qZ/CFcYui/Zt93b0UFjlOrjkLRfbZ0jwgMcr odSgQXiGCXvHhxq+MFKisBjLQvhTdxN//w0PirVTZQGq++XsFvds+8h7gF7KrXFvlPP4hjBe4RhD 0TJstUfIw3b+a8FSdtHbGd77yRYrLJPdt3/u99krHYh5iHFKum/mGxWEChLgRjkbbadDqhUMHW5R I9NaUWJcorRlzDgA7lLjlpKtp+RWoiAhAIodlT1MW88q0xuv5iVgSQ1vBmkWuIvAVpx2cnjjLT1d APTVN+Ew3oXgk8+iy3Uu3ZsR43odx5BRjk/k93tEoKV7Oivp4alu5LePLlHxl03o4azR2HqKbSKv 14JG19zLogW2FfiqYbifYu0LJqNsS8Hiy0m/oHHej92WFR04QQIgzt4wtvuFltJd8Y7MosTW2zIN QKEYQ/HQRLU9jdkYw6QqobJt2Xe9PD21ipOhhf/pCcaVpMdU/B8BGzOpoimCgFTqgLhdsC6xNosU 19x2yFvRi13P9NZow1gZVch/0xO7iDn1L4zbWqyPvdBWMY52j7mLaAvAXzbRF6C89pgqJOUVbcv5 kj+HREDQ1jNxv7HM9pkB+8OwUo/5DT1MBDr2TVXBJvvguHytJ+inBwTKnBVnNN9V5+SFoMxaeuFO tKm8l9RxCUxKYXYNRHicj3aHzQHoyauQ4pUmrJvh54oNZQHFFKdyn27/IKF7PuYe+nOk1FC0zcvq TY0ugNoupQ7iL0mNpkZ53OAZCQKrDK+rXtepXglNt9N2jPB4eP7p5uaEc/+aeZbJzj6Y4P3Zw7iQ zfKT+K9uKxqhB72o78E/Kiruf5zNqLcp6nHZN44HLFo1rPtKDes6eC1D55cSp4YCg/imQJ2fvcIQ i8CMzeHuWEXkjzvr/Qm2uiFd5uQs3IiiBmSx3KzJsIDg6WHi9OUZ5SVjqfwURI7vjrriSUhhdBJP nKrf+6Sp3X1eu4jkH1714GlAMrc3vAaJRyyNF9oW6YUCyifqJbFnBI7omSq6nUSfcPLGizPiPjWf wwtV5qUEQ082WPh40vYb6qOQSD2amDR2s2iRPylUbZRjraX1Rg+r04fWyQm+7RgERFnRDg5xLGww caD2njQgQib6Tj6InVFei4JS8b2pXUs/TEvlXWo2HxwU0Sb/7ObWdg/QEoql9qEi3hMfr+7u+sWo Iul8sEBgsyxmSFxXJ0+Kfa2UWmy6AwDpis1MRFp/CwRffd42GieYaRRC7GhUdW2BKjpSMSZGxBMd pv8720mEXmOF8jkhOkehcCuXY2Bfcpsgebtf/CBmmqtoi097q0/g/Yy6VS2XC1hFzn2h5RllShNp 89eFkjJg+PHk2TUY2+9Ps4z8/43yUUqfapc06oTI+uHO/h2ho9UGGbgpjFvAdLP3MUmSA7vD6Jl0 4K3MIy/dype1di3f73GRs8nkLEd50746C5C499Mr7lveXzZlxulaYRuIA0t/fgNtaak9HzqOC6X9 b0LQbvccnXPR+/xHuAQY+CEgkf4oFoSuDZqAoWNVDihyEtcibx4WIeICh9Qh8cl/Z2PH4MBrkqfH q/VLPl9BN9wi0IKmGDPDyqJNzYNRxbDcD5wMVOLr3uLUIwee9Fye2OS4k9CWCqQD1JkLzk/PwRp6 HsqcWnd5XniNvXBBFc7/q6DwvKjRX+14XpPFDdrH7gir6r2FBdBl1D10AQ3xxOJf1YHIMY6ed12d Ol2weeyZITHHIKxLNrrC+HxljWwCnKX4oM/FKjqReHgky3eVf3MHTvWxlhIzGCu8a1g2KRjjqT2l G9iA15qSvbHhnYDEIBD4MHqK9S0Dyc25RDKtPeOA0Do7oFwlht75t9kKPrjPeZ3Xc8U3ZuCiDz41 6FrO6h/Tzn2UClnN6V40AHR6W6H98TBznrspuq0OyCisIaEZEWgHfK86o7Ym2slHPm8ePXswgKlf 9vRoAMz7qzJjJ3tT51I0DoHLJXviERRDeL0MfvVb5NLB/irFXq8rhgBUyJzQx2htKz9G3MvWZbzx hhDDEwmAyZj9e6LEiIsudQPgCc2FJ6ES2zfkVlux6v9+rocLcVmBlgVGP6Lw/oTa181J/JyhY6AT oYtuJ6nprD5znGRAoxTuGhH1XUAK/ZQZbdRMW1GUTaqDmCOX2toIfSC9+Js99rfc9uE2s9HHKygB ngCdCtr6S14BD1DYVKa3PCRBjm+KVmkKiF8u2x/OwoVrPczQqe84jPEQdbM2jTVV4UgczzBh49Gd QRhyuJH1rubgkpPsxhHtUIzeefnvysXIsBOCPrpn+ZL+XhROZvv4q2JSXB5Ke3+8fNfl1F19GdFQ 3rJ7ulkFelrawLvhX/vjsxw8gS7A72fFXBkmWvfHeZzNFx4GENtulf4dRHqkGwHrR2w5X1lvHlcw IUTjpegHXYFLUPPcvx4g0kT1L+jsyMPSJrUcqTEsD1C1ktmNZoHf0I1HiNVa+nQLIqXbIRD9gF1B Ep9MTZ5s9OvwHkqEPYM/Yt6iRBswPDL3wn8fqgm0rSWjG5O7vHOsa2pm5I739la6BFcvaXB41Xjh KpObIujgY3AQqR/bm5DCyaSVU4E8h7/nty4tWzXiJUasfN43L+xAzhKBrktCzPPtv5xQq4H+es75 U+g/2mq65jPzAAckVa8phgmbWecOrq5/ZYOELm5FEOwJ8X+cSY/uAh2jsSTQMaYqGfcFIXUXIOQe B+Shj28ElBMV6SaW7o6+FNM83yVBas1vCTE2PG4Fo6eq49QfzfmbqIyTqxRhYMnPjjbniQxgZxcM G3PBeuu4UPVnvPTrdUM13o7GypAwpo7BIOxbwFDq/F85LXRLLTaTyN63ceLQmMiS6K++OEvRF4Fq YmOHrrYykiDrtozq/u+DgY8qBFbT//28UE7eAE5KSjZbCYo9EcHwJMfq8hL5/roiA5HOf0j5zIoy 23/gv527EG0x0amVQY4PLFd4UdTdvNFQ6Gf97EeDc26Jj489xJmoMr7WB8exk3900etFOgMfKbKy y0VYJGKvwPbFGCvH4LXeGv3OGUqqBqCHHTHDXjCWPdUornTO4pbdnNKGRVQBCyHngT4vYcGTjUG7 ZmwvLEsiGjrtHqRUfoI7URrKem8UR9Isx42o/yGUhayTmSWuCmVSmS8hDy1Q1q5PC7ONvjJ2henU jU0etRcmZKbOgp3xDivr9ciwENxfVUh/j/LV3YnPL/2gj60WN8nNz+TZEMLhSmt4PkEK31cPwbLK Bvv0+bhkb1qXAHM0jZfCssdh3WGQ14LnQKY+1CEPHzP8neTnrFFhoT3zMX4RVYyAUTrZXs7TfmTg 5WnPY5UO56PUBfxnbUKdaNK7YaWQoM0bvOE+OoszNELVLIVpOKB31sBD7A37+TvRgRlA1iyhQLY8 ULBtTDBE3Th2T77GT706CnOmfRVowqIzXfKPGgC66OOoCaWbVIyzv63RRnRd1gArGWV7aKRZy7Uh ss6RY7mOMD/DKx9tX7Cmq7HxTuzTYbP2XvfmnrHr1smcU1xZn8WviP934CwWqmSrMuhIH9YD0MXN uZ8XM5oEZ8HsLu6XTtz/0C8Jin5jAFdf3utQMHanQb9F3DeqUGI+Li5rdm5NFR9UHQRLWl4bta/K MC4ujmDoM/IPNg1cMk+hgHpnTx6xIcjcwbAxofKwi0kEIP1XshtCcZwmNJdZKimil11AvQq7NKQ6 GKfG4h62vbV6qJeQwapgoUc+trliI9/y7cLnqCkBkBQxLdk1Gv1zY+nr97QOU9p392nLrgyjKfo7 S5+4ceQce/pOIAW/T8XiUjRhEV1xuNCrUb9g+/KP9GRsjSR9p8tucNgjsjQOXarJyJKunPPV0v76 TiR3Hlla1bjkO8v9lAOgKG4QlqRm+F/PJUY2Em337RgzQuwXQDpPhiVXqQqU35Re6iTGfSOfWYHt KKnihiLgbncH8dpB1pISI3g1kbx3dTVJEKqO2t00uy5xnVAGLfDaMz6IOeYsdQT2aMAkFQgCPLBh QXT+Nh4usIN5+eMJFX6zB9vQdFxQWHbyb3Z6DMYA5Izq8vTaZzrVccfgd8KfI+K6SzRdDPwGGB9M Uz7pYqVZ1/9yqn4rXmRNw0S/HHVpsH9UokH4UOfYpVJodk/R/lIPztQ9lbtMnvap2sXM/gM+EfVx jh58734DwA6vZ98wCfZWuM0U+mMtdKdfItuX6OdabIpu+XWURfm+sIVAlzzYDwG5KPHaX97YX62Q TiHvz6n3U3/pGCvtMdzM3l5V6HIplQC5qmkTehveIdu6UxF//yp9JvLRiZsk1OQAal1tamWrOHHN 874O5bUVXbF2T7CKbEQ5K9K4wnT/1i6cLfi0jobrh2ZPbLvjQiKPusI1QojOVGcp8gBwgoc1bfxS vbDs33+eB0Xx5imklvrJnfStNdeFSjkn7flrWG7sVsn01C6yBiAkigkjJ3PglEDnTJ7VUPBm7bz6 tx8mRqlzCIJIuTiWj1UuUonBYBOIkIKBn3bbtMA52OHlbij4tFTDzT2hN3waO7qh1Uq1SEzZOb3a 0WCyCJkOH8TmblGuAUuT6ExXQenfnxxg84pfqz/+e5V+NHWFFcM7iWkoNTaT5gnvTcA5n7PMRx6S fpfY/wHtLQKPf0P4EE6gClze06UQ+xRqQt/OQlHh7ngNcpUqLOuPTQY3r9KAL3+4LodjpVov4fKD WwAje7g/aVnS4XzwLYWQta0autDX+qkdBzMuLRNG1i6Y8Xwc69MczOLY7cMqnFne3BLyXQgmGblX 7KQxXS7KI2EVUGIB7qcbV37KdtPeUlpYlpouq4fhPkzJhFYE5eGsWiHLeV5pt29aO10cw85ROpF6 hQu3H+KLYANNG/8gDFlbvyTCzr4cKz2nZ4Th1QEoiYFXiA1dgZSnHGVD48K8udxlQ8EvIXLuizCR BsqM86ksC2bfxi32CZiwyFUxlBeTcMZlY1PwNebqTzOYs8rZ6UFhhYDrCMJ4Mi65Uv9Wk+ZVlq00 Dz/MhKOyXigQLdPd7vyQSqpwhSZkLI6q77D9+7Z3Ip53MQb+ioGZpujoOjVmmMsaihQXkfe0KQU/ qC4FkdVEo+UquDnGA+3H42/hcGC1kJ9kA67gPJDk1Zrj+40hZ+n+QkMqq5wKhUKsIZu5L/L5ed8z /HupegeToHrw2hNftpkeLKGQs7ZQjBvHgURYTFWSY9J1n97AR4duCjoQ4yE7RqKLk0A2hCPATL15 mqTuvRDpF918hNJHI/GRMUXSGIdFumrZtRPRaVqmSc8U5q3Nc+tYxvjGPj7ZHeJ7QEXIEdyL/6R8 HTc4zun0I0FBtBmMZ6JEjZIJY702z9/T9SmVE4QOm1f27lUw33Asc9O223VqliFSy61GyIVm1NC5 yiuOe0VVBK6XYp4cwA1URR5LSLGSoQaAt5QNhFc0Cy56bGytGD/9aA8OpEHuAdXjA8UgpJxw3SN6 0RfrqnftysWH7qltBNjbXtFXzP75GNw+N8NWLxLpKj43pGOC2c6P6FogPREcAyesJ0I9DcAwmBoG E0qWctJHOKXSnWHnGkbuKriTbNPKPRvChFkdQ/grig8bzZ0q/g6HDUrqdDzvC9VZnFoRc6zUEGjM K4YMjGUepbWO2IWcV2bW/gNNQM3swMTQMFqPNSe/wc1NQ0Wk1HIN/sm3YlDWxJJsoCpI9t8Y3R0u rAPwzEJTElguC/dmxULGw7BHdSae+SFdWAkfCaXHnzNaYfPSGBACI+Q0C142i6mfsSRA9efhm1ZP oSTeko77Vg0GQHXp3wZJKk7epiRTl9lir1G7peNjoRzpVgEYWAPOBfW1OgRKx9DrGMrBR1rjrb0M Hrha8S4eUdlaorEu/V87TzsQIkRHZNcdr1TC3IoAi5j1zgEhcSVuOZYPj6mMMzaWgwzfCFxANEDf Qb0Qtl/G/E4EJL+Na61TNfMkO4KRoN4gI9f219ti0wNmRhiXl71NcDfijO8Dw1zGh4tg1X15JWZr QIt4fGGsVr+w2XlroI1Epb4crsw+4AgpuTSAI6PXNioLb4owuH36WiMg9eao29RmvQV3pT6Qd2Ku YvCxjaZhpJx1mvV+teQwMYnT3+svRklTe24umBJRk78Jl8hD/xUWFI7rTeIZ2mTY93biNFarMT2U yKBErr6MpPoTclxqUTijVTsJ9JKh+Hr+smGf5i8TRef4cGg6fYXmuSzGN7uV36EaXLOoGS1/QQuo ZETwz6I9Ivu0fe8B4sO3d+x0VryfwOjWozFbgHr20TwimEX1zN2BLlPMauNvy/IM58FYxK2pybPE ShEaGcrwvLDD946zTRpOVuFXaTQJXA2LJdtw7RyOMdJnA7DWwGmU+OnXDyy2Ye4zViq5aj2X4Zd3 U9t/8JN5LzimoyunqwmPLDgqD2CpOqnbfbddKdBXwE4z9pG2Lc/i1kusRzACxSVh/SZycJTW7v6o 3STTR8srFEvJY8hdfoxmk+aTwEXZiO5YGLll3dTZ/inUq7eSlVYPtFR+DoW5A0bBh84rHWHB76Lm KE7xgkfkHkKfZQs/lH9axPCHxFM44lJhz7r+rZEPmRt2m30CuVkxviLSzpHqbn8w7JOwfvQFy2QW /LwpYHdQYdnhMs2eT19M2/u6Fyvs1VJR36b1pXFKgRq1eFHstNOpfKaa8T/MrRrWBquIn6oBrPji iwOCrWUlcWWdayXxxtMyLEkP0VoNAwdseor4i4I79ILx28MckFsq4AZ9StmGNcLeOYW9IvNv3QG1 FF8Fe2uojOE8TzgSnoqwkqGKi1yBvlZQA356rDfsDP0ySC9Xx+vX2cPq5Iz9Qri+g7QI4EJwhgvV 0CFyfgq+NK2osmgJd9/l6vAlV2S5szMcl+sQf2c2wwiYfkEq6/LN2uYNZWDWhr6QTloNIKlhuqwc Edmr/y50OsyxDa6UcHYWCieochiJwdOvab9M2p1wcswc+UsIfYkVh+lr/+qQJy2BDamP+DfUMSvU z0xc0BJS6phViafHa9fRI537csLR7X36m42lq7nxZIqwnonln3BcK1iEFFZIS8igj3pCdDZPFob3 FNq6t8XTCNMbarnWhHAf/LFXXntAKqAqzjxCbzvTLhuUaxjuGXF9zajzJbXmImJb0giz9wR9jiFs X9PDY7RI63Hq7QNXU2JeO5ZOZxr/tG7aUup5aBNDIAfI54iPTfDXoEOp2eh1CIsrXDupNqpkEiDw YdJu2ZKAfTLgUDwMabwDOpNqsa9ya9RzlV+dL9NlKT4+ZfimVoS4SnadotwB+Zig5Wg4xeFcZMz2 vfoWukXG3WAdM2RiNX4BENk1xd7PA4GGTSGfU9iMM5uBzsgbT83uDqBo/YYnehEIDQ9E+yqCEV/u AyRmq1oghTsRmFZv8oU0DYS42T5UwzI2YTvu3NFUPMvYri+sOvyTcB7BJ1bLc6bX7ZItKkk7ddzh myRbNnNyOhTU4idhEhqmM9rOl/e8ec1kix8RiW/UNsTFf/Cc/JSBmRHza0gW88X3NeWOdbrUBpjP AnGwNeOKD3TvlN1n+i7EETNZXjZ1bb8PL4X+UGO5JKO6kqVkgpVh6Xh2ZpLcn9Lly9+t2xlglV3l pnGy4CXwpqZtr4qOKtwj5TIn+F2BFDeNKcRvj2zJCxvlvCk7j3/ZkzC0MwneGjp41Kar4IySQ98X b72U5QJNpuYRcp1T7F7JAUpnb2xyJ2sHl07o5AE0VtjaTlwbknBFUssnvYRHAPhWFsNgpgvOQ5AG NmH0ycTch2Dr0/++zmb81zhIJaJIhlZPQX9modOTNNzp/GLJYFrDWSjFTgnhHU3Ch9/pVfRdyHxk YY/y+9g55SvS4fu8SmsvKF1HSHS2Br2Oxlp93W6inZKI/DZmw0SwzNKqFnZI4Rr/gLy9EXlyp/0h IdyU2UK7SlXLfJvi9Al0XZRPXrQDupXCE05DyNNzHA75s27fyQ43T2ZIwlauV3xTjIurxvLmKYYU pTfexSnuPj1XRAWMPdanq12Yptaf17tztZD8Epf534YsP8hlO7OGZJfeEoTXS+gSTiiCcZWKlSbb g1hPtTOfua18IYX3TGobFkwWf6xOFYWOf7GPFKkRkUTt3nxDq8pEpHEGNu5zW6+WtKITKnDAnURy G2BlhQxXquGojxCzzd/hqqkBEd8i9JSkqwP0JaPxeFwz/ZZc38g+udcVfCENrXzwX0yBPcEF3fhf fmn+SWwcNXD2czdexeBQxFhdNt41tx4NYXT6rpX2Zzz12LiBxX25iJ1mgrsfj3IhO2sMMbbgSHFn Wa4IrAoWQyL/MaeYpVJMjgZN3QiVGDZlDH3BaPY7pwlIfkjic3lwKkJqusiK0d2hqg4VpVDWRGrg szrxOk1xIgxPdvb/8zStBw8loEhsumwXZcVsJkiTSanyzzpy0WQQzIMVr4Vlau0cEcXqWG13zEu4 BstN+JhD6iX7d1IRlxTBg4eRKTslq9IKLCL1I/Ae/qH52ve5iKGA/8fEJzd6lu6/Y7dGGz56fM2C 00d0QGFaSCpCecLF13UkiZ0eJydv+KfmfZxX2q5XdiFKI3uiLZbibCuLnG3fB2Uc+4BKtGDTzCJE cI4w4Qa/xsHUsgCmSs8IUg2J+scr0VrY8TpF0V+ZKcZj2baA5KLuV1q6dOyb7mFjjz+qa3GizioT p8IbjymvIlJ6Rivqx5tX1E/anPDSeohoCuqotvQdEuNcnHYwtCToJgy+ucdkbiA/RUdEfQ2t0L+Y UXQjgFRlIbtDeioUxjmVLC8h2iB3yPsCnMeVbTVf4peJawRb45DLFYTuntqVRl0C+5jpS5mwZwqH e0bLNOjZAxQhtOb+0wpW15kO8IA4ilJeI4TV1+aW71gKjo5qVBX45QxTeiAEcd7cYbYLR+qeaURP cLmf1Kq1v1zLn/5KUHPysRgxQL8HOMYixvFKZwPlsyeXSQpq0V0qPa0jOMV/58gI5c+dNc8I57tr Uj7BXJx7U94rVdM2ifEJgEtgj8KLYeAqUvgGHXOvY4RFqhaI5i54WiaFX+5dhOCgzmprFsTYtsto 9ZCFNH7NMrh8Q9eCj2RlOZ2SzAkuASZK8RA00UczkebwqZpHI/OTdSG0MIULF44AgDg50zYS6kT0 cjBfAj1rbC4igQlEJs3q0aF2xSby79KVEgLAzU9SN8xh70KZUQadStSQoAL3TjtqbQjaPai2mVxB lQF/7bD3a/gXPwIbwPcjTcJhlvxbEzu/p6uYGSFE3ypaSfvgeP/JtI46YakIzQsnUFKYFoeE/mhr yt2a0bb581HvTukEt9dZiNnBABL6cGOOfsSXSrGtaEf59m+U6pBB1JlZu3xORbDfKPagnjHwfZC/ Acei+apGlTLlf+9l0g+jfZQv9rdpPh2yfTpq+DvNfYyfxqz1Znq1Sw7LiJS4lRW5tMnysQdmdGIz 419e+ldX+JFMMiWpf78Zye+YVN+Vv0dG1EqBTzuL0vZPBlEZ3OeyFJEbr7gWC06T1cUe9a4orFoc aalaWCmC2jG/3/EFfbUWjPfNAS7qTu4Exb+QPWtz2VW1+dq+3Gg35AVtdDv1wD7oMLCjvZ35R6US OXUBk+/T4qASngiSMCA3/wHNIrBujYrP/JCz/fkNuw8OOOopNP3Q2R00/FrL/ZQG1kz5TC4kn2XN TGB/sKH5hT5f6dhy1kqaBUbHFdzQRm/EHaHL8UlgelYevti/8efQ3OWeABJOgn0zCU686c2I0VX+ DUZ8arwyzvi7lceoUi8/bpYPAXo16WeAM4VwjmJuYfe4QabpD5KMM+ztOqC8aBlKeSGj/zuxTJ8U KrKTfRc/GeynLIvGWGKHbDmDWvka+WKhGrNVZkesW7CCb3Q7I70k1/UpWHpd2fjRdpViJeHl/n+n OamRvflsyBGzFpiImXX2CJZiUvjnHuRMPeZzukdzM9BHp3vMrrLlyL6fd/xqeubPpZacE4F72pTe Fi0pcC3S+uZrZt/b5V4VmS8iigWkEoRK8162LpepbJFO5mD8CB1qNvT36sZNCVvOjukrCfjt3oxp 2inYmmssrKJbR0G6UQfP/l2teDDcbOJUxVpkDxdzFjKIEZLGuIPeEmotSGDsTWBFAXs7TndF+3Gh oYktlmBPe7ulpgej/aElnFU1Hbt1c97SE03MDJ4k9SEak2hV6kz7U52YupRQ7gZk3J/z2uF+lSFs 7CAQlI+KYaEqo0D/VyWd54Ra/on1n0eVM37H0ZWcvzM74XYusFZtMq+DZh4B9ZYL2gVgk5WmGLTE dslBfasSPXnseSZzjhOvZd1zDjSFrQDcdoAH0S00J0kEsGdvlvs4CUMAusmAVL2pJshSRSrmuFvY EAdWHzyf2hjo+ilLkmFuoXAjz2rWSknTRK9ZqkpUF1mq67oaKhR6jbaYEQGbpev80Rfp1dij/Ibt Kyfzf7GlozCqneU20tavP3O9CTQDB9FuAAWV2Kf/0aCf1hN6/Jh17FCNqrAP44CRvimnIqNw9Mlh vR42RFvxLcG316NAt3PI5KFDVX1E7tdB2U4J+WHZdv/vPVO8FhowV/Vsa83dLL/nq59LPXTOkxO4 16zZgJZsAMs6SUKjKcnmIguVwZuGQOjoJVZizqn7myXSWlfGjSwWLGcs8QkqLvu5wnJbYOCZPdJR bxgiMsfoczi6Dv2JgrGMToTTwSHXcuACiLzRD+XPN/cnLaLvKKj4iojRgDWBt4QHZPl3JcKaUa7E Atnj1jCGzb8KurdTDWZLm461XY1uHGYcpTa9///8NJi2jmyFc1qLtOyzRZAEp86cWcURPcY3AFbL KOmDcg8Z3opbb83hzmhPMqj9a1/t59468WGvHEp1DMSeQU6tat0qjnf1I8Sq3/1mvtkGfZyMek9t 1qI+C6EvnfJ8p6ytxO5+uB76MIGGqPB15jNW/4TwZUyBXNFi+T/oN3XivFpg1TOiB5/Yg7iKpsth ktaSy+Qf3JLc0oL9hX/3ERvjN7xS5m9v6h9s8znRgf2mmqY1TEnII+0EamOj2A1ePkTFRrQCVm/a ymMZ6ffTmDxVIjNWwaw7vM7tuOicqd0b+bOvXB/+4ESM/xCU6v0toeP2+uRUyE9aPX0MGdZOznU6 JmvfQn5H8ajL+Q04GnTMeVgfSLK2dx0vVddZVKSArb7e4cLIm4Xbg0N6sOSbPmz1I+DhNqA91o8s aUVOdQbsJWWDO04LJUhRH92k4ABqLU9zUxTw/eoVrtWls9usuG31ipYXpUBCoPK5xkN5IAl4aAY6 Jg3a3QmsPcqEHQycdT4afcjvtBa/K6f9JV+hIwV8P40ymEYbMA4tkfJNsKKo+Lsb+2TlhFC1jYAO AqUCi0+fCARe54iKIOk/bUKqs3eqX3Y7th21gFcZqlP4S5CJ8RvZtaiZn6Xh9PxAz0BTU9mUYNYG nWcYilOhj1Fxlm5YaPfLlVeInvt1JvgxXe5ELq62uUwzHBM6Gzu4dqQQx7yBNstTXRBNDJ+IWrca BNyxuPBAkoeu3A3W+UsaDfZtXIWDAL05b7ZV+T3V6Pju28HzX6T9F+o8QgIgfApV1c7L3VWvALW/ 0gqDsbnX/2wyBQSh4dDTpEVOwYbSyanXyCAcdYEB8ovkSl9gPEpInXH12d9XkvZhRNJ1UNQDgpQM seZofBo2glGQoGQr7dHzgdrcPkkJetmzbl39V7im51rXuAfz+A5SzM/R4SUCisU7G5Kw5DNBL0Oi p6aCipiP7LozfE1f4eOA155BnLUwUemZSJIEM5/87J+2wMZt4yyKoW7kbR4xOIcX7rkqB/Ifh2Qz Eg4DDTw+GmSKEv3loegSBv77aChz0nV+DKVOhN6HBKR8AKzSoM7S/vP/udiaB+vjNnwWSHJSpmCE dj1eSNDJvRfst7PenVFGQyL1r9w01ZTAvzkPY0Bt45gfJDryTOXRiv8QbjqoArptKg6FQyN7p8Gi 3+896eDrKOprVH2I2RfNiHRHOf6D2wPlOk8/e576+1TB2yGdN76W9PiPOObZvEkB/PBo4oiUYSh2 U7UQB2Ewz9p2Uq35zen5Juf5pnuboYXX5lnMMnrGCl7UBEcaJk8RUj9qxLuk80Ez1hEz8JIx36Bq k1gfeClTujEJbBLLULHxgcUkTMo6wvj+pH0iVu6aoI2JpuFUdQ8tcbuihrJC63OxNuGRH2vUgSB0 JBVQgrltA3DY7KqZtXNpWW8aRZiD4lrgDRy6KJa1jKUDVCx3d0O+gE8Gsjk6Gi0KVTEasaPSdD2u 9bK7viqMkFa5eT2qUoOTD/4cRKWsfXGSQyKXLxZMGZ9OXQE/j4srEbtKZ6DnDJ8RR5TJzgqCMBJ5 uTKIBCnqBX1C1YysiZInVApodCiu3MOUK6kKkeWO90PX3FmFHDyZ/KWug0pD1bkevIXZay2H5jlC P3uGhDDX0P7vY8lbg2gFaUP6yUNtpWV1dZUWpVF7bYre/YqlbClIyuojfYJA0Ah/PPD5BlxqysVj cRY3+JEelYuIBEdaSk378JzYPSQXaNfqSKjJonf58Ahatemx5Mc3BJhAgsRRN2TkwJumDAad+xJN V4fpCKjR1wCXjXxpWzEmGuaPsaw0KshlLjx3eTJduv9VRyML99lnVZ9aLVjsQwe9YLlScUxu+2z/ FVPMReZziYF4jSW2yyYBHcsk0LnggcTxdKOZE4TkKDcYO4FPQ2IMdmgPQx9gf+F5jKRdZ2flAeCY /q/u2krAhfFU5qhkseFUwkFnIeaCymts7BhCnwFD0ZldiNp4ysBHEhXtFFKQofUWnEnyJEHXlaC6 /CxwvCEzVcQtCaY+C74BzHFKmwJWHY4M+XY0KLZl5+jZ8WAuK0wNCrfQjiAv5BLxLcPfyfkgEXoi K36v/ofiFdTYKWtO++aZ2t8oZNRlxbpXp2fxwD+KDeur6f3qVhuQl9yOG/VB4mKYjkZyBprq5N1d IlqqWBk3wkB3NLMvTsHm3IslC7IOPhaoGtVy+gxsXU8bwo/bdvHsNJ7cwshDyMeDraZQbyxIOPsM Lx4FWEV3AyLiS76gpVW9H8mxHNWqVOjWJYE/DCtVFNzA7N312GvtiZRJoq0jFp1UOs4QKYH9ga7K Bi/GB8pA9uhqIzM+mieupkwpcCvZVTdiYApfHqA5uxhOGyhygj5gwsV9XleSa5hK0g+EYgOoMDj3 jRQc5hSnqnRnBxfYEiOxbPcGixt00nlJHCPESTXNY6LKBYR3Byrsq7m2CZN1/5dt2vqzGgiYm1H4 o+OMpmKdmze2K05oXm99DMlBcVhLupYosek/iJw4ght4M9qt+1w/ojx7S+AiTuldiQN7vQEIJ0vR n8RMCnPuLGaSSrqVCxB/7dulyMAkhXx9l2kdqj11D1baAnxx/YtaZ5bnPh9fpIghnk/b+XNk//6p +wKzpgXRG1I/owHgqP+S1AIeLXnulSWCvWsUM6wKsNIZlhwl6NLOlGtvEyhgOkY5m87Mpj3zog6w XseeBmRCm4tgJSAT4ERIArarQ3Hlstx3AsKu8Vv5L+99qV/WWV+VMC8/70ulplHpjFmFT4UdEjr9 n76Hs4PFgNwQmXVMwEN7w1hx/UHp6ha3FMD8fpJy1cS3MogYSwJirCyzOHhQgJTaNPcTs5uSUf8+ fXIPA+JFdL+lgXF52jqaP5FghRAbY/z8e554GQ8DbZzjlVwa2YN1U7KsfwnUrNPRl2De6CXb77Bs J/CV27oFPjAdiQ/iOQtWQ8G1JmN8jjeT+wSmdKhoZ7Crrk10nqSMxy0dntmq1gZxr74Z6ZZTC42W IUkb7/9l6t++g+LufoEVUKiHKoFbNJNW1zGxMvLHhiyDMQaE28EMnUFmxMk5g3RHMpcxQzh0+/fH qtsF6xSqmhjLb7FwvJFvBy7TrpUOAc2pFxNiHooeJ7wE3yWlvHkCm6VeCFJtU6CpMhb5uXJ8u0zm fIvyXTebvofDrDbnb+SIGRPuuvkcuYDppewgN4TmuG8SlLYk1vx6toL5F2o7IxA6B1i/vDTjEZay k7e3XYFoAZ4O2fsugQEZQysCua8aFTvSYPx2GaDRxHCY9Btg0MpiAM7Mfx4Y52tWx5anQ+2J4lSo MWxx0wEtRNm13Dzc11zU0pTLcvAceInnwUQTdRHphpXY7xl7kjymEshT+PYpb6Z1BUPGo4Zlb4ys qevSxOje6Zfq5138JT5TXe7hdLgA9DpMpLBgY0mNZwMzSbVpar1+9t0phdT9E6Gx7mcbPeJ6bM8m Z9pAYn1zhPPNsR436IWCiYQ9h2lAtkN+9YpfSA+GicGGVzsLduAhlj3+K37OA60ZaE2y5Qeu/Hmi F8GtxCkFHiCOrypZnU/QDF9EP6/U3sQiKEslNCQH2rTNbAPCJ6lHQtxrbBj4fX734cNlvpvl1jV5 HKeygOI3gsIs+icdhbgz2ECgwTJJesJNkjRD0RYcssLZT70+xhHDc451SeJV5KCjXwNeMLF5Wovi p/fvz2fiOGXMynX+hyPh6mrj2Gf8YFvA4voyDpNRpZyTCzWRqq/mXmo9PbigETNh4N7ZvchOlPCO Jl1T++FOJQEPzMdaWHEMDUHIPermjhRN/aiUBmQO0KVd8W6AK4LoyVcJQDn1D0tLscNjviAtiEae 7E5tNk3TT9nsoAsQ9Sv9cnwDRMUnencZRgxLxOkmklMpaDCFnPzPdmvpyK+jheKzEjTCJJjhxa6p BkTEe0MjeykwL2BT0GHXj0tUsqOdo9IxcMzzVR09nNhAMtg3EwRlpj+S/TXCBCgsPkBjhAqegoqg ljvDPZXZmK+CvHeCihz6u5x6WK2aPlA9fmQG4CFrfjE6e0g7btVuDLMGQbCSJLdGAXhBalq8ZAAZ i6cXGn4GEKJ6TJkOijRf4S7AEPYHyPFbRP8mJGYoTR7m89uqS82/lN0b5Yaio1qnVnZ5lzHF/l+1 STlKtb0jM5za2o7JQQGCE0Un8RHwKHHnQlMSCwECrUG0mm1jNojIi2XHTfMvEzG7Z+y2sVUcjzX+ hn07qrFsJxI5Bo5bWcDLlGw1GUPE5e12qUyHL3EoVgjY1AiiyQf4OGJ6Y6XxqOp5j58ksTjtHT7y lcP4SBpnWuhE3BA6HAWt0UHzU/0cLkdpie+XkHo67rSVLiLadc2eIATC5wpRNi6TmgA2IKKtlnco tx/RoZEpXZzzn3OpxQ8T1F+e03WOJKXwljDKE4w6sTmDzphQGzG7tP7I68ZzMR0/xfL3V7R5ruOx /oafsPuKfvKmq1MAjQZrKJz1ZVsDB+U4JhNPb51yzuRdWnhP8a5Lsxvy1yOplmmvUszfKuf2dMPo hhFXB0KMuwk+wgUSafv78obEeoKfdZy0/HIuBBpP+Sd7gwr7gYc3bjKtTkikKxp1xZz4Ho2Re46X 9uwr0jq3xKmlRkazPld2AriXt4Y+5lFy8nHDlotbhLG5IA6776etnb18fsS+d//0+dU4UAhW6g0x XxXKrgizMIBMLAhgjD+O1ykFBEN67uZhI61KLMLqj2Mj1crZfSW27Qmvf1D0RcefWUoY9pMfV0I+ 4eTQIhc9t1hWnj3uPQuso00LYFXx98Bqu4G0Jvw9PtzCtJYisoutSl89opF3tQFB9bsuaZ2t88xE vfX9U+T7W1yuUIhqtfMW+YDeWDmBiMJmDQDMc3JcffuEUuKwAYtAN3abbXVDQlld98/teIrW+bd8 hrVo18PWGN6CH8MGgGwduboG5hA2ZI6kN93RDKdMjZK5K3FxDEYb5/c9YSuYHl+4S7XWDFtiTI/9 vlQjmVrzl54zbFAN0DcenoIPOIxSFEJyDTSW48C1t8PNrqWItRLyGlkuoaNjPXKhCETdexw8uO9f mMWK2IudGJofje0YaF6WXdARegGYCydCoFn2j4xbFPE2n6KbHEXCsIkUgdtzbSn0+8JKxEkWW8oS RzS0f/Kh1zM6exHp1NHjD8RelA/nw+KarMmDi9AkdfwabajzV9JYVR4qhriT+8LYyH4ITf6h7zdb PT2zL4I2IfBGfAkML/ZeL+cXB/IX8hRtbkVbQhyaE3QTjOPGuPYzyAczw9RltXF7JoqOtojruAU5 5tAkKOfIJ1uGU0Kq0IbDq3NuGAwizDoSD7Qux0aaA6P48YOctFBvcwMzkRgfD/X3bL716w72qYj6 iqkQc8tY9DJKUZ/sEgRg2mJji5o6yNkMjCdSzq3IZvBU70WqFzm89LNgkKmk2wSriq0PoHxgAUDp XjlSWkc+hqHTKhq5dDNgHD6xCO6jQz4lY/OhvnGa7g4uuZRmkFYswkaHqywz6TvsniNKHJ+4z+Nu gKrMgcs/oEUQJDVpNE04a4cvNJgDk8Wsv5lUqlr0++Cy+bkaTUfJzFVlGPz3JFRZaf9UEriH+a1q eXqdcdgafIzHZgbrvGYZ+qmKSh8DtMJJ+LWUyCiLRdxJ9UIL87SAufls4sgQgCm9SkoUG4ifQK5r 6z3yI9/XUFJviYO2bTcgSEApQSFZJULZx+CB8vvdeFln1sXOqdf2SXXLfDk2WjAF9o5vTJ+0wmPC AfpawoT/NtNAd8QSaPNhm+Tk2gR21TWLLM/i7Wz7pk/A2/qUxmP3nlSdIjs6BWXLCMRHPwEgakJU ZHL2cLcluApsPKij12hF4ksgF68uoA0olJULZeQEpPIaOUebmEUOU7MTbGtkaL/FBd1ZiVi0BFv6 /fuXhmaNDLm1CGax9NDPOAU7AOrPEZ2ZzCETPxhtlI+gG/YeEv2omGV32RCzlfwG6wKTYg9GzZOZ it7foi2yH2wztUOnPP8Vk+7Kihpw6XG28fybJWOAwfBReZqXO+ow1aY7l9OCxc7leeXPoP6cpmxP FkmZp94u3q02XV1c5FMereFnSc0I88nvNXe5UgsTEYh9DQLQFrcrGvy8GLauOW2Z4Huj84+Bo1/o 1UlRcrrE5rs1VlbFisCbw45FjhVqUTDOkYUzrXg4WSfbTgnnL+9+om7CednWBXqbYpycRZ3Q5noP EPrUX7WvgspV+DENpB5mDKgDJy5zib/2pPyvPN46mTmY0Hqdi4uOCQa4sblWRMUkw/rpyCgc/Jaa L7bMANgeOiyuzO/0UFNaAKgNku6hI+3Itjb02GRM6X5OS6WkOAD/U2n7CzPtOI9hRncE4JNkjL2U Kz9HZPDbqDhHgdQvGxnCrBQ3qWsc+HGR2CIQAVe2IToI8pKvJLVWSGC2bvebx+ycAjPezitMm+EB Vh5FP7VJIKWMGgFqHl9GLR1oTfIqrzWOc9KRPrxU6rTEEHm/tf+DFoLAfU1GGu3NqCxBNEO9H6oa GLpfoWEZdJeGRlV1/mYDGXl0MmkWozetYOPVn0hpEFcWKu962crTmmKlO0c7Lty7Te1oXOILXAF+ TypzAYlZQ5X8Irrl5MFYdONPtwQ0JTCeFSYLJH+jKvvyF3EypziwxUlDvolkpiG3gNOr0vxthO8h oDEn6Km1n5uvDfs0QqB553ClsaWJ271swYTb8HhGJXAWZNm0b1uN/IPcRrzpfw08WI6vzwBKtkRX Jo/oUUSFPC+ekuLDqkjU0CE4x8ptA5u7lu5pW+OCRKV2Bkt7ksIUSqc9OwZWFwftKAwHdgbL083m fIoN+je2dFnQNOGhhrlmR4kxNVsQK2YTT3WrOChXqXuTfLY5UAUlOvQANjOAMRek6/focNPDJrmI InM5j/h3BbM5Kd5KahRtWlPL0o594caOXaau1vdHe3OW7wPiLVCxBnhixqOCpYlmJ2C5QVHGbqXH nDCmjGzoqwd4zk054s9Tt4OtdxWc9ADtlmlax5iGoNnx3V8glAZh5VsbOXgnxyrGcOQeeI3M+5VV FdR/a1yhlNPBlC/KGhdE4qFRfcaDWjyQj1B4Z3xER10oG6jR43eLhVig0oX8zBxSJE/+OxnX08z9 i6FlhYQxSS8TPk0n9hufutqGbkSc3McGqExMJB1Cz5Br+yymldbnWSKYJIsaBDyXSNr/pR0eHjGu Woc5owChM5OfWBLzeLtdAOwIcX1LfDF+szdGVhvY46HN5LiulPCXvGWlLSSGDToV9gkO3y1tFP7O n6OmPDToe1/J778mpvMdKWpzt5CXHXYFeIZefb5I8Ewmf/SbkknuTQJm1RVgSCignMavURCG7wc0 MG9/CNtLEcx7Nvdow4ZQMKx5KZ8y8IOWPkwumqSshJc6++Ye+qcMxzMlwdCr+m27wgzElG/Jk1eJ W+aL4EQPxUvwlLWRlRvGi9JGSTAewviRECJAvAis0VwsvFXrg9/zS5d5pp6AhVpHu74Lajj1I4+1 CdiZ7VZM6/Kvylqse+Mqkltso4XjyeZfY8zT9vZIQBndiHXKXgqdZKwvHwnGcCCt6NQ9+LhxDcIE gyEsDMSGpqCuFyRAFA7mPNsSNAXdXZuN9WQ6lqzYy3oYQAZ4SZWFmphBT1+ovmZlkI/4iVUMzBh8 n2+D6qWWD7VmXhq+2NEBy5Z5iSUDmGTT12AsHueKVJe6wu3XbsiF2lPBeRXnBwMVrM+b7rjaQ3v+ 61js3X3C7YHZKBLgju1REwb1wyuxwL0c9tk0fvg0gQ/Y5OWdeytRTnCd2HruBGl7YN8YJTFpV24p O3VFsKrHArMwzV9vhRc28EgW57eeIdmiwStnmXsibGmC3PdX/7CyOZXRceCUe1iSXVSuH/RKAZAC EsLZ9dcwyOuq9miUKu/oYFctkNJwXzhgaKmlNqAPQk10rvwrlquKvr+SqlBvodVckXGer+ud5ATU OG58O8pU83tuuEdgx+iGT3qGuy0sYJvLmBwe/Ka3yT1/vzvboMoLs6dmNYsUWViDdga4fGyR73+c N1NPeI1ujvmtX4assCp4XCKHmhp3vRYsIwkr7tT1g6ThK1a05XSYjO6EaKopDkbAtHU7te510DWR kTRpcmOVOoyczO9dpyl1Ne5LGmNWt7UgM2YjUiLaIEwMM+qnbjBQpnonGebFya8uMUFlBS11sEVk IA0UQ0mDi5jxbXggQNefaOnq/sXIKw1y18le+LdKWbIfbxewK5V49e+vxAQfSGXPYNfChuPzV5X+ DDOS6VKxwYCRhqqu7EAwyaBvclEqjUQ0krgNh18dv298U5S6yX0b5CFfGpmDgsCLTpsTQrUmHw0a ghc8yMmK7X+5ZPmyI30Ll17XGTEQ9OA7bpaO/Qe3pE/9bqF8SaroYqAiZVuiQw1s5mDIo70lJ98t 58waKOnslud9E5OnyWI+D2LCjedbI4XWrYTRmzPOsbkcqY6xAlv0MQzXrhOeJJUCLGAcP/3b5XiB T6bZXECcBLXrfX8ONkNBmouuJhLwX0Nzz/EMiQF+kuX7YqUWArKgKpUJQna1OjwceKYHnpWOtUPV EOEA/2U3YlzN9/D/Sd3e2PkqlDrCPkCGz21w7H/oO9EsP9gCJLjRmc9TBufu26KWc8zRHgxz6FQi IE+Q5rpwcvEFpAtORPnX9g3N2t1B4XGETzS01HZjg2xCW46xm28WG6+nUsv3dWHfbaEpfRm0iySA QkCnICl3cF1fJCb5IXSlrAVpJBmixEde3Q77saxavKtY3VN8vaZIyYztN8AWp0DSI0QHQjFdhfeW UaeiCSvI+/2S45lGe8k+fWgP2pNGnCOT+GwWRhe0QHFf7NZQMbaST7P2IUvQKf96niM308LINJ3s qVPbcxLBB+aka8Bnp7pKROtYhHqK64cUY9bbPNFhTvP74kJmxty3zDpGLx8Pq4UVCsnh4MisQ3DR TFJrHE5cHCbzfTgryIVVLprovV7g+9DRiNGcXygF3shMbjCGJonkH7P9T9La3H12ltxZWKwM7PQu uahnArdXyFDEiepnmue5FAUJol2eB6d/3DM79NtGEG9R8yd35wI+3xI7Ybtaj4OgtkThpbVb/sN0 n5I/2QZC1I89gVYr1l2TC/9z8uILPBiSSDCZxFdZQzaJ/9ehTzHFry6zmaO5wdTVkJmX19nEiHLI wl66bqBQWbZ8SE2AxjT2yZ8BtRyPExRfm0eq+k09r4zeQh3ybN0f78Kffv7WdjQEPeHqdQq43HNa DQx92xC7v5KPtElo4XedSF+Tp2HFpmvDt89we59do8qpfwu6Epz/hCkYq20i8Hpkakkbi2BPuESC 5zGV+3M2GqRP3AHEPv6JULRybOfEa9zDm6pnyfWte5+D/Lh3v1chz93SjVYzdGzAtJHcSmCxp5Ah YHuJQs7Hv4+0B6wSbHwF0KMvrOYDQ0CL6hh00D3DJ/CVSoA/XzwpJnsHzc/i5fGvtiLLGk2s0s05 iWscnuhPvf7j+pgUSeftmPo/CzeGgFIlkWvYM3+VzPsy9cFx4c35Zs4zSQJNqoT7x+8KKWPCHRMl vEliioCdqCP8TPK9gb5FOtTrtwgZ5Vc2rkwCaUr/tABSsDfz6i56h+0Hnw7x3Ptgljl1IPG7kYin 2ITi7jNmM3joEoAX0j+tnNU8Z43M43BIc+DdG8dp5dAjIhCFCfGan084+f6yOqZ9MMMmFs+ZhmcN Hj2BDZUD6bTKgkmtUwiCOxqaCXOBw+bJYQl1NpQK+a7FGVfKwTIK4PAg6eNSj/xjUxQsUMnsJpaD QdHKYCRGFBUsfNGrQq0ehb1mQZeAZCfJvlAkB1kuKDPlj4Gl0mZSFkjxDOMOPf//muFH1orx6cdt g9Ke+sSiOS2b9pKHdriZQdAQigKao0t4wpdmCIGHZcuZgCo3cNkhMq9/NZFJOA/e6+D+6U3iE3BN s3XqxtGWenRYFl+HtCdaz28SsDDOA9SSYvqYiz/XmkbSbl70dgUAhOZiO0MU8QdkSjRUrWC/HEYt IJTJn4p8DeaOBNy7ydS6BWEEsBSNT6IlAJ9k2rnkgII9zcJCJ4d/9hkPOZSQN36P9uey3oqV91It o11hrSAVxpSKu+A5+RemOi5AO35ShkrGn1HslrV5Z6xzCQntK7FTt+MGLCGDklV+3Cokf2pF3/b8 kpcsJ+W40LeDM2IN/SEn7DhRva3awK3e9CHwhavsPMmNs6jDgXLCIohqDem6wVTVuzt/lYlHeAV6 Wyo3Wlk70cR4oKZuloQk4OQc3Q5uUYRnQOIrFqhGys+7ZfgB+tsoeDHPZhFxqBIiDj0ELTe6VQB0 HSWvSpkXHrvQwNE6D+F3U2imkYuFcvGQ1QNiGjvlKJZ50E32fWpJ1bGTfrCjScYN7TNe6ZW/R2yZ nldzyHaEsQSWzSPraqSRS5mkFOAmp8DgEEWPCOqB4fLMgs/JITl9JuLECD3YVIGKDHeoqGdJ9rTp WXErpOiChdPiIZN4H+9XtBYse9+2kPzza+uz7RXJMXNTwF6+unepwq/VSTRn/V9jRJFoehVWD9Hj 8SW+kc6flN6TG6QZC86j0/q0G7MEgC1OjgqzfT7E83RElbdZDlcyKcQQcs1T1olViMiosuzDt3Wp 7WgAAYBVktWPnfgDTndgdv4xD5afku7hSkZt+mSyj5LC+ow1CfVf62nPAovRSRcwqFd+tRE7NcMU ix1Y8dnUgJ1/qXqJYBW2THjlqqFRQbXmwmSfoWASjwbLVhoX1gtoe/Dix7+2F13L+jIuAdasmkpk WNb4RoohFS1t659akkuNOgAQcIDLRsZEDaqZLhEZCyqPuQe9GaAE43nUiusFwgh4Avm1FzeCw2Df s/WHvMNa2BfTe8ChRBLiFcoGVs/mzF/B/9XNOI50xQBUT71b3NdThurAA3l6Coq8GsFnQWMb1b0/ 6MSqqpcko0JsNCl81gNp6yytebJQZjGjSrwvw5EPxc8NcYVprWKPTWKcyPdaaANBJAVBMJyiBzu6 /2eJvimAcb6IaD6GGTKjEh7wNocx4GOruXUfRZYFAcTzN+OChhuaTXNliBsZyQZHRR3qeBabZmHl 0En2Af4rv77W2gbQRLmyWnQ6KzYAvNL9ctI6iLRpMEv3dbixrt1mGsQxPsr0A++s8t8dysB/xhC0 8EUgDxVRO4o4UJUdz+VF1KXld00GL8KIbULPSy0XLEAgWhMXGzt5FtNzX4NRdhsQ58lEbUnvpHx7 3rWLBOJV5dYhT1lOwg/T2TzZPur8/apz0qKB0dc/AVlzGvo6HSfO+RynMlTZaCO04U6Q0coZqqtb dv8tFOgbLhKrLQEss5hoZGEvRHn6io0xkpwjDblqEcWhhFNlqHsbFkZNGbIFFMcGJe7bPMETzni3 Yj5/YBfKbMDzrUI69CsMusXtibHiA5PoLDJHP2X+zzVf0Kyq2NLujsPx82DrGQ9C9vMFw/iZMVfg oM92ZnBgcgiqtHs3DpNhlzilUsc8p06tNbXZAdB+EraFLVxhtLhWN4iflFDaUS6zJLoJkDncB8BW qKoXdqVYJzVX7XjFZbtrzsKQplMb2q2mtvEoN93dBs1nVpnRGVJYYswJ6i73nrlKEo2035ysHleG RoSVyOG+djDU8GRBgqNzlbUsC1/0yikIcvHsoSZY8jp2zJ7VRSYbTdMY9huiFMs0d+goaBUyoh7X AYNMffK3ieGWJap0S55zzsQN/N/li4urjU1j5JMidZnPdYrQHztWs1e0sxcGtKdV//rO1w6+u645 BB8nYONs+WD2dgVNKw6OgZK5WWX5w8U/LbzjIVVfuvSXnqfoC/ioSPRcL3C+kXJjKPeeMy/n1ADy 1TIgNr+TBKze5myCwJ+VV9mEQeiqkydfPQqZx3+3gJqb9eQO+RJ2ZqAL2lzB3kf6Ggq+3McLY70c JY3GT5AhSMfC/KI2+9BSqx3YdiU3HBibVABP2wU1Cls1xhl/mIjZ6oDTzV3F8KUhwsAvS/jIrzV3 hd+0lQYVbOfCKNx1lcR9FV2roWIyZ0drjG5vXcOoInJHoDFetVkeKZIWDHAct0F7Z5OtogbuIXdh XzQDK4PS/v0+ywzOVjDa6goEeGOa05eFt3krmfKrxXGR8FytGlbCAaEQ866bWkz0LpTFiiyRzqnt HzfVOYONUfy6tBjgjfZj0z+Wm2spGWMFfAmzFneG4TsGRV8Dtg9aKUEuUPFoI6/5zXAxWxbPoZoH bO5VZ9p6l7bNLbg0erttirbP/Wv7QcRGJaZy7nvELI1E2A3DPYMEEPADamQJ2vuYlQgCMkT/ClIi RNHBF+y7mverpiVlYs2wiSvl1npAW/gFoxzK6Ka6vyhb44HigBbvatjOoOCH9/f9+n01p6rO4fPJ 5DLXOFD5768ibDXY3lTum5FpR3Ob6sStppkBwWP50W1WwB6VcGwd/pTTOGPRtd4Um2rwkK7P7Lwr GgtPMCZU9siqHLqaTpNXpT9JVGdu56lIoU1IAOdcQr+LyMhO153CYPNoX9U/NiKo5dNTI0RAILyK Fb1/1fIqzruRiTVrQJMDq+2N1gB9ksBTxc4lXX3avtl4K1/FNQT+8vmcY5UlUvgHhjbKRfxY/bbE 6FOOyRBiQQ1T+pPx0GY4i7dLsqRRwUEBzABeokTxah4Xjl9N1tqlMCmu/Dp0EHyviOyd97379yX+ 6o6pTxKgZnGomApljbsNYmrH4HQFoPo7UrBflWujdqwGediHYO/jXDzZ5gTALmdygjEbSNUvgqW5 W4Ta8aT46yBz02yxlv8A/DStQ7402pYSfGEnVzOX0itq1j08+rd4MBDM5IxOL52Mk37uXlQ80HrY 43P5GJ9pReYCkMVlYk/pzfXLMZBg7GHM06ZoIAikrUrz/V2Eu6/yZEB3SWFXpAR2rQA8LpKeddnP cIjghmoSqHzxbWZJzg8LaZzzN/TPJdb8dGB3gTACFjbxq4Udgo/w+1emDpxeFerCIE3RXnwT6H7s qq1My49bwxM8cZYQ9Yh/HbtjTOIL3SXAtu8iAuehJF4zbK6MPzrscGailz5TPdxtB3rTiOWHNKy8 Ih/nFR+XrR0AzwphpcE5w8SzbRqH4B1QblDcs5jbNiPnb80gPb94bf77XNoK+Ah3qkR4On5VSvT0 kUkEQB/dNIgwhI3efbew36caQzBC106XyMj4n1l7IzrYQCO+qAllgCYSvD8W8bVX4i6yJzRSm5qG QO9sgpGCoPh0wg/t0/hRmxmFqXk+djWnRCK1W2CDqcY270+We4jZ6EBNlfJEcom2enK885SxYrMN NPXde/3vS/8LcWSbA+hqBJKSr/45G2yhbdjDEsbiAaRRtR5+QIcN1dzlzH8845g45ndnxmepzLD5 BxGUcbJOrMWlF0c1r2TFhCeiQR4r2KlqSZx/Wswy7h7/Ai7Kxr9SDXObp0rOvquPdmmd4q3aqY7p NZfypC1q4PymGUhSqtaMah2JpWcEmhy6KJKrPu/1+SsgJoQu5562PrRGAuKKfuTgTY4/ilOF30X6 3Zhngm/ZjUHtkJZupp5Ecva0hFFpBqZjZhJ/tTVN/3tGZBaf1qSQq+yh9U5j/BgrfOxJGELaExqr TKDWg45UuMQX/TxaXMWUAZaA4k+C3aUbRYqBxJqU6sChfLPtcLhxsSBECsojx6n+ilOC0RvFvWlo rWl6JD/PRvmXZoFfmr2nbH46Tk2rzySejtWqDc5vqbGTnDgluMG6Le43DIczIGFsFGQbjk1Okj1z 5VTmH0f3Ld6qIyXG0zPLikkpJIClBsrbEkGa7jCwcrIQh4lMoOKORM0eM7lZ+BA2B2QlGukILw8D TUwtgrUy2alCdJI4YOD+gBadwcGOl5x54VkmfxACWtFtAM4YTTelqe+qmeYBqaaAz7kgUs/3NsiR Oz4cUX6VbD4zgqrpouLKRvRdtEAl3hMHTA0XQyWIliqLCs1XGiItKVndYb0khlXjqO01x8xLF6fG HAEYO5Z8feQIH4sHE7fVqNRLwofRRiQrg/eXNpxn6e20a41GkwZj2dkFOHf86BUzqp7VATr9Qrlo tN2t1BCf9To443nDBlzfrDm4EJo+KmyGK3KcspXfbqI72sm+dbko8dUmA6CnXkjDKo84vv9J4yWy 6FSlQp1fI/i8toJStq5h6lcXloKJcm2cUAISJIwil5vunbR2N6AzAlcw6zPtzGrgQ7HpntKy3Djv YCRH8huuKwM0bJhjepcbTYuk1QrKetdT0WcpWnIBCOMOPUsuPbYj3E8GgqgTueVI5RRReuDLQBIh /FjYpYsToNAKhANmMTQZK1ogJzb2oCLbnHCnlBP2p4DYOlJz1oebkKZUdjDjUacXCRLOiZp0sowc KYCt+vr20qCGmLTr6c2C0W+0FelykboVZn5lyS+DnE0n3pCqDoCpUC7ML860Nxx5BexGbfYkXGfW A/wCrpm4R8EHLy/3pNQFCtGGXgGoYv/4FfHwZIWy0aYwOSi48eFWfa2w8svP1DxSqbs2qsGiA5US SyVWKeHtiy1x9ZOCguHvZosvglE3JiyIMnAdk4a2Cc+w4cGzH6DsqSknEXAmNHKcR4R7/jcfeC/S Wen6npARLKdgLDwHKPovPB8kotE8tMRO3n8gqb5FQwpHnLDIdoE5LEAGtEjdShCcIQ44mym9gP3t WM4085OJzSPhsASsvabXf6jEgOvsD7l3fYdPpqI8QpnsTH39ryyGq/vKhWV5eiYB7ZdpwhOrBknI ppqiAK0j/AxobkIbYtHDE6cddb7xE2hSuQw99ZtYWWIgKGvSeicwBWwWia73htVoEMoWESU4ldx5 pNVvUPmRM+idU0OrOSSMXNBOTAeTPCaLt0zhhJBHCYDT+OUS5TdUMYqqv31ebz+xwrAutbqRqnZX Cvw9519BwGNQa/fmTVDpj/5L4mCYVYbvzSRQ1tEtIVRN0M9+IOYr6gYtcw98FrJ09Yt8aE6xbSmo 24dkw0/0zU+FUoULNqaoLUrEZSxzKIOpep0tvQK1gFqaoukduUvPLbEE560CB6NeLMzBqAPaORCG kcg4mNfcglk2avD68pLehwnN9qB1MD7JonD2mX5dzFM7D8Steo4UzYMDfTASZnsQBdOb3eIUVHBs l89cI+KFMqSYD5fCOAmpoof6CRWc1sMakF0FUpHaXhho3TAJaEReCRqyH/n5ktPWMPA8o6hlQSyu 84CsjMhtnxncCOXor88dcBmDwqn6SLpLJu4RADt5xqOLAdb08bKtxDMrshNGGaKtWKgBdGiiNn+/ P9gmuzckCqGyM1mgikAypAuXA/XelJe/eI+Afp7Lt7KIAfd1MpBSCivnv0paygBsW5ncbOK01WCQ yDqzG3DFk86/q3zxPjHbGz6TPzuXn97VxWmdKyLFNFrhThRPGa6GY3miYK9hFnpP5OSCBcOAz3D4 LogrV2KOgAL2kWGTKd2/VY6+8QXCn2xTAPwGh7OqPG+NMF0UN/HxLL+EoQJvYboZfYb0rF5AGT7s Wv9HMxwEBtSa6iR9Z5D7wczZ/vz+mA5AJLOXClcLg0O876HxRkPy3+1rm0oKhIq1cELjmEBd2Flw +/yE/snA7KILmVY5Ml1QOQdRlMa2wqX3rkT+WgonMXDO9nLkaZopyBswFGD9xtIMw83MHWcPKR3L 5zcJaA15ZbbmpaCRF3/5qgde9zaTMKWAZRxxcNO7nM1aOicgApuwvcviRh9JCp3ezBT8FAQngPuf GZ1uTuRTWTdXCpXQ94sR2bviTbrL7foX3RCjAjbOgAofA9TlwPTdRTO3sbSaadutcF3q9cV0oq3t WqUJhwtcMzXNxEkocp3NF+pYw4JUgIU0phr91cdwqESHhSaUsUcrIFxvJD6nORA7suFVBeJh/FC/ TAZC72YQGqKduXkcwlF08Nr00lprqmhmTrGi4vtgnz/QXv27kNM/wCqq0NS1XJKbMdTsMnD8FCmW 0UPE2cQkfqlB30Apxqj+oXMOwQlEm8PcAaUg46TezbXeF8WlMpruHESvBNn4noC9AAnyget3aTm2 WSEpu4iFfSSvzZRkRMH+UtR9ot5VoIpzqjxAMi0ouWy6aSIJR2JeEC02deFWz/eYZToNhM+b+N9r M2z7HDiX3o0ECeolv3A+awQyS++nI1sie7x4R5Hm5cTDMmSChgCOjhCgoSiL6t6DFuKyYqUsQu8f lV79QSVK4ukAv1xA+cpNyKNNjFplQz/x0lY19Nc+9rrG/u89nvAZ5qMiA9N8o2uTDUXN6wGV2cff QqCEe7h9yWPl3vzRIHqaTs/gWZEdEMyRBZaju1ZldCXHSqIkKlxCn2Ajhoz4DTegO5J3+L0Ud87+ +YtQn0fvI1wTco7I1Bo9pQQAj21lxTaWx9hiENm53hUlycAo/QXFlXFsBBMN35Ze/Y2yl/YKY9ZQ 5EDMUdovjAHHoxWHH7DXjk7ptE+GSOcnfSX/IgHSeTWhlKmQkl60i7jJZOy4iAOwiToafoU+kC2m jPPNJCk4C4sYjnafPXqd3SR692tW+/yltbW+1gXdyhB4bnhMfXswZWkYB2aHiLDWedln69bqW9QG W2qIfe48aGjJWjvZtHnPdaRC1Zalr8oTAoQwHZHCKMoPCZMERj+OrAJi0aszPPE7QRbxYtHoG0J0 hBXV0bSxXJxaUkeulgtr3wHEpukYkBiCC6Qwkyzxiky3cnFFQfi1qz2gUQMch3cJD60ROFzGZyw+ BUBIn8JiYDSHD8gNIGkBAXHULw4AONkCNfwRJp/GIgx2z6TQf4ZPFk1TK4+DcEBGH4jD+zLY1dGG mWNOHos9ovHDvCu56/ncGjbBdL1LHsvXOVZdpvFGP79nzmii/KLyY39YsK0tq/xZr+DPQDbMvjjg RR4m1+i6rX7UbLm92LL/ehDa/J+UtRgrFlZwv9Pzj2D5Cg2gE4/Hb5x8MZ4WVLW50HjcQzJiv3HQ m1UNREDHW3Wi1p4Xk8zbS3GgnHrn+AxVQpV480DwidqGFpHSeKGg7M314/f04D6qptu+ZrTRzcud yMDZahyyd5EouiHpyjpJUUVMAWB04kzVWASqfYPuq4KAm4qsJAVerxLok8Kr/x5BL9Sz4yARY3Iv C/iyYbbRSKRA87Zc6ho6CWlsp51oAyHbfg50zEd3AstiFx0w/QvIFuFUuPd4vZF8UiV/4pT1relT beJXOBdVu6c1QKfNpRCUh72bIpVOTtdWalYmqfVbyhig0Z1hVETyUBhgrvJVAOvzJTAXQw3gUL69 OU1AVA3JUStMz+HB9yIKaGeGnyjCw5AOsGk8SQv8nI99EscRD8r60rxVLWhs2ENs3+X6V8LcHHco LxtnUko9ZEKyxHR1H0z0GJI6DxMoHjxynTLr9WCzUjlxK2OUOSnoP+Q8NEfOXmromHHhQAQw251h QNmEQ3P4tqs2NaDp17MTq9LB+TqQDy8LJcKDHG3gocqXR727NBYg63JsuFxYGLDpIfKClVnh1y7I vaEjpAnaLKYaISMO28pJ6bvYR2sUmJZPJsHhDoCVvOokE+D8xVy8ka9qwdZjveiXaLf4A6P8QUW0 ag7T2+ADjXzaWylE2YV8E40DzhEKVgcVu4kawu8n8v9G5bxHEAMwhGlcd/caF13F+Kl6D286pKWt joEx+kphRtHGuAX+/TD7OoAgqlKmRFJeNhgpIsZ8e1Yu102KkOppqISwJA3dcqhlUCrCK2JIkAj0 PVvUTKWu29nw5yM5z44scaMR7RAqsmJNNPbLENFfxEPafgXwE9+5hqCDw2C0PfPMWB7+IgrMlMie MD1/zdN/BsWXOBoaJRY5kQnsYaRrwuoIv+vRk7cVC1FYWRezYaFzSwe22t1VYJ7u8+g10/FINDuh Ic7dnoBKrfwXjSnLcRnP7eZs0KFZymwG/BtFBVnGZh7VcSm60TZBeS6m/QHAxHd1lyf3K7HdaH39 wGdqLUaaacZx0s73y7KJ3hGpztzrr12idX48TRss0B9J2ZdJg8UjfdWOWyEE6jxb4oT+J9GSHh35 qFiY3WvL0dc/Kem1yS9TGMw4Li5P8sCHzsV4urIfJGXGNEAd+wSVvNhCFKyKtTcZxaOKYviX1aCP vBCN9dVHVsIlTcEjZFo+UrrYuJ/eNC7MHAaEw87WMxc0C0djYMB6nylO2iPzFOy4lccBTPDjqByd qhnmByHHWSjfE9dvMLKqIGiaQt60XHmCkNy9IkZXOTmS31YN+MChT4cigMdQg4qUWhRXaakFV6Tu sWoYraZSDeuXO7fYE4dTgEWX7WF+BXDPTd1hwA2Fz1vlE/dBtm2jkbmx5d/E8N20GJcK7DoTcLDI oNOW6RixpFgxiEWVEsX/Su3y2y3pPtcrKKWFJwQRjZGBBeQ72YdScbfkEuXaHkbYUVywfQQsTveJ ISzFDVF3XPVk7NzUnUoBlZJ2je2w0wSor7lJPzCZnJcbQMenuwE9i9tfl14ws4iFR6aQGgIe569g sK5Ag5mSNpEOD2ZH9TXLTBfPxXx8gzZFk30+h/V+BLUT4t5ALbxRsKlhk9YOVpCnWXCSt9ZAo9+C /nhpVZd+F15mgnSMnf/J52p7N9KoHbIIAkLD0hWwlpPkDwIfgyZao20/tUXt/7qgOfpElmsWhvQP t1FxfAO2jg+MNK4FvGugqxHGZWSB1L+7m9jKpZoRAVEnVHEHKJNVvGykgC0QWbN0LJ4MHiIfukSZ WLMb10GoC6XhDqd//HT3g/k2ib+yp3lyjqgWuPwZIwjc6hxFjSDcRcf8hqJXzHCGgiiqHvnqkZqb KWwNmIgHlxMQcSM/xzFxlJ/MDnqb6tcF0d904C7MI5AJu8Jd96MylulcYBHyOqjdKrr3H2Iu2x5s GJn5Dcp1OScOiHwF0oPyjjMn9UKrrD1ENYLciZWFjR/KYIaSmJ73TprC90RSNQuXfJzRBkb2lMJg tvwr6GC8Z2wUUfeTDswx662/3eCWpXqlSB2XN69XkHbmmhF+l5kf+k08iWL/Qngdkhjjv6ZRGCzt eKKUdUBpLMtNbrZOszaVFC/IxaDcPh3J4lLdWHWD6zNWE4GEImPc3tLs4y6FYnmd2tmJP3ymbh69 y4vllmNveApIfRkcS045BfbnkJUY8pB8rPLAGGCal1KHTfkpFESVAkzGkettWwvV08UEAsOMbVSq VogA6kUQhlxlWU4tBn6zz2eOjLMuHyaY1PrGRYYYxraNuN57CZE07Ft3G/10OZ4+ozAETZ7yobEW U6Cm58BNvdUioIMizd6M6oFP/UGxRbOwLLkCSWCRM2bufYnaVE/TxeK7gPkX/m0S2UKLcN7vyFlB TXdDnoYXI98Fy5eTGH+K4EUfwKUR4P+gudjIpIA+9N83IhFtA6N/q3kwhOH6AYV48L9DO0ijt5GF 87P2jR1hhk2RIcOpYYL8nQety5Wv0t0ArlDzcvYDVXm7c0qfuEyhGvDOeYqIYICULlcuSjrxmrOD NNMPqakEbMrLlhRX5R9uKHd4gT46bziNL98Byp/0oyzoNfjnjGWREdTQNTxjVcDDDtC0rCLKd0a+ VYyUwUfIA6IT/NQxLYgtgBwaRmuuak5yEScb82Ge9CwRJ/O7chlz7fO3mBw07EQoUuODgozfLcXC ZphqcaJ4p65GZnev6ba6DisAQn/xI474YDv8fBR13epmVrILWSfmweqgkfx+w6XOs+xyE6RBL8Bt Khs2QfoZdtb2sIzySsVue/jZiUMua5KbHXptGNJfFBcnqwk33hS47KKf46sIZ5IXfXQmvHkfh5xK sFzV2wiPrToJ6EZCIatFuhsLQg/pEwrjI/eVCL99cIKEyzSXC07QlNqWdX6w+z5mN8DbGBXYJiOq FE54uOlUoqk1Uxkmco9IQQviGBwUX++8DYG8nWUcscqTqenLd4TcSKfnce/h1is7GYDKJbOz9BuI e5nMy0StUvaLkY4KUvM2e+MAEpMH8Zx197yAhrznhuRDYhlDbjf0jhVK7Par+8RzfDaxYiRHLAdh DS1rZtXJ/Cb586kIZrVRiiKIAw3CREMROJ9LvHIE9cWmNIhRSNlIJLwhBl0QA5ZI4f8mc0rCXPF3 MwJsSMI9KgVgdQoeOpU5uQg3/ffmy7h8p0ixYG1wGdPiYnszN5UgU6d7U0mZACs5m5LhysVJRysw bIRJUfG4Zn6aRm20xoDytAhmnUuTw6ig0QZkQOu2j7mhU3XqJSk2Bbb3KlE7EirjcncZLU9gkiMZ l3dm6g+7RjSJJBd8BYWFFTFH1H9sOI8SnoSS4vcQ/kmY7hChsS7kBNTbpaZQBrf6j2ExnCQ6Ig7r l66JXd3+fvwaDWlIAiKlkCgUES1s0fV5UtFRA3SA/TlS3LNzuUJtWbQXH5K5Z6He+14sqaNuS+eg tLVlqSjVhvkg3mjAt1BL98YEbnpYeaBhPnuluOfwK6S7w2TRsvuwVto6WtukC8kcS3EWtr8xN3lY NQZpr0Zax62o/j24jsSmLUz16p9+HjkJuYhykvzMxjMafMyCf4wI8Gd5E2jLNjOGBRRfCIJ5zj/6 daI1Ro6UVr3aS7pr0Z3gNdrqi2jehOyjZmTTLK2LQRQ/tqSpoSan4XvetXd4BvN5N4txP++7BbnF AEJM5YADAWOIN8FE5lhC/vLVsyeV7OLCHC4ukI1D6hjm5XIZlsDxn4AB8qwyQnp+rPsfDwgUymrP lCVtOrypOLASQysNfC4XypKD5Sg0k6MhjVx6r40gx8HwhhDasJ0qoFO2FMAKYysJ87SjV97/MAlX GbqRHaagaSJ4rjU1lrupEysGO3EfJzW/u+jUwiSzW/F5RTwgOEuqOg9mIgSe9n/p8ycDLUj2W40O j/t3xe+890PCizfsIY05OMN5lUbAgvl3ngdIE+ocOadu8tImqLp0t0bUEAX+xyyQSeB5eJ/bRbaO Ai2j9UtcEHSxyTMfofYcBhnkiGy9kXNTrrRDVYUhS1igOA9J8em8e/WCbJaYF9YUCj2b58ST6VY0 epFqhj0l0r7FQfJT29fqvmLPI2kT2Yhd3aFNteeCz9KuAs3m8qa+25wQsyD4Lop2qgheyAFS+n8J tcVh4MCe6pguZyU7tQqpad7Vp+w0vIoG/kDqsrQG5zIeibWnX7lcNMcjFVlP3BD4e2ak5wvgGj91 GKEf0WBH7n1v1Wew9nCkHRSR97POTxpym3bzpbnhogycACV7eKzo3Iwr6+rUBvwVBA2adm2CXHOt A+FwKDg28Xv4jQb5XQfJpu5SkMqd2SEV/8tl3d/cFSOK6QCXFWsbSKgycN+PTtGkiMDv8JmIxyLq WB68FYhk6XKzECOl4iMNHIx13w+uQFJVaUbO0ENHyR3F4+l1gvQPp+2b7m29Iypydtd4eRO7CZDu YgMjF7a6IbuMeQJeZNm3WHXea8IgXc5GQgGzhd4dsVfgACu9Su4xLIg4Orh7VzdSZqKhyRXgLpK0 Kle/6Fa1Ksdwammc9SOEsctyWaBuGm7kSijTql9U/7J/I+TlUlh9cwr/BsJsqo1XDDB5Rxx2Hvzt e2bkXn9N8qz0QBqy4COXwjd1y9aB35Eu4I6v4KHvbcwxlwBOLbq1PxUlaoDUH2HlNY5RM4RgIS7y JNMHEMTVqHjBG4AN0Q3b6yJ3/rSHMxWgqJvohGwbbtEZ5J8PnKGEcYnnJAOQl508p6t+kGaVrPKU E9XOnSzI0YarGJ5GpCov+SxeYRSZxY+nFQirTwr9E8UB1aNoHRhQQB8bu2Ze9gVhboYw/NhN1Sqh YgTImrNrmXGNy0kUjJI9e9D6t7iv4xJfp+EaNWycCUpXgZ4M+VArAvK8B4YqkjMBQYrC5i584cka qjjmPNR2hYhvmCcRWv0tw4h38WpEnzzSiuKsjp5MzEYbr14++eAxIlgx470SI1Q3aWIHLDgeYFet BvROAypEPNTNGitJ4LtM5pbtYeRcByw4JY1nAwnZXo3yyYGVmpL9IhXlSVPrV5I7MgZwECxWsSP9 9YDhl5+NPVGy+NiUt+IycPWfqT3reDF7qPULyLmTYBmFwIAg2TtpLR7lZq593H0eNX4sZlh9XO8Q kOLXifOgZX6x/Xlic+InfygZULrtSoqBwAJpWEq/1+y32NTlwzet5Gd6deQEuON/Sgxk8E+NGgdD R9VD0Sn6uAkcjlqoxH72jyHtFlWhBbgsl3oJvia/OJdKVHh5iaLFLuQURxDBroy0Mvtpi8xsKjQP aoyvQUvhXP24+TavWobnkXiXrWgKB3xlENeNOVKNNdgcBTL7W5TVLHKjbT4jSbNEiE6jsrtdCen7 AR8Q2i4bgRbxu7L6BuSnTfREKXzvjGE+xBKg48Igxm9JZQXM5XsUo3QD4+zH84aAo7vYwwchhV1M vfGIwoeAclqaIcZvyCm3R1Hi7NGKv1H02CCDo0xvEwLdXw00foj2Nn7wHJTTQZzsZOBxMlO7QFYD jv+PCzDStCH4Il9va0eHWUURYyVRxp4DA0PPYeNbacXHWz0ONOkhlbVu0fjiXVjpMog+UwPbAaVK HQ6r/+LTNq//ZJDGj52giRf+MWrv5tX7aQXGgfgK0yL7jujKbY8BJwTLYXHW4ThS9mUTaiKur0+W t8MSLsHhaQEKhhWVU2DyvflL9eH2+o0kaM3AofVXeu5cbLVC2WeE2oTt7d112sGyI0xL/6BRKsGq b6gqxTaS7605oIbYE6fLnbF1Oc2niTLw/gyQkt1MDM+CnTvFo8MWT9hlOga/pCJjNCF8cJMAGSSb M8Z9uqNX3Lol6SQ6v9Oi1tpoPhR1Qpa6GATSxQRvQVLqR5HPFU8r6WatSgko8LNbsXnsv89WBivg fqqFuO0CBcuzSmreFEko5paTHf0OQKPGF59INdcPzV5ONkLnmO2rKMd+jQfV+dauG0t3YsVEe6CH WP+AElq/3TY1meJFFVmm9shSx2ICF0UaDp0SE6xlUkuGu4Wifg1OJGlNjJtXn8+Lc7VmfrfZCttx bisCUfHYR/y7L/SwLCL74cOaQZWMgpoC4U98sGwD0XsAMrWILpXPNsk5VjQiGlxdPFX+fYHNHE+O V9079q+71WcKo4fmkY32sqCa7SOPkRfJhjFVhrYZ2CIV17Ygvk256u41RC/5JEXYAM0my5ror8L2 q8DB+5EGsC0XoZwh3aZ9Y3e1Bpe+DTqHu65o4kMEvKk3ZJxfBC8zkQWFLZ+mOub3wvYGapBRMydK LxRJUrbLciXamzz8TA+0zx4ARc6s+r78mJ0AFf8gZle/laofrd8GvYVICUak3+22v2nZGNeoRD4M gZbnb2D15fvFuuMBa7MWW5FJ9fCFaCB0MY9aCr+SE9eE9Sgr3zqByaFEQcZy6vea87YQEmgB/K+B Uou7CQvvOx5hG/9pDYfCPIwkBhFI4T3YSm3Md6T1qJlRRqDtIYQ/+26YdQR1XOdiM1JgDexuqmQv 2cAPHfC6f4H7wItAO2Zqk+ROJDlS9NzPSeuj6eKfxm9CjbA/gUYbp/PN8Q7bodsbfen9Rg0AThv4 fCoMEkJ70/kZUjt92QQqy36iHwsU59kp3zqjdvEW7EUDxOkoisHoD59kWa4kYdp2JdezwBVbFlvB X0Zi6doN2NXML5I4Ga5+5mcD9G6opDWJPFP2y5oMyp3aMyQ4QASc4KgVlJR+7UZBn79/kGs7HVFf xUzBdGZa6XeufSZShyrSb9sO8K8yR0EWJyodriMLSb9IfGcrm5fMIu0AON/0iOzKtoATcjhe4kUu AxeWUR82KXEcOllvH4urhsf31WXcESXRaDVw0aUHgVJ89PPddhVXemFaCLRr3NT3GCL+gufECdDY u/YZo9zurJB1cyI/B5hNTICEC3FN8w2BXY8M9dTP37R+oTBPsax9aX035R/EgBFCK7PPqVo8VpMv QUiwLEPGtwovOPEad3/CpSzPOoHJuaIy34wajasCCCt17Snz641wRFb8HTakwfUne61MoCK/UxIs 3Gn88O4aDg468+FWtGkEudcUQqnbYYzmnwH4lI822bblwhkZbjhxoKz7FM4pp5+EdezJG5YCgz6M g6cZpF0GE7aMJaML0TYLd+NdQR1bjScensxCwZOmaWav323QentB+WlS2Hh9gdwKcVp/FH2rkQD2 mkX4N2lvI928yoXQOk5oK7Z4xhGucRSAU5fgCtHAEnaHxcwY1ia0Xa5uK5J5YnTjiTbaZFrVDO/1 hg+8+XbqqewSOjsF01hxPhV9wkeKdJ6Z+30wWjEzHgEpDUDBRLDX5iWCZnvd9/nThnqqZ//9/UY2 L1MrLSmAsoLTj9Y5+4USoFGLyUf6jMBeF76m6mxAc+2NgyQ+nDv3K15FfX+3SrKOUta7qqE+xtXI 3qw3shmcjTwWXwwzOQIrxuaWHFa3L6dAedS99uAyMp2M7z7ubjOU1ONSj+oAA1GAYW8W6Q+bC8FZ jfPIpPOqOQyx0b0GzhJ1DO4eTO81He7r/Zqj/kk7m2WcCSpkBj2nfh9uin/OJwZNslyJaSekcBfo kCR+9doUATqMxwYeyfERiXYxDNpwXc8KXbXRVSFToaTCttv4xWhLY5SerzdyxAgxfgSsZNw9UuDV +pulQMVsnq5rabqKMADCKcMuz+NFL3hwQRQhM7xN8NSVwrgURnke6QQ38QgBk+I3bd9X6t2CYTF2 qYRiqKEr4wKGXyY2MNWwmQmJGhoe7miMN+cZqILIG7k5FuFvdS9TQaiBn58U4FDEoLtwHV9bNH0J KYq45tonWnrJQNZkXKPKmq0N2ElmRRnf9ip4ZQRNvoqVkPZwK6CtMoUjs3h8dsjYU5gr0rME8bCX jfMmzm6M98vlSI4VFFWQacPdm2gT2HmU5ty1Trq62bzeALrOku8CjzQekNGZkjHH7b3s8deb/5g9 kcaGo4PFVUPz+fz7tiWV4tEBO0NCzG/jGDWPl1BeuCNSegpL+BaZIbMT+dKBS2R07vH4x8tFhXFW KFMNPR9SrHmLAc5UIj+9Yma7o5WzrbCYvMDI5wKRuIUWLEPlPfEkVMif1/bZAZMZFm1tcspMNbce PJwpA5WoZ6dG5fwpnunp51t4jD40IGKidu8PNCWGTMlVm5Jwu2l7tHSLKa2ihAgeIa8X9bbhujkd jqaJ96emBayMLz9Q/UHmoGqFADZHFmzF8QaP2EiJ63obfDCD7Se5Utd1kBxrWvLsdZd1ziuvTv1e 5k/s4vUMVA8wcfIvcQYh4FLbuHaTMe1jVxkZWa0mOKs6i1WYzEMdrTHEvbyWTkOSJwGYnY5MiFQa B4EtGWBxoe4qBdOoJ7Pp7NE9N91xc0a5QdEdsnN4ezouBDAVO72OZc3CN26/hzDPpbAiJWY31mg2 sLonXR9S1MD1m33b0voqQ0TvAVf5YhX2O5uNPxOm/sjEvh8BeJSe8/TKDwsv5vgxkYQfowj/vX7s Te1rNOPd6mZhSem+zcDYHHK45HM4Z/HpsXLTsRvQk+qvB0YlCz4WbdCLFpPyjgYRzJ9DbTSYTFrx jHeGNgUqaItBhJC5zNvAIjNwS0S4bg0qGuUSxBm71PH89D8vGMbnHXUfMc6XQpkWNPXPi7PRYKPf ldy8udvS8jEU6wdyj7qsdliPnKT21gzJz1MWzwl3Hz2HrQRwS0yROB82ZUWQgOMDzyO8SHvMUQi/ 4ywfm4RV3ohvetYhOAg+rHmDrgbvOqrbMKkLtncT2cp1jA1af9/H/OQC9Y7Z0mwaWiiHs08N1K4R J25gSTxH2XYKYs8AfIH/4usAqscUwUfKeN6JYmNFJiDGcEPFrBfFvOczTuJYS3KYf94O3yLQgknM L0SvAwc8EEz7af/0Fm7H4If7vhYXiBOiyOvGje71sc0JFMs2Jrhtk9PDm/XOKC7agae7lwBd8M47 iHXjFTuwTVc2iLa3cF4Gm1dj2WnCo8PEaS6O3nXCqtr8QaJRR04RypaAX3qyKwEKDahVAjc8zHxJ 1hyT8FqeDEjG91s49PDd6r+VqUUnXGztMx10kkl8ayIjO59czJcnULGs7ljGIQAC/zLY4ttZFFpu ysxfFfCpb8VJaDOlP2cmebL9Hx4nYSVRDF2G/UYw8tqZUTWU5Z6XEOnIvdwVUnK+xKFFS9npZLf8 5PiS7NVyRQC2ZQTMRXURic8WJhu/wp2M/qMT6nXUCK2Z/cz3m+C+/lhven52Vs5WcjWqzkx6enO/ wQcfxC5RsDjlZmU5IJkR5MreArBdaNZmCFEx83/VLkMY78IX5sGnU4FBfZGcIVSZPwZq4uQg/fMg Pe1vzEZ2Fg1p84EjigK10ylx+6k52LOdo4Ixy+XfPIm0PVvqAFByoH5dVU8j668HhQ96ri/Xsvhh 7El5uHpgn9E4sAER+hl3OuQWFU2pWzAtyTuC6LO/CnO2MfF6b0ZvfuI9UsllhYmKBxMQh6FM6+F0 uuy3s7LVQ+jpK1/MyA0fgxqrsbp8EYbANDdEoF7ob1impX4PT/Q2hgXSkfDmaNM1Pywue6nEhi8P TLQw18Rayp85u4DvMLFnm2begxyrdI8+53/3J9tZMKCn0SyHCsp0Y+98wOnBKBtUOht7L1EewFX7 7fOlcBZjybbKBSVcrmz9z/QxIxZXHg1qwxG21WHMxcTZBLPruotfsBW7vd/ZWmTF6hBQ8RaF0zwc awwqcCTapkeWglQQhES0GP9kISvychN1YYA1qrteZt/ez3uzIsp2RlAvNYPLE5ll3Hpnavr6gNww 9NhSfx7QZfLLB9cIYEr/BeFBcj3yA3u1EpGfRlb1og0NYoRGE3mJxXzN9zufUHNPJqPykJ4REzO5 6cW270IziinSC+I3TJLLirTK7KpvZhHkv6ntj3OcMurhnRaoN0V8NLtzLF2+RSrLZFYCBGzg9R0p cEfmJPsb+K5Wl8/Aco8JJSAwhIAY+So+XDQKaLU9163heljzHH08y+wSokjYOkRV6L64sBcMiFLn EFi/kEZR6tokmhwcRkvZ822PYnWmlAkDD0m9251G98XwVeQywflYXRwZqmsGMhbI0ffJq4xgkVvJ fy2Mi+rqnRs1PIfajoJJ8U6ki85w4MX4+UwcCeKTGJJNX4Q5Ws7arRdqbF6GTYQTXMrquqJSrRoC 3HUrr9z2DIcGPev4tI3vdSA06rIcd56OYH3ji8FQG5gDwWZt1VKlFzeDeL39y6kBmKAHPdPnD2fu Sy7xWBd23dPLQ6aw1V55d8NJCqcH1GzpeqyGE4NjffUWkwaN6KAfFV3g3ZGWUhkXSX5BI30c8c/L /4p9lqd4kQpbNcTNrkm7fA6a5ZuamnUGevb3nFAKNZQto76As/6anhG6IY0WcvJ3A+MryvlQ+qbu 75Xd5tQkRcKnspRqQiyuE+AARqp/Y6xQciZkrO1yHRKdrqyGt5fabUjMpNTrJGZwbtfyqumY6q4x xZBFFKmfzgCULl4ozszG/n6kx/oGPL9buKEmh/kGEEjOHknlKNd2lIrBZ+MqE3LHAZbhIskE+cE9 qLquUyei8f8HXEuRb9Z7i3G95vKcD2hnkXMirhkw7erdbEMchIclto4D8NPmkyLikgf77K62q57M e7guJ2yHxaAW4nxANica1DkWQoC7WRHvUoi4NRtw61qRI4eW+tXUB9bIxjp3g17SRW02aErnjVQ9 bPpkWmXtLM7ABCIZaXYi23lVhEDmsEZOnzMzmpqJCHB5UZcv8a/zQJbr7wBaREg1oPR9fq3YeMYD jG0mcVHvUBg+lZ7uiJRzTsHIUO7Q/9rEhecFiq6fk3mSrDmhe2cnK4IBQzIbUNg/RybQUGok30EP LbxLjWchfXaxo1Pax+YLPXysTlenUnh/w5s/KJ9ZSYc2/kuigKnEgzWRl33Qxs/Tmyy3y4+oJYNN S1aJgdXTTtFCvvVS44seoho2+/T+s19NQPHvhfgeDZgy48KkK7J/kXSzlM9h2sP4TpDUJV+QKBVT pxia4Ryi/GaNnhe60hHIArDPpvsggAYBYM3bP4Pkoh8KiIQ928fBB7oiPWUWW4U9UvcOvWn/Xlau EPAmDfYOS0RxMumE+R8o9U5qGg9DGOFVwO/wVG5Zx2TI15900yuyFtethLGXl0TiTCIqYY2xcfsj nCtVwXJ1+39MESneqQ1+e4KMEkc1I61Ps91kiWjPx7lqOWnDdk9JCFpwnCThqzwh8pFBC9INwMuo IeULjElDmyfdRVzzkXXt2dejOzWDZ07Z9oOO6iCsuap/vufeXLjhCb8l2nFwe0RQ5aBJ+k0wjv0M 0q3t7F12VVcMJuL2ZMe6ECy7h21GhYzRKrcsdZ5GDSbmCLhK+28xCIxTp1grNykgb9j1/cVGTDQB cCl+oLvDJFVLsOvlcylKNpYXmzr9mVqgwwmmFkHunYfMKtlgFYNqzPdVICTbj0dkEOXn14boB+cw hyPb+3uWHlnHXzAEb0LWRzBCfE3ttHwYF6NOKVxGKXQ7x5YpqqdVu8MGrp218kHdR51NZHgjJ5mI +pNfl+9hebTtz7Vk2YSmiIdBtYwM6tQOtY2nhhyMoZ2rZ4tzPOiPnmVTN5zGMeraJzlXvAsGnnZW sGpgTwMxvEyxZrFOxBfaeKrdpAPQ9pC/8XcyupGV8SfKRnMxywYFwU4LlxVUVQhqorQ6OuBR0D+q sDKgLyjZNn1FMJAaKOiw0SzTP7i5g9pHqvwItaY9DMMZebtZdhlwztpoOgvBiHRp53hnPAFWvl/x AgOF+ZIVuECZH2ZhhoW9ZVVSVEeJEKhBwhgP2nSwfwnAErqBmhFha+isHuFI2CBB0fZhPXhuJYVW F+ncbQUAhdEuZKjGBl6wqFEH6bkuwWqPYMGL2gyvlE+lmKgerP0Y/vNQ0wvHRLQU7NMhqf5/qOBO XraMiAkLwZdxDGh+2X2bpFzK4Y0U/qeapZ+9Q23xDiPYUVWQSWP+FQbjz0sqIDlXk5C1NvPBJdXt rSbHKEnTe4UfEhkWiGLP6wnkQGRoVBgpei3CwqgkshLNKxbikbQbpjsuCvNJFGW983kjrxw+SNU4 mw7/Mp7Rwhe9C1tLvnZZUvXA61YTb8WC+CaLj9x72NdBzGcPfc/kYzPVXkAIVozfZOfSF23U0k3P gdaTbDtBnoNGIQ01a2uowAbTLn5Ovc79pGJalrqxKOUxlJXOdr2vaeoqK4dsj5bkBBXoj3O8xYB6 MnXa6P7QpuXhOlBZuh2Qp3PVj2AT/hsCeteQbhlavjl/9rFiQQl4TfQkjYsWy3xerUFGj21mbbw8 D3jomoWWu7dFFjIze3LYG8EzOWdmJluetM+f2G5BYsmEM8MrBANfUTXzx5lxY3pLBbTfod3ch4G3 qAA2visz3+QEWvp76OP+DPxpEWK4Wk/HWp3Nwb9re8gw+aE1pCoYnPV7o1OvzPY6UhjVURFJoIAN VUHDR93NxP0m5+NlMK9ckSHG+gBm2Q7vh9dk50b8PA5cQhB458jjuWmxdf8J46lqTwo4P4hELxTx OvXNud+/l4XmffJp+CpwLhfYLqgKRzLukZPS3HZgGQbq6xvLhNSGu/0ufo/dyUpNksW4++LYA1N+ 7Gf6l8+j8nIJd9ixzTzsjcjnek/Fq4yJrR/Yu/4oO/5t4reebcs4MBIR4t6aTr7T0GvuruL6I92F H7x+WHIxozNT0xZgxCSdlZNmjFMkc6/yTkZ3UDaisda6XiswtqaElDgvGgYzFnRVlpbaeMbKrvbg mM/8UNkVJjmtE39fPQBRQWi15zikn3SuHM476n70jlGPmWnGrjQbtkZd4MkTdajvsE+hYRRq0SRz +DWhYuOqdttQCHzRXmFgApNWY9Adum4hOK3BCbyT+IF+U48SOvgZK1kOOU4uJzS/laE2OWDVGkAA NAjGm58l9KlUTMrD55iA/CKFGra4tKwgJ8OlSSbqq00ofYOk4TvKju+SsUQPOc8Uj9C2T9OP+PUt /fCQZBLktqhIzR+1taW3ssCcUOaAXvLrDbYmQvjJp8RLpJg7oepQR1Y+e3y13B2sDp+lBsABbJA/ olRu8/zpVoq2c8CuUTE34JCTxCbjCXemzVBZZgAdKJ3Q8ukgDBw0iMH0otwIj6O8R94QSBpOIj1P 5wjWHl06e9csk443S9nKGtUFF52ZSRBd8g9xhlSXpMvgTRM6c4XmcK2q8UBLPj8DJjVgrMvVY3KY lgUUJvuq6MqEP9/G8Ovz86v1k1EVJkjYfqtq8++ByY0hsedC/t4lWl566N3RplP6uNab1ytKX85D BXUEnX2jVDlbBSxDIYH9YqPlBhrHjyGmYI2XUpmO4xkx0DkNgbc+DrPrOnn8Yje6kTqbcZWNY0/J /7qqFcELtc+7pSVOXPcI462xHqR213kzgSzYI0S0UVofimjH/lwTj+rX5b8NDDxbSQGfI3svC06r RrPOLxBJfSL41aM5SUx/+6A2/0zyOtFCjSm6RPdlJfsPtRIrIDgc8/QbqVbdWgqdCSkzMoCxaT6P SZAX5tUolrvJa6QAOeIjFiaxjhWChRXJeMrUTt0eGmS4IsY6p9CzDmiMlIwVdWZmk/gFEoeQqz7/ CaTXemZV1adl86CTDmz91Vq8VkxnU70o0nDzDB8QAxCpyj52AEjTKa2sCnJoKseVtYx6Hf1vZaW9 9HQKOfbYm4xBXDlcq1l/grrDdItEo6vAOtZTRIMAUayp0L6V+xAloDWtVtrhG/WjXhqeVo71sRb2 wFjoHlWtsCc04pmQDv3M4V+LqmDT6tKQdS3SB7ARlY5cawZUAD0Kox9JPq7YoHMVU0kLd0Jonk5Q 9aOCurNFjwKux0EjBMd808GDq4MTI3xbgSdIh5RQdt7OXG03YSiSFhQ6xbRU890vFpz9pDaIirEt eMKX1SB6aWL91bRNS7a0RGZRu9VkmZHEs3fBh05VO6n8Mv/r9HbLI2JxFipvsZeFV/1INzWytDi3 hvfv557LfjbdMAwbLVBVt2ywr9UYWZfyeDi6EBKgcvMKh5ahwEJnznqoqjpp6D/pPD3r/gapfGHW ms89kIuMMZRNBnhPCbZe/1jEY83S4JxYLV4od34vP8zmD3yuTiNfRTfqsY6KgessTtlcf/1n38zq xshllK/+NAjBUvj1PTyaXmVfYKw66kZXn4ItYRyOmtHqCwDyCzTZt3mv/DQBCpMTV3FE77YzYF80 l7pseexWYeWrzQa73KBOCR3rKLdbbeRszIQUbqFktPr6OaJWJbnCnOqYjAhbMSz4zInZFoUdvIF9 XUghlxyH+clATzRqEXbUdRHgvCVJMYBMtCZrdqqverQKvgc7ogbgkvPpVgxJbK68IZkHi6UgaaBU LyWDCBOdQKEXTWZBwr1OORvjzR8cYxNTMmEF7OZj5+2omVXJ++cQ0tXqnvg4OSRgDkPirW/Yt8TL QU0yhI37tRjvUDfc0vzK2eGjDA+1HLh4qzmSgZ8AJDNeW+EmJJns5bljipy2GYGQEF5VuGNnfG72 +4mYpGtVn+507L4yq3ctzdexxchNY0XUqpFaJb9ViIgGOiVzKQiJEfyHcqFT6BTQTek916rLTgT1 +r4mTNfc1FcrDR3xO6p5fATiUs0ZZNg0sYziJb3mvLTUF/L2J5dgSlKfEN4vCLcmZCXEtVM21/xO JcEBc7mvEcEw5hcz8THPmveStvvNOmFy/JTvLue9eVce+D04Yyewupa8RL9kvxduJffBuZA0+CZM vSJJTpTPD3YdctsKj1yaLoMXsberWZ+g99c7A2gSAigZG5g2GBZ259OhdyX/RxCAshsHAZBbv9xZ yxLFW89/B6oTPt1YmOX9BC8EgTCtTD1xBmzOKn2P4mPE2jhS7x3eSwDy0z0plSKPhQ0o2toSjc5v JWEjbdSAb8aloxG2ieOVIOsGJwtqcASE04xrnql8sNGq36sM25Gq3GFZDB4NtxAJ8kC5rFufWmrY uK4TDRVRw3lwJIUrOpSS/n9mzPJ3AeTsf4XIn4SgDsG9HhZLoVBjLKh8huTsnSRkjamUkkoY1fh5 34AIwI5reQa1aoJd8CwZb+54jHcqiSIKHnlv3D4PKl1s7un4HYzTzBx5DxfwQVjHvd5Spxt9dlsC 1d5QcxWwWb+x0RUjBpjAwnJ12qhMOL1MBQivfdwieMZUUPRjsQaEGJkZ5ktfy188a10EMgKync8u 415xHuE9PuPxYldjJE3nT2XYVfLQTvPVqu/xWfjDzrqrcBPMVb5wK/uB86ZRF5VDfAchAspxYYfx /LtFQdPw4q5O0Y9YddnzwIz+sMc9fpKP9XfoB3t7T6NQ7C2swrN0ubIH3Oa2uPfDnLjhUH2RWrs9 Kb8E8PoRuIKLQLWucREorJ16BVn6LOc8CoeXcBH1xHewKf9MHWARF2T9CNejyNG7duckxjxgt/be LC9iHUL3js4S74BPwMnIdUs157iFSp0ad6FpEElo7jkA1UmQO64wNMgePOJDcDZIwuml9RPKXW2H Jsni4fGu9W1gfbohFDj7oMJaSUImULWMpeazG/5fP9cAJp4/bGQEUl6XrKi2ijl7wnVDMuIQ3nYu qRFoc9UWnJz+8WcPGtUFJqH9bk+dVy6P0YhQ+M+Uw7jiUyQ3favTKBio8AB2fh5eN9dcPuNi/MFr bl95CWxZCQGga8q7TtQgfqDWKxSy4Hpxk2NHUwvrCMBabqrVV194jUPHq60cQMEqTOTGSMRL7aIz afT64u/nfaNS0daPAueZTYqXwhkwgm+QHVejlPbKSoCNdKqkNe9qgeLK3ywwtheeByCkHxFisfk4 kD3t3zz71l0M0LCINNl0eIGB6v/l2a8AofxrRs3ABC/6PE/JFhzU8ExsVCXH76NShI21K2HQEJcT 6JnbaZ8uPNkqecbqHTR4qozMFtlc0X1nUhv0w2DeqtZHYC0zJQXWNY8/4eD64+qG3oEAH8u0XqdC ptcyErVUWwRxjlyDUF6VQVWi8pbzdF0dziTiuyaDjfXRq/6hwA6fIWO3srfGOvqdzlxAov9bTCnS tknJxnoEZe7+VVkB85mDuRILyJSrjtw35G4B6PI4l7P8Xm4FhW2T3Ybgt/MhXteCBSMNuTOH1YQC dAuauUzZMniPBc6q1HHyI5/mYI8F3Xf/P5oCS1j0GcBkLTCCoxxETnLsu7alUG4ayoL+r12CCkP9 EghlP+h/9KeizFub33zlj7hA1Oks6QqZe+D7jyitOO2KGz3kDcq82P/l8qmv7vfu7K1TT7qWMc/j zWjks6qUfGR9TCXVVfbDfjFUlMOWxvAotJpkVAKXVGSiucHenrIYMbGeGkgBXT+Td8V8ddF7Ec43 E6STd22mo9SyZoRPKmKkIm7KnXHKYvfApMdSn4D/PLXE6t5p+93UegE9bqfMSDXwUqbZU2M0LyNv 16Zv7C9MPz0DBk+3bFxDBq4YxX+kcIhvWnQLy1FrHjzREsJnc39WnvFfAYIPsOAzu6G8sofNLqtI VjYl2R02W99avaIFIm6a2enVUP8s8sEImDf02KfFmpH7wwpMdvM12E+zzK9AyeA/2/IZ+wmrJy9q iQJ4dvRqolbF3GizOf50Bl1bAyGIAHLWIsAbSVFZjOcRr/t5RXWNZD5ORm7Sou+Gs70aNCunizNA J9LF5rACe/arzodCS2nLGnkDSG6YjVFvhDbPcCN/JMcH4yM0fGEfHFJ11ZjgfO6OwGzvo5GVWMso touNg/YXo7o77yIWh6AxX53LYq+l+68CPXCN2p1Au9TnkfB3rEJTs9UrvYt3vYK+cSsEoWqIntGm leOaivvTgfnqYpgnQ/lsiNdWyKOeTP1OuyVtRmeQ4ZVVYyaMcx5wfAts/x9jEO+wejgELBRX2K81 nUqxUyBEJGW2uAt1ht2iLN13cBkr3d0YldSWf7vd8NLvbSHiNTavf+oOB8Z6PFOqcE3JrwM08Edf 1V9gUlkh95WP5IoST1AQtT/2iUurlm+xUAUKOPD/f+iUyjOmYyO20In7Rq2V7wRSqOHx72QRhGIB 4STD24Rada0MACrtysuJZgHtQAPxVhk2+iYS5VC1+aezrBhxkEuypgu9c45IcASE7rIuQ7Yg+YcD i3YkXrARFvjR2U/IxKOxkaCtvmkcq6FshJbXInTWWjJcLkoYQ2hkJxBRDdeqduOseAWEVxMnZ1r5 pYnZ2eWBGsR+avJUSZfZkCvQDW9hO+WyMbEegZttEQ1/fK6vDq3udubq/LCkGCaTp1soJf6ZQ52u ntY+KdZXAWKdK9nn9nTrkMpQL8IqAJiY1r0oyh5cBktErr4MPNO5+7eoAa1kc1//AvP/Fk2GCQTQ N//K+kwIdoYLnF+YPeLnZmvzwvicJPOCngEq4fMaMhVAqsk4VVpJJG2GLBKkAk4pLZLfJEyA7O3o uSQUJrm8eASZKkdmmj6cWa8R9ZQMl7g3d0LoNw2+sAStQyfsTX84bGOQh8rfqNUVh05rqsed3/9Y Ox6pCpuW+ChPByIsmvPIY8Qq56JlAKMGOXyTDLX/R2Yt5EeaXpUZWyWIHrB3Elwg0v4WqWdz20ln /NZNggM0RKqKstQyes2BpTe0uJ1+UoRwpv+LKq3/4FNRkZEhyiMYXtTrCF/EkQT5tdogd9omd32e UauVanbIe0XxeoEG2vcszOTPh/v1QdFiXG1IEmnKbSDmkpHX51yzqy/AwDQ3ECaPgi7JIjhJVhqS uDjf4VkplPMKacmXdXXin+atvJr+colgYH1AZB9LfwuKIpnRz8O6O5KOZdJhLp0gvgh7C2Ga/mtU EbJKjK8xOxU159Uii/C4r8DPXOZMkaxLZEZCpZdJZWIuvCt3nzJM6P1quhUT9XKslXnDxaMPXWte hIarQnvBuqr2Jhu6Zx7n7GGBEd+mV9kr/v5o+ypUz8VGYb4lSp7yQk/TAf7RifIaKuo2tY2RfIf/ MJiZq903oadDzKRELSCOxbju9adAcEDr/4sEj3mPqMlU+5i0b2lqnzfRrpOs7YCMhGA+YDsh/h3f IpCv1vO8Df6WUEBsGr0zFrl/Hnlc1M2lp/D2pUaxQ1jsCtE40g8MwgZnkeTBU3HxEgPVoqE/v8VR +hTdGF5h5LcurXb0pbPPTDkBqxmOywNzQ2LiBKosARUkvGwsDmHy9SMeV1dGSFLJ81iofviMp0cc 43aDP5gFm4y0uLvpouvBy0Prghb4eWB86FS30eEPvPBfh4FNwdcAOUWIyE7LQF7ZSNgoawxMzLwf BAEuZUAF24luHXisI3MDpmyiko7d6uhBlcbpp2xK25RUenyGcstCAV6Ml0zS+5b4jEjkOgfJ1CG4 QmV7PFWW7m8alyo8YrxLAm77RFm3O/eTfEWTQfcZf5QHOLuNJkVib8CpeCVdRzm0JXlzmwbW42PV GMXJU/PjEVnqObHtXi58fatuVMhrPnOs0IjiHKfaemJO5gJskhd0lzATTdr6ThVLivatHYPtS2Q0 5/Sj/Ea6q2N/b9Bj9dOlijBttUcHGvR1/43rTHpvtwZcfovUA3LJnX9gn4xxqWXgN6DFRyczxqYl kWziHNhs6gnEhgWYltQUZAHtyn04PDWkxOzKKEy2nKIfyreoXngoR1oAYm29b9FxUFG/CQzpRWpw bXlasCwsn2ON6nPavTnGAfXnRtNtzNYtLoU6k2PfKs86CWMeYsDArdCatKGn6JIZo8duncgerY/D v2CpP2VfcB28BBEZWk1YRzfotQRPlQcUzsz8ek2EVdT2yHeVBU/WgK8qZBLu7djzdRcpWfapt1Dy ZfrB2q3R+rH+xygWXUVqxhbw/tTshr3+XaroKgEc0leXIQ1z+QvjXFassSiDs9jKJOpP9RiBvnUG z85BwIeTemgYSYTbQK5gmTxPhY3zJ70hOwIxsLgS5D0S/q67xM0i8RELVN65ZKBFP1qYHpqAqfA2 iiiygEw9jWMfZHrs1Rqu230WcJm2Cso+dVv+FdqhDjOq8Ie8+pE43gYAw/DG3ziHMdx68hnoyWJM k0mc2kIYb/TRRH7OFm8eWBdU/bAPiff8f2KINQ9v1YXdpD/KgnXZi1VjhKOotNj8xnat2ynvkcWR uxPpbt+8usXwzSBM/DWblnBH9/9nLFd698KMxVtHYTl9E5EmWxnAwfj3y22TBduUcpwLRcxnPAWT QJ1xMlZzSF39TXrA8Ykb4rks15i0M7djY5jhO8ntef5QMPVQ33j+b/Zol0/WTdjGrx5Q/h+igrrt 15zWwtMnnPRAEXAniqbARGMxiyAAMSrRt8WbhF4VtE3BbPYkwkQeghE9cmx0/gF1xWZaOMzjWNnl qNx8JXC2I159zlSrH/u1mFaUXBXM3zUAETUKOBox8GHZKW2Wpoul6tXL3bjfPCGBmAXCptDTpLPL MZiP5M7GQPTcv90E0QvsljPlhLGH4Ss2Go0Yn75GxvRff5uU0kJsObRnmxyV9sAxGSAt1+8RCPis 4n+K4FbS82Qk3hUeVcu3Pm0skJyaj7bPDCLT435Yh2Pr5FEGyP3t3NNoWsCbQ/qz+/EMdSHTrAoF VxBXflIr/aHSZ6+Nw6RN6h3sckfCJNB9oUho4FugvJ+ALfyZ3CHpcMBC4Q9Mfmpl52+l0cjraYxs BwW3MycdL1cwG26q0GHAUla8aDJscxo05nkVQg5hfAymgi5SwR/4pORur6vZ7RfOsX0BP5bA1WPu Z5XHKSBHbc/ZBoEkvj+BEQ39LMZ1WGhT+alQU/8unDkXwA5fy4q1/glpqIUusc630V0Y0FI27PB3 L9FWCbQJzFm5Cjwi4yr3OEAXIlYXMB0P6p6WP0PbEhXviR5aBNvtDpoDwvJRRmPdXUx1sCsKrNYI K7k609DXIfn96SkN5ipQVAlNE/XabgCGDlzGwnBTMET1ZmNb3MU7x58QMGXnj2fgvcJtvIePnXTn 8R2g9GUS1ESlweIlFFuVB3YJX2CNuwglrrTWiEcYI4j146PjfKiJnd+YrU1k5Z5kM793JbeTb8xB j+Z6zLnSHtvCl8UVVdOncfSOhlvcB6uVYBdcfyF2aLH/Wasm4EhoXxfTvxQyjEkbGAqG8o9+WZ+D JkyP1TleEqeP2HFqL6aO3jzQLwomrCLA8y9K8HaNOeRCEQDLfWazuwVlh50ctAKOxTvzXZyV0xiZ nVtr2d+qaJPk/B1TnM8IQM4timxW8D0MORLv2mFtQmQHWdrbDMTFG3J0PiMwbQgOSxApM4lyvUYL bevZ4tI0RDT/I6HOo5rDl/lHxXKtPr7S2tpmaNeItbRohJI9b8KTHQv6RnycssmEBtrS8iEmPpF3 OAQCcr7lFAfX57UgmcamVw++yJBAwnVAM3UNDTsrZv1H6hm0ShIfP6M1PwU59tDYcpZAvMtiQyxB mGQ3wFHEmqsMzya9Eze0ULLkCAn97dyzmOJkwBWZUxP/v149OCa5lNCWOJjo2PAd5/CAsolnQpgo 7X2dl0CTG3VeH995qo3gmH7fdal9dGBkCHxhmuyvtNMmz8vzC83Am4S7+u8rdDSFbcl/cM+0CXLk 1x+cZXpanM6Os+lKvguN5mP2AKvYQZ+WfzBBveRGU6JyoQiqBHn1AlWfQbwrdAOvJeROCnUHivVM h90pLj0edvS0OTnmvPYDcljviviFHt4b+0j0YDWI9c/HGz5anWVSm4kTXPPDI+wl0aNDAt1MMctA XXzftLojBowkrQ0HIeQMcGBMh/PmFwz0tzfUCNrCOSWlIMT7+nGoJRJyg3ipKnKEQdrHhybFKc1D m1YJxPYY/BQFO+fTV623WPMbrHZBa9JFPY2DMJtuS/KPKaoPtEYRHEbXK34opniz3i0RYhGDKz63 wvOFpcZu1titAu+gJysHd925h3o6P6/zqkDJtRsBKjHkdhR5yansuUK1LHvqTmqeG4a/ogtgQ8qP RGEkg+usxLxxYXKjO1nqI+yYmTBwca6yvxB+moFillNynZRvVWU5V5H+gsTtydBCfA3ONwE2aA3r ffHAJaxh2JZKJWm2wFOqkZWn1Ie8aaqlUGeaOR0WTlBUCZI3EqgN1ERjqNxhwwVrHJJyqBvfheQH 3QpTww+0qB8afKOv2W2KZQLmDMfknyL8mJRC0Ey0dqJdtfGpwV3GPN4qsoLkba+/xQquG+cHVg0a 2IW4M45GBTXF05P0+BTfM8zoarN/w5cCkpOBx8LrlZ9r7Mi8yld9ygelhYtdWqAVTCQgvPu2oB4p gasVAAaVvJtsn5HLBW9gcAYObuP3bxXxfZKO52GOngd2hSp6fF8k+8GFivJCVMfCGrZ066G4e32+ U/vWvl6QThq9YCyFzSpZs0RFbdlDqZK2OzGaWevUARV4gAkZP76iwL5gzJeA5/sy3C876C/D2vdJ RAWXcnJEU2guz5mPCIGiDGyJHELHGUFPjMDzPE8mpSfTLhDK/1e6s6f39V11WkC1C5wOp2VwKoRR JO+DBeEz3oYLn8jabXHv3M1g8sV6q9iWG7xc9bo7H6OFHeGDAO8ycEimnXCRHAY/u6vm2xx34opc QQxRbu9g/JDDIq31so1+9scHCtrsD8SP2Eto3w2eT2wF3k3sT1uBdHFZ7Cfyk2BDyW3Q7XSDzG7g QmI6XylHh87L1520Ih6CsFpkR2FdY0k89MnT+eqfkcz1k9RtvXMaE8aBjLMtWUZ4bAnTNQ5Rlp5S is7YQhretJ+XaBfEQHXXjXqSc+2/S8AqNkzgRrzheGYkxlZ5365Ypdkx7s0v9+UV9gipDfwl9ZlR xyXCDn2ftTd5/CMzTORFJrhXX1ioFf54i9wRMNLGWtmaNZ06tVlhZdf4Dc9egkG2r/mfEwttbzRi Hu5ocAFHsgssQ+HeUikAashibWvfZ8OGgobDgx6cmGzSvqlDT9kQHQQrpv1ViEA0ewQA9YK8ZBvo n/yPbj1LI3OgZ3nwhBMF7vkWGYUQ/VJ4jo93T0EW1KKk0b5xfFvBS6MrRM8e3n/E0BaIXml0D5fy yAHODYwFbklDTYj5YqMFT8VJ7gX45AuCHBFyJaTQM3dOeqrjSx3e3h1zFJ1ULV+fxvuiL29DlE5O LLjinnGjpVst/0znkuQYvdQKHjPLam5ZMoPvT2bgXnry7LhIhjMexH6M0FDhjgpEhidvlEgUShRb 7aXgPG+P9Ie35L5UGVFTsLdCkQCclnKYJGPUfbWV8OEEOU7q5useQu9orn7hnCsyl2vk3cLuS917 kTRqClUJXmMPScmKG52RLcC6SxRYY7FIU/QMO1dlXvINbc4BxgBXgrcpAIlITZ93z19Bx98hmIeC 0tyyM0bShDcsmrwLkxDOROUQR0EcPIHgsA11J7XLRJACGSDdbwH+veJmrW6lYBrQAJ6l9MK5V9i2 DfYyGMWDHHq+9sFHR0nAqNsY0BeLT2Mzel1lskGg+rsyG8CYGniDor4UOoDNa9iBBqFZj6az9X5X ETXcCteXG9pUMMq0+2+CW7Dl2CbbgMIjcWqcyG42gXRsQ2IDe9d1tlYpEcnRE/NEd1Aw3xGWa0Ag mkuxFLCK05JDttp1joACMnt4t1BtaZl7cfmjbnAtFp9lrjvaDHMegwrc7VzInKGjF+3fH8HEgHGo +9xDTVN/FC10lkCne/MeAy7X9Tr48KxRCc12A4J3zJd8zusb0cuVz9+YLN+/3YkWlJC8AM/UrJeQ kKF7W8DydJqYk4H20YBnXptV+JXQA4xfaxEUy83VMY7vPhk9+6LF1wloI7T2z3RmtHdqCfqAO7a2 xEGW4S3zkFwm6Cpp63jRanIP3xQqE8mZ2g17KThTDjjq7U19iEhJN9ocgqJmseC24nmuFCx43p7z j7SwyiVHqvzcc6qxy8o1fyK6gog7ltm2PSVEpt9f5ha29wt6r/pRSlTeBp6WZMzTVTAjWU90DBtm 2i4+R9qe35bJCcD75lsKShRThjKpfupldcygl+bimnjQb48lDAIvrdO+9f5ctvg2PyUC7oYFze9P 43r81Ju5A0qLW+nwyNRHXm3YC5shtYu+gFU10hqkexQQBYz5rXEd3OmTfB5xLoVzRzEZzarwG/DS l4s1+2e/RSXavmYv/MHQh2wb0Y4ljlU4TDz93h3DbIz2tYvarSBN2XfBOU72vTcvByd/znJ460Pk k72Nn41jVynyjW6kZtfY5A+RFPHa0r3pC2W+o72rkuKTdYGChcq5+SOJwTtR0E0fzrv86LUxG9Yn 6L2zJaHII9PFSobcBYmrFnFPmy/yFMs+C4RptvjxiWje1d+K1PCahDLUEHu9NisAU75/xS5jl516 gS9XPOqhm4yFaAI37vnnW6MgmXFNg/Zvs6xWfMDBR0fso472D4duATAotSLtKdlP9+L5khHvftsj jjhvI7E+HHCMJWwMJCNxSGIsuCM2/2rx9OQo1JrfwMeM9ZklujZsSaIjkcbztEWWhz6Sv535H0GZ 2D/t+kEjtIe3M8xsR8rrCpVnfbUx2rejDyf/6zaqavTomlOYtxCaqdT3kzPjerpdlFe4PIZUgG5w 6NwC7BqGWDuROLBKG2HRvm5mtbOWmlqyv2SLyPyqlnr7LHuQ4LPZnUnSF+R2XICpmcN/+gxSlfIi zoV34Iur1zxj9SRpI6xhIMBTB6wTOIZk45pU6UK5wmevtVUldwAd6P4GikBMaJ/nu+seX7RN0wpf 4gHLeIjBqcX3EqHYg5AmNIiE97jnqTb/PDcY4AHd9Ln5EFOwt73vY61MUp0exXb1074CcE8oHfuF IE2OPpESL9gwS3AGBHcVIf/NUlWBZ9/wQVynbYgfDxIVB3RPQPywTTxDLw/vrEZl9QbGmgqzk78w 92HsUiR1sR20aoqERQSbM6HizSVYzI4aZSDz8WkXNh5AlKdX41vTNomiPNfU7dLuvmQmb1218RLd cvrcC5YN7KTe+FYokRhlf7zo99c3hbC1DFcTiM8uaCaZkFNjTmqZxZyGvs/L78reCMws7Zfx/36x gbKHaoNE1fcQw8mxfk6/okyCoVXobxa0zUXf44ZT/ibtfPFw3hxBmCEVl3AsnnNltk29rVi3BAuB Jtgauqtvxav9aojnR2zKmkbOk19P6Q21JHz98CNTJ3Y5IXAoFOdE+f/AtFFqLvk0TzfdbKZUAnSv 7XA+STZZ90f4MfdHhD1H2RDw1LcLIBlgNqEl80rqJcGRiT3k47qkDpu6MwW8vb+zjlizhrL8Zx8o VaYFN1K63SlaVml4/orAnj5NKYVk9qlbbOxkmw2642UrMuHZE8OL/l8xvfXeS4gZcff4LaIyk2FI 0/h9moHq/wth92nXj2jjE88a5qR9LnW3fk4OVkuNE/ggt5e/RuEJBZBhS+Ng3pp3c2Oup3tq1Bp4 yS5T45gbYV01KalsBVkOsH3mvMARDNKz+5EtDbF5eXSLJh9vGUpMWRYI2sI2UmN0QcfkkVP55mDc aYpDLNTXx5P5Ih9j7wFpU36aOBIQMNi/y45KX6WF+ldomOu5X0gSwVdu+4cg/wGtoToou46Wt4G8 kE4Hlv8cbe82D0OhMLpZlviHWY6HYI/2Mjm8xCksqpGgy8PVpaNiziHAOwNox4VBDpYZOLeIxRqp jp8jCRs1/iE3Qw/kDsltGkFb8ccbh2rXSxJ19liAZqNSxsfW6GVY2aIhKRqkfZJ5KLIA59dvBYKV WsmSRpnvSgWrSC6weY22lyAWjRWoU7fpHQOZD8uXzBJ+6onzmL1ErzkBB6jbJFGJDvqHLSYPx3CC ClX3fW+fIX5W7vLFad7zKh6unro+LeddOVUxSa+rvmYTIfIa8LtwWAm/N/z56FAOyV6O7bFDRYLJ Olk7/oxpNo067qzdqasQ7lzDZY4Xx6qnXQR7GBAE/Kub0+i7ckOJYrF0gRSPrIMvDhmdLfiwtCFZ 7UOcAJBs+KtBUEZx855K/lmeDGHGmkRnH9q02iMRPUUnMEykXiKtjZYhjRQRbgVRUEiiBi11IZGg MMsB8acqX/+kWGxNp/B0j392sqo3TMX5oUQtyl70VmKWnIs6T99D0+4KcK5MlFxZAoKSg8+i3RdK t56p6Ea3MN/VcFV3Nyl2KyQulVc1147ii3Zi+3QyZsdw6GtjXuFToqg7GojKFkR8eseNzK0y5BaC X7foyp+EliUVHUE4J18gSX6FCZoope2w1N3y+gZ68WAlxB4M580Eaa5r5lx1yqJ8tBBX3jaJRfyJ qKLSOW4qWrO8onmNKvgPZ9fEdNJSJHNwkR+coH3phVsCdGwcmi0DYkhJfeYpvvECi7FHraRX62fq 7ffdNPBnNHMnYkbp9Wb9if2Qp91ilzMeecXmZtL1ZDY0FNGmWP+gfo5Ls/qn9BAlM3bUvHqaaQY1 U0ZJB0riGkFLoY3kOsj+1WKNwiHQrIVJPUFcTiLr+dFI31xnvYJprWfQKRwrRU1F9o4jsOrKS6JF af0Y8qYnu8lhnavdXC8Q72pIky7v8PdThjieZZWjy2sgPZzGCRhA2jPB+HW/486ZsllLgtAMerzB WZ46kGZJlQ/dnL376hwqfYiOQBetcshgaT9GxdZhpNMg87tJ1m2gBokBNGwgAcvU7mrgqv6j75yT LCBeLdCRgvqAPb5IQ+SAvOXD2DSmvq/nR2srNUBdvK6KfNjsSxiXn3QD3XbCJ1Az0SBZnkkSNd8m plllnpNO1uPX4Qanv1mPoVRfx80c1SA5Vi4tScQ74VPYECZkVSscqgYuFhNP++CBrh2jwpdlcTRY Gxmkez6xPJHWnRhULaQ7HQ5SToRyByYCzFY180EXJvDL5kLJvOA06ioRYxXDl/cYYzpD0eXCHwPz BMTaSNbBRHM35YmDhWx49JwPxQRRxXI1+mH5y4s5SPgY40leECrcS2VKxqmcic8SUGB/hrJlsJu8 4IA9HahGFVEpTVwuko7TG1RvAAKMMgFUPxhd7QNeM2V2aJjxUxAt+ySQE8Hwx9Rz1CYq4ACJpqAR 5bhPJuCs1yiAx5gMb38DyQKTr7HsgoxLh9fDTXBE2S3fjmkWFTI/KyL0uznLBTlT6QTZs1XGhroh R4p/toqJ2O+ITP6J5P3U86YWgQ8zwjXFkTWLRPI92RVUxtjYdD5eaAWE52xPCJjBmKrBr81s/hp6 rXP1ssYoS9sNoyqSVQCAnrWX12Bv/i83X6u1QozLEOlodxpdmXmPpppN1EvCwjzfRQ+iK9fd+ufv Ko0XtbyNa9ZbanODTHVk4lBk1tZ8AhIqU9zxLBWj9jCvYHgXlrCdi8ikkXU1BAIiBPEHhcYL3bse z0NjjvAoGS9F74bfUfTP65h7kTXUiuKXCKvVW/NPzDuuteimO/xuzxGTPeluy2OjNwgCRaHB/at4 1g/Ajs9al/FnC582NmZ8pb1iAE20MpL/ud8FHu8eOFa/GzW3TJAYIoSD85cAhqtgt+UvrMJiakqJ Fvv9pyv98J3IxjgNAFBIkBggl87cspGokdVGCn2hiK+3hLKGgEeqJ0HS1v4xdb9NA/voB/MfnvqV W1LioJUemE7L47x2v8ovCkcuZId62JwHuvFqgyw4kF8ycwDSBFT7bCKUl7BJVbxsHhW3Y5PvYO10 e3MteqL3DmhiQOZZC0ZwcuGkVLOQeB4crA8oBF7GMLF1+PO6nIi//hsocvJEMdc/14T4lk48wLJA u4nkq5b8tUUG3qmADjlRlnKoWGXLUedvaqCxCcfkrYJxcwnsmFizIzpoajcoTU7Rk+ZxsNDL4uSl rZgV7CfGNQ6S3WJeHr7pa35J3WmpSKiQrquahNtyxpyFa6jcL2fK4tO7PvW7i64s3B6nzd4WucqW GWt1DtSQ4Q2KaIEDEes/BaFKcj9yzCni7e4p+8mQqyAqwazdssRk290w7eZRWSCw0mq1Zm7FVIfJ m+GaB1zsCNIhGpYnockDuQSOCSORXJA7LBMkuLkNqwE5Y2K++FzmOUjLOHAU1eOu3Tak0l8ly0u+ kF1vATh4/fFtP3ZRaUebpeAbokrNWOBXgbDSSIEiTscV65W3Yfb+ktsbF487+zX7ygCL7/hBYRWC CzMkhzT3c8xvw3Fo0lxPMdQRve5btuKo5gQBvNuGg8sP4LAWbsC37akAITKZxV4gyOGGllTB2PN4 JXvPy9YnC6Sy71XRTYEBFJtyfcQDWi6i62ueaZOJDaDVJmMfgDnb9Qoe+ETqG4SnWmhuTGGzmMXW riDx66GdC6bTEUQpz8vJBMa6WfL/0GR8F2KdQTPpdkAsqIX+mUygcOVA19iaqxs94z0h9xL7SGXm CqKEEpMQdw0yszc3L/SPHHyPiPYHdUVwPnojBp22Fto4wZB8/xDKyO/+U6eP9dv9JC7UbVXsXQpV VwQBO2rdm93j0TBTrF/3DISpzltt7EU9hmndsLQpm1GFHcPMwPpQUtT0yQZ28B6JPdDB2BpT0zXE O979UwGaCYxKmJd98TvQ5SUmpHYWDbNg4MqPA5dmdY8WFbiHW5MKv0lGGLOhcLaTDsEfq6rqAFVv T7M3QwD/nRPlDk2ziJ5OqwfoKIrOQhcnpsT2SdiMTp5nSeBxZXFjzeiZrhKYptJsjFfZFAZT9YC+ Pl5eSe08GJwkYikuRV4G+2cehEgg4Pf29KXCeso5kHhCY8M/+Rs0+3bxSvXZcyhF+xKHEeSpGN2v hmGBPTKA4c8A7mG2TNawd6ic7nGjHdf1qlZU89NH0Pg8JLrhm12Y0rE5OAwkKgnOvb5VWHKzCIDE MpKeD7CCFqDYnr24Fwgrm+ZkezkqufMHFHEUbW3RGAD0XVX1mok6s0P/kXj4tdAl5d6TZPWq/OX8 OM0eg3O+HGqDBu1uIvdvzCqt0lt5bSkMdYSRFdwXeAFTxCY9YKkb9v3r0Zj7P9cahS+IsSYQegLX NL0QBSyIsd6Ty0roXhkXthZzeJfS0FkkuKKSntoblxZ9kvyt0PK6O/WbaYndcm184r8Bf2TuGYo4 NG21hTaMNvvMV3k2mJ7uEsn6TN5p2m0yPhnprH9x2uulazaGwmo9dz5b6ahrKvN9Vww4reiDjQDb b61DTNR770BElF0GYS8rNDTcmOpRQ5qrYmGyOSWoaTfBGUJ1ztZwAsirbTZPeq2UAfEwhjpHj8qg 0iIcxsBJgq/H7L1UM19qBBPBtKybAWTe6b0OZYIziJk5wtNTnLYHzGInPRVVwnBCh6Uer9kAwsCg IbU9HrKeGjc56i7KLIbeIeInM+hbwnHBgDgiZJ5vMFvUNs1IwvdiDP0jDdZnsEVQSlg630JIdtOe rUM4z6brxLSpkjKxuhx98QOlR4BZomNn6or3HE/Qhg2On46bUB/8Iq4SXVwYXkZxG4dbo+euDS8y g8j4Uldkygf4j8qAJ3RGYBz1eFeJVPzto5UOCoAsOCBci+rWIivBPIAEZbxPG1r+yEoZHW0yQMpm 3ByCg3gZ3if28akGDFCrr+kxP8o4gBq18krSeeccaPIVWLoW12qSQ4cy2Tuwa5NoGjslfoXNl6NG dQeUj+uGHPB2UirkaHL68W2RSoTFrkbEtTrLK6fSRECoGmvVhEQGuybbQz9y6qjcRnU+WkM2i5Aa olQlkQ+EcMnSYcSAbOhnE6eqqzOHlZXoqzDrgKv5xQgiznE4VeXyDr6WGPlKWeRofYQtb9Dk5asW kTKYG6h6OKD6nusshso5WuTbY+RYr1EdjO3RurgPvW/a4zjNDpQs5kkdZs32Qx2vhMZjA4vabe/M 3/bT1uddT4hSL63/bgnyzV63rWf7ZY2r+TCgm+3REgMknvZp5sauI3DdpiEQXROePexI7E+REP+Z xLX7WQ/I+hb+g2/Lcg3envM6/MT3yd+NgsCMl53X3NhJMYh5+lUGNxqSrXvzJUnzWjgF92Mz5vO0 U8n8oh6kBBJKSTQ0QfjyqRc6SbT4VDFwFlUm8kXcIwNJ/HP0sjvlNoNxSCmEIFeaqTCANH+V0alS aimx0Ct60tHS4D5qPttVJvkJW3CjTjpP1CL0WM7S5Rd7rB4RDaF/TUphn5L9eK6HF0pEYrNyGJ6y ScGC7KpQqZMtbo5cV3VPHiZX3eDRax+0pMnWJVSrKbae2/C9sCs4Rtf+7UsiLrL5l+kgu5LyHMhy 5eXENY4w0yB+oBX9gILH/lCXOU9cL5kiK6mjulLme49eGssZJszKuOAOtkU1L6jTw5lv9GSbd/qf tlEtwggNp6znzBq9Btb9ta2QJKOFr8JjMKajN/wfJM2Pydl9x6Is/Qp09DPgIUxcfxwxfar/cOWe hPgowD+62hqqhc5uJ6sc9FWvv0v6hA0e3oC/TbFJlaUs5ovdU0WFFh/g7SdkA7dCUh11ww80WVjq yRcT9OusJyMtLFCZDIcJvRNes1Sy7NVzIuNLNGldWR73dU1IHJHH1tQxHtao63ReUYmsu+1vYs6j qlQ2wKMJNqp6bMo2gMWx0qSUN8R5bhtj4GlXemPCqZ3BmRoSprIln+t6tcu5Dl1sqo7aiGiUw1V2 pFCN2ffD1bNP275Fm4NuW2g4vrCAb+bUD5AtNnD+2YIoJFwAboU/RpR8lZRe+QfNQWUfHgIq4pQm OVa1DN2AESzyTGlxY0REJCwYy8TbhICMyuzAqzgnrIFq6EHdMcIwkt+PQPpzbV57vXbOeH2mXMak +wFzUhlx1xCZUf4g09RYyc89nz8IftSW8kBmNRzJ42s12KKIB7sysD0sC2WbBY0Esf90DBHFPoxS B1CtwEdPaDZscr0DgmLgHUps6q/9B4c7zieJo0xWRj3KI9xyQ23U5otMVLmJNUawMC3d2jkHQkfG g4/xY4iqmgzavaxwfjb96wFAjWL7vbpj50cKD09EHCtI4nUeobs972vo7axMN8WGKBbvdaJvTw67 Vq8koPppQG5O005i6S9Z1DDaXww11RaIrz4Gri3iU/FagYoStcc9rXF+Scb5X7XB1+uultit2h8T pJextUaR8HkYe5egx0BqckA9PqD0ewnUlB4FTXr4VeWRKH3z4CuC+PPJhMh4P11XPyiRAC7v6uCu rqsWtZIQQhwLTEI21zJV3SIgJk0a9FRd+INUVr5mdDz3GGCkC4XnP8C/5HAoyVQDO5l1O3eeCock mxUsiRxjhYT1SRSZvsSsgn3yh9MF1fTcJ3s9u2cJp+fG30xK55xV0XRomAYZhYfGuME5CSpn4Xbr dYiET7VYcsTev70NO3KRme76KprWDJAisq19ekAu0oFjXZMI68bahL2oYmnn3gz319Gbuo/6sccq HNZhzch1TxPDD6FaznvQ27OkOibOfpL0evWbCnnMIiN4qAg0s0Qg5OPUMBs8UKe+p99r1vJ59gue 52X2VqtnRz43VSYr9bY0VyAFLQLgHsqgcds3Fh1rs1SPFliolngsbBDnezIXhPXHVD0b77dsuean aJGzIoX/rJ+c1Qa8brM5q+2m1koY7rXfBTj2XAr9IQy8ZQdTyhMurMfu1Qj6Yncb+OfqSXhHH3Gs ceQksJOO1B8LV+8Og7qbLb0dEOh1hzFZFQDqbT6ABvmv+ut6Yt3IwZNch7gdAVUli3cAhTOJkhH4 g5EFXD8DFNd9zlPJQZ290TKSUyKkrHFdw6fvSFqpkiiv9vB/xEWRGwJih6oFC88NysjBYxKTQ1HA xubt/BFsimQsHKpE5D6203dI4THalfi6OkMTOs9Qd2bBauKJjBlCZ5+Rdww+uqf0rP3FebHbdlmY q+4bA9JOOADLA+gu3PDiCZMdLMQqcb6ag7iT9Iwdi9mK1+1Kch9SIfvF9s4DzRrYNBFat6OEPj/Q 5eMbiuyKV5BQgP7qMs97wJK5Pl4F+xGYcUBFWHzS0xYHOcvYtGaU8y1tPgxutXloBY7A3P+9CHPe NrJHEmDz3Mh1+qrjEXNx1JzTEdhemiCnSJn1uDMO1JE4K2jeDRqDxJGkR2pHFuWxcwMjno+lBgFl ObzKqBZ69jkvRXBd4gHwClHtR1nw0/d8wndpF2iLGLuUhoOaYKcRYY9RNHUCvcMx4bHYv26ySqFx FWdoIX099rBh0AL6zGet9zTUq1+h4gexLtL8xwjyTUhOXl7m6pw5KW3mzIjv9bU22t10+m/E8gyz /2PYLd6UGV7sK7TY63xbumcz5QVw5erJGsq9VvNlu/TRNa334sNjHhBkOwuNyQUbxM17sR1PK59d CMdqIoPF0Y5WCCzNLsbjQFwIbaJLIEgaylwpqCYtPRPpEL1U//HcKVme7bmJkRLAvavm4SV4lKoG dgy++sRwhjZ9/xvZ60UaYh5tqfEDtx8EdS9C0j+XRQgLqbAk12uGaOIt44KbabteHQ9EghP3rN1x ljDW9lCTbf2rrtC/2DyjYlItLb8qNXyEKvBsp/BLU68d7HzGN1ZYuW1VasOQ39udS//oDymGc5Db 3zk2brelV1dCzUs7wIHfgNt8FLQik0a2AQzxQBcw1qqYhnGkDv3jv29ctzZgSOpW8HU1Ab88NDJS HUs0Vz/B6VTffmAj8SdjHbJnmPKwnTYxCch4pl0Vz04JUSJtsGjmwWwZAlfRA2QvH9APuYiaFC2T josgLFBqgRcZYE7M1s8CLuiSNQbIfLUKUj3QK3zeW5fTHVqIpxaYLccXkU+mRJzQ7dwFtbEDSNrY OZuMbSZhU/W10S1N2wt2nwKFOgtd/QPSvrvXTKPkmL2AwaZkf9GcYCYjIfdDvs5LUOrChKamu63h z7VIc93Yx4TFbN89uUhK5oR1NYa7PL3+L06a/aXtxIopzGX5jWctXJtWDDGvAia6kRfcvV8npt6Y RLXbA+3hNxzJjzjnpU4k+rZjn7Tu7GYrMh6ktfuPxDm20sUd+sejubs40UcgzI6qN6/c1g7J6Jfx LcAb1HQFBvklfG6Cwi0FZz66ZttHBaNJIUx8eT1WQXv5uGsLvNkBJUG4f7VO5sywovRUeSO+QmqX 9q94bU/8BgyfyFnB+uNc7OERRLFef2KxSyL3O7b+mkBoBbTKMrQoMiuccW9ifKaTxOfYpSaD3D4C ira/XHkrZEbUr8R2llRacxvdN2UgXsyxL0VyCSqB6+cmii/QH6MW9HSoNBCtwa284UVyvl5u6TD7 pWCwKPT8zj72VJusu4OLG4UoCGDIfr9U/OVVSooCFLh3KocWKpVNtoxBCQWwQErW9sjDY2eIjt8z iUJPDDCaJ/CidSFZrG/wg6DoyssGoDr9hN7Eg0aWKZWlE6t01m1AZFUfKzP/wX1oCHozWvG7ZiXY mTArO18NJq6Iuq10Yqu1wiVnMj59WWlfA0KaKlFVtuazgTfuY/aYbNJLKbqyipc6c54RheHn3cuZ 4TZjifU80bkJWTUqWfm/ON1LpeIOvGkHsAJi9ZMs9BMf26nZg995LaOdSMioaXIjtnHLEjNiaL0N W7rIHPoGTZnkNyI4GvHHSjNzA121qVyI0lq6LpVD7SLPQ/EgyiIIcCfFKCeVcFZvrYMFIqnlHJgm laTINZEguQ6e23h/NB3FlvLE1okkn3O8yO4qtSYcrK7OAmEZsbWjhRWxCUzkt4Dg+H+3lakhsxpV a0NURcBdPpoO/4lmSj5WQBuIBPolT6HrBL/9DU5tQC0SrLJyuXtsTHqpN1G9Uz3hYYZqDXzMSo81 9ctxr4rtRjuDyUU3EamhNBdTj3IUMay71pKOjrallEqWTokEtvPPoFeYvC0LJ0QV2sRl9aDZbEfk 66LdLOBcEO+SqPDQExLX7rtO1ei7qXMssdqfztfITZnmtAaKlxiB0peiavTRfXUp7qyhTQhVy55A jLe80fYhIzDpz3PXaLfd79TdWu3XpeX0NLhgRgxpfBXft5NsuJnBf7jWu2ohqfleUV2DxwybquFn N5qATFkGuPt/L7LDq7dZOxc2bcYsMs+YmbsORZ3Y07NcuK6s6ZyYy+xr9ZgI3l+8aBQPpSslgDzH rLRMRHMABGQoj+Nid+fsx6cLp+84sllSul1fjwcBqSTSczbw/vFfOdh5VtVpakOL5ZQ7xc+/7Q/m hXdTAKl4Sjz3/tvR3goJ21dT0KPAaxeUT08top/xqnaB4iUEduVWjZq3Fp0nyqeCBD2ga55lg3er cY6Qzt6/CQE5WKtD3sYwXx9dcIK3mxALEh1w2woO3zX2IceVTXfqjALKvbi6mzE+pP5A9I6csIQX TOr1mV4/3LXo33/HIUXd5DmsbWzlenWjof99AndQONCtcfjJQ55dJBlwXiSep822LI3prTIO9nXm X2eQtJVMe0c5XQwqBp45YNCs8u9eITrPGpehXaw1TMSIACdT1T3koPuV0WGTq9S/39eNJcWOj17f cZR4RVV3llyjNIVcN7JIQwa3jGqwqpc0bcwhgl+vZI5SO85C/gvTZQawAI9VfYGrmX/Ftj5+0hPW TEJk7eazN3k3ID/C8QAZosENkbDUDlol1I0gwEDFFJLbYACzFVEvmJiFL6DtrhFARSL4cp+LbDu5 4T9ZqsXFcVLSKwT3MlBjvf/b3Bf+i0FhrSuCH1+f5pOzCVcU6xqVfoIb5pBrTwn01dlpAiKQkVKS dd/RYLCCS9vigaR99P6jgBy8RTOacq8Y3ld8IUYJlqcx52KK4l4+cRs5upqp47DNfrSewm8JExtS AFdxFFEsMNGcW1PywPTvNe6AbaVf7Sc68KjepSK2yGVtPDoA339OFzabUoeCcdFHHIQrwRsgNSRo 8gLg4Y3VdbqXSQkUB3UFQa5XgP1Q5LT8LgX3VXrVZDL0mKbY16YChQMazFoMSUNc/JUE05Ry1P0d NMi3ogm9d9fVcL+Dm+tpDSQn94kbvHp1BFVQ64n/zdydXQkbyhZIHWkDOf/zzcc8InIpj66UKQwx B7iH36ynw/dyQpIRcr7B5qcZArNPQqpS2zLJes4K23VY4GGV+HZPAyB8ACxyHxMvCE0E00RS/lDa SQERcXLupnajpCMvYDqwz4XHxkZAnUahh1D4Zc2BMzA41Dktb/I8As3M+1rdBdaGxzfjLfZLOl47 reDm+iVAW+I/6a6iecACSYIKsPAUT56NikBUX/dbObYYyLgjDiskChe4SqncUrXx+3pjTaqLkgpQ 5BLe4O5Wq470I5asnkGDsT9IlGMXIWunIwsmsZcwkstBitpaBQFgGrwnDhBGZDIdzGCeEwDwWj8N iEMQSQkNw+f8LV4uPu4vXIDo4guPfHJ8Pq5C2Qvcf+yKIxKvoFedXJh3ULH7MXDkdNClf2OnS3gx LClds81SCOw0msRNPNcAHV2HSKelG4yB27eXv0Wa2xRp5d0L0dfLQAnK2gS+0Cd+U4LwK6N3w2+z syPDAmVSNSaK7I8kDjkXQK3/3TQd7CJem4o47d8RHxLZjywdDy8LaknnFnXjjB536niGzUZfpBQy fRHbfW8GKUxmIVd0JPrGwAfxy2fVNaL4asb088r2Hx00LVt8ic2SZs/W7SKHuH344lstNxdsU9fx KFHRv/CjSo4fta9n//7Lt6dsghWK0OMOBea/W4okxLkAqLasCZWPkyeH5D9soMT2Jq1rW2EiQcDM /D2PSoYQCAu4a0IdRA6kBou60YIV/Z17qs/zM062xxO1TlfNk2O8ztN2ZZPsx/zwpnXqjypiU5fd v4cO97yHS48nDW6yWJ3jCDReBXbn9YkimGg2DFrL0QPiNbWFGR85n9l6GiD2ySirFqY2h4vpCwTJ yrbBbCC2kqjSwpJzXAE/cwZ3heHoHQwVM2EmRKQ+gmH4KTyWIuCgS+rx8hE6h1D1iWBNB2mdGcLl HnyWHMEn7pwXfvpkDS+vIW1wWfuL1hkFnd5zYQc+CGVws9t+JvcL+sGBPrZHTCvc3r531VuIWWei WrJJu3nfCXrS+7SQQDc8BWeWvkPy8AK8uZYSWnkKYF2olAetFj7mMolP0WaZQEIwSvEFHn3djtK5 CuhrbcCyDmgiozHTgzI5r89HeNZbh52ijUzKXApF6GZCz/RK5W44kLOc6VtO1WmwK2gxpkbhLoqO GoQeFVLgxBWb1rC4uOOR7sSZWeAG+Qdz//Wj3aEPiKsE42itRy/rsoMju0farE8Ra7m1GpoclEJJ ScEGQ0O1cegj6ulSzPPi1vY87cirEqmoA5/NHtLGfQHq7h+lbrvyeiqaOTU7bypHVL8jXQ2S0a2y g+fue7wnWHz/pw1X8KAv+MZfgEt9GK2kbE6DfF2e8rBu1yzZfMHgw5oO9bysWtPiV7mqwhr4b3Nb 31/U4z5DeqTG0JwEtT5WV1PAmzSqmoiohILjtgYcnhLw+XmsTXc/FVoUy6PwESnLCclAMXuBw1kc 0Kt68Qdk/GtPDJDoYLwvYcehpWmnHSXxG5ZmwaYPdgvADrr7wTnZeCP+n1bcM7GYpj1BX1IwNl2y Ta9fMc14fjwL3M1c8vgmzYUf415KFjXL1Hq1sNPeGky0PMcINt+ZT05FBfpslBi0mDjRI+RvUQ0m +STK3fs2ll8oYVIpNL8nLAZPnc5A7fYapxusgSMZEmhoGbFRS29gk6HqtOKNcs1XbYv+UPCVmhCU XQBB/oGTNQNx2ZH2Ymnz0C5XDlRMYW+zooNC8Mlh3tJ1hKUuGaO8A7xVFFr1j/HpBDAX1Tlw56rZ 2OJT6TBnjdklWp3l4KIfKKYSYaNLv/ZQqR59Iah7VCYFHgqQlKTiG04oB444/QVnJPHyomIPdNAG r5QMvgeyQmRahL9pBd6XuTgrDWH0A2KMNo+PhtRNOa4+d339O6HYNWtCuGA6XLCXcZqqhcpjLatH NAcibY3BwV3EP7C5duBFelBfXMWCRqD4csdRlh3hc44l5L3noSt9a8hA5XhahyLj9slsgY952ZOg OIBpKgrBLNTbne488ccMTDFdzDSJMBG8xZwueu7DK7YfI4PayEQvcfS9b1207Fki8EBLd06tIt+c Cy/MeQ8ibdTGoXfMa59QiHwnKVoAx09cupsy8ymNIzsL5K0RzcGWzr5fIY0rOeKJV4qNRhskvKdM F4KraGh4HzbETIDMq0HT4k+8TRp4ib0HtgSfkHB9skHzRyrjeRqzEkpz0ID92NCYGczcw5HOHmZl uZpDLbrKNQ85TaGvCaixIvxmJxv8gU5tnNwTBPTH625eXCAxCHVXi52iwncvOnZds1QmwRrV/+WP 0XqUhex8m56P5+w9xgHXNn/KHyoKFeZa4dF380v+m2l8kaaAQA/dO7JM8u60MUBzVP8cdmw2DkBX 7p4sEglj2Lmd0gJNFIhaI1h8tjsqO/7MxgYo+1YaRXRTjuU3S7pATGnSuufO1eQuorIa64/Z2aVD 149EFPl0HXgARI09uw8S3Ia7wAuR7JC7hmHItd5/1OtoyRUmDRmFxHwQjMQQdxNuboH3SeL2T43P cmTbeuYV5NatC0L2OchySkWIKxfqZBJCTsRo9AsUxb2opYKAEFWcOZ9tFk5NS57J6dO4nPPp9/T9 lHAFVrIm6f/O/1kU0xEecEisppfzYaQXhinOVGVYwklOHDpJvMD9bzEI3Jsp1InYH1sctTSP6o1d 1bKZfZinZdeosXbRvl3Az4V2gI1rS27PAzs1nBwnqjzI0Mc2iL+vTnuut51+jYL6emm97l7EnVGM vlTttP57KSxPl4nc2bnoSEVsNeIERTYQ0GXAtYoE7+ACYP5mhxcFfWRFWEjG/7MvP5Shv8NORzNz JfuzvtmYwCtM984DchNUs8Rnv/oeOyLn1YdZjXwpOXWPmp2grWZDRT4BGX4fVA6ZhDimkbqkb4YN 92sZYBXIw5WJutEgG3cxVbWYr/JaY129/QT95Rzhg0hjRDjVuu7Y1QaDGn5YpS93To63dkuaMKIL 1u18L70C4p8/H0L7UwvTLH7p3aqis4XiILBAMrAr2ZXZXXC3zFydEru+6rm5lnKnqsfui+hIPMnX AXlYCE51N8Yf/cjqO2oqohUCUhHsCDCz8GLbw2cf87nksVhFD/PSudpN/O4iXTzpFHuUvQUYTSCX J+EuubEpzdFD6HG8GGjTXMw3yWOpGCPXdSX0q62I9rohZGab31E8jX0Tp0cOkFfkNfqTbOfvFYS0 3NAmqzc42h6DhBNFT3r9zR4qM8lgAW6OgawlQyXByRzlIw1xzBg0l7mwa7furrSoo6ZepbcAC7Lj AKCdpuH39vP2yrkutdeXWsnrbV6Q4nzhGKnLq9dD+bui2cbf5GGkma3T4D+EE8vhi0CmPGBk2tqZ xTsCLs7vh7jC+ij23P5h6iimDaOkWZFNwitQPhYUpWmiPJT0nPKAlXOigNWnm+s07AGaZrYbAkQT 3hT0j3Uy5pHvFU3uMvuDoFpKl63wdxyPg03ThBuljhEt2SYAO5816ZDQE/taJqu5hIIK+0fyXXUq n34yvxlEmmbRLdLqwdEii9nNYt8OYu02UFBJOIDqVB5QLYsLDvz11D2cLMNTANsvPWso2zow7qIV 6Y5c6UZyS8vDrXirD0TiqKxCd2Jy7tfV5BK/9BLPTikFFD8ruEaYvH6kUWp/922w6tyyuLezf0wr 3FhiDIDF3gy+4W6KL+Cyg8n/OcpLfSRJ9UIE+TRSNbeJq4eBU629QxVIdgW0NLaovb+X/3CJOduJ 64m35+3QjlSn/LQ1k7JFL7+/HUZCdOWuV4Wt3zGa3q9hzYT3u+fY/NEUu8cF3mBfiGdpFZ984341 Inpxuo/8ZiocZUR9PWWeV4XoKkHrPPK45sotO1tfpRVHdNNSfu4QAX47SHuVhIhxmq32i/1U5tK0 KvooYxCdUqkRDJqEsdGFk5Oh1+vWGoXlQkah5eSr/1BJrcVM/JLawVxlOdjMKhEHx0r8/uwaTBC9 VHf9EF2U8E9Wk9mvHaNAQElAnldiZ9G90Cah4MM4YjrfUDqRm5pJo9e3vGtU0q1flgG/u6moBjQO chxf/fNhQX9eI4OaHt+bjuUUnt+bS1z6FnTcuhlSXpENt0/TN9pWhf6KSkH8khUYiXd4PPtW3Z1e qG0etvvxyiwVFlFaM9qwepjYSObamkvzJ3hObMv68njDcXiyA+PL5os6PHbiu2V44WBW+NjCnk3B U2DwSQIfmMbd4jsIWlEs3AwkIxDxnucBJJmYHBanJ0V12joPCBz+5KTziFRoEEg/65vZ4Dby89Ej 8L9xwvuw0gq13zvYt+b9BrPpH7nIYArKJmrRo0O9+F1mmzydNtH+ptOJVSK9NPgRzOGzyO+n5sNn MbgDGXsT0mmIes0Py+7Jd0eRFWV8pPgDIMcn2TxUAHrebqordmVHDKFJAbX97VN80YOas9xwouqh c5lMHIH2pFWXJAEqLBsDfoOUPQD0jN4kyhxOa0zjRRqtbeQebnfHmeIClPesOu8dtIRs4mV4IyEo MpB7WLDvVS67we1dAy2FJ0yK4zkEcYJkNGC6Ckt8BFGnvOEUQm9MiZv3gOsKg9WLqC4w22w0GHJH FNScPCkrrmZAqQ5Si/J+ODbWySpQuKUJRJIIKgtXIunwh8Gm0BIUXekBy+MKKLEQjlpQN1Q7Ox5E ZsyCFdyYfjki3NOrsYjiero0wFBwC616Z/2PMLT9famzyOmxKD4cPpeo4smIavn4c/FRTxY11ffR AeONbayQ4vujmOpl7MB/MiqBz6FjtehTlH4GDwEcBb/1a7Zm8JTB5YchdMNaojWDgZbig4K8Lo7u kA82tRnc8wAlR7MXiwVyA4IYiBR2Ge6NtL6190UFFMO9rp9QnL8CVX5mQj5b71QC9mp1m7mjO6Wn yD2KLpyY2AbUaSvp7SixT8knMfp7jnWz/XhJWLAUPe/5lZzusmVREb429YGj6Lc9yI6NbtF3OeWx hsIPUcHxdeu1YfB+estjL9PXIdcUaGj757ZVWecIQjQWyS4I/Sv5SmxFWprNeIkWZkuruSa1+9pF E5kvqsa/ClxnaHuPz6Ko7+fSf7TXCwGS7f6jLhielw6E3xWOX2G7j4XOZRweycjMy8An6DKiZdho EVztpiRukQblw1gmJA8Je5YCzxtx2ZkQaTF3jY8AoDnW8uM+F8EMWgw1DL5Ur0S/GzwwSN3GlkpR 58XaDqtVcLZQIVA448zt3M71L8N+Kwvpc2Cs+hsNy+v4v28efdv2r2qDI6mcMYDuu9hGMuFGEgD/ VkvZta8q8DQKDZU6M5hP/qOrCrg/whZTQSVa0GT/YDPk1v0Oy0DyO/m4k+AIgpTEa037wFC+ak0j nUZ91393vGTtZNbxpwkRRJERfZC0AciYH8v54DuL5JZedTestEb0xcrxZQJocPcQZMeq6x9n3w57 otKABsvrQ06jUo1N4JMb0Xsda0R4UUcbRhWltS9hZ59Osc9o6J/c7555ielCf+x5yrVI8y9ZqQvO Er+TGWMkyKqjqRdEqpYQ7qUv51JHl/9AvpKvQC1q8nmDDCuYiy5go2EwQeU3Eiddbm9k+uvzmbjX Dv5gS0OoV/zlnO9puGIwB3T5oLmWWWXPmTnQvkNsg3+x3p2g3PbWfMf2fBRd4fuWknrY/nGssPe/ 0ekNAaslsy+e6j9umNKLX7LUqJZ+zCN7CovtAvWkIdWStWe3eG4Mh4CMgoH6wYCJofMinbYTeCe1 6S9GnxDwX548Q9Hp3rCIXxq8Qp8jJnHMRRi7nbvqomsueT4M/vU9RyBurEX/44bQsvyP8aZ+Iw90 s2TFRVdriQbWIrhKLtf+tBb7X3lzqCuOYghIIs+3LPKDQGraGd4/uop4jYX1bDPhiW3VsSqEzDjW jNJyhi/Yd7o7wu25GN/DXyn+KF53YRLF8TtEAT222OuG5vM00YQMv5EHX0dkt8WmK4uPGduibfw3 oXhXmogf45B5Z8hyZmzCqpgUn/EQc/TOld0wBi0i3RaKzpApt+F2vkHuROtoA2G0f9vfE7uwUivr vC8yFIx9pBGa0gmu2k+cYd3UN7fn/x8MELjh8uSMzxnWuJdBCyOOim/vVAinGdz60FFnENEleirI SF/K049J+N68B9h3Rfou6xlYrmQVNlvzIBTIEmeZBgJtLXkvWwLtkGE0E9NjemhroiJHU49ngIVx 7XjcS8spszmy7KJpSx4h9U8f3kOxS+snG1wCjhXqlKIH48si2iAupCme9TpoC2SqsEsw91qtTOQV WIgyDnS8DeVHeWm9GIwWyWBbpgdl5zYiEJirqIiIfMyXKj1Y6pQ1ztKuhVFTd55o3if/P+ySwWcV GS3+6WqIO6OJMKd4pdML8pErrs0/3gikPVdQShj8aFYBOLm011xCooMYUklqte571JZULa5eJ/Th 2OcJShHbvu64Y5mp9mPCQiPlC9C6E9t24VcjhghfQDj4ZAY05u9j9fRcVhuW6aYO6y1qUFGqcVJs xObtn8xAJxZivHFXjlmQQiDU9YsZhpUMWUw85ByCaGovGHe/VwN50T92vufcxy6Q/fwP9Z5lh9lE o3rE8mcrYx9d4++wUFqEkN28j3RVrbWObBst+4dpI1EpV28VPMGsGeUGbJVLv4fhY6lvClWM2hCr QtWB5gsN9B9yO1yq/RFTucDv6HnNwjlpi2qIOJcxRZWlGRg7XhXEWVqliPYJPyWUlbEGBMOHul45 8rd5Y39sHvhb4aurnb60m/DJGQRqfdx3/GgxkrgfCc1HZGbQTn1mSUXFJcVvgnDWtmxxOCdPIPWt LlKC/Cz695rUHLoNKX2voPAKYmNYz1usssQRiIh4g+swjOwKIZWfpwzLaCMbjmVrMubviSTXU2m6 dnc3+xL8xuC/Qd7M2l7cKdTCq0OBzyuU/3GNe7vLoAF+GIoWbW1L553t1AdNYUyFbHdjJk0Y5P73 bfcXuRh4OjuecErUOfFCL/pzBNefBVydtMNHlwqLIb954GwJYHVqaqfvisQimmGqUuBDUfO9mc+4 ZJFWJA/rh1t+1GGtlWhVWQMQnxpck067APHRPkmGT+LYoa0ypbEncpcRbaFOBpMgtlV0tZIRL4GF PzunGguH7/XfslKgfnGynckcPUvdsFFbRNjyR11AkiF+mvmE3LMkwZ8kz9EYh3bCosPsFk1bpcA6 nLcwQhvoOwsSr8b/tHy7BgaNKkTQVu6NDp0lNQw1uvl93lBOTfWSlm+GMLcRWsTuKUg6fQqYWZox vjmTX2bZw8b9LU9/IAtLH2E0dqbjhXAyIhelXcOVjq0Snqn+xZuiZeGAAOLE/4/1apUs3o2QWmyF vYgL6Sa6c/eJVVtbii3qcsrtEpuBMoW3r5vQIu6kY8NUgMQVlzaI5EytZ4y3WFDXknhZQq4UXkXu +XoyTS6kISPiq9u2ODfMsuqyC50r9Op1zVUpLQVCv/4ss+eADv4Uk8lqmYCPt1y7IyKMmwktUkUW 49OIlHwHqOTG0l8+rPfpIzLwbWNJyacDPu6wJWEHs1dLEOVR8CwP6UQ9cLAnvpvqn4sXEM/82uV/ x/84pAQiWgQ0/TPznmPcgGxl74EEHybKbUFeQcLQd6+TSObsnq0OAFG5NxERLR+W1Vwe/u7j2qmO kMGU1XsPoPSIfz7nDNYeJIYqHiTwwTlp8RfVgucVmx7OWQzGpal6RNMCuKEGTmLAjavvfmG2mPhP fDKUPe6HBdlsSKDsykdfhejFWiyR7rH7L7G8g6ioIVOAobuCAPnwiWYm4V9E7Vkh4LbZdpxFbpDT RYVyNe/EIQ+x68Q/jX3DnB5dcUFAWPjkDYd/GmK+3OURwdHrkuChCzUvAooOF7b5le0zaytvWeN5 W1M/8xYxq2nJiETRe/8ybX51Z8ZgBJwcgmcUjm9ohgSzT6nlOcQL6LR5nUO/m5jl+xUA8MiziygH 595cuXqLZ38D+6btsvX3YBeS8naw9ucsdrgKqXm+ZzFY5IlTtKDIDtUmWLOmgqVz/Jfq+66VDhSt 6uiJglscVZk+ce1YHp1usM1VodvF16mHSOKPyGbun3qVWhJ5IKfIAbie8m2CbM2PittJYe6xl8qY b8jis4EmXVd4iQOuk5mDJC4P58VkdkYqZnvYTU3WbgyFIt4TWHIgJ06d2AEA8IIqRQxrXacN/qa8 QbgshM90S/9J3MXK37MdaxrBqigLWRmvPl6Xv271GlimvuLhqPji/C3j0hgNo0cY1OEYKv1uL1Eb Ahifr2nKuBlBH60+fMxSpRajeT6Lx/yIgNd3709xZO4BjEt9R2c8YiILJqmzhlgq59xdhoaqh5+o V/5p+D1kc5h8uYbacrlqzdzhwZafcgC9b8NISYIu/1ak0g7Imeb/1XWGYbo/drb3ugeSS3wI6RsH q2q/erC2kbxV7IvjTG5Jp4TraBY3kuJJAfo0dCBrVKGFntHSptwR5jJ2gB8bEkx2GtYzYc4UE2ZX JMUiOSnBykneL+buKmyNad4GSfPsIgO7Nc3vJkK6M7xDiVYY7SQLkofidG2wC+xoBoGyQvLJObb/ jWA00LKrVowO2OqMnZwmrfRbO/n2DTEBHTdVNzmSylzBKvUjvA6B5PRMKP/nKJ+12MFNGyZuPlkP BR/lirF1zBSFt2I31fNBzbBPtTwpKDr0tdGCd1lKMt/cqOR1Itb2Z9ivoqzTzLLXyriDZ4ZpBw5w liHef2+jw+MAsX0Nsgjmz+MUVhhlPVzsbJIplXXBw1qhPLMMNQkB2aRNE+n1589slYxh6chb9juG wYKx9Ds3B1Uwacj7Q/LiJj1g6MfR/8m0GN9EgGXfvYhZuPd/C67ImfZ8U5nqqE872eI1yWkJlYTZ fq7Ew5X+OOo8cWwTsxcs2cemTuEd1LaolbKpTjZTkdSmTCYzzAbXzzbz8a1wbN4JgjQ5TsJkVrmW FmXmseyp5Vbyzm2xjXA9fswyWXzGesEewk9Vtcbe7DPwKP52P4OtoWhTu8g47DdiUJYwAgpbmKZP az+h1dTNo50S/pLoqCU3OzCnoIJbZnuL59RAJZ8GjQIwF0jlaGvCLft5kknSy/m+EWnap7mO4bop zhWu8hALne7lvzQ+YJA9J1gbu7KNq6oCjgh0elTBkRJl4amC4jD4jAgwDNPYjAs2aIXnKKXuFZF4 5pWoqIX+kjLOEc8inpe6lIJ0Y1K/qGDJPwVkEoRhwcpWjZ/FVw788KE3xdJAuIE82tZoEzHyoLUI XLO06q7dx2zva4LHNqq1H4qDeoexOoXIdF/hSsbYT35iq/vOcCryqadP1eYTP2AYCegSqOPsWdzS rGk3UkJ6tuLwBaOqzxqShPQ21tEjIfCcza6DWb77Bl42GVjsR961mD0YcowdKHB33sesXIUoW8XL lBcWzrjBPuuVVIsZyYMIaa8jboo7kjZAOrgl5QEDwyUm2xsZwClguv/UUfmngTcQ7Dv9aJRHbjD8 7Jp0Qm0pk7aQoOmmBZ+eQRDbsVE57oAsrwPpS3RI6eQeEMIgaDk7Yg4po1+5yeNBvfZ63S0FTz1+ lEXIDw2RBNyU1BrhAIc2eE3r55SrN1BBn6xP2hgt9UFyj4wrAeHhwHEjr84+AGp7bnZu6pi3xGBQ MC6TTVbFRX9P+MgulvY+Bse3xuDDEg7doQlsXE5WeTooIQDMfso+E2U9LEESg5jvjuqs5RH2nkjk FDBxAu2AgddS+/8tPWeQVAXV2e0NMJp95DxbRIHvXRt+LpqhPrXYBv7DbAnRBrGiGJo2SuecgT4Q uKx8BmoN+yk/o4u1GL89PdzDf1JkdYKOvBX7hX99claUuGuoE3JBNR24xSH7cCExNkrMXFVSDYAf mq21VwYYorxMOvKETTX/KsRSwdQ7O6/cuPZRl+VvA71D21/0TMFltHliC4Gn3bKC13yTfLJDYCXy PiBiVG/Eh6Pyrrq0bMmOJDtmp911qH5NagNq1F/Swc1p0hoOZUPSJC1OpBxcsVCnG8y1Fh+evm9Z V8woSIPDCv+lJDrvX8jt2am7ZpM8yNcpsb6xK2sUDhOo97Ux1WH9Ye4Vk6uvrHY2+xiFp5aCJsSK QroPejkBzNyR0ZaaIHjnI0fOOyCFdJjRFBwb0pm9uE6kqY+Nl9k7O+d6e6X+RZjK0QQriBpRIF9g klm/03o/IKHGzZ7noG7/8g9uZS6DdJcfB0rBfH+9ib+9erGf1uvY268LyTzdsgTIrd8uKz9sHMl6 sGFG282GwGRiHdbc3oNoltEP8zbe7uf/lHkxdZhM47xwzOQTrqb4lCp8rGbg+A4V80J910twxYI3 77b/JZUD/IMhoTboq4O/CkN3l62Q0+UXNXXj5AaNYdguSX8zycfhyO9Rbk7nDxVKgc9Ln9yc1/tb b9WmuzrY8euxUAuqTK7Uowl//YanmbRNwqk+MRtzl343r77wqGXk23eWlcsAhAIK/sAG2uDV5C4h R0byp9Upemq4Tr697Inc/XJhk3+S62XMyLV4bgURUdbLLSQtrKNj9Ko9SGJ7tbhhv+vF7OHV2VR+ phsympU46DubpfnGwEuj559awvwjKFoU8kIBFDHPopnwO14sKQsneTQxpemQMf4b0VsrCieu8v67 CBZsGahXxNYs78UJmFP+EjG/R9ox8Q/0cBEI4ZlwBFvddK5qU102pa8+e+b5dLYAMrRvgel9jw27 U9iEmGh7asvopbv0YNkRu8K0zTXyEVTgRZnaP8oqyzZaBipdqkaC5++43EBukualt2WojAX6YaTJ HqyyXgG7RRNSiS4R/X+xtnHYhuTl9SymMmyVQa5K3H0VcX3OpALsOJZ2tduW7U76DIzwaKpemrxw TFcOVy/EgSjqlXfhxAVb8f597Dmoqc3EuSwPyA2/qPWGR0TvK585MX+0/UwPuW+klZroQB9jPzVf wNMXGyrRupRYtlIa9BYJMMLhBRA0btOx3i4h4dLjYe2wmb3948+dNsjnsn3W1wBBYt1wjexWGDjs 937vCBQadgyWohDAYyBaTySsGnKCTFLO+D61KdIrrIchEVkZ8s04DHh0O8If1EtaxTyLvNbGtqfr duId5CGQi9MsG08826iXxe/t+Q5dlrfMp9CtbtfhfJCqyfliqQYm8QHh0Vx4x58hU4knx3DCBIWt kLdQgJyCkdhEbkrangUIWUsMiaZEfVqr1NFJwdPzWYsRUiVimrmBNkZhcYvskGn9XE3GhifZ5qsb 9ueypAGPvwAJuih3yNyWYT8g4XMCq4Dq9c8VDIf7bBxhsXK2X98Kr6+8AdPS/SxR8Rn0TalzOiDV KNXXkTIYH4rIrI6XZvYXq2KLubHrDHkAssfFEXlT0yAg1regQAvMMTzx1JL159t4rhoRVvUT+NOT 9o2DvTxF7NzJO5A70SBigk4iEzV6b2Kyky0YbuEEeOiPmZwmA3/xVb3DpxsWYWdFf8FiJtbl4d8P YPdfEN89qDL2ghTq67oBMKk3nXsaV4CWdIOybC4zjc+q4ZbzIjWvGA2gIG74+MXhDP9pg1TUm7vE rc1NZeuPU8Uy5iSZpdroo4dEAR8RgSYY5q0NHWZKZMv2BgvFuQAP48b1PiJPsVjxsvvTV1CVroWx fzWe1oUva9M0ggTbmRPvY8iP1T8zg3KamHs2UNS6sKjyWgQJmgsrW++H41ogrqVzngLdSiT3cne6 w9HZqMAMK2iJxkQSzJd8FicOBJF7Qs0ASfkv2QX/hVcyqUAB90b2Y2K9jhzW2nBT8N4Zle/lh71q QqPWf4+da/yhTNIQiL5/56jQX9/6CP2tZ/5aOgrNLHqq0Io6lj1ANk7Q6hDkQjkYdAr2a5ta4SD3 J6X0lepW+ybkQXtBAi2hIcbtRSJV2n3jRHpphE5i2xay2g8UOKF6DfSkRN7GyexcfQ4sHAa6XuT7 eiQ5VUe4htVZW/7MbrBrDZ6lYwPQfk6lkSK38wmIP/4pT6HMo8fvw18a+axhgEd/8+i17XXhDKc4 L6u9+05c0kmdWS7GGtdt0YxcBETutERsnvAV1YMZCcCUU581cUL7BP4XdeUa3pdTi8uLPxGIIHE4 BZvMRvS2c/ceEwHDe+K7YnMabEU9UjcJwCPNOLi0tD0KGVWm7IbvGupQjnWgDbgZ2YhX4D1EaaG8 Y2b7VuqX7b8yk7bgeh1UkfsvrPH904mtxHCqVi6twWT2BHdskNyBOwVuoe7rjjwNRvm+KX/J+Dj6 5KY7YK/L9FfYXUvV41kH6yjl1WI9Jaoi9Ys0rphFf3quAlbnhK6ABroVAwysS/+Y50g1YE/3iWbu pAC/Z+oifyPJe1yVKWnTVj9D9afqR2omcMizxO9aS5CN29YP9Zdxuy6b4zbLqcffZ7cfmpOpfkYn yLJ+wp/k7xE9+kUtmqEIGocePI89xUnIMRK4Tyb0h+i2WUBBU36yutaosM5jNuOvD7LuxTK7jRX6 SXRa2VX14mNDzSxkxum9PvitwJ0Tvx24HIGYoVr0u4cQnYorRHaHfoqUNQoWwXiCAZtrfiEu2vd2 15tyOgy5X7OdyHFpe9HceOaIaA9p0HwYEefE6a7+teCxXntsdCw2dMpo2HY0LSKcvkX3wBqIVhUQ rWNlvZdrPy/dhDQcowW2vqo46IFMjK54WnEjhZ5+CtlcTUYXKhVTYDm2KRecbYNnXo0lSCpbod0f GFlda606Cl0f78nt8Z53wLkeEsJZYm53HkagfSYOwNvA5dRdxaOGjnAjIcfkroUlbQS+fU8Zyahy YaclXUvYr8xGbVR+6ZlyJGLaMBMhGLHdc/w49mII4drRg7bYstp8/TPFKiIBs+yzqyBfk0t1BvxB yZkwZ908kXDi487FaYIBiB2YBj22hhOYzrwuauIM91eZWRVRZlPkAK8Bty6tDg1xcr0EE3XDh5LG 2WI1Ali23esgkchF6gc1N+qQ6M1nxbUzVEZPnuUhzMvNm8DLL4k+ol2LZvq9BYoPPp4iW4ptzCMv 6k0T3zh326XY1FpLHxH0Z/l12xnOWqG0dEfXVPiLTtx6egTWzcZp1cW7/yPKDZpsnA0uvrMDlILD nnTVX0AoIWlmVhpjvIwSytTnE9s4ljR1Exj+0YjQVgLpqzAVVF08tZW5Srq+RwjvSplF25LhgjI3 Ckg/rJJrNx1ef18hOgVJxmfi9i4iKEXEzD1r7+ramGkWOkGrnetQtGjb98KKpgXB4h4lNnzABO6k tiAVJ3aQkiEQShnC6W+xVEJKGq/pWVSXoL2n5YLr9Q0kCOpQP5Ez0Wx+ykGQxHPYP4J2NZterX1k nD3pOggDsoq9/IZ7z67LJg11oq4MXlFz+FHWbSqD8UNi+MFmnmKoZyozYBeD/G96hUkZ8djweNfQ PMOb+4h/TaS4QFUpTu2M71OEaWiuxm0jm4I2AsFAp0akCUxueeU8XEcpgkWvkLxLOvNGIcHEhdMF ZI86OUVKATtuF9meHIeyxe3QplLcoRzMjiNoC8yZCOSGTE6HXjFl5y3YWnvoW9fVHd9+kfi9u6rc 1L39G2lcJ1Bc12AhgcLabTZQejZsHiP1A6jDgsnLT5p2DJVINyR8G18G6Zhgfb+lTvTYOMY6IsAz ZDjrXr96zLhjOreUhaJBCWxLngxQPS+Pb8s5BJ7MtCd7oDyEMv5ZHQJ1mp5ycRm9W0FLvngqbPzE eNWkY8lsTV49JYE81wXCqqel8kJdzlKBN8ydE75MhLvH3eSAs0QXMFEYZpiq3Wb7aHFjErBXv6+E z/c3X5ZaE5LJgLM9BvQx1v8+O1OvUwekvonUXRv5aZnWhcQg7zgmN55/YVDKtVQHCLbDqK4+VkPQ brvAVfi6MKmJAh4jNVw0vm9mUUlGBnBLSR5IbaZqCet5djrgiB3eh2Y4FVPDxq1jBgngUR27lx/n fhdWozAEABB7PLG7UD9mg8EgWNIasUFj2X/Ei+ZUjdAwRb2TSToIX+CCVFM1w1jK+QvAGx4lqe3m rYhHznVKglK+Yk+Yqff+OCJDrXngqdVFVv+D53NGJxtrJYc4E8TUY6izsiQG+WMTANvELxKYRi6t ghZVzZhDR+ViYq9JDpPvlxEwWydaPJ81HLdgXtVzJqlmE1Yq4sZb3EJ9qEKqG9BIV7WqDrfK3ROu uJS5rdxoSU1qu30OH2ncCeUptfP22+I4SevJL8lUqS9D0+oNJ0ZFL6mUWl/4qKUl7Q+vBdGux8XK 53rQuV3cIWtxCkTAB5U57bmcs0unC2r1aHEnkONWkHLzFvKrFDEKUs0tTidSP/Qoi94vvWJ1e8QC Nzi4hRtvLEH5XJW8RlApKPWmL0xu2MCWeNsKxb45zXmUgqRfrOHonwjDlRWIiOcIH3x3yXolhROS KVVXFlhWdcCnOrraDTsJamUybPQHzOU2I63vF2CcXVobGH9rsD0Zd/42vMgeUqFZ+8Slu6tY81wk L2Ntrx4pbGJ9+qkBAU/NoohjwlwpVhnumuyhIXM3PqJ+e2ed37t4+k6Xe+ol3hDb2aqw5oWHOAH4 cHYpoCrABp43coeJ1IrRKx7VXvWeDcT7k2JwFBg8SYF60p3s5+vl1vWNuLpSA8lM9k2uTRXbAF6M Z4icMadkJLwBamcfpwRfmrfZ65B2c54ja3+FSO+93jjYx+1YXxgpIGm5Hps+gU19kzDeue7JGv+D Qp3pEzC+G6aIyv1dLdBbSgNybOUBwP9IRnIJmTROcc1yWqvey4cz4Gvsln8iZdURXr9QucFWATfu 3tZdXLQ5h58IlgDczt0DFks/Q/BS3bbf17KPRgKxWQ+NeOheN9Otsgwz9R/B3DmqxaqOy7EwQozs Vk1HURDjB9w1R3d0IJfPG56Qfs5CfsOsKj19WoWCde3D1z7T6/1e9rnXpVuY6T1TS0MYpLUnVb1I SAFbyuYqs84bjJg+lDut8GkS8AuAErN+6GeOvPQD1aZNlRAlLZ1odOEaO95Cf5hdAxUFp+8fkdT5 P6P6WQ22rvUy943TEZPF4ffpDnB/lyJ5BD+9rUWPj1hQMDzH4cFdIGrsKu+NjxTn6ffLRitYbfBm QvSyCmymbHH8mi3Qb/Is5mzNqpTBO25p3pi0RR9/uBUKv/rMfZAZu88allqNl81gZLauNt9fGsDU oKVAL6eIoJ+B/HLdwSs+T1CkXjL3sgkqH1fhZLDx9duZ7ioOJ/3+QcUuXo8lGiMAApFcM2sg6nYa Uu5xFYmYcVmM+IFOtYGpQq9NmuA68sjMH5GnSMAOuXvTWKfc8BdTJgDUIiMWA7n88dtuH+kg2fzx 58mTDJwrjmGcBnI4vqpVoiGmXfIhYGPTtStbLeSZw9kW45Zt2kGyRfwFzQnz9H1Hgwrmd7wGBDbh Xw32cKVxW2mStl+etIkuVPHyDEakf9ovkOavQPGnZgQaSZzktXhbF7DDrofzbj1S8+svAblJ1x3F h2blRenfySMWV+H/rJP4GBCWRIF6UuD0kLJUTATK0eNsjXvqAye17kO147gjteem8TjTquEHtZkP OLfDoqpJKCQ/n3HRh1qlsNYAlp0aZ5J+huqGzcK6m8xvQ5EprAfcP3KrB7b9Zpf6X6TF3g7smFty NBOOosdbrG9K2mVu6SJIro+p1UfVtsxpF9Ruwd3nuSpEYsnPKZRSCcrgXuHUQgBH9cJU3OYHZ33S o88vQIgm+afslp+834jO1FuUSxZ2NyNsF2UqxkUXjqpHky0IOGs1ax3yB8IVMDX50i9AEvOdn0SZ a945OwXhi+EFYs2kXAx9ZHI4NILl+ZLvSuauqtJtvzAGow+cjzaz6S1QtK9lde5UW7iiJBgMstDv POhPQoCCKjZptIw+IH9IFl/GnWfRNM2o17FBChJfWyDRW5T9ODh8f0LydaJIIvk+7qY8250zvzTp I8riVe77OKGvcnYzf1Wex7CikN/tMmiqbeTuacvyFx7L/aQj/Lj6BOFpFUYGcdnPqaZjwwua6Oql u3egxvPw34kI5ZDeAAT2oei6GUOPcadsoZxPCqXIqljVr6nPTLk/Umw2EQg7+p/crHYD/jOZdEG2 M68ceBZuhAsHjDanK0ZDtmlnobzbSW7IxgJuZvqzsuHRqJGKZXjhWhgecbFNT4+b9lgE63wYnqd8 Q717RxJHpmF+oheZM/cZ1j0CVM0bQU19vTRgNIkiKnmOi7+9vbc9eLjxyyiWe9Eb/QaHsCen6QsQ bapIB+xTpgkYO6Com85MXveX8gb+0QSoDPvVvPZ+pYghS7fQkDoGUDmks1SbmDBxqKRhjFPMI9sL /lXOPazQ6rzUH8+z8OqO++a2BTBvh1DjNFiTYSZmVmjWxn7h3uqD+ts/+1kLHiVYpDpalVEfBNtq FJTUB2iZsPAaA+u59xOT5AJnDWyjoH71kiL9aYTplsl2MgMK6AlTeVTq0Pu/pSzSTnxawtzJ0g62 GabjSO0vkTR/v1TvSskxlGfEMDcREe4PuJ7fjBlsyMKYqfP8oVvJ0MZKWlvDXNOXtqj2fDnt1X0D Z51GEBTfVTKXFoLtJO/VdBVTa/15kdgUjtmqbR+kRwahQA1L1cHt6aJT/0Z6U+TCPlvoPLJ6t0Te W8WFKVW8fOOYWGSe7WavQwfDTbpd+d78ca/zOIV4Vygh0rvGINY1ZeMrsJXyiinHl7gBaxKFaa9h i9fELCloOFp0sQVbMAfGt67Qk7Jov0JjZZ1ivzoqxSrKfVRuFTkxBMDT11CwL5SVGYdPHrs2YZRE BWeg9z7qmwA+W9VYbHsTccMcSCeuWFVBdpJPxl12SDi4/H/lGxmtTzlFObwI0t6Mgzpjg+SGT2s2 jraopkiVEcQiil8IHJocTNTVVyoTxhzdMWu9tfS5E+7fhxDZCrtdytsOdZsBRIAmpUocUMJRtrXg nKMjVQtSD6pCsrEnluVAicEiG4aat2lRnrsQofE4Z/vHWPdYUv4BNOwr2Go+gUa9W24PsMAdpTlN j4zVkFKQBX+AbuPms4xadK37MSS1smxcr1MzvRIGOUW7uvTddHDCiJrSWTMZ9mCJMoKYgCILLCQp A2ckstE76H7BRqn8wrAohJ8bfQuO3CcO/4DizxDdkZHdwNPF5CkEjHS/jPbAjYbC8lGCJUuVuCO1 0YnO1P1Xx5tqz+pc+QXPyfXkpArGTVYVQjfsnptifypHmyoeabSEKMta+BfAIk8GpnY+d/kFLqEW pwcF0/NSjXtlhlfLhgVdjOpQRIw/Mr9HFAFdnUJH33lVueuxwsYccbZvpGRQWwRf9R4KZ7177hFq BZMMxSnDB5WqSNm+gvj0q+blgHpJtGZLwIX1P9213AdopRe3t0ULJPsqXkbDbKvY+TFbNMxOUQCL UmWF29FU9d/3NHQgzkpaOwlxEf2GItxM3snutMjh74O9lmOUrZlLkqFvP04Wsz2EfnoL7dcUcV2V Oy94rWubbhwdYCkC77vFbe5MMIAf5MYo44m2xm7OC0hL4oA9OmSXR6aLodBTlAAKYci1qL7WEMOu W8MAwYoGRZfhvQ+cfjF5oZTKx7AaIzAcL6Uv/4iZQRj3OPqAP0g8Auya3BWFGO4WHNri9NgrDEMO jNv3/HgExMhRmz7BEeJz+nJbF94mMmIkJjhOHoRHI+8B3EEE29oDG6mvVPd/Eqq46gbWuCO2oZl9 IytElm+sXc+9gnRbGDlib9E5+rcRMuv0oQN6wAKnJ+H9kSFprjVXdXzFSOa8uXXsEvHr3QOCoQVg AlYBVh8Asgpq5EDIOp5i0kk124W+4Wh0fMztAOL0DhDLYdLolox7h3r8d57OpN0X4z4Mr64RHceX jRBS5gAYNBYwolTSGvdhqIgphnTqScWOWJdTMSrN3NL4a2/b2R83gkLK0VBgzaOGkKZJ9cupEAvG j1Tn+I5YR6oeC2t+pIXNCU2JH++K9v8psvXqXHb2I9imUZBNDLlAiY4UcYj9zg+W1BrVf+YIZU32 lSBtx7n+xJUQ0OgUGA6nSHgZl+A/YiVhk4/vR7G+uAkwzBnDCo8qXr7vemLsNtiKAlxuqqC14FOD arUtbaUtWYRQ+pe6WQ3DvPF5aT2J3KglBUiskkJORHXWtshkze5ih6dXsLt/v/Q728IljDh4/cpA 7c5tbt9crKNcNYvZerC7WlPxMZWUxLbSsJihS0GFDlz2KTXV9rIvkqI4h/eYiXvsc0aRDiIkD2qe ul6yNnzmGChsE2Y1hgkNFmL0NoPHL5AxgXapqKNf0c3dlaLX5oJDisgw9AYGL4jHF62Ga7ZWV6gu bqu6FX92J7DmYMW640WdH3xqjMiN7VGMOYgb+vLKaRfDstOdJ+jjlIWHF0nZt3JLqHDpJlEhCvUI GP8Wb9Yz33LKdUmL1g/RORKdGwivJN9b6o8ZUiYQ6PutBvOgw0PVDkYKh67mHIbp04S366skzm7T O5fbgIJES10Jv3oPAx1AAVY8NZYKdTKfUrzcCXzheHVj5jrm4EaiuKyvuD5/tqhxY1WjEyuOK+1y QaNkI60hvXJtn++q5CL/nbagG7MDEi3jv6Uyr06Rw2PWxmbt6GTi7qlmGv2SbhHiBK4uMiFV0euj SC66zuqk3f7s1wGCJq1Nf7Q5eQxbgSPLgS/LDUOe8R+yON4GiWFmLq+Hc0A5iOsgHPO6jytpa+br bju+sG+Xn05kl8fZA2Lk5VNKMjhrMBr1J0Wj9hqjirV24Qh0UPKXdRRoh5GVp6PX5sjVPPQpSaDB /kPYoofHdG6d1iSkrp4PpG8ceGM4WG9midPVa1mLEKP4nUCOdpvsbHkrh8WHy8lY4Yg0sA3fB7If r0ilz204wsGWCTV5unZ/Dg6taGgG7OwE4OAjT4yvqPY62mPyDwECpA+dN2TGgtFX3QndY8DHoKk7 YVxEJJYW0y6g/rGaSL3C6ud1dXpQDrhGfzD5YkT5vNOQ3fXomrbSIFN08saTAJcWNDxM9qFUs4Nb zGUaPyx4OX/wOGSiwN1hEQpfK5Z7oTpooDn9IArOfleFGj7zOsvTDTlOEGgiZAbi6Yxrka1Y850J atbC4NzSfuux4VmmSZbh2tPjmDNh2VteEW7KY9fqbCAW7Jk6PJhmG+ve86clSQ11SHfw9iWb5fM2 8lsFiKlGrsD9Hm3QgsK/mZrZFI2LT4j7mZsMu9maUHRvwIKtwBPmGlbRhFqXOngCwdiZvFnqtxjg WcnMk+kBblf2vXTd2fclCOojj3MelzLzEW1tub9TLdIT2QodF55CWY5IEfDDAFg4jlCE9t8G8bRM SE5MMFygtc78q28h40gqTxSahdk2SErM8jgvL1nCxr7JqNsC0WdJldfYrDqynpwLs7t00B2Xb669 IzgTlan1pdFYH+fFH1FBQTnI/65ZZoO8+4D4jcx5Sv/3pCLvwep30xjwJj/mPl8s6kzZHtZyrHu9 hW+J23XdJAxTQu7Zhmm3oG5bt0ZDZaulLO4tRKSdllnHaRgdYjsmB+Z+j/ylx6KdfRl34gwB2nym zIVQ8tl8Qc/HEpsjioGkwEjJo3XDsv3VfVv2yURRst3GmobJ3dnTn96uRcnBOVMdENCehEoLeDcw 7rTjqBYZfl6dGnI+cMa6Y59TSsI8pO9QTD+C7II34avhlvIAnvcR/e8UsuSDaY2MBBJ3aWtdV+CW cLycjRGE7/gskESbA5p+1ppEu3secBktpPLIErySP+S6T6ykfwvIB6A5APFnmeMHj8IxxQl6mc7G oabkf0LyFUkra3yam4ZJw47jOmYWRlLs6mcBIWJ0eRWRJmICAnwftTBneHOyrkr5OAiBIXngxpM4 IzrAnRXEnUdFvrlTo8BD5lXuR6AH4KPaWnsanTPHy6sjIHEO/XwK7CITggVKe2DATV1hiCsVi+0s sUcLjLlebc8WOH10j02altA8W8XW1yNkKDO223n0BJlmsE8EifslBp24X3HllzK0dxA5J+MVmaBv 3lvmW1WjKHSUHplgjPVyQP8/q4skI5Iwq42YJ6KbE4ccSkNj/LQ4t05YTWdoIf3UAVwj8Jc8WS8F wYinjLX0QQTR3IkPisFGFQlOGCqI2RrspuoWasAJyAeDwLkST2Z1l49SM0F4D8nVMj8PSGCYhZug hM1Xj1RyYoAAEWGnWrQvpG5+mb9KxR+E82S72SRw+Fx93ATV+X/mE4lqgI2Ty1ogwTY0+mtCEQhD Jioqd7skPHpMk4m7Szp4pcZALmVv9aMABUk/BcrpTXoydjuYthelmNdFjcd6cco/lUkvn5+0TR8+ dP+IWGjQlrp8pHueRaa/nRof7VAELHJqz8Sue4cj32O50cQo+FI5whz7qIC2B2NRKFrx9d3mB5oA ZmAgtCc/XJPyIbQJSYBezCv8W9iuccVlA4A4huo0bi9BTVF4dCqzxbcxiTP8t3TDJw2QC+BSOXEx yFLRNDKJ0kik1SOngvo+34XgdtdrpmIE3mJzsaKpPFye2KgIfGqJ6rDxol9mOH4p2/lBHKXGGbKf gBhI6fsPleaYmInlZZrV8jkcXuuupS6BwWmT9CotPto/waRLMRz52CLJT9hxbUa16r05iebn8fWo sGrXFBU51GYmf1H0CMiaadfUCTNkvPLHc7B2MhhOd9tsvZmMXkayFW7QpQV2HzWWFRFlXDVaz2Fc 0x7EzoJb3+uC4rxao7lk+2DhufOPsFJ7ISfEct8IuuQhkMYU1Nxgj6T48f7uzsuqj+q5m7F5DOCX NfwkFFI46V5pfmJ7WXENBM6wAnEvyDxcdz3iwrDfezHZ736RvKdQJvdvtuvIFiMRVDB8BQVJIMz+ RuBgPYAOW4naiRKO5bZvHN/JzMy3LZCrnwjMZYIy+n+txxMO03tEL8ZnAq7kqWZRSWYVCpmLMlX2 HObRVX+Qb+9hF+JFTzleEl3qh8xI0ue+EYDnKlbzKTVdpWWC9Sg+w+KSF5iwkpbL8uiKriUmMN3s 7ooNLuFrJMOaEU1ujBPpyzeBn80pHCvk4foHYTdsi6k4rf9kRJMt3ym8JyjmlnRU3SBrzwZmjt4r G0z9f6YjE3GeHB1C2NM6Oh6LUVbZYNWWBva9Jr76cicYK5h9xxlhBjpu5BA8NCfzSp/0/oNRP46L Q7CqUqDlm50VZE4fu/yj6ZetFJlUIw6A8aeWFJs4nqQjJK9Stgcxh6yU7jgeJQUkJ0ODf/GxdOo7 wPVKakCxxdwfcc19yui+gBO3BayK60o4e6L2WaCCWg2JvZmtjD6pX+4dusawFoCy3+CIt9yO5Rs/ YyHxRoErPGN/DBzrHgJciWjwikTPMMVi9+H4TpHLIMAaup4ikUP6J8x+O/szA4OTgfL6EbAShH+q 1ipf+ggPWu/VFrhwIbINyhw287s72KXJsOV3K5l/lgxFD5UWoMh1UO7Yzp7eLlJjdcO4wH+r02Og FeqxjhnDPop9in/MU3Vr9riXTh4sOU51VwLaFSTvE7fsMrBgQh/+CUf8R7WKmXkJ7JAQIfISv1jI QT+QRt8DO5IQIZsQzQLynrHPzhPBa5qbdNpn/upH9Q9JnbfooQC/h5P6rc1iPEmOcWOBCjqT5uZ/ 8QtdjUZEP/FHWYBSoR0l3Eab+jYS+ZFTaeHRD3cyIqcZiGE3FAep1MOVtG00MVw9eOJ0N1O4aBo4 14Knb3k6Vtx9S+do2a80UIfLnwkYXIvDxbjdEsEjm38oR3McvFf9QY8v+C4yvUC6b5b/JwQdvfTE Es7ikXepPI1119mKXlaH5Ye5c2zetYNOaJOgznP/lwYISHfJ2BfUIJIbKIUWTUYHJD/44Wr0Eh27 dn7d0VHhwvviTRgKGhNBmBAb6AsfKcgSoWfru/nHYcgAqInuY/L9weuzXRYr1HR7Lp00mK3sVwiE 1RBiQ4oVEQrNC08Oq7ThmxYGeK6HIzosRJc6/4/U1DNtG+0VDwAo8XpK/t89S/7QW8+6RbhJMsnt irBZFrtt0LrUpNJC/y9Ty0GYRQBvTKMzHtd35/XinJs/v0tLn6o/SFpa4bdYlNTY4v8+wSMeWTgc 0LaRjS5YYFxW5Pbapib6SDlDO1C9NXR3I1JcAuqU9ypDMKMrGYWT3nr1swFNzzBLom2A2zT2x/YW yma63HY98Eyx24b3P37sFgGIKAzxWqUb8qV6zPVfFw9qalMj+QDrXh1TiKlL49rjM4oUqAW7IKvc qiPh3RgdJF35lbFCdJJdN8r9yjZUeTT6y5IwDc2EMpVEmnmHbiOLVYg0vkAbymfyD/3iAD8d2bbT 5WaYj/td1Yc2XlsZFRQH9KM3hEvGoAMGyvRqEjiLvTvLyU5UUckv2TrjeFclLQThzrNwDqMQ45pH CWujxC5h3POt3JXJPw7/8yyINRlqZkGyQYlaeNvGi+LASYj/E3BgWdo3iJTk48q9Dd4jgV3iTRQi 0fP6mMK5ch4ykp4aVztjd2pY/yo59UgckwzD2rAhycbs/4XGP2uANUH3d84pOow80vi1UCFB5jOA KUsBY86cgI4XfBRx0h/LpvCYjaMRGYjYfmhHJ7E9up4XFRgOpd/yLC2mXovtlMCX05QFMTSQHv0V mvfd1gmP5PJK4Rl9ODHhy2373lb0S5vaJouoV2n8vDMfcdrPoSiVehXCINoEfBx9gCkh1EyzhpY0 +Ui0ujWTZNNDIqmLItYQd3uGBKC7nyWbJSfQtYHyAdw+QJQVzNsxJV26Arg2XvYyLSeZ21p4XB2l ajtZ7JzfqdIWWwkEF/cK1riG/hv4VIbmCjk4fF6wK71mgxxpT3TS5lky4yv9Dl0zm6t62AOm1ku7 yTnZKzgCWzxNcxOPHd1FLJsu24r9uOK+OmGqX9jsvsgsKFxvMnJWWXDPEk5vAnNOoj7p/ZrfQILB z0PaL6nspSf4AdZzTQLQy/8+jWxidDQYClyCjn3lHa/4nksyM2V92c3VCwNAO/XHbTbSIawDysSq OJSczczaM7SFRMlF0xBJajYMlj+WvEFEcIfVEodINTwDY0YwMPjS1XQo31qwC159eQrlfYcJg/XQ aHRC6t5VGDGZhBScc9ZFxqnCuRyfZFYB9un7MtExBOqTVjhASSlKobifshWhcPiqwLrd2adBqO79 PJAftwyX+HelAPotfDzDnC+5zMPrujgI15gVEj3K4t89ufKtFSZCbXEErbUCmkurOho2TOviQxL3 bZV4fxPPMFxoPN7oTuGCSXEu9LozcMWK77beJaBaLzmbnaMyNLRkmpvqRy++LBQjouyCwjs4eFcq 7xoqIQOX2y3jcxmZuKsAe/J1d7XN9rKuq5QV8P10LT9rKBlj/b/Hwxgl1lNiWFSxRPgDEvDYoajl DPSfJXQ3iNfRitbKEJzzP/hV79zqREpyL9XgljTUtWzo7R2xbwOBJ3c495ypzUK85qE57IITo1pn Qavg41eqP9gR20X75P3N/9i61oKQqYFirVbzi/zc2mVZp6exlw38ABQUQ2RCFZ2yVV8m6Ky6Hh0s bmbROKnmNpp33M6QJzbUsCxe+1R65TyFq+A/sExgg4JLqo3JC1BZv9c1QxhPpHg09tPHg1Mtc5ax oZ+NnB3QJjgxB/CZ4g2htqghtkHjPpUmeXpqFrwGqGWtaVK41olrA4nlBSDsD5J3J6AFKBEJElPQ pUIAijSB2s3wfnsUCKjdzJvJeQfk/lkaLGUU4ipYwnLGuWlJp3Fu64Tigevx3jZEXCbbjRTLecJp j3aAX/5WSc9b/ZUK3hLmy7JO4zbTZxEYRSqgFZJwbBuWOiqjc4P2myNfszzSjURoR/UOhCJGGp9L DPts0VX7mzVwQycoUb8ucXwJHLEg8UggHDnG8laZn1NzeU8p8FgvlCfA6uKjViMhXlGyQjv9L9gz 8Aeg21tFd7jStkwFFuLYeX1LN8fNFmaod/VdbuxjgMnklKcWec9YjsBgTU1begSoye0g9/HKcWim QIsC9p7YCaGLePvzZJ1vrIYYkNCYNtgeTciE+gybZ/n/QjtQt/Z2Wa4DPDsMCzgeHv8v5sP/+7kL ig3N9KGxdL2JAP+ywdMPx+zwW2c0tgUS6HfWZjROWtsKIHmdtqhr58LGZqdrNYNdPK9W1p20bvs4 LIzJmIZw6MjT/dVhUY9jZRkw6+3BMk0wD7VEPGrRrZees3lzHHjC3fURcq2pOb71dTkO0z7Q1vmk h6KV6J/ETaptvV3miTtRzSHl5pU80k+17+5rS5iH3euQssPCojDPIpcuaq8aWiDVX7NB/j0EdtLq Wy3iiYjGyoHsswe7wBmObLLemcGc3qbZrpHG4JT9LevnTUhL74BNAnXLlBBMqvS7fcYG98OA1DyL gaqJcaeM6tnZQ2XdY1+jaWWA5tuFfUPnm9hbih/OK/fvfm2Kuhn8kjbIKZTgpOav5RFh3uZ95Q8m ok/uHdfZRwI4o4jfnnNALg8/OMjxv/ntx9UIUcAw4DSCJNzhe50MYXGvLw4d+BHj2RFXxH8ablLk ewQHOZgG6HsWwRoSKQRRwocP8bmWq6L8ZJf11o3qBBLTrEIrffAu4pSavyOrAZ3Cm5x2ml9hBlqs FOU5FFdpTvSn/cACmzbczXu6Ayxj6y2Ewg3XPJbjkn3JM1fh8CHCJK+tVmmiVJxmfX3QvLtnTrkc t5LmfcechcOC07IP/SXPpc/FEPILbtd1ZnbOf3NpFaikk7bg+aj4RKBWQQQXVqIdiCGKGgUVrcLT K9rAecwuShCPmEafHOB56Nha67zBfwQy68KW0F2Qb6HS/oXJow4tdb5KUfMLHV+qDXmbKl6dUCnC AQWhp2I4tLHQFaJZLZi1RchdtSFq5232YoymMMz2reZ9GzAO5I3VKTbu0odJjq4DsDb+4D53Y+52 P9uZFDzPaH3VZ0fzpAJjkp6CicsezaAhScm9WwotbhultcDFPOo0RrU2yE/pG8fH7yGyD5t9LuB1 z7RgMHoIGobnP7gstSN9cDvnwemib9Am8W1U+uweMNCM7UFIGusJVZdFyzIswYhqr3RTCtHfZ2P+ ndtTRWXnuoU+0T4EtFlBwkQjlZEsBkyLm63Dg7+ASauqKdxpJEty8D+sffg+498MZWki48zjxQHf p/YkcJUS2aiUTPQW25JvBNezNJIM4KOyaH207hJ/hyhE/FQECADHelKsmAG5Oz+Xxt2ugf6QKpBW hiwO1FjW1gwUDyLhXAyTf3BdnReUzeNmqYyhkHslqP2Q2sPVkHEJMFFcOKklbG0UA2QDIaX9kRTm YujT+98Fa6xBbBbKipO1Sbnn39cKLUoL3g+ZUSNvZiFP2uS6ejlC96a/UzgDvyZ93HWkLoZCzor/ 5yw37HfZ9DxnX2LVshOL/dhAK9VIJuG7150vJSGtwcZhR/fEhlZrFXewIvUJVbh39Xvma5hyIVbo SKaV9uqexIse0b3cU7g8w22ikpaAtR8mbkKh1r873/2K7j/qlTI4dqVW5pquWEsRTk97PcTGPN96 oahdj7Xl3wdrM30m4vdvZDsGxLwaiLJ8OUg+hWGn89VIFti4XmdbxI6vNY0VIvoiYL92P9pnriK1 +s2OzQ1NC3Zf0J7pGQqWu9Q36Wy+AtPhRbDL1fVOOLWtxJzD3aY6VrPQUMLipc++af3hhdRJDxaD viVlTunnRzhyg0u28gQC+lkhHdlpthDpieGx2b/IWbMM7sU7hjL/ORiYUE3cDpa2Swjfj5GPmtzE ADFoDhzXXHBpMAq4zyQSALdTSzF2GG6pDnaG+ppG1RUS3ZnutFQbiSrdd4vN0G4S3ekZ/U0mP8jP oC9p3KahNqwFGhlHPTrXzWkl4Dj0HXqBlYjXCT2n+xMiyi5f690Wx8Ffm5Jk0Sr/2XVSOa5wSDLJ BluJ6azirrAkM49DFYALtb3Miza2pNuGyq8illUnm5QDMUPRV4XWTJGSn3zn4z1aDkxI/Y/2L1Qk gUL5ez94YYKb+UFk6Fv4TCZZ0tWemoZAO1QbSg6pP9/HtfrKz0Bmp/Hdtqiz9jVCySLZmcaZDrST 9YF6N/UwBRgSZZ4SmzKy+YdcjYkT5ojNWTRzNLdNGtoSzGvVxjNloaV8emIyXzzmWi6932aBXT8I iSP7l0Sov3/8Zulu1Aj0m80PXWh4QM1NXc+dnieuyfv10/jDZCnI7nMdDqHZTNzDqfryf35VUXqb 6YlFYzfkVk8R5Z4hsEXX6+HBQ4W72AEQBOPlgGEiURakmRdBAAdKkU8/1pSSBReyWswhfj2Ao+VE aOp/s17nG3q5wFEWKwF4aAEV6NVtx7Cuz6sMHGIWIFMULCzFYFccnm+F8z6MRk1BJjQfgOQq0hVB Lvqt+oMirjMoUGAhUy4wQPvH4hy7l5jS/+Gr8CzImd0jr/jT7bHNRi9fjOYkm80l3LS9Itt+bjaR iuI3I70VLYKRkEPeVKYZ9APqS7tGJD7lD3UMjMXfje8hYBbgIX/bGHGIZkw1boZ2VsAQre8Pmmev LhgfOqPkyKIVfkaEDHDBlneDN06oV//evtTL2iYcNIZzjXWs4x1mpH7XiH//ToOH/ceY+/wVKEMA APRhjt1NpptRDuXTiMal75KNuxhbkWdV0zXIyDvrUaB2ufztv3EDhTl5Ms4RDZmyRW2JrtQvXMLA MklCSsPFdAS+1bwQkS7F6IsbgMlGd5JXRCHuklpcjd39zeK2H2Rojto/9Plb3fhXcE02ZkQrrClk DkkEguSy7fqua5C5vi6hjOEBav9ZJXgniFJ3sLsunKbCIc8GCwI4HsYrhh47ThwYLCHUxBIV22VN lzL8hSEI8PJrNp//42FuXAvNbyJ16z37fJHKuBPbEyQHSbreQ3YrOnowmN7rXTiZPcLnyqRfiHDH q+zp7cW+jwCDbG97y3krWEnV1uplthCVoiQPEqPhBme1pRPqTriqcKZZI96A3yQoQd/C2tRcS6+h BApwj3/hHTm1/G6umdRws2IaNDEse4ERL6zMjwF2QTbet9u5witqHRTDy3TRpYsZC1g0yh9dYuat ICpIrbJ89BiRL1hGv25Bn1bQmYP1neRznsGjDh0D++5MqSI2//6o0ECfIccUCCDCCCNpCkTAHzEN murWYEGQYbKouFcvlGIwse3xVAhZH16icacVgA9QkCINPxaqJEJbQr0jaFXbqSOeRia2BRMvp1zC jQ/2eTxRck8cXj2r3oitOpZXD9WxnQ53LLpOQAAYrVZsuLJaCEmGVTxQbkrSbYNRUKlYgFm9cDFV dVxWd/Y+kg5AB6SNx+EHpDqZfMhPEUfrajtTvVnPcBYsNuetPffiKWmuXMANln1j8+g1ToCEY4jM mi2fnHYQVDTa2haFr1MeUT/t9ejH9gT8MOBXdaWtqrdcQGLoUyymHFMEytZuDuas/RtRTLlhsEi8 qEwselnMc4AIgfVSNOv48oonwEPsPW0sS1OGWe/XUxmMRGh72KNZT7IDB+Cf675mvKNaQ+97Jqm8 g2HwcwpDrjmLlrY5HYLOkkAJvDgtmMnxoNGNL/n0VU8+wzbRNPG2HBcUuI8mYj6+afmuPcDd0jor iL2hLvNp4LcGpDCBdMdFZsq5jyVVAJn2xS4b99XkHmO5DSVHSGNsx3TmjvCM7KvFippsRYoTWK0l Y4RFop9ek497W0qS7to4jyHtgMlQtikJHoxDDE1jdERUubYVA+YrKNNY+a13yos8Ao/P0WuU3oXC FAr7QuYDU/roj1+SzJshj65nk53BXCEWf7KKMuTWG2A1/qMqWn2tOfiP6JBlBp5ONO5GjoHkJJob FEsERJKRbDA2Rxm/Ts6ZLuqvNYa2lFvaThhv3sM+jCZuhttaL9naJI2RLjoXgQOjhiznLqVE3iy3 SfpxLsSxEIiiZPQrUZrlWf4Bv8etFiVT4gaPfQiGGQZD8vvr2LsCUuUk4mpz3oCpocdD/evCOV72 30LFxBX3ERTF8PG19+nbvcXMUKNWslF1pmUMlsNNrZO4UxQqRil00yZ1viO1AZMJ7gRU4MciLmhp DAbLhB0XGVN1+J0R3T88OwIhZq66tT/JFVFzO+r+tHWSWJDxW30CRlKeZ7uxVsI96yqvQA3LQikX Vz5k9EBmnj17rdD6nvxA7pl0ro8dY5mowEmoH0D7CkhtyjevgRbcQjVyvCP52Rssh115+7EJB52q vt/nVYTY/Wlv+l6dVzk3mEeCaJL7BDe7kMwd6L02BD7DueE27w9gRgyCzE37hayFtQ1iYcT1Plyc 3OTIdLloWDLmFv7PiOxstFymaRsEppS8S5tWlX+Zn2xJv+njiI5PD7u3rG5Ub8xp7M+x/oaAOTIq ydZlJMEQSxYZobiqrL2atngfByRPpIET94q0FAMnNQ+hwf6f4hoZ/PG15xoR3dZpzRlLQMItykzZ WNAUmjQ47lp2YlcXCzvMK0h3UC6+7Fmc1Ae5x3EvN0rXGw5SXCSFvJvMm3If3pfZgjkaGJ5e1UKf YcLrfqcHKYEeJtzRgWSLI4gkgmsMRvWRIf0tOrqGF9mHO3Vc8WBveow2yTEOeFZVQ1+a2GamnqW0 MQCMUzv1tOrewa6KsAUbr0owL+kXEFAd/s6ELNnVJiSmeX6xTifA8CuWz/W16sFVCOzCJLda9f2g Tcalt+qmdEq1S3EuRqor1o7N1MPdNqUwQPYBlp/OTFe5svnId3B6zGfOh+Ao6yC3IVwPn0WipEaz m9vRwmVjAfslQA0oLn8C8mQ/Z3dW38yonnJOA40PiGnqLpE4TJ5R6VbTy//CJSJJHhvcTdTYItfP f3ypmf6VbFNbcz0ixnZSxt/pp28oSM3S9g0CVKZemVHBU0Pp+D1e0FDH3uABb81emDhnXfgfCCV2 mlLFqWXVqkO4Y9jOAfWukmPhuno3ogzgARSwoweIR/Dnp83hTSshdTlRO5OmbfqejbH3lSRYm7YJ 6lX/Ah2gtZBZhAiDuZf2gOKp5VEsuzlJkbFD1TI3ptlbne4MvLMQGHSXJ/DaW5MMPKYVZhulg1Cf M+0SwL/LUELGoroWHMOeb7iYOjCFcWEP+DjSkJJonI1CIaGtJLfdMl8ZgwTesaNNbdLIzb9lrBam 6z4VaMJDVQ0YkYjuEGSxWDjjx9zzYIG2NcvXlnC6SSSmz00FJPRriWoa/KNnNK15VSeJUWnH96JD e05PdjpiASUn9rF3pEPWpAwehMyWzHfCH9blLd2Wea++8yiQFakDVVqSbkrLRe9DODz3YpfWl5Zj eCn/3rIzonLJYY2m4/tTLFqFJbWWODrCLiJsC303NVkBWQrMPtcVmt7L4GNZQ5fBKASl5sAUEs0Y PF+9G50mYsUUgSS7uXAZpPamdSrHnk0Rbum1rzspJesle0WYuitnBCfn54d1JBajl3lUm8UauPyz yqZT+9smTmdx9LCxeh9PZ7CPDi2aVzoCCHBIGIR5bz7SJtjfe1fyneROjsPvUi1gxmnj+aJDvgfU 8ygkp9AFNrLxUlOeX6qVAjFrN8O/tUJWNa3oe6rqaTsXkUpApTDXbsIkAxCdtl3p6WSxpjtNEfwR 79kH5Vf1ZZ5AYyslRoWLKqTrFNoXAf1YPkm3OW+At/wyiqyU0tarrkSB4ATyCrPZyDJSIdhi7Nui VIqoGG62VmrHqv6kYOhYDugp+MU2tyjCEiqydWvKyATGXydaFBfFCvc1kzb35a6WkdK8agLzggux 9QJIuLvjKfo70nGaToEpgh1EwYepjqH9ekOodTnBEflE086Ae5LzWAapRW41ePb70inUvFZjRlQK B1CeejS3UJG4N8joRNEXH7vhQ60oE3vnYy+nDyCZ32O6j1RwxXvimTrq2FlpdsaG8WnYDmkVNkgM o1GaJrxuGCj1w6kyXCH+yaIbKnOsvWoczia0k1hHkNDdXT+YJus29GKHN0BkajIzyxhgAPnbo4mN zq/K9lLt56Qu5+l4oeiNQZdG14uIulnD5FGjHrCZfDCXNgFPARJlTxIsQSVuODteBNtJq+PDDDig xHH1fgskwHFiSL5FkHCjYXPw6GZbpHlWl6PkhKCXa88dTog076ZI5kpDJJiDQPz8NRgLEoKKSdiw 8fOakvBbsUyu/CPveGZ/dzzze0YaqAAtfae1Cc3jKaAkumaWUcZDkG4aZBRgQUZCCsMNU1v/khr8 W3DuDrj9ndi9aHoXjk62WitJ7L9VO68siAWCGGsVCt4YxPAaTMLgL7CnkJaYIty9o7vpOlg9F58L P7fvZ8bse8bzSEYHHjA2+/hnA93hkvk37vFhcnpdhhmIonjAEPP9X7F6/3A5wLP1NytpCSXRqWnF 63zlB6pPrEgo776wpNcBgsweX2qQIrPlEKjgNvVRLC7UiEJdrcgrjiWtUALUejmgaSijNR2EsHGB YZZ10ZM5kephzZkjVaxkVWxrC9kGf5jIKrb67NIngw4KrpNVXchENLobWgOT9xpAgfMwWlMy0+UM R+0mk8Wb9WRbpHFG41Kttw4mmPjzU1a1R6MC9iuBxc1+Zw4BZ/9/deVTXCPp1RcCDvs9qwXIsjKy XmFagrNpY4AAajnahyEc1P5DuvHGMITg2QIjm3ooQvITScsFBZd1V/GqJiae8bJKgYDp6V3kE3lh vUnaVWXyhq9/Mqxvh5HaNqy+8lr1AOEdAA/Scoh3ixwBqOLZFYO9+iO/5Co6i/AXK//6RyCzRsRP kSpa7vhETFGnka1DxXDjIOE9kRwT6CZnGZBjbtvd/YefcX49O79PxOWWdACjCjp3P4Om6GcU0nEp PzJGkx/K7EUyPekaziFzFsxZ4rm6XubG0eqEHGz1bGGMCHTO9g3AVFgHbu9uv2iURHIIdrR9Pp74 AtLD7nJx0DUU2fs2RxB+/A05bZ0sVnUvNm/epYAyqEoPeEfYMi1vnj8M3EDUgDDTUNZ75EKPzLhi 0idHvMOSKzuWEknJGhhxRl8rMGE+jhE4W4/gP08VG2iLlqgoN7sZkHdFmUR0Dy3JgwVvnGpkpbOG epXY+zSa5PtIrnLWWUQGohYjKh7b/oDTrusVy/8WIq/iQhBlm5lhAH1r28N5ermsR+IK9XUhdwug H8HiE69Nj+JzQzXYcUVS3WP4TDgPzMiNqCXqWqKsDoZjA/FULxzu4O2C60tYIMp43Fu7zADniks3 YMSqUj5u+hgmAWPWNRPh4XyuOrTzY93tDNGzYX29hPyJkCc7Yf0koKbcQIWiOdudLvDmNmj9t6Wt kuT0JQkHKdtDoAO8HFq5BxD8MJJab0s4sXWpsTcfBGaZn5rJ0/XPr3uAu5P9jEppSCSdqivXE4FS hXgzpfOrYiWk43RL8AorrUgVxUlUdZzHn08ku8f9yhiKp5acM1csFMXZtjDphdBYitucTZ5QXKgA cBOSMDjdunV9jUbaY8An1Msc93hXa18EJtXbaV833Tdx/uCmkq5oi5SMGOh9IiUdYU+sVnqbtU1D /zQWn8CQ/0ZIrjbnpy4Gl04mdnvGU4nll/dkKs1DHhluKnJPyaKjBsDdmVIkUOOAf503RGxvp8k4 R8mDdy99VQWkzEyUYTUZ6uOwySU2ofcvpQ55QgwHed2RSJc8uAce6s8d/y7yahFWhHdxeUnd9AKn T9N40wMhKNMex+W7Z+UOfoAyWkDTnciFUId4fFO+ykWpfOH+SarbJ5h8eAvnzSemtrtobwKFbLbS 3DupGWxAJX7gN6ujL9CNyENafo6g4UnpP0D7LVbZbszOCKxkS3PrV+I1LIpmH12tWKoF4kVntFU7 dy2RPFjnyVb/5MtxgA+GiLmvVSH4HjqvYawsj3eT+diBjyLT3N08+iPt+fInp74TR4Cp3IbEAiiw t+OsjIzBk8s4SqdbDrH+Vb/LOxMCjy/oi+xQsSz+8LrWB2n/SQaegODdIklNklQkhJnzJjs2DRf4 0FDsIQnvuDKU8DojiSuL0j7+o+phUGrrUIKYNPswzNhqsmyqnp1IJrKKSkBJgFl/Es3J0VLhNzeg 1dSNH1ycQXmbYRMmOIgNE+YOzOqdhLJv38HZzMbPa/hK1iwp72hMKNIBwGHvDGYDuemfV21wo6uk In1z/AFf6sxiktu7CEU/6bGMMr6dIawukL4ucIHCCnJrDQMcWRvI/8jMM7Wjq2qqwpwLg7hn7PL3 FKCPPjaEHNDwIdZuWMlgLlhtAJ4ycr9DpXTfsOxpKmWvg7GYoqmpZwD7XzwmfNICxid/VLXTfJrr UQlwJ40ityICbfnuSNGFUjcqEsMuEPWe/FMpl1+Yvikz9P7xZ2IF2UsxNqltV3sJ8d7hBqxXap4u ZXnmwbhm9jFq+lrb2cOkItvFCSknYXO8yTqx241rJpSH7HKxMYIsM4Povyia2zbeJC949vhXpP4f YW1GLPiKD99eeenfe6nGsoQ/fmOIK007GOesRYRwpUTV8OEGFycrxgVyjboLcPGEjVppgWG85rUn CHhnxfI8xl+uPL/UvhudTOX3m86Sf+2atxhWKV4X5quto4+QKbjHAprP6yTcz+rGkwRepmrba1py Y/ZLJzgNyed2QsRBzTi9Qv9Dqcd9pRgRH4SEuKpNeaUm5ZiMP3G4Getp8QVV49r+BiQXcajR9vfE lK0/cEDNeU0d/0ZD4TkXHWNMPoe4F7Ith1H9Ilchda1cX26IZD/pZvm8Qnjye2PgEsIbXkcQg4dL gCRDREDdNLnDkIT2Ka3iigGvj/oMypVy4WsloaD4zgiRrokaDkWNgBwtN0z4atwwP5tcsrpvysmy MD43Ys0czWbexozaU0JEdVdQuHUI7HfhQ25tgFPzOhusjrSwHO9RodrGr6vArlRJZtdNot5BPlll e35khrXCz7bFkGAXsLo5Fzq8EP14H8I4ESMUhRNlMoBw/tyxu6URKA8njGVG4EDz/e0Xoko43Xqp 9Ap/NsdGfDFsF8lIhUHNQRWliLWJ3X/T3KdVBM73Nzbx4ebDONoutLAPlVV/cKIgOzvsISNLbpd6 L/XTiY18okZBm2KkRESYJVLjHJxhawG/gyPhwIB3FJvlEJ/Kq9iVjL7+6+o7lsxz1hHbtycHqTmN vvG0h147ZqeqBDO3GzZ08g0DDd9ILNHUrVm/YuDMXHvkuDJnHBfdA/I3bEoVFcbUOR56rJAxDWOw 5T5T03ZTI+OorXnfaNAcum162MOWunuYuqGSgXWbNszdlQ/s0stNkHMRyd3t5DXVw7A1MJ0evLgF W2exj6eONclfVk/vBU16vrTxfXsc5uAbi41iklmkWHZ4VJDhWOzcpDCYEm6e3/qq0E2fNhNJ6Bt5 ZMJERmjnNsgTkEOrfHBFFPCX+H4qE2PiIoK8a4dj1mulQWt8k2A8KPGq+jrY0Pju9IkWdaa7IlPP jeLcYvmJ4/8/ynTuQXdZFIrZJaqHDSD4AW+Xq8qp/LNcGaNokgoR11DAWla4TobDd0AW4Qd3V+/E +SiRkeXTYpUjRO94pOV5u6wHYDuWt4T+oPaeKleB08va78fQGIJxu9bApxMHNkyql0IYMH3NYfeY 099Qrbh2iSGquhltVJ8s/nQPSnwunUJJX9oX6IMyyIWNZkjjGJjOghYyXOGFLsw71pw9/vUH0Jr/ BIy/zPHzdWXEHA4DvoDK5tEoCqZtI1PdF2RU+7MhAkf8OND5F+vW+KGue3ZP6OxzmBK/+dRRBie3 JNgS+32ACNj6d2cKojs89iEmyePFMlmIQrr1lF7VN65PoRn/6QSFGzTThc1AJGGvAMbOMQxbG26R 2y9ymohl0YEhtIMNDAY9GuVP1gbiE8EJV4CYZXpLzgQe97MVMIUvXDRTF/P2A7rOvYW/vfrR2eq/ LuuyI5ZjMtfs/pJEdzHUVRp/M4170WGfJVIEsV5q2k463sbVzPhrvgAP58szjo7AXATyQId/ofZl kGL5sfnanqgSRinI5lwKeh9takd7B20ZyrigIMUuTPFUAMwqDmuofzHUhqbEGLa1eTKcU/gKGnIu 81LV14jckbogggNoIFKqXsEe6JWZMGXz/APqAhG3zmX3iInmMU0L7mg6ll9D9jVH/jVNqdwHEYZN unhoJQuYNrnO+Rwd4KmXBVUiq7wpmybtQZSC6Grc0Od7gh6AeanAnUTh5ocfYJGDhMT9vdZpNRd3 SiXU3ooZ8uqBbM0wV2i7IPovZvWR/m6E/DIEl/2ctIfGwaqh0pskdyr/PMScqYZSAmPGVp1nX7qI 082WjcGHZgL/q2Z8KF0WoP/KtdeaxRptx0/Dbo17hC6mpKiztsp3HM0wQ+1rqbbkjV9g1dtBsNMy VpjD3XoMZdOGQv44+Di8y/6xNyC22kpQxP3KjkxBe6pgzlB86oZVPm4qySmph1IYNcOkvYkyfaZH 9rN+4gidX5Uxy93g2jZXeUp2pF7cvsH1gq2rhq2sAUwkb7zMZDAtM0R10Aj/DxpROl5uPiKJaldW 7yesUZmWOQrTmycH+0s/TM3BhWYHM/7GN6QV6KFnzT84szr+yzk2QbI3KzSDi57cpH5nrFisEA0s ed79eUzR0WslDcBCm20cpum1ZesnxxscEr1AR7LPi1CXTMV3W+qDE3tmuYc2rVNGppnClbQRN+5G 2WSOZE9YTvxPfe+oYZGoEUs0TqPh1p9PGatZgMdw/N9ocW6ecVRdlanNGWCTk4byYY2UMEhdHCzZ jS8+SkmNpaBL5ZvFlvz2UzN/dAVqlfVa9mCVEJMni/To8RR9LYYBrGDhGHhtsNfjnCABWoTFtTLk wWvz1HdJ0FOs8L2D8JkSX/RhI0leNuq52Gu3yQD1Zcd1S3nhKUUXO7inLJNswnVLK3LkCQsCGTk8 tYRjKeDdsxrWsChO/7k3qC+H1stuIM1H/iYfnzlGbSVRiEUgsMDmKj/iMwa+kt58FcRsJ/ZupS82 g0asexDN1bhxmq4grRPhVhv0CFiTzc3+yOjrkiOtug/dbbTO5QPU8vuAPoGfOdXl4viqA+6g0NIl Gk9BMiF0Xws1Me1mcZfN+wWWl1Lu4Ki5WX2hT5zK0RCc9l+VAULcf3Bqt60FTeHv15UFCol0dt+i G8tgwOj+rioJIzJOIxMgvIC1mlt8zrnmdAqDCAm2ce8OOaGpWA+esHnMu9febxqDqebVnTfNZD6I bOGV8s1Znlx/Xfe6Gd4f3i53dQ6zXJiPhtexWpcrozNicYkfsx5HPSqw+WbIlo86wTtZOBiFiiNR oVmT7ZMxanpY+6kP1TudRacFFI7QN/JgAzpoooj5kkQoWvQ1lBfuzGXls/mMTjssh72S6hCuVpDN JJ6muA44NP11fk3gXJfCTpHUDPCMQ9djP4zLsVMzU32wB13ubTJHuBfF6VtTbXprwFYeWobKudrT UmuSDiHALMTGG4BttfDefZRtOPMS/SP1MObR+a2sturyLsJDP2SF/X6Qnathk9AiOgNP/7jEi1W6 Iyi9g0GzjT893t7npQxPNPjiRsG64cUXB3iv7gGDDGH1wVgQ9SR0ePlvEid4hweZ0dkMFuiYtnQ3 6sLIIut2I8zDZI30DRuUaZTbMKxrTLRW5NEUeB6FG5YSuLKgXSPg4bSUGMYusFTZc7WVlCiBHVHs qQpOrLlUvmYoIZJVQj6iwmttfG7+yGH97u5zUCUM3dPmCPK3X7DmDhD0wYDWZXw1osawO2CFX4/x A03mQ3N7VA2Ygb+5FKozwrD//vhDBHZ6owj9wGVP1esnpW/vgU9Zv2rkbOsyCFs42z0c42rJAJYx qNsH6ACP5iF0H/57Ceq4zT520omgQjo8gPkyCKDgVFSKfvZNJDKx/vv/OIUclJCKKMI4VMw8ZQpq P2r3A/SkmDojSOiaX2KrTR64cjFfkAdg3bNtdfT1LOe5T8Ip6Bh6hOBX6MSbkc9lgPslpNX3Ka/z XoAKcztvy9LUL5Lc6yINyG44YNJ8b126+7Pfse3wU9kJ0iRoYo0H516UZK6OnVXtDbsH0kgHVFJJ IXtpndpqMKGSF/ns6bxTZn60uXzo6ET7YEMrqLihs6Ws4IMVkZyvyJh40TOmMyFSy/fRbjewWxbT My8WuNmFWyhySwsN6Ov64utStQS8elfqdKKnPVTRs+7BJ6I1JRHO2jmj34hr3XeymyiA5hAvNy1A nbbzk3QHseo4gx1CmyK1jo686JnCw5J7KlhTCEDEMN7sWyF/Zqu1l64Zr6zFdpHvUEkzWMapE7ez WGzAsW20Ey0fsymwtELXJfUOx5bRyZcN4FVcIGQSvJQilZMhL6vzLIJj4MieV+1a4iQXR3I6NVoF qyZ7Zr5HDDU3aMxh4BGXXEnQUxVbeXY2xJQPxYz3WeRb3x7mjKPSZt/cm1Z52Elq3Bv3+47Dhsu2 /yKezxVMiiiNhaUAspUsV3BoTD+C7AtdwBi8jbSZfPOgAxP9wTmAcPdvxOzaE5+QJuYasa2nc94n JgKw005LlVGbYlPGbNOygQVFdaBZDN9ROAoovZ//+jomvtpYBcRLzW4FH/+MF0kgtGk1VM/omuXD fKUW+TTe3e3uAsKW0MFgUL0pyHKQBvmmQVkJMHQR5/VMwhZZOQTGXpuSauOrAwt0ctLgtMd+UzoR 0xvCDdwAzRtsD0iuHMm9bynUbfUCcqWh1tXGIYNGHCrsAxHPO8wU6e68WcMyqhBtI4sQ/6rYZvb5 y300q+KvNcAXvecXR8GEPjcB/coJhw8i7JDTCtM5/AQpgt3USUM1k/ZSyRfn4gOL53FpRb6DB8HY 8Gm+FJh80qhuf3P3b7LH5U3F3hXmkXVVVZiz/bwRADDOSYddNqQCYxT6Mc13i/Jg1S7CCYpZTLdE vu+C71RDauI8nLdnME2ST7pXfkO0iR9uURKkT+C4v+z+okc5OzCy3ILS09KYw2Dg3Y0wKPfCBpgY 1n2HQBOvkuJt5yJM2KWu34ntfezPYIUc9XRS+U/LzJL9HisYSFdFQUnjfmNVmNz8NZ0FRuzXEBAd iHS8WVFYbYHALg/t9rcgGQTlQvI77Lx5f9n78L6sgRTvAzIiF2jJ6KMhKrh+O4rrcvgSyLhAmWIe 5pOwG98Yypx1YzkFuYkragOL5emDnNV5Bbki68/Gbyn9M6KPhVXazRqE7++kujn7smvZiOcCExT7 et1XDd+S7p1KoDY4RT4PJwQSvkWnhhX31kz39o/pkjjNDgf93kJAFRl+mv7Y0JEFa95pjEi9beWG ODCSM+vrQ9tnR9ABS2YofJsp2rSrRmOWItV5E62hn6thFUiQm9jzjB1CIshoys6QIXA9RYDid433 7uTOf8DiCOIgdAKaMgEXvFcbyyAd8Gwh+DIRaPit15OXw501rDn4M+imnuH9cL+D3KytM9wFZi6e CWngBZEvXNsRIA9jqq/LYc/5CSCc39zmCG06yhTm6DB+RP+N1iGRPpKBUuzkUDrrFcnzxQGgSZ6O nPpTgeStuwra0x0HGPrzua8jO+vZGh/6q2sXVvNOIguyi/Zq+NZZQXBtV2gD9/hiKPI2lVNeB6BX vldtXsZ1ztFnCc8wrQa88qcSAnEdPvAFVNiEH852OfogdsWJkog8/i8GqpMUmF/nva5fvl5oxkqt x+/2XcmAI0DxSIuRhc677ZdEsbp26lUC7yKDXJa53O8kmLaIjTZlvGK1ve3IVdZ9lOefpYW3E1LU wOKrf/RWqn19XnSQakqsZC8hSNzJrlF1ErYLc/nUPGdTcOhWgmtFKWwOq9a+0ReXkPtiuPGwAEQu rkHtLYtzUsMrzOrkEhc63Bjbp1JWqG62tpxthmgRjRF395+IS4Q6uMqX+YSELhh8UQ7u4ClJr0Bb KFbnO+CnMCUULrK6E6DPD2/itiQ0lrUPISZUQdUOK4g2vaVJiss2S2iEZkQ7SMJT+SS/04eJ4gGh xsX/rB9fbwOiTBzduyfqtYxgjjpYKLoEH+Su0yUASzwfuyHoRb+/dozTy9ItXakRRWCo3u+5Cn84 cAwQwz7G9/iSwZXYh0Zm6SlRanCKcSrxH3lsQJv/p+Q0X2h2whShBtgVqnLopJLM9JrhLqIeR6ny vS3UAoTEA01kjlPFEgD9cPYayARj1fZtJciUtplIrPtYcMEVRCKPEkgH++SyZHTLYa+ghXrG8Xtt dp1R3BlT66Ek4w5JJMNxAdrOp09uAgCGjeOviIVu7CBZn58VS7ZFKUsc/3xiBDIxUlysmnuTAmR3 1QrNg2y8EOhJRfiUuhFVRtFnWs9ir5lr0QTdKDei6QWe29SAYxaudB4f7psTXZyy9J6FsvDeAzDf pUF0uzfJsUJFqVqZEiYynvnnNY/iI94TdITEwH0TVFOOXFGvU1ZiELdy8HySO7ioxVPbiSRgpUzC 3prOxj1yy+xaQ1hQYoQiQr4bnDkRV5rlVKFkpYv7Xv1Si2OOViyJGVTmf30WGTbiRLBBCnyVG2y3 3Rt6BGKYWRlryaZT2eqWoyuzUba2+md4I0CxtQt1oqDsOzS6k8sBOkix6oCQh+oXdAqypiMkOUxX +YOkeToEkF3NlhSiBidMVFX3vL9D+SMz8QWdbDTDrpnfgCW0xmRHhqqjHAwYuy696wzqp4sJrRCL 8eKWzMiKKJef5NhXzGdDWbyaJFqb8hCLeyI+8jHqsP338d+uhQd9iFDmugEpdO+CdhUtc9Zucc8W S40RKTCsBl/66Z6CXTiQhluPe3QUAlktW5ArWxrYYRTJmwVu4UuAGko8Lt5n6AxAE6oCRaY7qsJR CzY9NfM2N5Jz+yrIwC3jQ7sDTs5niB3XmiIb88Ua6AVqBIoY4XupgwhF/5QWcOyiU0T68WYbl7YU vxFu8A9A7voevj6xCVYEnIBXJQHuBJ7y+rpPbA2olg7LxYYEOIC1nCZvuO7/mooUIFaApYgE9454 WUdvIJmPJy7yB0fMFI2UsddylRkRB/WTy3uFu5VkBv93XbULce5/IQxXkWexExTTEgFVLLdUegBt JjO+FRiw7Lp/TOqVGjoykoim+jtCScxMAtM7ZpZlN2/+tpFkC4YSdb0CTGzbo3zmz9jxZaRPU1qg QIhHYLORio+wRiLj+X4W1mWlePhOsJLhAtwI1BsTKSatMqMi0nmwlZ44ZwWv5VJUbxwILM+EoeVv GdPad/Bva3HhIPLqaUGCFr1NLAraFTJzuNwzpC7K/531rcajGHsSNRHnQBJSCt6idBN2l2ByoHQB orto6JRk0uPwhTmqzoxlWj5LkGdpF8wcyTA42r4zRTIHxx+17QyTxiqEfth+NdtR/Ywb8T3Ap3r1 iza7KhDlkwqgk1WNRfPUs3Q+SSp2WQ2ZoXXxja2DnVbGcLatjPHVBYWTvO5ranGvpkeuTnikGBHl W5SEum6TS9u1huAk9HRa+2Wi9K23UewFInCRgk5QfXtaXIWmvcjxkmkJzUKWeKt0Mk7XIxnnfJnv l/8ZuwM5bdElB3YYxV95Nlm/ChgOUaZhb4zPnrPFBV5tzVkAacxY7Wz1m/usAE5shTwa0GgIH30L IqFQi2eThpClNxQmOjXYphgH+t97MXOQkbdOn//e4ETYIbxY776Rmr4CXgUW1OFN/z0L83hAjx1v PQ3QXXEDBtpQVVqcTldCiJu+/x1pqMEppgvf2dwHUYzvOj57QCBaKDqC+aVD923ovAOoqo8kozRP Q0DHdk0bhnmPsaopQCyaUhywCr3Hr+d/ntQ8MbioNKtZxE79xb5O3jXbx3S6C73mC2Mb8D9PVtsf Uzt0sFIlQAN/eXYD3FDp1NmaGTpaaFB+iA0vdA8JS27ddrWYsAdXKgMXTCzEdPEhL+FBfqvSG6vr zYx7y/G4qajqeotwpWv/666aiv/5IjNjZbutg7B53W9qdl8aWW6d8n54S4H33b7jPjWVuUAO9yMA JoRv/K2MeIu9ZQrK1wpYpPy96+7P4Rc1OiHcY2rhJQTCoQlrcZ0TvtdZ/2TDeVmC2R2eOVSb92HT PWjxNFoMCFEFORANqyrRQeV18ctJhQMPqOFRPpBxXVdVRiGR6rgbwn5bapCFEeoSSToRey8U0mbx pXyh4t5k5kLQ2BIjp8U4QyvggqZVCmm6Rw0t+8E0mH/8TQh7tgQXZOcs8WTaaIVDKwF0/NPak+sa ljGkG8579Gbtbr2v3pXICSstLWJia7mNxGOnxq+RfHE9S1jR17pyTubn5zqZLS0JAxaD723omBdg tUzZZFx/BTnQzCQvNCMaOcaKuDrWrooS3iNXrH3ae+jClOgwE3dK7R1aC4UovSO1p0MmkxX2JefA 80gY4lcvY+IqCIXqP0fgzKsq1rIB0aJLtpNUG17SaJWumI90Zjgr7Zr98a74yZ+rPOi106Gy1WeN thn/u6sJO/pbgPprdDYE7nlg3k/rwmy+nVHc5miPjjW2T0Fyot2gRCt+Zp3mQt/eoBCt/Bt4WAeu 8UFOzz+1y0vjA1nWebYu9NIFwSglkZkRx81yagetUxXbfYVhOmNLZd3eT125UTBk2MbxutI3oKda e/efWaMTv5sb2cJAh28G4jkjZCScazMBKE6LT2/ARey0nB2KTGzew93Eqs1k9DUF+9zxBUtcfJ+e clkQm+UX5lzEYFmnGOqwrQ+3aDgsD5Umj/FPqrAUyY8qaS6RHS4zF0z3Z4+F59mVJejq/Xkq4USJ Wx7iinwAqkgRWyC05Cj3VPYsH1UPNOjP1ty6YCc8cnOfQaHaXFi4HJqk9cSJRYo8A1v2foZn7hYY E65M3p+BTqqCFhR2OE/8xyvQ7zvbeGeFbuKhG9F199i3I851JgiXETJ+834JSV1KL5g1WpuJpy/b qSrlKYABR2tMvwhR5961BW7TyIukKD/RpIfuSiwQUKuEeN7TpGPgLYx1Ds9Q4svpZEu3xQLv4GN7 YNxWm5rJq01NCmdR5U+haGtZE1jZl+LD6SRTw9WHHOlgg+sTWDPtCOiffYumpNGoSoB5xXF0bRCT sAJ+PH6Xp9SR86W2p1Vze1VaLhYlQw4wAZSWhVSK8TUgOmh27Cc3wuE9uOMIuDPRMrfSm0kVRIUR EWpopn9rjStcdfbiQMxAyWjnp1MSqFoXP60nRCpiuJRw7zNtxugHbYqfiG+YgxG5Iu3FZ6r5l0JS vPV2qklTgastF8Q01rdG2VENtxe0jUzqE2E0S0Wm/OmP8+ngczZve07E+sDwthO3W+GCn4l68kPd Uq+1y8nxsLBpe+Jzd0Rtgl7Wi69fg+mukQtzKZKvWpLrZ17kbCeD7EsMZvoqW0rK+GlTS1VBeHfl JB43aI1j0Yl9ESnDPsoCn7c+Tbc16Uy18cRtvLprt+/cZjBJVFPlUEIyvDE0sZ9Bnm2OUoZPgD48 YkAgV2T5QlkcNpWgQVjY4dUkj8D7rhW7B3Rv8eaxacRI4z9Wn1v3awW4SwIM/vQaS4xH5g3CTywB Yamlz83W8de++51xakyF4hf87VEdvrTYgxK5rh+iPDYqtmfevCwsbA6HlcpwELeO6MMCoff3XXxg N97CSG4jPk64X+pIqf43dFt8BELavc7cbYudMDGbSR1wOSyH9CdsPxGS7wb9gSvqzgfIWmluxBp7 b/DfU2KUE6th7puldWiAIG4eVeVy4YGS1lrQlrGCGr3C6NiWcsSikVS2Z87KSn2acG1ZY9XqlebU K7tnqONeSscQFgstdPpMNotLK9eHp3945dZvcdSwyONnVs5i99hvJoh/FjrHOF0yWZGwZ0JgMwYy U3iesIGqgL3FQCSo7SNH+SnjukCykIRxAeED/t02s8wvicLM1Gq5QxIDG/nk3mfy7kiq4Zv6K9No 12QIygd+MPLjDi/DkQ8sPL/KoyL8jMIVBUsxIVFAajP/DArmUc24uuPFr4n5C9EGGZs7/8pTCiJt E916FCRu12bFtq/cCK6nebhX3x9Df+Y96pqqsQEIQDi4AI6/NCpRtsTHKx4zPTEFSCb1iliMEsgC kEoZcbaUbOLXFxBh4Ud1QZQV11ac/gAfzUb/esEUdnltZ48XacUlZx5OhOZAL95Wr6nh8rqeN/Ak sq1qfH/7wWSw+iRCF2KUbkdPPEMrUjl3hd8z73sXJG+2PIi/1uSxYljrXBw0cylsyJhxnjweEbL3 E2dEwh7cgKMBZLRGGgOSmk4aWX9F35IL7b7iQ5GOZhhXajKtKc5WAKEyEKPL84Q8LXgJMBAq0Ajp xl97Zdj3swqiLJnI2/XgcHujivCXLIyxN+lLU3hkqUdFd3N+MZC1+wlplk3zOZyS7cWDn6nUnuIZ W35Uen3g8KnjR247mA+D5W58bdoNq7amy47SXowajymyW3GBYMZ6Vci6xa3htcE9Aa5Wqnj4YjQl +nanv/6YlchdrfqjozyFOKDqOa8Cq4VN/YAQ7yGNKG1htnaX7LOyUxvpQ14EtVGqcmWHibTnaEmR NyvT6fuF89DnRsxIsRTbLt5voLIbZh6CFtTbAF5wIOIbNi/0sqrYj8PVTbYzOkYk24shiS0ASYOU FIpN7eQYSQc8qicF7rPG+zubU+doJavwEPMrF16MQf2NMklYqFzoQGrWpOqJQ8iuOtHMWtdyfmNX kaVivGaCVnTiLzDA8Yr1JbdZHrdChoIKUQq7T6TScy5Ft8uU+4SHYxP+ArGs0+RcWA9zdwswZsyT 3v/otbdVr/4ly2UMoM9XATHeCuK2VzVPrf2fS1DC/c02BiUQIflWtYWbSpk7Zwv/7H0exNq26zI6 I7BPZ7J+98E8wFN4NWPfpFvnOuM8Gxn8LkzK1s+EvlfiF2yyww0MZE6xJ7m8OKSZyLSNpCtFWFGc D7IKmlpGadgAUheFbQkhAPfqpobbGDF+NEV6wbMFDWR5TSslskM4Hby3KT5+HcFnGUpM4EkisgJA OM7DDMYkHZyAlHs4xqhpP2bt0hKjgRNHaLwveNn77sPNwtvpZVh56uwfK2Cow6oL4y2BAw4Xb8YY fXO0heF8Zh8uyuze/fW7hc/MDIl0F8owUYu1xAIYpKL3KpmVaLbZQPgtam6E61W3MKcA9K9yhXbl QU+KnGfR427z1DBltEjpHon6v9qoCDVhS2kEcoQDKDG3gOxm56CJo+7QnxaSVJmVeFSkSk+iYsK5 JnHZUUZlTlv88dl0uq6YW3BgaJid6dk12XzRqIfT9zfOKgcb9xQcjoje4GTr7sVIoQOTkWRmN1v7 34Z0IaLnnwTZ30xJr6Hywz5RZcnTzsIJj3ehbUKrxtZa0NjM9vIo+FY0pb2oFmzAeTR6JoRi8ySS p0lG2Ovdj25rq3Izg6VWMdmsx8aHvdYxraX/qVaeov5wBsMuCPULmGgV7Wngi3zi0QZ5b5mI7XQd t+fi4C1pAu2cT/5Vf9YCZIt2uubSi6j4PZYzvzefNAfCctDweQgCOTCMA4njita2XGbslmRCZcnJ p/H+AaFM+DPj/2i6p0edNPzgPZvCaNE8pjv7rKI02u1gXCXarwzXKwbOybuBZ9SaCD2OTrzAkb1o tLAXwUbItK2WQxFhdVU0Z4/O+kT19oEGJ+/b8mxRL8stmRkAFh1DYGEppVVsJ+1tIEvflLQrNIUI C1db78N7PZ5QWqUSxd8JA3Y3PMXwmMPSTf65skXbHwcG8agzkCnHfCISEp1ni4afVnKLOwoRZyCc 6oNjqKS2Je0OoKfodE1A8TszCMfChnKEC+ytjGgH1eCk+8hFUlunmN062G4H1GWP9vGJa1KwhAr1 osW52bg3d6ewJN1xndbqNrLQkn4RQJjw3Ls1UbP08JGjXJ+w/CMTvzghA/vEmkOEl1QJzQp6cxJ9 KyTUUCyDDYaIEiBnyUS30PyC+F14SzpQ8pHRXmv31oHZE2aOn2rb3jjC54LhVod5YWPNEKGLxVE6 neJBTwqUvrv+V2TQ+ybxu9FgcQwSB5UtRo14IJpk1wNaD12Vz2l1f28vXcDOfZs8HmMYo/zQW5qJ zu5ah1oPT5z7WxrnH9IaWXYRIwsmDp77gtmIY53vTJTfZkRyGWSK2bNzcBG3JKMPrD+oQJIrQL5D YQG0oFUOSEAfdZl0ZfFEXzlLswLMcHwohBqa9XHNQMb8rHO4dSQ3loqftyAf4f88tK2xNdIMhBBH awcorWEXjs18gdGrBXEs128XnIVEqU6hlemdQwCuvY39STzJfUk3QTjAV1pBNzYv0nGqGQOrd+h0 ucteaPSxw/GFUtrg7C4G/ehCBlZQ14v/5UjU9wn4gknGExRVfNijM3G9TNsONvXIUC08NIoCJlln y1ul+s4RcKeJMw/bIwFjB6VRV/IGC7DArW3onNsHifQUPV6M7aj1liX4J5vJ6uurqI3L7tHKjWJC oZPnZHEebAxTvUfbn8mB0siOLlqcAPycbpB9eTP8jwxi4MjFwllbcf6CbpGBs1LCtkPHWfp+VA0+ zQS/PowhHGZjsrv6tinTFNSZ6Rvaw2jqwVxDnGgV3/MUXsJ5RGMeStcPfBCznfxxrzvrWIgwOnMz GKUo0D4YwH8coHmlTU5fnuRyIEHJYvXExIFv2S4AcPXPrPm3cWLNn/6alMpCf6sZLNYYcwKhsw4M j8ni1apcfZP/Tcoci8ChFH/7JxsUGxIH1A5/mHmIGMRhaDu9Vkrq3UEDsFiBiMZa3Bzvd5pSwddJ RlUFpp0FglWhL0hux+9bbkQyy22CpkKb7xTCOMHRkGhr4JhW48FoHHkBrH1qtLoao0DdSx1kPRza 76PLagoTBo56XwNvmGZtZX5wd3HgLtJFK9FsWBmWVGAY6XSCVLxI7a4+BcV4xlA4L0ZeU4TIy+Bg 7p8KIY+gKrArCzBZ1cQqeGR1sNN3A2uaTm3C3gKBaf61t7i1boxnG1NbdvA8lF/PFAvHgBvC8jKL 3NXXx6DusGT/6LtkltCZPFtWT+1lqv65l489BDbQjSfnW6AZUwQIfqcQMP0WeTx/FzkY+y7T1YMa +MJ4v7fGQHmnVzmYuFnZJEPXa+Dkdni47Zi175TpVDvWDurRxllWxkTaeOeWOgzQ6hqAmHne72J8 2XyYP+/syO/Cjz9nA2s2ue0C/XDKqjbN1vWnoxIbXaXof5hpQSwdOatq95fr9aWOU3yTIYaHgs8C nNDwksLt1rgdiCo+AG2uG8+kaLFZUh7oc1+ZvnsB0mcHrvXUHf2rid1uqUc09CQ/zdkce+qeH0yL uykE+uTnYcKa4bxeT/vqz6wSPURGzpRY3GZvvuYuZAiOxQp+n4jFf8DRRRcn0cPB9Xmbx/yxR9uj +V89HqEZSNNxw91TC1omgMgHuy2CrPnP0aPnd8eUbIXEXqq+PX+rgpiAI1xZbWTt1zMPT+S/Be4/ vp2JuxyH6PKi++ToEPr/6MqxDri0ak+1fJLsqWiH/W1wgeXsl4LHFoBCH7XF1YYjNYT0a9MCo1wc JAbsLvALrk26b6+1/QeYozgVsSLCRL1EyYrTLqQv4fcfk0E8jsOp57weBVg/zL8PkJrRvIe0DZzN Q7NgzrHxFYcHh+u6oPufnHTknqwObXmdErSWmnKXc5LwZuFFglqRtfAP7rx3cmvXfP26/SnT+h4+ aVfQGZL3720JMvMhBt0dlmh5do+0eehMilwOFIOuGopXLmDQRrdAhkOjku5JjyvE8naSa86Mr8g7 xFW6MsEIsUsVxbxTcU7qvOJbTWnG58PdffChomynaxasMckxFfP81fH70fAGxC4p2tA1+elsmi0A dBYeRFxy7/CROShq//DrmYk75JZTBl3W/JHDgTKvGxOwVMtQLuHxMN3eAaohvVYk2JrtIRM3d82u R1GZcimqTTM5VM4gnxAoyYazqdKASxTd8m3SJmA4NGrqDw1xXMM1fpAYiPjhaL5S/YWROSBCw/ai icDp8FN6TMqAfsuGEieCbTyYpnKSjDcnIDZt5DmJOAXXOFS/ktzcXOhJjutbawzgkXYcrvZBXxOn S1jHLlxe4CuPIHyL1P4TukgsIWEzjhHPOhAkEO5ulxAVsNDXx1EswgLzrC0+zql6y2xRztaPTP32 0RG5CetVKeUt68R5ynPqCUa9pQaOuIVVJVVnGu+1gpbVEN1n1fp0IOkNPNj5+a5+TFDT3D963hdO w2tCSAdH+I46sIxnHfp6ME3nVlx91HOl2xHz1VyS3Uxx+uAbYwubLK2CL6oV8dAM0ou7lVnynnkG IZrjzHfVVzzBL+3lw3GedkMpVFXxxD6gRDw0A9D6EjU6sc6KoXZm9lCbbzbDumQoTJPQ/ofjD4CT t/iPEYMwOffs+U4AZ+aL401WOuOq8nBHwB6RAoWi6e7jDo5lL6sdgX0pmJUdmHBkJKuR5s5jr7D7 gFYfVvTk3u4ZD4aEiunM1J4nYoriPPrsfg4WBo753Fpha6eqxiHrC3lDv5Fk6t1R+6I7oMTffxZA u0fsrfk/fgrIkFIxh3KzjYgUEJulfGQcZeIdtDxV+iM5FZxN2RQsN1oK0V66fbFptplXCw3/p3I7 S5moJK7bVnQr5MdyUZAMnfoZ/YbdYTiwG4+OM2U243iINtJ5ZBfwy+sUyC7Qkm/AlWsQUg4LuM68 AuBerFOcWMuevoTvJxF90oHQ+xSRBeJzaqvDEPuh2bJK7dr+EVnSINwCYHBTrNu8i28lFQ9Qn90X Og0UwNlFsjyiHcTdVYn8ztliJ/Qd5rRQnPshhvFv4PGhQxWWUmEKQsfo9INkxZvqFXa0iNZropLG 69/8blMpM3DdCR0XRKC4hL9anSAMWlK26PZpfDt4knSd1Vf0ww9vUVCUVL6P72bunOu/p2JH2WZN RZE1DQO9kfiIO9TfX97PLuNKARIU5hXyGBSjmv1Lp6omq5Dh6cHJFFGmygg8lNhLgPbD+RfzlypD mAniivJAjAEbfrXD0XKIiHM6cv+WbhW+c8cznH1ZOfY0exDTtHgeJ1f3vJxa53kBI77SJOsRJVjs u6K3hMC9fEEoEbNnHHo1pJlAlk7hcT/B4fnUIPKiMk5x8kZN4lOuWwPjcnryk87gOnHN3DeBG8LR HAfY2XAyCotKrenkJWqrUByq6OAUzvjsi+QEVSxHv+G9qB6y074V7nTC7ZI6cOXuVEpLdy3EJlwc p8IJEUgrGlGUVR3zGUluCgCmkG6dLNkTPl9oUNdlGHaXOOGBENX5BWgC6czz2UMNpnzdY3hVRKCx AyyYVxThE9Eck8KeREWXYYCqEpwrCU35YZGRXTYHF3KhhBu4iDVP43/3TGI4Xmc/fS7AKtJqk/ka /wJM92q1YYY19McI1lrFYxebXn4KhM2YgUSx5LZhwiidDF3WlskBZ/XUiUgMAdUtAnvPhi8rePPT ad3545W1BZfbggK2FdQ6EaP89qH8UXDsLQC2LfBCq6bTkIHvntlMpz5JG4RkiKmMs47BoKrCFoe8 w5NqunR04g4GodN1COHl4Pa1sqDjhXhl/v6wU6OQcGPi8ZWIFmQMDcfWpMhLCQTPiVlyFGzztQMO 1t6SU7YUmo4fIrZSdYeKIsARA/5+ks+PEUjh5yMyieiZYVPQ2ok2s3kwzXIb0HCzYOTEDl+s6eIq Izk713jt2DGExTh77ti3MtfxfkYtay76pE7C5Yv0wGGtid9MifwBV2U0rNe4rlxK5r1XSqEuOXit lErK5ogT/FicgTAzx7vd6srCN2YlBpxZAuZPY8PckyFhiekLaA5ZKTzAG+Wrb49LVrNoYGKLoLWO dy5F2t4UcpcYYP9PzlvpNOQZcYT2XbyRp+olvPzpg3vD46b//RduY7SQjY0rqH62JYj6zp4CTTNs 7XdevOkCMcf5N8/E1TS+2cHCxffVVcqyUKaxMk3SULWQUxmqJyZLxOM43aYmZ7mXnNiehCETpHD0 3NXXtiPuRX7TfeOknc+UV3f7+CK//LUql+H4eMEp1TEUnadPdOo3FljWQSCxs+qzBDGkOQnr8L9L MWjEY6afqQCLbnc90CZGaZsvF58eArtl2VjRbYDmjxIyGiVqyNXux+PWioINNzON0EvnxD9/TT67 ra4NQQ/arlrkCoE8LLm/SQP2xAvH8gDyHOZDDI8Rx6o8V29KKLuBa7jTAxrjC3nn+7gTYlCSIoqJ IF7U8yficTJ1O6I4RVd7QvQTgBMgAdrfQadaO3rsfs4HUgIY/QfAtoIy7KaayCw6G/ctCROjWKqQ neQiju8g8k8cOpgZozl3T51Cjx0BMfSHNin5oJqFiORKF86I8z56Gk+alyQ8yBut58NJUr0OAYU0 BX00I7GmjI0gw9cIGRrlSOHU2puy0HygD9i0+ljHFi6Tpe1gKCibiAcSexeTb8SsS5xB/E6bXSqY 18W0eGfn9RoX7A7OK97xfPxCK5QEIA5LsRn9h5u1AaMOspPiB7gD+wH91W8c+1btq5RLG55hnztM LI2NIMywVt7oQJogYbne5Cgjyo4TV1U8+CRUYUISjdQaIGLd7AMRQ8cqzJALIsn3WHyuAwMbF2Vb LdgZ9UVboAHRJ/Ga7q57fFPc4pHab5iD9LR7rL4M4mxw2b+gJYLVeHDuDhL7X0LocxMfPtRLMCqx r+J/opcpI8IJq74lU3cu+bpb6yQMriS9vkoATFP8gG6/SxNnY2ezdt8YOMQ1tRlIu5tG9CR/mSFF o4V3VdoUuDFWG+UKQnqAMDHIHq/LY96y8jXyw7O6Ssgdl/hBqvGbFmtOIpYjZj335hHtpoqNW1cp luNjEMzbQ1F92bG+sy0FTXxPbLU4O+MWIKTr4eCBlno6+V+9fPgum8fF6GlaFmGLaqT+4z5+UyLA X/d0O4iWAK7Ht/+wqEg5UNeYEbMS/oyODcKFYWxkbURpc5Or77LPwHLXdxxZgA+k+vAqIc56tRSW Qa2qsJ/jr3cuUc1iBWEHvAlWHRrSwTZxFcVTjU97XlSEq3M8KTibqCg5YvGS0KkEinXX3Rff7AlI zE1cbOHNqLOs5CvywIR2oRC7SXOq9ZKCffIG45bbbdelHEQU6hdEJSi5WDp6UvWqoZL9CghTNSLD UoNEKZV3kWeijPWvmL+CBT+bgnmhsw1H/F8PVzBF4xBMSPtd35s2KYjJonvj5xUAYSMUynyP9sOx 2PB5CuU12vuOvCbnExSBo45KazwGplb2oJaetPgFqUDq+6I6sZN2XYWvQGnN0FpuO9A9WPANovMT Ng6bzd1z5gi36fQEZ2KTOWqL47lY+OgXmgptvIjXaCJTd28N+kplJvL/nDDn80DTx1mIYdl1mWxD jkakOFFD/SdUbh/y5hjiWw8cpMdL4wCcFvcHYqa9ubcSLGXGhtxrUhZJcsUElsREGaJVddrdL+HG MY9ww9Rzndu+cVGHCEVZq5+hj5EBXmRK6dIncckmm6DIeNu6TYiOzQoYklAMveRs9pQBxomnCGPF pIKrPPKHuDvIajEBIvejjvXDQlpz6tnFdDU0zPQiTKscHojEGK9EWc9KQnZChMss51KOpFNS8H9y JrV5F4C42mGGBaiWyvLTGusXMFWtzWoXI0L58bJuMACs5SqpFKdJQqlIOH/m2cOtPTSkq9ThYL/j I/MOaeRJYH2cYQQaietjxxYF7Oz4H2z931+rzJxwOy8IqlTnHOzgX7crZC5k6rHDDbEdPoBg4guD foJh/zqGuTV8jBX9hWPMwcrZdZufbadSAm7aiPcnaD6fH/2NeRqc05hdjcEXEEsskgm4qwO2Dcbe A0xZAqc31wW5CeiTAj+WnwYryurA8OKrbZYk2fuDLyIOcx6fCbWcBqN+lCuFTBm6Ix4tN9Pr6v+3 Lx4AeJdSyr26kfq5NxqR/nXZkNJuftWEt+ul7cqO7GOILSleDM2s0B56i6ZfdU11bF3idvDvBynH XHCYZStbUxowEVIywlCSHEhSy6GcIcfbyp2q4S290IKIV6E23B+sGkjD1C9HtNVVfhnBj57o1clf Q1d4y4YoduYc8Xt8Ipp7Gj5JSMpIlnQ6McrZHwmWbw5BHWiLsRgpvEUmlez2AaX5SIRKLjPX3bW7 USwTmPpCSYZBq+zk070g27p8vRu+qfbCsptRhxEEpNLpN2HmPoacZARiUYYsq1g9VR6q9gcbQ+Eb 28Tbr61rmcNxc6sbGv6UGPG9wuGUCZ2DuBb5iiDk4PpDGvVc04d7HjXAONRY59sd9wViToE+LHuh GEnMEdlNNMzRYcFVjdqCPPttFhE1LisFLBPOmpkBPXTBEN0o9nbfsF25mRXjZLB8FFIT9mhFbH+n 6tQ2bFakL6JeyzhAOx733lmzQAZOR7E0eTqfEpaionvhtjS/frLxlZqxUvshOuztc0KGmdQnzc3p kEm+fPGuObSIfL44KJZ/4Oyp/+fwcJlpJmN94HVK/chAKbdPUaq+1fQ8H+SmGpsOdo/ZGAYS/pBb mRhaikc5whPX9TmU8vaVsuhpHA/mtiy27DcJexCj9pKivBFAMbRPSJZWacVJVP9ZsUw4jZibQZSK /watN89Hs5msQCknhbcNO/zON1EaE51qSBf2K4Psq1sBMbaEjpKayRUtZ6lKSeWNxEwN2Qp54ZwR MpGFa4uYuh3FqbTQ/c2f7YqGlJDgOCwkISFrV0Ebh3nLG0NPRm2K/aPRPYvRjA/KN0Yduv0c+9cz BWDAl3fftS4+B3NuSoUYaIw2XX+DHy3MILJMonUOZJUN6+HBCy32WeON6iJLks9UwdbCL9JYoFmI /EHsxKGhidW16bw8POESlywvU+B0xPKPPn4SFL55S5OHs++NP351iCa3TH9ETK3K7mLRGrM9PBvX rikE6TkmktNotsnDutFZvdvyP7p4pIjGwuuwTwHKelwu+u1UpS5VhSAuiCoo5cdNfDmMAqMBLvpB iEJQJ6jmUSEWpZmwv+Pfy5rQsyyuQcfmy/BOhly02ZdZkKhFMNFo6q5EnHMBQ1jgBj2hUrf+9r5/ 8SQrMDtILWFBRhZCFAHdUmI6RShwQTmEvCOqsw05K+afmBnxDg54NjH+ff/38hsAyL0mArIbzqpF HsrfSzOHPZTrN4x2BAPdX0l55eFN4eH+5xcFdn5wveQ8GBYcBDfJZ5UQJEI9DpKDUqIWrdlW5yT6 UZ6VrUSjh/IteL4W/YmpJPvrYJqSGKulSLnZGU2slW03uzTB5SN6vWXZhsIEeyxRhff48q08gH/z 7lnCe4tv9wnDlTKyPVeYdsxWZc7+nX5LdHlmXRNXKvY2sKPf5wSPeESebpMBxnX9lY7aCkh8i6ar pbBNeOIJabDYC7qQnjB3d6SQzfS5fxxHh7vg4gwREOj+l3WJME4o/58BghCszRNj5CiNVFGLiH11 ldOhNKYs/wrc7bjMRcWzaeqH19r4wSQKTrnl2BwshGdJWT+6I/mnEpR++ScCJM45CfSH6fgV+d19 MakN5QKs7+nTMa/lOCppZBoGVaMlyn+LNtNfula+K60BdWxzKEHQamP8CGFjXCi74/Gu5B1JOpkl j3HS8v7k14fGQsUhj5Bc3U/E1Qu+0Odk3+ys8nGcmC+8Ja94Xrk1HH+Z6BXg+TPS4Ae/e2O5RMHp Dx8CG2lv94QykRbY2G2ZFpqWSkLhbvuG36j/KHjBNWuOrgJt73yI+wkcpmhuk+IFWJBJlShqzomB KNKGWv9n/OI82KB+MMue3ro6VFqFvOkHfSLUHcNi8T/lqK72DjcS+OmQA8kluH8OiHjyUKzT4ei0 kbBhEV/9sbkcbmeXlGDCkppXNDybrLKnbggX1TLoAqD03rgkJS+Y8GT3jsYnFo0/dKwlLyWsvxRc djG9PfQTmkufI1Qsqu5nu5RI3BPqVyp4+12Y9yRI62G1MO3lBrNzLLlnkQq2lf4IgKL0p45iC3m5 mXVY6PA7dbi9jxKBJ3x4+quTJ8atqHjh3FzRrOLsrNB20WnLgqd165rLxR6hjpGmPWHTxJUAScg1 +a9NNOU7cpyp9WP8jyoOcBwPqT0nxgDRE695BSAg9CLRH9UY7gAQjQl9OCStPqxAmWRdUAgKdcrJ zkBaRyG7xMsMzjvxdluUHucm3Z8CFTMcQnwRb5benHdgaPa0w6FY7VZtEJ9tmYHyqjSwrOd0WiUA tvbajqfRMY/JMu3bLuN93xvseAPzgHDuPESy6sqZSl1S1JaOCOnBtNtHShNNmnkqrmzYvKM7IO8t cfuLvSDfe2XAuFEVS9Pwc21XT26/SuU2o1KuHmRrIjhLDTr1R6XKT4r76aejESsFrdzry9BNblgZ x3WiLZth9TA/ngo0ANLePOVB4R4JM97pENHNOlluGszcPeRW5i1ZDwUN0hoPsvUvdNVX8gU5/j6S uXsStbg8Tx7zVZujLWkKQhBRGbJwPUSNCZ1/BdUHNU3Ee7KytUtqKMpiE01s4q1qT7ifReTE29uh MS3Acso3mAw7z87frvNPIkidgK0D5/4xowDka+wb2l+5jvNqIjilPXOy/ysTTYuUxC67KN+N9xJ7 jjCO4Nc67CRpr/OFcZJcdpVsy40p7lat1zxmrRwbtjJWRXQtvvdMdXY0+7IYvkYx1XGvRW8ZjWUr 2+r90rmtUF1L6oCJEamYZT33dnCO+BHSjcZEAAXJjIKsLRr7r5aibc+IYU2nbpRp6XDWEBdM6PMJ 0jCkVoVwnV4Ee0aXnyKCkGEik92NpxVUXEJJx4L0L1UzYDipDNYuEK2TZl/v1Ss69K6fyhguMRYl 6SQlfrWk/nHFlJRKhjtqHfe6Fk6On1hxdHUu2YPWGD21lQh393JDN/bBgr9a1H9xQDYPDULpp/+5 q0UYhY5Gktpx/9yFxC2A3LJc+UiJ73JdDn2VomMCnN9o1pbCvYsRIEzASgxrVDVlNef1xWOBep3l hi68YO4gF423wAQqJbKnQ4dy+l6g0uJfS96NqD+nYk4N/R/zMIY0dgfakbyzBDckpE3SurjHHPLu 2P4JQy+OBidQP5yAVdJloviHhn8nQaLqoSzGqQaEtEKedhbYH4PU0F5qjBJ3iYzhkUItIThR2uP9 UPmuuf5A492xdyr/03VP5iytrvocS2SQ8XLqxhM29yW1tF4lwP9670QxdViioNrK8I66iWILCZx8 9Kvm339wL00XarG7Tr13dOgflCmOCXYKveH1TSikxtaMrbP3fB0NLVEIePAEB49qT5krvzTpujnr +2SAWNHp1QkGak3qZVO+7ROXAc0tpgto6XPaLQ2irVR09EJcKQ9dtg+wVQPDU81Dhbev4OGIR1dD jS55zVJO7mxeomO7fBpdXdOaj0X9qoNBYcPYTYSfEEOwBIdRh7x56iTBU17ix5GesUSyOKMpLprF b3ZoymPmi1/lcgFP2FhfmdS7edAbzHig5zG2FswtetFYfv6mAFabt2/Oznylhuq5Dv7/1fwdaIkZ 2b9PSYi0ov4VoxrA81Ta80JJBw+K3g+OtCrOPEE6KZgy5DldosamawTXc8v/HWWEtuGZ3EWHVQBf 0iewBURft+eBIAEXU9LaOoWdsZEc++V2JLcxDpk/eumuJZczbfsW9xWngB9A8SZONFFBXyq41A6n +oUW8rIpy530mQn6D1iSDKCNOJPSEgeGqj8S9IrICnPweZSNMlp1wvnhrmkezniPTCIx9Bf2Gxvm KcLbmMA16B96H0e/NxxA0R9zJPzuPnG7qiArJsEQYx0cCtDLGezY9OZO3/OTbZbmBIhYCQ3ie0RA Edcrd5LzMZQjVF0cn67ehaubYv7OSH3LRB70hysqwuOgWQGbXmf6mmiFCSqOyqiMYN3hKbQUCpqk Zy3Ny1rKNUEoSRf9EuK+l5Vxw7iebcGJCyJyKfpMQqPpseiXGwP+Hrm6eQOWAjxk1DTiJCj6bEXj CBUXhpkyoDITjkdpRf5a9KS2hw/4T/jtDmjhL08q22gf3O+KMnYgEOmClEvtABckz3yRDvTCyoZJ 6sal9z18u0I4wz+XRKF4StXdnVg4CD+Fmc7m/VgMbs4E78Sj95LaXhz2vFd5+LXY5oEQpxJUdCc8 QScirI5JA9rLgY7f7KM7V15N2Rk8sp+AOqbChmsvbckJrUCET4Nh2aLB3Wk/9jTZGNrjKnoZkkUJ hNNpLvIxD7LOeqt+25xGmBBYOaqmQX3HE8Ix/1SLn9aIUiNHzZ4VCFQtPrYcO0DcZD5sMWQDGepr qEbxi2O2dnA2vhLXBGHtrMjaKzTtAOyO/bdWf2eVejYG/CjSYOPQM6os775solMiYpF9eHzn8neb P2gXnU8OoOBK5qh1mzWMnAuaPnMRNQjr3o8zyJ9ItwxOBB1st9HG56dym9dwA4RAQIslTs91zxIY WxFFCqlFaWwBv37S1LzgBBRCjrtei+KuvGmb1NYXKnoH07hEGQqk51rWvhvLuCnYKDAza9FfwvHy 0nu+BLxqgWjZxGqS8utcjJQRoyX+RToHVm3hXFel0qvWEmeGorxGSQFVEpyE4GK0rwlrselN9daS 5fzaqrgOjCR3jjMgSsaP8zR+dsyI+PeO5E9P9eWa0n8Xus69m9PRViGF7vdYtzSl/e6RjemaeNbT yvjRKUmoL0K5WapOmTeLq9HhRef/gianxI1zIUd3XHz5tatqr8u7pKR2YOedTrz0/J1YwR6MHmF4 cJoi/N9UqxvcEeEN07KblPqwYLOA11TjYKstd1URj35ZJLwWhyF9tT0WEyyLc7NOPqfNR7K3Hq02 0m72JtWGnx/9IQQwZv9dvG429TOG99492V7QzL81kOte3JMnVzF8RPHX9bVlhWzoc+2WokBdNLr0 quzcQpC0rQPj6248V5D0iXGO+oSvzir/n1R52VcH/jSb1e9U6R+63v2us7LZS+yYVdI9UH8RFEI8 QQHCD5R+4MyIHxML1jbQ5pbuuya1BYLMSjjLMorXOBB056sqc2feLn8lUcUr/QCAbtlJhPZTuNT0 FcOprXZ96UxhEJ9cqjOP0pd+ZuX/WUN5FLoZttyqq/2b5T9KkSwvUqp5jFWzMmWgDSADv24KOZoM TaYjykZBro6JJBuNgLVA3a2yfQdFmL5a+FL952UKR3sLuQDiEyn/HdW1evPJAgoupgr83z3nFDs2 lR4p92upsDhofFtt5B7hQA15Z+unEKadF/k1vrEGEE0uOQtJmhZRXTbWvOJYC5Ksvyiw/sbQSl4G UyIAGrxzAj6uiprAt/AV0FmkiXzHX/MSscCfiBYJsi1/w+a6TDyh7LXjEpqetig++odhTxaZS/4x usUdANJOG2aXY4EIgVHCYo96b5dRIYH5knu+ralb+LN8jMZNSZSGww/+4NN+D1SARowhinrN2O+P aG2RhsDnR4JVT4UEygSccC6HoOPVPOMF1XlRHcPTP2CtM0JlfXPqNdkjImpXakumvzrCMRcnYI2u FA2yCNdQEzKcJ9dQmCFn76zLKIwEEfDs4yk2zU6mVhdjy5sZJUoLQqqUxP2rDprUQPHqdo8eiEpV eh90F0IBk48tts7QESukv65oQ5tIZ+DseTOgD9BZwTDzFjX1jcP215VE0tJWrXgl8ERhfdSOtOm8 WYqxTn2kp+q2i6GDbgl1nFrccCF8Md8y8TuRocIWGyPaK+4Fod7jiHM8rJE0U1Hkc7o14qeVuhVb ihKFrDrVzcyPukQKP0saGpWpaWmBT9G16oPW++T3WqpGAXKtxHiMdKB39TjKAAX9FM/2TvI1nVWJ 5/Xm1R5O7tPumz8/J4p0WoiHZHFFvbaZvQbps9S6xsx/lphSjWI0h2nwmaAPzB/OHxBla7F2F9Xf NgAuRZOIFqzxpiEipWaFJLX6nKVJQ8pkSqX3BvjoEmqbiqOxmcLmBm6m6UW6oW6Rqn0S50zC2Uae eCbNWoKVKk2dsnHJ9dihUOHV6XvUnFDZVM5CPbyNs9qbEQGDp4vloYyZn1vmX43gMV3a7VEwgpUO zNH0iUT8jWOFfoIz5kvCgaGIJ+gxjff2F49/A5D5te+O1SA76sUwCPcApBrh3Nb4CyJYQFjSZdf2 nZZPwZTjoKY9zwMrRoplm9PVjuqdR8ff77DpNydu6wu4xz1WbXouPeFLXucgfXgRxgzvPE/b/4At MW/PryLNC5oIN+ou5S2SSr4D529HV3HcsFQ/B/yo3qm7ONrd1uQY6UgG9pKAMqu4kd3e8vqX119j wwPmoqwnh4jC7uiN/wK1Jh8m6Gc1C1U5WwO3z3JD6KyORsGK1mzc8FJFZsAJznt3E23L0Fykp8Lw MjUlugmGD/VI3FNNW7ykNON4oRvy/9iY7bSz9uRyQZ7rwE0dgmUjMFaI4LsxR9vmM7NjVgvHqqUz mkBWKMgEfRObVCsgloJ+eomoJdO4yhbFuKsd2Ok4KE7JNdi4iqLlynzV+c1bZalNVmEeUUWrjzcg zhnGCckRhnnvlZHLRPSYco4AQ4WzVOQenTvJPCkcZ5i5sZvpFLA3IPhRR/7P5pnFyqGU+5WGtC97 VqZjsFeq45ZMSf/lgyed8bqfC2ePtR5BzH2iybAEpKzRBaaIQJURRDZ8M8ZKLErXF7U2QfmqCjym lR2+mQBMCjgXJgpb0sQJpTWLm7iEwQQ76uwV8Bxi0vjy8IMasi0H/ZXMLgUFCPyW/ilnCZceBcoB Jk6EXED8NSmyO4UukUFkRn3F0B8rLNIW/vf300arJTtFod3tuFk3MwQ7VJhHxph9ZqCmctCknMyn FkortM1x4RmIiuRfCSifX1q9Hq7kHHCnIWUgfVHfgB/pgLeFB3h11MCUjUfVELbFdwiojVmLPdFM hdqTgpqDHMDZrU2rkUTOnwgp+/34w0rSUiLHD263CgkliLe52hGGqFScnoT58R1NLLbk8r6dpwQo Oqg6f4NiPx3FrmWO3lrObqjPwEsxoJ+CNPtJcZhcndvJGP57a7UyjpbowCIA63hRpf474QLVQ+On 2V4IA1ND/Kmvqt64mUf+F+RaeXtQp0bDKXSmC1SOelk6UephhuDJPfqX1BA8k0PTtiMLnb4SwYen fhANVGtF9+mMIsmFDnNVUj8ggRvRg0oLTvA1DlBuPX1OwCBorSFORKSjkhmGXZfQI1MtK+2DzHgt Rv4duegEliayZ92G0QExF7jNCEbAhmJv9/D0pkueHNJAZNzbCXpD8hLLydpylEVb0JJBMvJ51buB 7yONMhuNQxvN1RprUohjzox1CiPvJ8+eQYTERl8Cbd+vxoYum/u5qwFz2xegstyadHkBi65cmlnY zoeSWPonGdfnIF3gVZKxVqUN60VqpvBCjyhDRMfDW/Vjg+ItCJjIPE0X8p3mvoX/j4FvalMA7Qiv YEuJ8JNSutfVRhy56i+7qFEzUoAkG6DScC+wPwGRH5DN4TMtW3vSqQaMPCZVCYkEyWYTZ07imYLD YbT+CojtVMuIyJ0ngiOATcd1Wx8MOz7SUvzfNShq2bRmxl0zdA3ooZGAKHng4OM1C2AWBXv9zd1y kXVUSgwo9XFHoWFoCgYsRkAbn2jftD/N68wt2knPltCS9J6Xsms1lYNuBwjkTDAKpY2/UVzohTec cwRMwXEE4gzdAHGA8FYv5cPm+4cbtfkdnp8lAwDhYvcJbo2RxbVh5eYBXw6RXrxEu0vowJtIEGS7 18pwRJ6JpKuGlR1Ed1XZ3tdsL5etwUBm1ekBGJv5rH4lI2iOLsiXVBRk1kGUkR3Yd/rZZNJ52hsC 6zkz7rLELUkx4EWz4U9fwe+KepLYSDBK9VREQXtDaVzpFUudKwnDnF9gUFsfJ5/qLBH0nmdopHMB 2hTMXhKAGoFPqMkB6OwimAy2XDxlLJXV9BZ4ym6sgc6QBtAaB+U24W+i19nOdi9m1A3OEI/H3yet UiMPCsvhtABcjhd4VZzIWGNGJl4JbpUiKua9wWqbsiXJikeXSYzvsM6p458+SvxD8/w6MJk0o6xp rf1D13diyOyfv10dSgkmuElXPHnUenTE2yq9skf+6cAq1Hy3MjxOzOgHuWHvW828H1D2kAbNLcKU PPnOaZUXl5E+riq2iqAvSLvU/o7BC+yEveORk20tfs6+l/bv2a4bwKVTPrW26eOXrJ/YMAA8nnai isR88bdPI3CQj1PeR0U0URW6eAHH4e2wMbdoIpsNVeb1Hf3tdO5tBUF6i6hhixm9L9yr4NrVM53e 5IuecaObEAUbBvgSRum0FzVTagOYKt2hKbY8TXO885OXEbeZ/Qy3R89S0UNc0GzqtKOEWsaQL7WC PXwR5H5XFxEDQJ6x4R31s2BELfKTKpBc92GhQbEzeqVy5+ikoCfvm0kHe6O1tLMkTWMxthOhi0h3 Xo3zfoSx/DGYP1/VIuYgLzndPyLGMVCURyLRf2hfKeb1gs5mQsO5AxbiH9EDO3pIyjPyPqzHLDds Ssg2NrsRlXKsQYR8r/ebKHI6c/e+uhgVc3tk1iwDTVrErEsC1mySbewT9xLR7i9yCD05hpi7EC+G RC07tNcrLmBE8oKJ18TEfdOlVf7RfQWuHWD/VnaiLdMdf8Aj9fMSMj23YYBeTt8z6sK4PqwokDr8 F+FHgakusOTZeE5RlWzXSKuuZTcC7ZJUUBcUTvWf9w5Dp1KLmCsrFGleT2utbJAaARhUML2GKcHk QoQIeHUYLV9njQ2pzxN5A1jlQmrabWzBBhxx5WVYqMhK4SyZwckeUgNigc/3adf1jtoaEp944Veg cyhZ+wK80bP5jnopZttRn2ziMuMtiNmQnR+/r983aV1+8enslWfPv1Z41qNcY15Du0AXZpYMBnT4 9kPNgsxhzJ2TwZjXCE1bgql0ZIJQBBWkGku33m8NK4rq/ozT8nKcMQ0EVkcCF34gUUN8IPgW6p2H Zu21p9o+0IoaQV/cD4Woe72EoEs5GbovHfyFynX7MtIGC1kerXKQ3t7fWBM5WiItDY0kc0WICVci OMvKxHlhZVGAOUhz7YYiRSb21E9ekBiCcnk7WQLrFvRokUtm+AEHqcqnHBXz/62XvBKlqMMyCzR5 VZVBD9WlLI34qFFK9YbAQKpzhPGJJv3yCn+IXo7QxJfxiFVauEe/pZ2f2LoTmchbRAK24LicT5ZA Y05AMEYQHPFHKmDDAPVPFtJn0lhJln754xOgr+ozc5/utO63fhsFh2gqw7POXWuUtmaUTUWt/Boh UDDZQLLQKQXiUPQv4IHOE/b9FYZo7CCbXIl0+1C1lLx1eGR22sEX/mCWMEz7vUNnX4Eld2LLb0Oz GYWrDfS9Fa40i68BN2wtsIVpUzEoWRkIt12piUTmA6FjBVOGin/CPDVzueZDk08/9/zgMz/iUwr1 dhJytF1wYEwza/C1YjUycCKngXzPs4Yy5pB1BWOC2OaFX/+kE2oj8QhZJPQRljsmTbsuBmn048Yu T1os9QS6JASZZRBXo0EKY6ZxvMyvwJ3XhHomXULNDq0r72vSzt90/1C7+yInZOGsHNnojd0y0dtX 3fNywzD9uI980E7CGNRgBNT367qQtf0xLU2HIhDwyZp77hlx3qqoJh4crQFKig+Z0nFC4ycA+roW XZg07sNNsknD+JeIZRgxIwUtaBnf+atWVBlSXGOBQMTbyKkFrgyjqxpsOHhJf1dl3ybcG/qhxVRm 01Tk1nBRiZLsEHa9zNVBLmOhuIkeEEi2m4yj3vcE5ri4xIZ1a+5jeYdf2ZRcoC9F2ptcjb/P/1kT DXwWxWNXZQRLdozEddet/VFP6G4MHw866Cyjl+ly+osvdVn5Nr8ODHQeefvhAMp6owi4Z8XV490F r/+j0lqyigoBkQc6GrgDteCGUB6ErxnMWVParlxeQiIgN4zJ6AIy+U0ZaSk2ehx2lLpJlwrwK1Iu UsCZEVrtBJANfMwr/jzXJOiwdrd3q7fW5L51Y4FNdQQaurnK7yoTeJW90dhYZjLEc9oUlZDUydz+ Y0awyB9uZPqlPJIncXDUu4/8evUCPYTS7CFfYdVXkkPXzCXgt0DeSxWpnYC4ejPbHIkygBpHP2A+ dzBRBH/NqYdHsoNKKrMpY8K9z6dTmwzpXk6p+flmzWjJ8z8nJZ2uTE6+pBGaNaNDIa8rABySaJoW afoc0yFgKCAsA+HktP0/GZx2nEiz4jGAymey6ziAUKph2JbHjfgxFi6803yYJiOON0KOpjLAe3r4 6V5VdKEEb7XjOrY1XPcJfoVZz85pQWuLz6wSLp6uOMZhW9FYvNAGWROeuBvDbZrhwbYASPZDVipD ocRLW32TtqGBf3rO/sIxVgVdgwio9Lm9vcEGo1W9DLjlDDZaWeBJIVorE9jLrXbpMS5+uLb0RLYe 0JrgnfvvmWmugTbJTCNjnnuAvL2PqjFmRJXmvcuLpp4fD2zE6hfJUh7TRyh7WyBV9sqTvDpdwzE0 GsoSuuM0YgZWQxesl4Tfsky3GZdd0AspVf10RORMwZf2TrgT7kvJDKpW2Lzdmn16XKVwJkSFggVe jIl8RQ3BsBscXylsNilNz1g9CLG2O543qLLcm57L2PcmVsXGT00AaWWxKl5W4UHmZEfh40SnDVaq Mn6vIDppn5voi33yRLWCoT7wKY6kqWXfHCU23dZM9xHbc4LbclwZIboFMyqmjOxxe4pDVZUP8mnK CEDX6P30jYwpj/VwQpqYEzaf9T3SUMqEcIW9h6HTLhfreyNtDF4FMgPwcht1ePBbg9TGNTbqv0x6 d5BfpGqEDtuBC+d/ujOeIYYlOoIGGfm9cTLzMNLS0x+2WPGGvhjqfK7MToDNkYXM6yt54wVoxNXW 3uiBv0ldWpjt+K4bYMxNIWTWzDpYl/lCNgiA00D7ScnrRx/IwK9NeG5zyXPOShnBYNyOMJ1LwV/V Ke9Rmvp/yx+gJu4A4qpBhkQIUNNbr7BMZ5XnLb49Yl4MINI7ZKfmSckCbj6jZV6achPtjlEL2TLD u/cD1hyPk8aQwy3v76hvz+5EnXV9R98SLnHND+wZvlsNJDkq0DBa4uL4scpTDlpOod/nWmxKw1l3 cYl9dVbB5aBErEev56Sl43eYM7KloSCy+ARyOIH3v157ypVwB1K495zFNsfJlQc2y5MxNA42qELE 1EgyvkcGpiLvMcGD75A580szG7BPyXsetgl/c0fjrq6/Sw5cDmLaWBvswxSyl4Rn2manLOJR1OMR XgUmhKvtFmM9bXR/EWD8ZwVNrY2n4TyKx+TvPnzlBY+KtL23x+xnTdFozEJt+0r0S7K2UEiRaoVw o4o6QnkMzgcA9m5GOZTO4GeAxyerwiB0GHAqIQrzRyKGOqq04NoJCiwYAPySUC+fF5v7YHNveXLI SglC0F5bv+cutzIP4iGiV2mr9GxVak3cABEEL2fFFScl/Im+7rcX50T7Z46VtmdkJ32+aNmrkye4 J6Dx7cIcZN4H8EnEICrt/0nkmfnYHf8GhA2XscdvOjOCUZP2uTuwDAgS5uHkUoERZLtAgLk225ys kWkIS6aVQTgii4W2VZMZikRtU1jodMSPK0FnRchMihm0Sqfuvf7y/gDhyp/s+RQZ7eESdAz+MFLa fZRhTWiUMq9jycnpblWCg63tFR0TyitT046zFWAMKf4P5LDxhdQwX92IVYd/maQn+zLwueUFOEyu tpeL6vee5e6VZyZMt8B5V/D637/ZnCPWnesY8Xa27CwArfhIoW4p4P4bNlEvLUq+PoKHdMJ9LXJl JyYCxzv/MEZK+Ai2hSfr9EcYbyNHOkAdCtBDPXYPAdKPeIPBj8Qsww9tSjx4Gf5MSyU89+uxp6oR QOIJEsKiBa469BphPwiBcrgPsd1Qvx1pUGT1lt4e8P1aYB8JJqGj3c/g3TzWnZf+5fQDpiZkLY/b /nsZI8dzymrjnPDBp9Sza0gUcTTu+nLICocX8cJ1jegG8HAwfKSpgDfL4WqHHY3d47pyOP9aAqUX pCyazadE3NH22N8n70RoS8uK7Mr5orBZz1/PMUMqVboFOANCGWpfX56H12fj9yKbgUGU/LoJYBCs 2EN/cAa8yPWDVEYjP2ldjDa70PuMCEuuJpiQQL6B7TmpnvJ5LHdUDopkCbBR6z9L2G37HXrVQZ69 IsKzkqt0HdOJaMnWDFbj4M65tKG31yluVUiy0ToBxHPJ2xTqNCFE7t/ZHs0ujfqsySGveieoXgIU wcEQaKsSJMUBNFwvTqzN/30l204QBYF8jBAokhQsGT16Q/7w7AQ8FuTzM50SRq2/qYYZCfB1xeif p8icK1lkz2F77d0iY/9yawS6qeXfVNK//AvAOgonhCRG7ERpyY8JKuL6XPL2B5oGS9JEhcxskAw4 RuHunxGzMmYtsFd9N2cK43D1zK+8yQMeVLle95x6ECDfLeRlLlcgyxriWanBuML8viHlr9MVucJK Zcj6s15oiOdUGwV3l42d8Nr16ht8qi5zcSPcvPNBGGr1KKMFREaRyDHqlNRorLnDfj7HU2nmeVL6 cnl9BdYr3ILc0sR2cpT5e6IVpwTJZnjzhsxIM8A515/qIBlm5b3zJjAb/SKY2cYjQNw9NSNWUn7j vw7wLKr6DFuAHnFhKBWvNhE2vKTFaIEeGF3Tvwjqd0d/maKKEUT43YcQ9R869zpKTLL7YYIzIpM8 x79gkAxSK+TW+qJ+dxb78sJ23U5G0hJaIcx+zv0Yfoci6K9bKIWDv+rVlUxHLBYi9OBKhtfda0jK WhyBDQbk56+YJS4xJ5565Ctd/JLTd04wL5qGtf4rLfZ0YZ1C2nQx19ejUJfPzVKtyoHkFd/qzRyC eNEiSmY+tmty6xlTG3irBxyeRnW2ld0yA6YC9VbaxqkeqCEP3e2yQPl8BaXOReVYleoALCJiY1I4 GpJvz4Ys47UFiWXs2ZmPIGk0F7f7TE5+CkpIudcqDVp0/e/8pLGwjBeAvybPoMuCbDd82wzQC99/ HXBZEzzc39+HWwaDbT1OlIVtnm8Gl5eLrlYVoXSn60PyKPfoRKw5sIqUnWxLJUBREzEel85kJ2n1 1MtoUG8G6xHo8R6sxKC8L6P/9CM2WCH4znbCI/oroKRiLkmlM4etfYV/+y/gAC9TvcihOgcj2iRE 1+7an76c1lg3kgHVdABYxMh6nYnG+OJ6qOqGj6ucgbgurV8u+qzx+IDgkZmlYwn0wia3LCvmQMae rGlOF8H21zo8sK1pPWqASNWohjdv6VxnkA6fL2/3klN0UTNdQ9noPlEBWYW68SxrOyk32ODpc94Q wbkzviZZBVI+DNglYMacJe6ZcM4LEE7hvL89hRmS/TxFlQYWYkfvQ6QrAkTK2FXW6nYv0VJE28H8 OdyKcgatG3+HXCMQnswABoQZN1pvmtJEFTLM28tuT6GpBNIiGGjHl1paRuoyvaVbPgAHVrAwsare K4+Umfs5wCteVtdQNGURAAc/oeuxi+KcQO/Y/OIISjprf3aiturDeoA/XfgszJjQ6bLhbzeTJ9Fg /H1IEt/Lwipgq8uExwXKS7te3+z/J6flhG/ZL+YHnjgm/c2nFB9q09MLVoXgtPIF22bztpyV1Hpd G2wIJ7K+M7fR5j+XfG7HXRN2Jm8xR8rSovh/fLW3kcbe8Ztgpu76qxpmRWoIoJAoOeEyQ5+L6Mdn cYopETpw3d7IpaB2ZQ1/SOlS92Uq2n5OIz1Jdd/eqs3avAPp5s8BLTR1Gs6HnhNJq6P2ZCZBW0N1 WGoXsk7RYuiG8E+rMGGDA+SKWTQYfrXuB1vmO5hGfvSWgW3ytxveXEO7whrT/gsxTw3lCkpQ3Zdn 6Jj+zhboSN9XdUcv5lzbnEc6hf0FZK5Ne4rC2lspLyCosm5sy+28N6YH1PMca4ivRsSIvKNvyljo ZcpDEhcbYwOBdPZ6Hi/2b1PNOHdKhKyl7bA6GoMizq9DO6GASTTMEOze6FuhVxJ+bFMcuf2Fjyb7 ny1cswWEaQQrO6i4ERf9aAXjNjNuxVq+lkO4CHIYo65FPJgRutx0MtNw5yYDS04w+UOV/j0KzL7T zjeS6uMgYtBk4NEIDRmSvcKvJH9bW1a1Rc7OHF2Sb72kWkIo20fNurDtP6PnO8lxUU3xmKnctZUH Td+WZpzEiRmcmLHfeUW37YmeM2lwBGMHAw55VZcQMWZOMixPDK1zCbYJeFRiDlH7mE/PMdM01iJK Uyb0VMOEbdC04/xLTrvR3wM03TtjRbuXl7AK1kIweK7/0Rhqdn8VYToj/fRKrjWQJRU/tUfn+OFa iFy/16pYEyGfOQ1Zdz4BnQxe2ZJx/+9Jyx8a/ORNcr16X1H4NDietoKoSDJvuhDdH9+9U8xn0bHL vtXypaSLbaggTgEObzZMNXLEp7guz18aSXDjart+UrKs7Pq2Ywa1iLwbNzGGPgIjMXnF+6JD9egB T/6BMd2vwJE3mNQyQcY653kk1TpN+/epvow1PhQyXb6D+vYSYS3EfJFqUzAfZr8DCsCST8y1lHp7 YJxC0tDRmZD3OLMafSDkqz8YBdojNESb9poa8KelimKGKxiMNDzmdNdo3tYtpRKuQqWuWz+mvbNN kb97YRm2ex05dayPqbmvmkD/sb8oPH0aZw6tb1PHB6E6c0psyLKFh47Dcqsp70r9kaF6vOfZaujo t3oSomiYjWsqH1YONqZIYCJ1u3SwzMpO5yHQIAABgC9+ASedTMozNS5LyhSHu5/sSYLI2+GKpheq EkGt5/W8NQV4PxRW9nf5e0Mmd0yl5vy/+wJDk9bw1jueZqzUhSKbfwcZApfNi7xyUoVJ8GrofNBd e1S4yqCX+wfg3ZaxJNWKUUQszZbZ8zSATTQ4ByTOpUXbf6HjuRc9l9XppdvKGdixXK4HqAfoxzx1 7oH1at4gnFXg1kPH1FhvrdcMx15mj2Jk7NwxHqtVKHaDnTFl4Cyq7JltJbWL+tp3a05zp4092ZkS KNl0g3ERBYTKtgF6Y2T5NKdrn0Lwx7mSnmRznHaZChYpmpZNiQ4ZP9DioQc1odSeL1lu6cREifmn IzisnqDprtf2fdlrcBn2Zc51OPioUfFwUBXwOLf/P4RTVA4UNdiRgUSBwT7qfhQmNF15wAKoqtOD 7jpQF37e2sv9Y0apMKdRbLUEtsVG+sstoM0jMqYiciZz1ShzzpJa2QznMx49jF5r6YxsD4oLpQ31 d2queELB9dRfbS/RIJ8bePpE7VYfn57WhW0f9zYcnDrPfzok7DKZn0zvUyUP6HsqVg7cn7U30aGd 6l0MAVyBdiZ6imMJT756MUNXBMm4YSYD0buqBY5AV8CLVsjztHiFhN92zLIAjla/Sul7kr5GLFg0 W8zSzmmdwECR0Wuzi+4jJqYhuMhIXLejiYoysnJVEBdntSmR4jzbcvHY9hFY6rUvsM0TM7pRUxhU uXY7XLGXq0Linuw0PRFbpLP6epbqioEu9YIFG4fFQhci37jOU9eAA3iGjYKBUw1lZsY0FAIFMjRG EzCDv/cMkeQZ4rDRClAJtFKQj3JIoLbSun0hFaw1MAxWOVhkz8N/LklDBGsyK8w0MZgYZxCiu9Pj qyFI3r1ELdPnZ9S6cyHEGvyiBIcwGc2zTF9L5Hiis5UDb6LbmfKWCZ5PIn2itxVgEf1kJZpjKf4f UDHb9pRSOFFD190qF8+gM0clbv/qHK0GfL0MI776dcpSVWJEUUCB4QkDDPV33SDILeMorHYSCsU4 O3l21UefUARKIe3qQochztjmP+WDJ9B4NS816wOEi3fQSFwe0nkOmZ829GUWT4oUTZwP7QonuPOk M1V9bEcOD2rJ3BAwNqZymJWuff5+S2KWxDcIJLByMjVSKLYVxgH4M7zkdzwQ7ChxiSWpzNPfH/ge NtUjsL8OyypjR+4/d8hQ/XPvlNPW5eBy0pN+Ljzhuj2bS5vsRf47rS3Oj0FonbIW7iu1aEQriqui 3aG1aP4Icz+x9xCpzaKHvao9HoFwnaHPKhbyM3no0sBUNv53Dh7qoNdr9XDqD8CIGAFFTBYGE3kD 4uTM+JqltVlsAwdobQqIyppEIsgQ82sx7S+mWubeQThrvN9Tw/8rcoXzPQ4K64nIXNDDhgjtjU2p jewVXtpU0GbeVoietwVTktjk6aecKNwVfMyixk+RbtR2o4tIuOnYMo7XhA2NsKVdpnGTvJ++H2AP ZDW+4MBCtluL4Up0mMO8yoAPF74ROPlvaR+ovWTZgpIYzC9E93OTx8IQ1iwXOV3YuZIb6N3zl/OJ ZArceCWGk7gjmmCqUCh1cqVwlbWCMsIqy1JPBunKJkTFwo7/ukkXJS/AWUrQ9s8ViIfgSe61t0A0 PSkT8v/L/eEs5sHCC4UinMGMDatniXxUL4NrHL8mKXXCJYg1yuoMR1tjwfTqGbp/zlRR8qiXEEey J4SKi9SFrQqSyyVHyatWFHuWb4+hy/687Fo59R1V7u7QR7h/ymVMibpK9Am8y7N/ryCIzTGOu+ZJ yQ8woccjuhVNT6a1zCKzK+3xjDFeoB5JZG5yGt3zD3HKmxrZmKMjC8O+ptpsbAT26eDjqgVFLt+q UkNoaT+Tpnj4nto6RY9S1JWcMBtxkF3zjPzZ+s6736Cdk99v1eq2+COKxegciQjgcIiDzvWY9MAr fhmV467kxvvB6Ivw6A5WUlPsaP0atEaMxAFiNbW91pOBz+lwqI2qMKTVRzJtkWEnacmLoKb7SL15 /u3tS6qIC8AbcDhdpPnywb130b0lWPxybahadCFJ+lbsjcW7omRktCwh0z3JDVVQdQjYDZ/f0Jxg nnfO/YrC0+xfUxVIFoxAdiYinnkkdCgBvy+b8fW6+RiQiOG4crp75m7lnDtytBhAq3YfLHMkMQIl DojsKoM0DBfeU0cMcYe1tqnvXRQm2PK6y407Uok74e7mebc+E8Yp10fvV8LnQS6onk1xiYxJyORP OYLhG0/T0VgKpG8MuYezGdamfkXiAkL3w75Ojhbo2K8Rd5Gkf8hy927zcQO5dxxVTlOlUOfF/Svd 02TxvwRNs7/qdOJ9IoF9+0Kxwp7kb12MA86a7bsGBeCC22UFoO35EtukEQVIXMsS/2zfeJCKQuL3 hTLXqKbiOklHyJzTdtCO5qO4nYAH7zjTPCFQbitXjD25qoZ8uau+Ovwdr+jZk8yj+cS27N2YOhBe 2lB6bz7M4CGr/owv3637gerg9ZSUWX0d+TiDjm/GWPdPwW/DKyJ15l0tOIaM6brg2inqrkfUwJ1D E5MWz0UxywKqhsjsWKNkxYszx7rwACoYwv/oIetZWD4Lta3v7e1UDfbKhi8LMdY24PbHK1EK06ZP +QgvHorQ7v88BqPgPq+mB9ezrno6sVHKyXPQFQl2mpDF8dV2v6yy1R7KurKymKCdbKDMh7x9hyzk BPHBg2dhphuEV3IOwZlTL4L8+LLtBDRmQfWicc54gy3twecJjINcPqmVv3L7duLIGh7Knfez8PEG Xcp7CScPHFubBpne7ZrGKDYAWg/bahSD4T7nzlTlUvn2hNGL93YUVBjyYZZAiwr3CupnZZw4q8KD Ogk1o85NCwb1mC3q9DkYJS2cS1iTC9VzlvEzX7c37AcnheEb8JrI3x9DkHSpJtk7yGzvDla2qFW8 axivsvyGg/3WgGzBA+uU/s1794X7M83dqQhQU2mY1LaFObtxO0HZwkDD3esFd/uOcA6lO2JSUh2v vblpr03k9lrTMKSTc8Z6gubAW8nJlV6FOn/X/C+/aKIajj9aubaimRo9j394lJCovhb3O8u+zQHl ByF2rifhFeXqkfuAqcGtqXpo0rgoGbsKXxrNvTEfP33382vyrljyWiTd3AFxTPefKw1zG+9dT+FX 1tG528qXskrXX19ANvB5e1dH8fPQwoZQybmmBGZT8RGPHfXSP3MaRy1zaYHdmkzqPGcP24oK4TE9 jpx/n6Xwy45kz96bFDExmcibCsTz9CTEDu3taYbYzrHp00J3V/bY4g2brZvXmo+t7X4qnIu0NGzo bo2sHVsVWOBUBZV32UKnchYSKO+CT0MDogQ1kF1yqCJaYVEJmNyrBrTgif+GEVTk/h2xJFhxnvQK FwZ8dvNgZoO0p6G2jfLmU2SYojWr5WnJCnJ15tWUnbySyfh0cY/cD/UdyBDM5i6tqxqq+TSC2baO h3U5H/dwtQFmLVThlxSYu5VfQzY9Qhr59ewfryvrFVKjZerI+8hh2ItQMPPd/GNnOitGFUr238NE HciwQIuzlrzAdHJ4608kwDhmFMBhylOqnxS7m+q7UoIqxUH43L2alYl5w8LKsU4UVNxdt7ahV+1O QVlK2XlFKGwbfPbGxeqLiCvnzNNx0o8XktI6oj/TMV9pH9rfQNGTnr52Yoa3m0c/sMPCHM0nFhAs jF9QITAO97bW1mauyCBAEoPWIbdaiIXZC1qIj26urgVLkQm5MyZd5yf3PGdnsHsBIbo8vTWPy9d1 rn93achQ6m2B4nY8r4psCQOsI+BBtOAc3l3zw/Li98uFexy0RMXUzLDxvJOBzxNMhRBTCzeR7eFI EcTdzXDbHw4vSMHNB8X23Ln6lHic2oHVLxhWIMa2IQFd9F+NsyTFTqEkCtgzeB1Kdca7IzRmFt4T wXqrJgVwKEK8ZZt3AkPHHxlEYQx0Cjm+wDZ3g9VKBXKoKZThGTi4LYAGZZAxcWJrkcjRYAHsdd0w i0ZzOwjyiUoBUMEt9V6XroiS3t7aMQS+wS7vXbLoHB8rjJhFfJ2BSncWfgxtFaxbOYf40gfaENeD IYZ9lgHeQUx+se6EYVlfSaNY6NzoKRRe2x3RQ9w6KZA7TpDqVLl+kBR1fjBLuYDQ0YKLnrdOpezY 1KuXjQsmOC3tM6xQxaRaK2fifvBbact8UnQWSFtD+JLSBKcODNmhPZ2ACCjA/ZCLFgQxjPYE1U/h wrwUpI436CvSKWv6a2RMOYFHjJdd4V7l9TvNn3YbHJUM8IHt8MIcaGG0l/aYA3g+sdWgs+hRp0Or IqpiUfGuf+HAVuyjr5+SrQdkYH+XfyGVax5Xe9DPkhQ0A2yc6JPXcBZVkOAI8DmtNKVGJu13F3aI emLsA0h7uA9W+p5K7iWdmCVgygRtTq4Qumkxq7QVrk2EeNDFi4+RF2zKQC2P0tCiDElfiGhR9zie S+ycXW3l9BZ78VS2ZF247MbYrP/4BsUEK+eZ1TvxEaWOy9j85oIksF++cL0MJOfHuMWU6rcgNt12 1qfGQIWKdQIqml0nXXq66+ckim+araKCrfCzk/qXhzvGQNrN2XGBuAC9N8BzrNHe+DkuNr+lo0qR KSmJV6YYXWk/R9sQQ7HGMznVHDF+k1RtCqFuch+uXy5mHt7XvfebpEGgB1JqONHsJJdxHIm9Qx14 S6WuG3g3uWSUMW8Gmm/+RauEr3QiN2jk4VKkmMC7ouYs9d6YeP6KT3Non5vJ/pqydeq7qutnXhSJ UvjZzm38zdWu8nV9OH7RS90RKpqA5v0XtnmTprqVVhwerSM70SgwOIueCS+yDtvH4soRQ+ST1vtr JRZ9q7Ne741SiH7RM9ZSJNlzCKNwKdRhLGuD1/Me0O5tCYT5J6rv7mq7JP80rT0ItR40YwqPRbji zkeq88YkqefLofSOrBiMeJqn5O/jH7mb850H7EOzjobZ5kZX1BumS/uoTsclJKR8LRab++HhPQG+ ZyTNIZ032NXG+ToCApLNLWpEPTRu2wBrIrmVDZVtMKJyWuO+KJ8kbbAse9FJx+Xn7+DaRM4PAXY9 6NAqygMB+xZc6q+qMGnGrpkjf1gRQPGA6N21kLJo+IAP729oUjaCXZHHYWHUtpO4IRkBjKdw1qxa 2Waath3FD2auEmjZaMf9iT+1Tw7pIPdhv8lz6gTRvtd/qG3dmgJtwW+bAWAu9w4iJmD3vgmntFum 2vb/dWT6xU7yOweQI7Q0g/GYeBTeXBj8PSgc0+RnK/smrcHJvpuZE6xBsaAcL/7VFpiBu4aznqS9 M9HlKNasrZuHpREGZWPSVgtnxc0Qn9dL0jpoZJCCzEVvgE5JxOeG3/KbD7HgDP2QUQvUF1A8Uf50 WdMC8Sv6pBSJKbsx5+h7L6LP7x/aorcX88fgRirI+OK5nxPcra354cPBN/caZ9NmvZrYNZM9E6qk sQ0Y0lSX2p+7YLTZ13CommCeZ0PAfZBzJ7kWvmsDR2aUnsH5c4YYiCQBjorILn4QgZi0R2vKYeKr LargLwIvCrWYd7tvxQDLNDyD5lnQhhFA1GU3UOuuOEzqGiC0GpXGcbVQUeMQdTaCtSqOI5b0r1Ct ZsD5fgXnciCXxIhev322R6yJWGvZVVJojlZ82qrZwZra6c3RvISBqNeGN0qTmr0hLLUAWD+w2T9p rLbOHfVBTS2BCxtZ/3wmywJB4pzfsH77tDNXtvDfXYAudnAjXh1rAn1wU0mXP7HlqHOg0HsaxYbj hVb4hZqaWfQRtIt5BAZR28a76Kxghx9TijpJv4H1dzSve4iey4dlkT+HL/dL9KdOvMmKRQ/MLCtH /SXTP+T+L/VTckzF4hFBrWgWhrGoOIgR+7kAtA074X2tK6B1BbcsDv7rn0orHKdzmmmUbqKOmZjn zzTRI1SNieXRLq5Kt7oBC+pI/+2JfnYWe3Pfd2e9aponm7jc67M/H6BnUUc+wU7e302JP1lLXK4I oryUnGpnSFJsA8eiTOFhpiOdiDZD3RkSf6xRV5hJDSxcbt90Ub9tUZW9x+JDLsQuFAqn3wAuhBAz sy4Te3k+fK4aDaEa/CzwmOYIgiBfDPO8G2j3eBh5gB9qLGTADrRZB/Y+1Ay7440x2zNJjj1qlAMd kpPuRYLcndAGQc/jYmGZWY49cGQvVsApuAQSMfqbX4ELfeeWO2C59SPm56rrH4XYvimbJLIZoUjo fS1D1cBk9CK+7l1K/akrLPUb4OVnzopkvKoUgX5y6GqhRJ8RyQwMsJbDjzKkkkesiSewNeXaomyA uvHovbZhqQwjCxKPC0erwVyKElCELoD7iBJtiNOND13AW3jcom0BVu/bVszuAhuaK0xOhrBxyJDn pTqZJ/urpjNo0rdCcB4hrJA/HvE+op7NB3f9qZW2Dt9EorUa2W78vpJ1bxMWJu1kF6igJpZNLX/x +S/Ze2UIbMfpNZEofnZUTDHqd2CvWBrYt4IAMBkaZQPLCWWk9QSZpCvO2HRa79T7ZmVtGvwTGZXQ JEs20mNOrXmW0yII7qRdqSVdfLxgDvVyFZhjYuo0pl4x8Ah8sxTdrNBuCf1Vy7eq/79hg6qKJJAz RchZZLj7ELP6mj3nsej58mRA4p/K8diPcc/f/M3HM3XvUEnSTrfCweFJ8yCvLRJ5BbC5+NQC+6ym ENHgiEDWLRWzSE/uyvyxCDmvQuACBpi1JY3Wd5J5u0yPGqHJ1qYuXVgYHtZJz3CJMcdKQP9Kli0i e5idFoXbbMzTxm7JED29gaMa2igsT49rd9P985kMtVMr4xEatuER59o4GbbYJWzHN55TdPPQq0lD YaUPLlswhswdnPXc6tCcJN30yH3ylUvemi8Sh4srDAkfU7muWzfZhklI0ZxqKpK78PC8zz3g1Xs+ f//KUw4qJjtzWwdY1TDTEVwhtjcDV0OGhOJKsNgHi2+umW80EaMTHgR1A9ghf1DbG0NSgvUznr/O PJDH4rINvXQwImECTjVGyROU4lI8iUQqB+3kAC/S69yywzOZlG6fHyODKyFqeONwHyCyF5/gjNMQ wt7sKuTRDGdqKB83EMuDODoF9l1M8PDvv/wEJBSaqArLqj7PpK1N5tiAuBtjS0tA1i9JZdPFKs4b pgAErZnzJreNUNqwcbmKHZSQKw/8am4FS15aLi8Ri/kHeIemOi+Sx5DDyHG0EBGWB+cJ+IeDSpzF adqAJQzHhsoxmorEFvgKAjTPXPGGgS3lbSqvY8vegALsR4xy8ajZWQsTf4HTufr8OUIC4XJEEgc5 xz1be7D7UmGlgDB9fY33Vw5s3umzCzVacFXYsWCNjjJyLm1pf70WmOdpZSa43r9ZwZ9yWMBhkTdZ 7WicTNo1rV1E/LDf14//BZb9VZtjqE+QlIYvAjghsjw0j5Xw7q+pSMZa+lQySL5bzlntUmoCUmfG tqp46zzBCclwPAPp1/4hsMgEa12bhsVrsPd2b8VIu1rd0qujkBbWo07UI3ylayhNqAQc1ra3m2qB E/mAGVtqLJoWB+kIp79htn4+drSUP/eOZhktN5msr1FO6x32Bb/WELzaC6bP5dL5qsZq7c8QE9rt p7sg+wa+MKpsn816AYfXDUq+goin0YI9tr6djl2jUSrtVs6KM+LxlJez5hSweiJvTWBe7cf6V6Jp eN6iqPB5D2ZR1kmEN/OrrD4FR85i+0G1r0LF/Ga/b+DG/ptHd1D59ajQok9aIFBCXXnXXCBYkSaQ dP41iZ97wDP6xXEIpBdMuDGR6sO9UPGh1JwPEup96sDG18SJ0REm+CjwTG9iLvwLwsz+jK5WmTxh bnPNNl/enNyu7bGkAe6EeWwX9pRq2l+SBQwaMI6JUHcKshm4t73rMkTqq4xaSWPIXw/n+GIz7rSN mMnDZ2TxPS3xg5iexq0mNELPt9XIjRb5BRwxf51M6vUGRXkQUgVByBNmSjyELB2ASAbAhq52wA8M GU7zxFDi6IIfxHli1h7WzhJ+ClTLbedSGVCStqKt7/n3rt/9uNkuevLYFlzkCfzSWbOY3SovXJnA F7CzwJ5f5lIPVQxEKpKalDiXjjKWQ65jTnwP8RfOb5DAAe3z9fhgW+bk0lg91EK+WlZE/Oaa7bfV A9CiVcuq+Uf3BL6t7qItcsKIc5g8xkv+t2cHzi+XKSm3ArKNar5/O6FJdBT26voFfCRGFKpN3pkY BU9dkUMSiN6TKqgVFrRXIsXFrNStqNCeJ63BYQPV/A6fQ/4RZ3/k1/sqRM1/vVZvrVRsZppIGzbF MRstkLurCk0QcQ6+IGyB98tCAqHn4xfOyCP59RStZ/YGuMRzx9RhqjuMmdhSucZHthFzqe0MpShh BPgaQjQ5nNUC/hKen/8+tE3TSkouxNkk3l47XkxXPzTqo/KX85/kKynPblwuQKCX+C4ZLgEPxrFF ae8OeH8yRaDnruFVDJLymBFzvCUvk0C3xISEDs/6SvlGCiUVu0kQRtWwgF400OzY9Kn3puPqrTf1 VkAHDjmYny5H6P0uLIM9nlEA8F4Jn8EzJ2kHpWrAZRuIa99gE0oHvhthva/oDOh+B2IngyJ4E2X8 r9MEgPGlQdskbibN0Fkk83/9b+K9vp3o0DzsWYhdzMTWYrPMA2wGDu3/62A1FTwEPcZzki5CvI/o pdXIJZ66Bi5s0SgOK/QO4HAFHQj7YYy+gw/dNmGnIvBMgMW8wksBW+hIA3mFqp5JaIbwoFFymiU9 8gySGjtpcK5Yu2BtRd3P9WvqQD032nvhhZ17iGdeXTs8St2tRJJyFLMk+bHlGcS1eNPFx6uWlbyi tSPBVh7adaFPftoofgyg9QsmaKjqv2fkifVg4wqcQidh9SC+EMxS0XA6zOgpU1mf1jTJr9Zc1bLA p0x+/qVakkflT5zU+UaOO4fIP9odDtnhpHG+sYXfeCXMC9Nh3nFQNJ8a081jApP3tvFz55h1DVDQ ThvUPk4afl2Ubd9J/8eEHxdbezBWxcrkmXWbHa+E1PXSspzPnzWtmEuHZaZ5l5ZRUp/EHb0BTSMb m6hkKafA6VoOElEJpnMqyITRYx5HtTUsRcb7GSaBlSLAudCWpqelbQKkwrZl143llvQl4tivDl8t oNR0nYLXQXyzy1iyFp3/KAVnUdVX4FmdybhKBZGBFN7tql6b6GSVkq1qHAB8+lAi5wKfzQhoB2uK L+tRKm0T/zhyxsOULAHUlw7L+davhuVrymbUeAuWI0D0BLcqccsOccFZv315Rq3u86BCzbhZ7+s1 vwlAWMDWmz4YdinY3vnJsGIFO2iZb+OWfBDPKmMgTJ55l45Hd9L2hT0ds4ImFwFjGaBopfUDFWTY zbc8/Ff9hykYiPzCUsIZ+5nDqQEPsNZJ83M5Exskr5PuGs+LpRGVPC63CCttihvqa/Kks7Rb4x5R A/OcQkyxOFI2u/LaZE7IzTu1Cwj2o0RmkLhu72sh+QbnLRYZb6FZrNv81J+Hs7FFgQabJLj45rWj WTKIYA++9AXsqt45mmEk9HlVlqvGrQEw8BVoGE8Z57VTAETp7X60/P6DMSg90LNE3BlbwWuPMrBf w5XI3HIvkn9b7lRM5SFRu/H8GUfqCASzih0HLw8nFPasT4U2+ZCBYyZj1NkhsIICvAgIH7V7ftiX lAAVXAX/kV7wDh9fDtv9HbdrHP4WA79mnfjL5rqAdsB4mEmdiFhF6fM8xbBSmOEoH4RwQ0b9P9TI z5cP19mveG9Y+MfR5CXgggY9t394VA99FMgjTNoEvWbyQ+mxw8IBeUWyl1TzaCnCE4A5s0x7zwRL 3ec7ZKdilUbBVsGB4D8MTRhgqdTC9iVGqKenmHluXh/+JyT+17NntrjdMPAQ9R/2iKEeRofg/Dk4 zs878NfhYZvWoi1+1sIIT/Ta0x4THyv9puoihn+v7cWbopM+H0m54v6ETvj8+qn46gn7e2I5aNNP PVTeJX4AZUI/tcKtI2ztTF6WFIjA/FAdJr9GC+mtE+fSD2L4GArGnxK1yolznmM44z+DAGr0qjK1 8ajCafWKc3y5a/l+helR4VP1MvU7+TtyHqcNRm3i30kp3RL1v5PGPO775oUIcrA2M724S5bd95eo zu9ysiPfQJO86BX+iY9RMn9l0h0dDZVdXlxSew+m69KV/vVeL7nnaUOg/HZgNDORAml7VryuWxNe ohG+29MGNAEzMybR9YSf6b9I9pOVhNql1daBfSh2Ih+9UMCfonufEi8xIkzPpJzJeIlG63Xkpz21 hcoKybDdlR69LmQh0FGfbHjmEjIQQ/fU/2Rwr8wz6pHTj9h8qzhkITYk02TZTU4dW0tezWcI9H1J W/Ad2cN3ua70rMNvmgzLIYASnAJZsxzleylvK96oCqmNMDPQ0jt0wTVUfCR9jIqC8pfNpoqt+/07 eFyZBlSFE9HpWhsboxR5EoT0hDWJFyGb2mG3+8dXIWUJPei3e5kMmU9s4Kjqp/YNS6swNPmFK/7a gpX8kkcrnL8abX6DQZtKhROz1CqqeI4yScYbXh1RMWZSXiRvutRkugJkcqTMQjatP12Snp7xZ7zM Hz/YFq20iCH8QEvkj01G7urIHGiBH2H2hSjO3xN1uTMlGvCt/u3c5+a0zWHUK6hmuzUES4lT54YM yksyD3px6Fkp72gcTP1zuIvuw7G0pZZlgzu6sP2tB3UCLcuaGzv89k4/VRkTP2/wLXbnKE+GenSM xZGGR/xhAuc0ezwgnFiXqAnMVvcQAKnsVydVdY2jyeiigL9WZm0m063IrFjYFok3VLG16v1E9BY6 uwTLwy94QtAV5Fy5vmV6l15NrciaI76CWzQndRlIJ7+xfYDndMvbfOCHAeE5lfZXj1fluy0O2nR3 NwlCF2muUdEUWu7T56k+ZmX7M0AZyV1SaESn9gmZg+896hsIfuU/CJsNWjN6CQd8zMf4GEYA4tgZ cHUgs2QhIUX4u4TDJE+IScZnn/4v19HI1/2UOM30ijkpS8XNwzr1QnQsRV1CoDlO8LPHBDsjf1Mp 9i65ZzU7kBXRafYayw7wzRz9jFc3zR+OHhE9Sg6HQ38HJBB/5BQwVBcw8yyrCnX8oYZsZtz6IyLL ItBWgYoPmC5MYvdvvCLAsBc4mwk5aPsVjyV/w2yetkaAZHbXkS0E8bp5kBc/DWC4Ks4bXECi7Sow /QVuSkc3SVNYK7lXPpeanCMiF9+8JcDmYvqZwkRhn0aOlENqB2oJQE7GuqBAVosRgbRHlebwXrAo LLv8PNntthAvEzbkX7nw+lSn7YSYCPN4LGxVozQKVUShX4KIUhzCevb7Nb7HRyCUmtZ3nqROdBQ9 ATeyR//iFqP583RFavIcFip/d15rGqJdop3qfew4H6fN3H2sfvWa1ShO7S3GfY8GP86G/SXgeTNM DBimc3+h591b9r0IUIZ2bGBr6DOjqyQFqXuoWepPaGJY43CceHWsoLUCdONjP6P99nCGwkTj9znJ +YWHp6e5+UfsswidwO+Sbh9SLl/4PJOU00XV7eVjmhd08T7Ab52KsgyD30dsZ8s0VtRCJN5TO76n TUfu34mqPA7qJ9iHB7nMNnXJtehalzuv3nTvGet9UCE9xx6KOCutyVP332k8R+vWD+R+VEWe2Cnp lhNbId/8DyEqVwNQuJ8YcLlNxPsB0R49C0fg/SwWPkO+hLV6jlu/vtDxyRX1U1DGQeGG6oD9ZC8V f52OOgx/1NCjtBE5QUVeokJukTuS5tV5rRfuSUCGMpAxUsDfRTbBnIYZBhZOGDv6Uh7vPkroaCli UFA3DLG7hUoAwnkyDWHkrQFoEREWgtOG/K5r9UmDZTEHW2i6x11cliia79KyTFJ7bQR1QE5I0tGj UJqFUkPTkSEN36SztkgmBIhRAMUgLY4Kn9q6cYzMaqH7smObL334FfHoHxubpO4Udipm3HtP+kzP cEqxwW3anfrfmzawxSlOnaL05q59AvGxSjhlEht9iBiN5xjyVmk55uCK2L3UQAmJEeHBcquFqm/n nLQpNjPFDq3czyKrqaZK4uAtrPBOnp5yzbPPuqaLosCpbhfrIsWFrzaNwld/RNRuNOXS6sSFDtZl LwLeeSSORhofIV0fhG/poXiz9IJKmPo6YgWzvV2eyrk+OfPeS20bKeQJoQ6equTrDzWz6GthWD7R JBOfXLxfOFkaYMKnY8g6D7aWi9EgNShQrDwzRrvDIEXFBFGQCsJl7sNE6n0hbsUMOIIl3xp7Nr2L eeN1JRm5B5nNpNwoxYHrUghJBHKHCa3LHDretSPsWXXno5uML+z6cEZmaiEx3ouskxMne5TVS1uL MY0mP6BBtxeZ05NPiloIs77HKypDbCbMl7P8uSssHvSchP0rgzoLxhHcwu55hdciRwT9RQGf1Abb ekGnNk2oHiuNLlOknoWIryfDntnvHhlTVJbSLd2quSDuvXkaG5mUngpId+yyJEEl5i7HQnR4XNTa 8U957L+TjPLYFac8T4RWSeSQkUyfe2yLgslNbzLdl5bUEzssSbSLC96AM4lJQAqWVdfXw6RIUlh3 njio+/ZoDLfL6+bPieKuIXqamD3nERmzqUOho1ogC3j++F6JL0wd2nDvP7GfQTibqo/CrBb4mxPn HyubDSY8tzbeQ8g9S3p0WwnK/sAj8iqmwiYaJMaUHzMe+wUOnlEJl+amXDqdNexhdc8/5hRLY0sZ 0nuNEdFM8KHscaDm0y3Q0eTB6Ldqha5hxuzZ44EzlmMDGRIFxRuNufIwvaoRbKrheyPirSXBZS3H yQhnPZUihj1VOtKqZdCkYb+EXArZ5OJNpdkBIcQnPVH7mU4HSWbDtgXjQCSnHjPEf127uQZFNoHv 5E5J5pnRm9uvTexmrjLP5HxHvzFUCrKJOZg/VPs/eeo93UoeCvo/JZkUCrMLbxYDKnPfKNFix6QF REguuVXldZB4VuZFFDIs5TLSXPxWqqIZd4+UX24ms6vA51GOjv9N46a63Vh9Yv7XPz+yaoJsnaSb Y0GCmXORaErTSs/8wgoiEO/ZACSMmG9CkJbj308bIJ+01hhV59YuT/p21tUhelT6hW0kUsJIE2Mv lTD7JOw80XP0zqwvjGDu002hyXw9xM+4e/bimblpDKmtjoCNf+GabGYSIV4YrbGQ4Jo6eveeBtcn jRBQ+y6lsfdsHgB6rN5uOWOESGfBdPdgkgRtXUOOpNLc0O+7GyxArAJmOv12U5N0cWY91GwUUJkX tyt0kKJ3JEPsucVTny/Ijx6ac3Tru3pMW3qVa8GEzSIR42ozyo31BkQY2yCLkQ6ZcApDL8sQ0SNT VPWwsIbY33Ya5T0wqkolGT06rvi0AXNRSIFm84M4CD6b4I6N4U586x2Q2ItHQmXtyQZfE9QjDhtk iCwr/WJQ0W9jpz749EhxzCj90Oq+AZYmICdZb/wZclHmbZZWka8P2iGB9UEbAggpUvVyABtAXg+y etihqBoGwYzMhRsuL7YoK7jeugh1zsEyEDcDz2JvmX1zAazjjKVO2fLiDqIJhRXivPjv9tyo0hit Pl2VBUOZTOxP5Plw3EaRMkVbn6qvXniUur2iabGFXWcVgCz9G8g7Fc/OI30H1Ow86fCsy2xK0mC2 zudE0wk7ND7X46AJ3oii6A1aDZ4Hh6afArOHFR+pz6DCw8qHCx7CwZl8NLxPXD9ZqK4QECVhfOd9 8FkH+Acg48W8IOPw9TJNmPbTJE/GRlJ+JFC6AnJmmAjKsCZqAH2vzfDrCvyLmoXh3Qxs69cwPDIj sfs0KqQUH1GgtffkRpMzJD/2inXbOUHGBlZkU6xye3igMgj1VcxjPHMuP2oRjxd898NTOoBm4iXK JUM5mONg5V+hmdk2UDn3XwC5zKg8psftJ9UxK3osqLCcqTgykGXEfAZ8UINEXrgPfFMXJPceCN/4 JVrclEl7walP3LELBeZzY6qriT5vJkNZdhHRD83gWdz92QUThdeJSvPVUBppzswM7FKP0hNOq6EA m80IAO3LG6R61pbo4YHewcWtmS3DAFNKBmpbR21wvsdpf3zhN8scZ8CNeAglDfxuswrQhHeM5GIa xZ4WxOVl1WpVxcB59iK1xRYsUeF8z7BT20W4wGTWvHAm748BjL+U3k+bfFRnvE0lSK83LJmlckFy FspACIXVoeWPSQ6TAlMy5YTS+OP+pzXwi6slBNE2x1lL5E5j5p1iMZkxuuNv5fkfILb5pamJ6ONy xHMvrY5NN51YBxz3EKHZY4T9/MuRZhfMuHaBk7iu9q999nhz/YxRUHQJe2YZ9k72MIPyLD+vskSO f6UnpcmlLkt3znQy1LQdvn+B2o/voTkGCHtfMdpXP5ZqJxsTh72GDkSV0hN86VfS3uVTIK5XT/le 3LmfBajGZKgtxdFHUQAHyLXJIdQpatM3dksmx7Et369oGrT/43ra+T5nouptYWKBTvxSBwuLsTFD IdMngjfjfoNl0dds5ILxXEF1XOKfDvE+Xumdkal9YMT8kGrFSNd9ARP63bEGA7zz3eXBxTG5aYsk McENFdKLJl5Aa35FvhAsnjHTxBgmJsOF/mS7uvfmEAiaD6fF2OFP6oGoo2AqvTJQL+A4rZvfDpo/ QoIxiuSpxDJgSNw9YRGiIqzc6TFvfdd2QXDtpdanIY4MKzOmGpuN948hNtxwVJkpNrnciQ+1nnSy iYSmRwXjbJ/A/MZzXOXO1Z5OcH3duSx0Dd68sCY3Ut8uoditHIVs7Cu4iTrP+A7xBRddZkySntzv ihFo2wKYLqSjbKpdCOa/PnRD1RDmcU5nQO4PLu2ILMBpizQsKG9BKiciyGsIGeEzJSpsKrU65Mua tJ0bi+oyRono2AVvfsjg1J+N5ViCucBvUFqfa0OFVMFWhBlYadddor3HSjaXQuzonmJW/pJsSMD1 yhg78mrmBxREp/o6RerfLfjMjivlp/rEaR0WBfxdHy6IoL2dczfzsrwwOeORzysKoXVXzMOzsxNU lyntgI6j3HQEv6CKj4kdyeaGtNh96dyTRPd3EDlnQxjBFur0UGCIAh8ID6CgfME2NKUsnQl3x3wN 0ABWoiXanQWs36uP7zQt4Ap9onEwA9FZVAq3t3NXMbKXGVCRlK5BfY2kAaOh46SFE4ctktcT7LeA qF0FV+EuIIwMrR3e6zAedeIC0/tKDeEYoS30M4+ea1PzS3+OCfG1hAInpOwg0wo3rYTJf3x+ZgwI HeOc5Sgzv/6rt/AUMu/c8xa5M5ucH6SLuDrk1mi9qKQu+HeCIS2hDj8VYPbr1b6kvVHVhpaVXJZz 5rPANVSLZNOQdqcRTpxqrdxA2Lgnh60AZQobiWx+GSxcSGCiPnuwtMnBInY0zlthIQ8XivdT56jW 9+M0FOM+RI1uO1eDh6Kq2KXdzb8KgwQyyMg4KeAqZl9Z91juw18txdPIB0v/BdFRIuYu8OT4G/od 35WNqpu0EokPJoFErwtFxEWz8mM9Jo9mv0Wc+WGw0FkK9OAjBn+g5axUTMbzRUoo+AThhbZXhy9f ZrPSHiLoL/KjP/PTit+nYfw5zIOaj2M20rt987nPZvFe2eZaLa9RwtLoSYl2ecIcfbdqi8M7N0hW R8gmmL6+RKc3VU6AqkD8qVR1rNk/u7bcYCNTvCDDG2BpZaotHaIwbKooeDPMSW6eKu1kJ8rRWXQX VheijF/L+93DtcsvsVM9UFZIbAEHFeEeYxB6pxTEPWa3PAjj2ZZfdKP75lfEM0njGcVdvPOpR0im GFvUgkAUAgRwOp5rYmvlWHrUrM01mrwOIHA5Yphlj+uYgHXnT3ekmEcaQi8MXa04dr2B1lEqPPdp ZBDQIxMI9FSr0mXZxiJIXEoVjh62HNI0qD9Aw5HEjffqrByC6w9ERfpoDThKYxesu+7bqXttx349 +WGse37Ep5bb2PpOak19LkZ1kWoq0Ia7e9ekka0UgaDE5S9KDlAjf6g/VjWAp5jSJiNPOavpfY55 OtpdJiPngNAWXE0zbWuGQSgmxE+fkSrAX6A/CceaiCIO6UhT8nCI7ZFanl46wP9WG17gKjl4jEIg /Bge0Up4rGJBfs1q3VhivRKV6Chw1SGeCom3qQBpMl+qOQEGRl8BE3s6tsuhciP9tG0GoPxYDPVW +p4TqLzcd2c6/qO9M7kFlkQMX24ssd9rEqaAAqLfeg2kv9BMhTIKcDQGHgebdh9vdZPlX2jUeit6 f+qiM9KQDEnRV/6aYOs6vofYrpFNedf9xBTqZ4KZQu1LGfaScs4TyKahPjxaDPUvIOuy/SW4+gtS dS97Sx8FXU6okHmtJVEiH1yuWnzb5JSLS0YjzcmYjTCTTgpcX/4dUnxbhhrGJ3Yo3lJ+RmmIX4Y6 DeBvbRtOG9gqLEkmCKeWnCQVztlQZt0LS3J5zHVLKWSU9sLxsY3pErd2NZ0Gspw1Pq9qUV3+ojbQ 1tAUnBG2SI6mlLbynLekWeiMW3Pasihgd/ALizaM/W+42zBRcv4b/DVSOTZBG9VcbjHz4+e7614y txq55VD1ebiJcXl+E52TpuC2CZGWzR1ffZkCm5YkkEFte0wtartWUhhEQ29jbqXoPWU/utcSlc3i jWejk+hqicjgcjkaqxv4seFRHmKbolzoexVqsIzqzsf0cq8vg4UaLO+iNDoE5Q3JZmYdYhM+pO4X zvs0ndqwFVO3fqJmDTRylUmtQ1ksC7k51d/FKWjQr9d37q3eiDbVmlLYMOfuTioKv3YemcZ6KkPX bV5BaJPZm/mT42rVOX/zwcoUg91NQKHc3P0I5N6i4fAcPd1/EYBPyk46DnHMTpZ3MyQg3B9fJsjo qhfIY25w1a45yDKXwky3n2Px662T9ehlrUyZJfAYMFbZV/1UIGfXjk9Sea9rPJzxA3uYhlpopwnG AhHzsDIJWMy49l1ZMq5fGorQqSjiZIpO3skpRBtlJGFt2yH80ybJdMTP7YE/m9j73jl+E3NkrXIL P2uuCkJnbiKl31thMEMxeuOIFpfPeh2P2/+z2ac5RItWAhRukCsJEL5DPJxju4SDdd9ZGJdtx6eC XLtu58Xoe8lCNtCwPlGfA40mcyWFED2KyBA+hLMKeOksYn3Tr3DLXBR+4P3OoCnbQ87Cx46mpLtB bRh9gfKx2BqILJSd65vmoyG9M7bMpYWrR+z0oYnCxiyyZsoEe3HQW5rWa4U1XX8SWE+JpBnKc+U9 +c24biRicXpq4ZR6Ih/tJdJw+4w83fSeNj7hd3PbX8KdC0kgw0FcOIp44lvJZM49WKr6SS1k95jP 5K7wBs2SHEP60l6b/ukWqIZzHLqyB3ZR+9B7AiFkHZ+IFkAi08GGkBBJ0ilMJJv6ITSeatyodww1 x+s1XgY8u/95lvrIUJj77TGvNQg0nun6UQ0CsguJzltuKmhM+tn5R5F+MUkL1eFlJSTqTkakbxMu 1Bx+OgY+men06J0PqNSsZwgLHLQEbB60VYih/Qx4ftXG9V9yMxa55hdnjA1jlO/yXysG+MxAoU0m pyfrEWyTkLU+eRNdtIBcm7gEZxujTyQQlYLQH46y0hrtFvi02ognvv6gCiX6f1r2J/ApPfq5MPFo kRa0By5y6291XtVkOLT7YqeD8m9DWCaopreA3RT6V5MP0OvxDC87PJsbYgEe0Nd+6q+3AoLGqA3W Efvd0HHvRw2G+wvPDyF9DjdvKjfKr2YwkoeF5PzEXoG14TwAUqLaSXDw5FmQ5sPzubOkgq6Iic88 4djDfHhQwfHJHHJoapy8IA4LklxmL6hcxz6R5sQOIUvu2Qm6S+j1SRMQlOuN+JowsDqOyQkKuWwU kYVLa7ceX4/eTe/tJyDq48GowZhEhkVPjLJihYhiFV+eZEVBoDGW3ZfoP/lNtxJHKSHvuWv+8rHu jVGEebYlXqUKdeYIZ6rc8FY626rt0uO4par58WtIO1yAtQUaNu/SP6Q6U9eWjH5c7wRUd/Bl0lak CL+Di699w/xzTDeAy3ht4TlnIO65szOvFxUrwCn+aUBWw3F12uCl7L0v+nx+4DkztDESjXUg4Lm7 pTUndoiM7TZ4FtlavEHMfw4xEemozsXBDRorQlVeg/ihMXLG9zMfd3OCXWq0GpthCrlJ5sIpRgMY beVRLO8M0vaaXu/Risc9v4lJKoTkRM8Qjjdr/5CWdDx/VqVyf4wfH0dFD5N2GQFkrdmIMeeVQck6 pVvnHF6QzGsderkSFZr6nDk3A65z0U54Tl+BeEVT9xvNwnSk+bcaT7Y/UF1g2wNhZRAiACWz5MB4 VeiHMP8X6unMd/AFJQapBNG5qi/D/ew9Odr8Axkqz1GqCYhozzm2elsoB+EEER/yI7np4mJEKmRM z1eIKZj6tfsojQ+VXg1uMSOq1HG45SwjA4tgcGQOC/V14EdNXTvbuuOJVse+Y6hy6ghDxgBoa3Rm OW8MzdFmrZS4d1BLg973m0Y8nv5ctC2xNZtoMgtpna+mtQPAdSGkwTcwGW/YgQFibo7NFVMJSFi2 2b0strCCTDL2S3Xa6IlZLPQtU3eVDzOgirw6yjOZCIHDSP8vTLj/+AcnlqtXtzEiM9lvVZbCF4pQ tqCSQJ4B7EK1xB2ENOmlN8TRGYyRxC0FgVz77/uvkU+15vGlE2q0okPNVREzoCl0aGQZLTQfopBc 6ZvbDsFVQ/d6afhFGcb2OZw5wwdTeIXqc4m+BO3OpgMHsyugJIZsIhYLYi3RZQbpwz97mvXGxyjo jckkT7p387+gesm2ySsktOy4jbKF7jCbmOU1SP90B16swJbZhiQ32X5LTn4J6//xVMNusrIeNyiF 8IM7drLNszznWmJGZ4VYT43E8O1LEs7YBQny/2ujq8H6D/v9DN/shqnJjIIgyG5MyIg6ITegvnpi edTdFDrTanOQY0CXXxhYQdtb7VLE9Hbo5xtpVZ5rSTMe20nqGFWXhEZNVtLvI0vtHh1U312TUvLT 2S6ZbyCjNSUoO/GDv0vbHQ/C0+tysRcIsiPO1NQPtqdmJtyeEA260rrf6vk/3On+gpkLpfJd1l+2 YqlUds4f6Grwn/eMu0BKssND/Oi00FkBx3osTxz2/Rz4NxfM7741pmLoJ7fdGWT2eQRPKSIkyV08 /BmRHlYlqUJpi6rBWuEeXGAmyIVvqTtiFXL+nlNn69nbpOMQkaAAo8vbS79xg8/v+qbA4yPwghfp S3v4bxXDKfxYQe53PbqcAY1hR3rgf7ylONvTXjdaAKoANuPVFjrYbNmRy6a5VILmABWpNGuQUm2s oB5OJNvjuSrlEMUIwj8NAuLLWpWONN59isifhGO/frCzsnCpoRhWpRwhxxMuBj9+q7kOQX9A6pjI 5SO0zk4hOkFX21AVzUWoJRM9X1fLxG2UYmKoMfwVgdecNLGj0WISq4IHDTYx7bYM6XC8szEpS1kN QoqeBQaVRdInAypnUJakPI2Ow7zJj15me4Y6aZUSHGDoGJ6284JeL8yKWBHd6NOI3xZOEwUHI3jB 59TXskyPzHA9AoLwSJRCQSz626fKNzxmfmUlVPB6D8gmNQpGnBYpb8wTkx8ICuX8C8oliHHfOLX+ 1Sx8s1DJQqqpZmJXyd+A2f8v3N/GyZG+JiuQ1Ccqkie0zSnCJnCTzBEvS9f8lfcpq7x7am64d5qN xfZ79cuV4pphB6peM4ZxAhpxl8J1KbEflKiPJTKGLidi2D7zf2norqLPGWX0eooRZOqoi+Abpk2m BPkk5cGLQyNlVoMJI0pCCujo4q1Qe0G3kEXah216pM2qUAsqubjYSTYD3zS4e5rZ0R8VbCrOSCLN CQb8IVD0KZSOD8A8YK5AEju9q2XKxpJhqHRMWxuduS1p5sJQCJgmsvCmm3UpgZAK+C9BwZtLlgIL YTaRoqnxiP0UvHnCQY3DR6Y/lPerYU/CFFyTSpbbe7rV0HVKz7hWKH9D+w3E2N3dTyG7oIMtzfRS rSvfM9o4KiznWlljEIc0N1t/DK54G+LfA4PCOIfB+TlwkdpJ+xxmqT527oaWhqYitBUgOpGltEC3 egwWkbFvJj10W5JlcYJk8prm8NmKEwfoRrCwOenG+neO2vk51ZrHA4rrNq6mdORJv01n+UO7sk8w hhm7R2e5si2CudIAA0w/SUSSKgWKY/20MPnyN0bmZ+AsZx46hF03PkoG9BkTPC4PtHh9gBrJ0igO elvkFhT82nzPi717rFrEJoNRNr2oFdgx7zZlpRWlJ0YpOpjv/E3ifvRODhdEPhBrYczdBgrUIPUx x/wbUbsGGnmmc0/cW5jQF98NAC+z4rkTheI5zZxyxGn6HSihMGB76IfLzgptmdx5ff3pWDBw2hhc 17Abm/Jifu5EDuwQTyB4U5pqmHG7cNwdhiyJkQZfBL5Pv/uXs8PNJvW2B9RtSOGML8TGrQUaNEIh RaqVoAuD4GP4B6q/OFBNQb4O11OKzIZGI1kuzs1ItdRHMuAb3Zk8+EFRpxl9EqRjS8DejAVoqJt1 xp0FGHCqllD6FgoxD9oXpOv/IvzaLxBuiaBu05xFmSnwJoR7GkeFAKcyHhgQ6XvIXrIZW3bt+h/L XbBWmKM0XEGyAtEoFH5MJ7PAYr6sOYm2qf7skEbY1BrolXg303UVvEqOhgOoLRFFVj4T4wBiOao6 CGl8yhltZLmNWdOTNKRtTkZYgYT9xVWgpww6cipray2TCHU67VIF0PeLASlVa8Xq2ABrsMXBXW5I 9fNPS2C7Zry2z88NmL+bh09d7LQD/14UPUKXjAcwcWWnEdc36hDOyoI0QqCdlhoEdJNr1XJdkvnm Nbwzob1dpvu6EoS3EmB+CYhXrTi/Uwoq4zNds6ppkuPKLJbr8NGjF67PxwIvPTFdsEIxcN1Ptzuy lvuVm94oQmrhdNHKRKmvBnKXBaQQENQ9swOi3hgB/fqVYIfBR7AmDhqVWaI32N1QaQFycDPC7FV4 Y0HVOgy6AEd98uWnSm6CCquVLYpNm+B5wxr4GVhAYVQd8w7W6KJYlDQwFEWfl9qvMi3TdtMY70Ef kCmUXK4hpJBEyA3XJcZOQ1i/mzSIhE+q4hXeAtM/Aqm5N0RyIIUUiNeyh0oGpW8tviZrnl5XdohZ /TEAqFE4nDf+sMBikmKT2lOrYo3zSEHUPZZhA3fLwZkKT+Q5QO/4U6O2Sa/c3NiqHKtrGpoUCzRE 33m4uFdtcxpa+/SKK8E7q/zysnMUnl+oFwwVkt4/CXX42iAu1GUyw/oQBeDuF8gt++ej89GpiKqw Uy9yFNH2N25c/9v0KBEL8q1Ye7m9h17FKH5k7fH0fIjU9zcl9EDopCV7UxhERW87k/wKXjFC4ZfT RLSt7yfLYBQigLWUNOwz9kcrdrbBo7hAVGpWlUVqLeN1Ml8e69UMdHUGxF1wYxSN6rg94ElQR3LD Yp5MfA4U4FyymfLxQVnq2Azyca7Wm4REHFpxy2oylvCh9+KKbjtyc3tettbIZJMDdQtxYePSwUEc 5FHpYiKmLU8Jne76EO/k9VZ4xXD06iqnYosNazEz1/j8S28bTJ9dDLwx2YdoQupGpijxQ1W+9K2Z kvgmPvfzuQH6KT3kabrENGEaUQbHCmljn58RmghUpp80jv16nbVc1wj5ipRU6aMniBVeHSdKhBKE Tf4KDsfHtLtkVKBTz6WqKK+cwZsMsza/Wwy7mLVv2pB6rhroA7XJgx2Y24HHr/DUz/cNElNWH83n P6JfhffpgChC4sGWyqz8vb8WFtzuK5xBNQt5ALzIynaISKWT486VHCr5do0dC8xDhZZ4/SWA0eTv 1uRZijwx3om6SBZ4hzJqSjLz6PDAjM02YEZMVsikuGnQCVy7UKrdIcwguFTuXaq6SfJ4jUkhlkBh pHrgsDj6uU/3qypwbnOHoGG/KDsO2TcezkG9fJBRqPtdWFGRdf80irghMnlKeY8CllIL8a4Ovf/C 8Pl08VX5orb+4RELsimbc4r9OIWE539fgPO7D8KM5kUUTPym3JNFVONv3Xz5w+O91p3bBzea0Ydw 9rsfix0stNgM/3cQp6Dnf+jAroGe/4VUTvP3QIVmimNC/1A05DpOhfq5GkZiQWCoMA/t1yB4YYYv vrRtqUXRz7BPHSnxyy6l4w5ZYx3VJlyuRjX9xFHJCuBb+i5s0TQNodBpTUKSjovxeUNANCpjK8dB BhHceFBCfUEWWPgyE6aAgPql2x5a2kLuj3Oen9UzH4lw4io740GPxONPzleeyVb8BstsH5TtEKHz ROqZYpkkLLCg7sIMcvQbCzNHM+e+ZtEugXdMR7ATwjhlrbvdVyG+/sv6NxnQMjT/o0tq32ijZxkW WeqFnl3bTnBt0MRC92yQuhGeuQusAyaJeJ0fOuEmpbs46shX4AQYzx8Fr7j6EM14wMICBGDsIogB hPphYge4nHdYhSV8jw3HgKHTx18Yxjcmf/Om7G7iJpqJz78gglTB6zVulcE/ydvrCXbK7A0Bcx8J sYiVX8ObG+UF9ZUV/RUT/vbmSyL9SZ0tbylmr2SewcDc90atJJZWAFsnDNQRAxKh5DcB4N8WBG6s 8Zp0NC8P3E1EvF6a552mYuEfdVdHUfWWKoqs0nfhaKSAESqLdWQRjq6sR7MUs2AMUUNjIiaT8ESV D1lqPACILQ4rwfLNbQiYxadMmNCNhdTn/0sbhRJQ2YBg3AD4ObHw7/U2cz9zA5kHMfNyG0J4eE4N WZipLEc9r3edAgLe544TJoYd1hI+AtfQhThuKrwMaVMP9mpb7jR3yUfF/+4df15uaq3gtBUwL4yy EmyT+HZ28Z9U7D6WyJfaJmJa+S6/rKDih9xtqDYHEbtkGIMi3MyZadLW4rLeIVq8BhyDHII26e2f wXibTrw7LfnwHiiuhzDUmbpfFa4SGr5kW+rRLvKW2IuLB6wk81yuytmzqN9OW/g0FTkGsVY9FcMs F1/yF0ANIApAm7aFfmOm44M4dAJXgf9NI0A/qUaKq2us9k0a0Y4N4n6MPN8HaIRwfYMNItK/ylQ2 JqzBwD+Eq7OWWQKDOQyGq3KSTXZdcZEpdZKzNN6a2V3GHsvFUIj+eiSGSRcHtoGxyFMaykvcX3ux wv/1xqMelYLY+F5AyefvNmREpX1flJF923ADNNKPTiVWV9u7DQsKSE68JNs6kyn4CA5h76twtbtQ zK8p1fTHXwUJ16//KPTuH5LBRCDtRvAYsf7gcr59Ip3934+ppJ/IBQhVXdd7IUS0W0ro7z1hBTFR 9a04GJJhch4JJftfiRmqwpuRsYfqqKBvlRD4xIPkSF1gq216OdcaxoSpU9qeodX3R7KxbVSmbBbo L0BUFZQ6smivWTb2yGaxzdsGz+xkO0ZV87L4nQYXaARym6kQ+rsojuf5QOxm2Iw6Q/wZLKnvV6b+ tJgZ/6Ej1LnXSyluDWKHoE0Kk3zJQWajweFzoHJ4NR7p9uTf2s6NEGwwtm0IiDwRmEZrA/tdgsXR 3OorsSibGL4vfW1xXoQkxCn4twd6Akt3E6WtXvOaRnmPY3DmTs0e6/hhS55o0WQZikMAFPvFhPqJ r8aFxECzfX5I+2q7gq9qLLYaAY99cdw3Z+R3qBKAWExMhVScujMdBHr3YkrjdvRuSEVdwzkSDlFL nf/TRO+0LxAZ6Tg1OqTwVDlIaZ9tV5IZIUqVfW02ZPC/aat8j6jF+Oztaf3y0NXybGdcczSzGJUC xGCdgbUJ5jhieCNzYd2e6jw/mgT1CxjjXT39hTGbCQX5q1HOQkx1xFjbukpBG8pYLC9c45FeKyfw BtjVzGHOc7hV7jfWbv0Y3YyNAkJoELgOqJywmZju33M6rSgOMexk9LWeIwx3Bg6QxIY9UG3A7yVi pPe4PE2aKS/dX6Ws1/Nw8dSPP6TBiMxzYFySHZH7Ow6XG0D+f1W5Ue6oV1V/ckO/f/xrao6pSso0 PyIzqUoYrnyg9qdnPAf0T+I7To9W3geUy8vcnDcLy3LJwZ3hAJlVDsKNnS5vtU4QFadoLVIQRyLo hqgfHHV/ZmvTQed4BuFPkkbF4IqnZOeWxAB30Wv0VGa6oQj00JnD95BUALWFSNWJFZGo/GGV5TEc y9aTFKcGwt4oxYS2tj4Ar9FpADZ5hTlhSYy4RE3FUe1y1C9yVqH05UsqZKR19VtRFYcnsurmANo2 1q+ZrfUnz+c6HJAvjqwinOUQEVnnsk52ks1R4SORIv4SYzeCBBLm5V+jXOlNB2JzzK7sSTHdK6hg lyj8sCcE8/Thb35/JP+aBJe2OETECY3EMwBgOKtvfyKXEda/4P9ckqoHohEJx9bqWKkmjObK4Nxs L/UOMaAVsjvndpZTWXTgLcIsRIGjj1wfENqKzhbnYVQACYTal0QLGBExMfo10zFm5OE2k5Vw8olP WDglMNPv0lLkfSkpxwEw5chao5pqwNjSaE+oHFtnEjiKcf8fg0Mr9pJ1786ONvroXDSiOxk22/bi VUf4AH70BNnqAm4TVHHJXTWFTy+jMY2RFCjV3Knn4sf8t+micvTg4xNXK97gYMSiyfvvIpC3SyV6 DfdcMWQU0O0hOiC55cLf2mhO+ltz+YEoTjHekPCCjXlZR3sMUn7Ugtkz8XlTNR54uHdPrUIEXEKY L5AiQz91nbU18lXg8QP5HnlfxFwHjb0LZfa9PV0Mpx6mmWeuv4sPpGaERPe0IrFtIwEwRG1ZjJMf CaHLJHcZ1rEWgFZiOcdPxm8GTGC5guAW1t/4VL0pjbm1LGY3K9WqSw9ZnqSndV1dgKSCCRdH8t+h 3s0RvFqddMUm96p4lGvvy+pveT0bI25ZHrI6VlMJfvPofbTbnVGbGVHO2YQF3UpVDwTOk1W+e5S5 cMb4ob0NKgnLhDHTZHH7NLKnlx9u+X7g1/jH9YHsnyKG4qZXl1s1HR12wLRONO3ogKeUUa/PxqEf gdhShp8ycPCyHcAeT2xR1wC0vJ2IP8001BvPCEd7Pgi2xCoYlj42btwNmEix3GdwVhBU4r2rXKXY Fcqy12q8vrOJfSsW4wqzI7IO/0Ympa622JcYDbF6FStRk0OCWwxgLFMCrn7V86Z/PUpgOe+AXWNe Wy8UpDcVDP6/KWZ+TkrZxtigG4DN0m7wy8XDsoq/Tk6UX15wIlS5vlmum50+bu9wQZQ8rxw65MGS XArvCmz+1Rtf3PACZ4VlRVuiehl0jC4fTY+GeRkavF482G1Cf6pnJdM89Qrv0feaoaxdyN63E1xM YglDybKfxsNYbj8tBzKEji7H4cqjWyW4jVNWpRTXQCodSjsyrztOauGGpIkRmsQ0Z0XJLsPdlA/E YzAu7m5Y+cEYCFpY14mSOIMsjcgYwsLusTlVbVARTRTQ/bbsiE+1GPgJBG8hHAHeV2EwzzcDDGoT 3fuJGTJl0uSRVYe2z0aGWSolLPZvtojskoMRiMbrOWSiH/EEY47oQ+nG3L6927NjRQffzNFOTnN+ 8elyx6EIQKhgpEG5OpHpq5eI0vZbuDX2HhiSCAvXZNKfnxPDPc+9GK5ymqipXWsDkHrEGaTyful3 MDCdrUDM2BNqz+zC4Iq9Zma3+AFaez6ANxzez6/lUJp3SPrrrnYC+391Qg1WxHwfakBAl9gUfKii d1wAH5znjTzLoj0bikK/6XQClfeNJ8EKKLKm6KyhMxNMvCy/X/1vDCkWYp5r86O6K2jfrRpS3BbC KKsaVc6bCsWWB5DwKZYh5+yL/+I+kTVlTV7dBb3xzfeh2I4ZuLtTZextENp2b6VVTcFgw6I7mlMa 4RMiIAvtKsCGZVQpS/X429ZF5FRGisky/jBmNODK9JAt8uJMwC+ZMDIGhesntvocaURY5Osq4eYE hia5QmRtodCtky3pM8y5g1ysxtsNLWfeI3zpyC6v9b/VCOwWi2v0/IiB9NYq0nZt4/dDhEBdsxUc N5udp0sHvzxSsyibPgu5jOgf7P5rYx95XgRwfVbIfDNadcKnCSfd3akMCyOMrGcHofVlS8uAJOdS Pg2P7BfL8JApOFyFpdlLD6+MwrcFbVNk2qsbe2cFKDceV+v98XOd/JNEdY5gVmelJ9XBOruhsG2v taOdVpCSP2mmkgutcZHld3cGZnhBfYu47Dfud8oJrkghDhmITlb0bCkN14n+naeEd5qBKNddSH79 PfIqjg1bTRPw6Zh7FSZtNmG5Rb4zsaB0jHzwJ64Dl5eF0Y6PhtSARHKupfqIno8wMqG5Em1c5fWD FqBVehicNqvwC4OFKiBmZqBN/2WLsT17uile9wBEEBzD7Bho6V2IY2MfVrhohqZUwewe0jydiLhJ 8k4x3t1IreCCJ+Yagg7jVoD7Nb62FuuOSIVgFeM4CLwCRBFlWSlCgoO2mLKh6tvQ592l99QOQTZW 6P4vUGAqOxA0yFMQZUPAhHxnKgI28cjnjZeh0TullEXVXHKsIU7jNxEXt9NgkxNSC68dzzj6SvCJ 4jEm2OBVKZ/uFEWE9ZJe/321S2K9/KxqhQiCdQeoXHCW1z7i/0wtlkS0r3igyvAKU6vDHVgp7tAZ hUlDbFfx7CvsUjDzYo9fjH7m1O1MfdtqYpBJ+AJGu/X3bz8leF3RFQpgaynnqgxEG+lm9X1Yzt3m e+h/ey3TWN3FseERgsJKQEgNJM5urg92p8tZiDK7cvRDFbvrmtv9Nh/nvF9/c7KJQwHf4bC+/3A6 7ZOG6Y4mYdSX+IzmD05Yxs42Ab+K0Pxr5W3FgXcRQnwW62UuQgkPtgkNO3eylwxPTf89vaFrFXhd DLNcnKdaV3s84Oz8MVNmqxTegeb0062Rk1kVs7HwA2zwQbmcIznTEd6WDRfhSR7PFvNr0ll9n8CA oiEeuPhSEbLvQlyvG5qJrz0Uwoc7nU8R4t7H9KIHxvCLLnNHzDcB4HoBjDlEU9Ma+o1AB1E29snj Z4IgaDkl9xbCmTM5km3f0xBWSOpAC7GsG3TzvMa7Ie+a3dZY1nY7VShUR6rUvUsQLAjYbYSJ5lwZ xExSghw5aL7lz24ajN7K/rUHWB+c6MNdLU7qgkNt9GJMNM2ZPvo9kNxQ2qh5ukj+t643wCNGL/L1 PFADHDBpB5VgZhrULHBx1xvLkcUNvIZkTw7wnNUROnJzSQ/uQyd6qcoh7VCepWBR4O3Roay81v6t RAkBHwUQmeBR+R9LK7SKyMqwppS6MMmhDFayyF2JWTb0bFx46GGr3yGRZDb6++TlQE5udyC/DWV5 uHKle9RU4G8QVF8LeIJw/vwtn1UX9+INVt6pCLb0ZCSrsbuMMoAJ74XEWb2nrELdmLt2jE72ZgF1 L2Nt/wZvW7mrNA1QgxIXDU8A7ptroP5J8UKE2mFhB8+5WyyUYUe2W9+JwlI6zMnrXAgm5ujBK2Jb 2Vdfq87wnV05GzY4wdBINMqKjcpL9cY9754DTvp2PQzgBbUeQNKiTVvB5owkYJeCU8brDmkW6vPJ 8OslkCLqaxSKIt/SNmeb5/+Qv9Bzg6/TeWd+G9lhWTfdrGyK4J67bOjnsWGhGgxFyFbiPd4ueKRr PDTo6KwFEvz2mLWW39aKNIPuK4O0xnOjKhEWqd0rsVJcGQs790LRRcO/SyG15/o0WmSUP5+28bqJ Hb6HHT34/NaNuJVvxzBok+4WHK1QkIvpR3ELSFz2WMsXFqh2ytnCC9tjM55ll5JoLnK0jITceiCd LNfZQiQsZ8RXlPjx+a+oA04AaZZe7I+qsUNTDHbV7K+ZdHy69y0H/qtTUPoFJFwPEo6yv2k+/1a1 FicBXk1l3XEVrL1upZt3SR5GXuAB17kUtKf3utsDaptu60nmzOCKPnSLZ7Y/auYUqszO0ysYUKIC VOXjkTApxoe+y9mfDY793PVpi9Adh/eWHCG5gI2ubVsvuJHGV8jNezTefSLxcBvumE0/sMK/GN7M mHbptrGqHLJ8IJSEG4mKwer4RhU//H3wwpHfHnT9cJ6AtHIeKERBm4jeEs4DjX3DIFDwu3SNMbKD suwJFKPBGPnae0LJ3xc0ks9t5bfwZ2x1KSiNm/LuaiKP/tHteT+P5O5YjNXsVyeOBaMOCQz2qFft c3UlDzgOt49fQrosbzYksZuqyhLzgzcIhY5R0G2au9Gf8tSn5wHeai/WwHGJyTZiPRQn3OpBHVs+ DVBaCCz9fcwwn3dygK4MuilrE/zL5wDUJoQmeuRIwB9N3Hv9WhPjFlNRuG4old9HyT4qHXT2qvYW wOhGgU+Fwo9rUK7MOtRCKeA34LoBWEQCfizspamULdMzd3wFwokL+402bGRsMBktGBBj7ZGcZyb6 CVpOYYGVMpzq9s521PkFcn2ZFSoN694KGGPReU6uAwtR2674AjiF5SE0vMGTLeeheqWaHAO+Ml/u qk708UyhJuT2PgCn6ZYhK2zxLFDnG7Ikpovnre5fquru4ScSELulxfwtqSOEprzfeEdLze2yQ7yC 8Y2Ra37AxhdrGQp/N3MYgsDzh4zFfccS5f0/41OM0OfQdmj2hUZKgON7jOanwCxXplFD5mb8MJvX yJlS3P2eLk6Rq0MkNAw/9I8OIJyVM16QyO2xfPkPlzGPVOh8g2aI56PRaDu9a+tJRPfW65dG2nsD 9AkIAv2BOHI3aGcHsnFBL7lyrPqGrNYrKIHhXfAvzUpstterAa7ZBciIF0RvEfoM5DyncXkI6jhf CV7Nj/Qk6LYiScjriEC9lMxnUEZLJd1R634pvaMEoyosVSgHGGSnE+a3WIcgZAcGj4OBqlUwY9Mu NgLSgscwdhYly52grLCfXjoW+MvIYQ/lcbXhgRdEXBli5nqt7nj9FJaPH1WgX3I0KFSu4i0WN8Fz 4fmtmSWHcdCCu6JkHYADKeInSLfUeOjIkYPeq48XCytanhkGi7oX/bJkr9tpJtp8sD6TwcQBNCq+ 2DPTu45FrneRdtPXd+CIykEW5MDtm6KGetaLEWLg9uKC0GUiwL9pR2lN+mLhdzk9nOfT2UEPDYmk Vu0JfqUziqAkEJIt4nQklPxN0MsJDwmF3CY7mfhVyETe+o1jXp8a0sLYvT1TGbe/AEFJiMCF7UqK cBiocjS6v+7cDvLHuMWnL/LkuJgTQDbxL+uV+N2CIwHyv8GMp9bnVem60WNCGsA+Smo784g/anfB bJEe3zwnNqRXJIR+puh3rmUUYtfAvVgMhQHQaqIawoCuRncwE1iF6domkVCDgMuAQWZDEfVYf7ae QiAFTomEALqPd4TNoHZv+NNENf9MPxqZJYEmjWiaEZEOmfQWat1bYKcJnXimVdpdlQzP917Nibq1 1Tu1t12yZEmfuo0jgoVv65h2aGso/mlV9FN2rUAPgPDGmf4ot7k0uuqBOFqFu74sN/IOcdIDAJ5l aLywBpQ511qtE3UqL2w69D9eL9oVaIs+5nXgjp4ctlMtZR2hcJkT1kzlRVJ8r2RJUip5R7D4d9XM PUXG0GyGRAKQtVH5Q1B/5AAGT0y+kBR5jsz+wdgoJC1RBCXxVaUwREtMQ3IWMP1vqDtUEgwOB++o DvSkT9flt0Lt8Tj+M3wYiBOX+/8z8+DO5NwSo//z7YewjoRFVAMgeGnQz/r5Q2SixqgyzUe0cKxi djeSGKbUJdADhLkRMioI9WHyQ2ZY4L3T7kCFrGNZKGUSj+Ldfkq3HHV3mziyEz3bijHlogMRNe84 OWWoyVSBaBxAg4OQqYo2L+SnW27q30aRrmT09LPZE9vEXHlfxyRlz/nqafDebffDnziTVWGOTmtI jotdzK3G54FK+iRkaHq9Lyrf2soJg3KkeKXGO7CmrXmgHfJIyIPCtDOhaLsNKk9U3qtqHGXXWGdv 3BkhrKIe3I0ZT57dcit7cLr/Y0XjmZdGAVneSs7BQZsxiHM90XXeD+2OS4k6R+1FVsz7XiEJ5o8I lkQYsAQ2d6btx3n/Z5ioj8Qrjqh1S+yVvwIYrUvCr5QZqLx5HH+9tJ0BLuFjFNENVdynNpiNoFbp y8MY8KUWSNmz8wjvJrFtDPB9HVx+fRcq8TeedVKZ/FSZdLvH4+5JG9vhxbjTUj0XOqhrSdFMTGtt l8nrgFrQuXIsUFz5Jhxn7sEl8H1BIXH1h0YQwiOIA7Iuc0Dk18TaZLOE50ixAo10cU6ygR/Sez4X 84aXifyfPEgTaeAGWxbRGllRnWpEZwIKriDEASwqEAlXsGo8+f5O8IBYrzG+oU5pFslbVyVnJ8gn np1HfEEEzRYs2YD/HpXXJZmKTUkvykFQybMmVOsTXZGfYOxlF1gctodZmYK0lUfvzAOSfy7bO+Vv qi2HeQTSGXP2Qe9DfHmOAcXWbOYFaq5UicryHaywaJ4OiqLJ5Ci1rdSD3mTFP5wH4O9nrIyzYB7f xPwAnNszqQ3ZQv96EtQBT/acQQJmJTKLBFW9NdHsJQZoZlXrDvKRUzxmqevkgSCqbWy14gySS3kr F+1lupq0pjfT3xfMo8jIspOpkynCrvWH0vJB22GhQEPCT7lmJGmY2jI+DJBXkhhlljGUiED/ubgo be+XiZ9QMmlBfacOPN0l5z3FPV4PMvO3KW9+ik75B0qwF6x/wsZquyfKarrS0DeS0AS/0KuXw1PX SHMv3nV1/JDWPLLZS33e2N/tOqMVeNuRncRrrdA52sC3HupwVZEpaJsZP+LkP8N+ZZLOFcb4FGRI Lu3mlG+G40OcitNCIWHrmpyww7GB933gLsxFigJTEnOgOXAadgvWHk+XEhlifdaDFBpO0mXjal3w d8AQUx/GI9LSVH7Mdfy8tQxauZLqoeKzYcBKj13cdMOcPQqvbHVGMMgST/qPJ0JLift7nl2ZpERo rPL935qq8U8ZghKos80DiNU8u9zNLwkWqB+SsWZcHZmaklgRacolrlMb1m84NW9LAiF+g3M9llub +4ZzhxASEs59RSVCUcAP08SyQXnW1Pm0RjtMQMJEY7v2cVhYYZjzjRT0zBPCzXGKdYX/gDrkGeG3 /d+QCCCj0RrX7C6dQvd7aC09upxkjVCJcqxlyZpkVSPUkkH2m8ZrE22YYAD600C/HbmaxJxjVozY L2yXSEsPaunLg5A4UR/dQPqRtO1jUCkARkCN57xzL+X1DdGHQpS6jqhmtKwuHoT4pkA4dap2aNPv KqrHKWYcSyEWgFmfqlgLBbHrImIGiKBXYfBevjdh7uGhgfUKhrVCfAnAQxRWBpYpZ/QeG0m3MMa0 4OG1PUStvbDnPQxYuVVkTBY1TWp5KQRTOLfO1ZL91d+skA/079CaHX+huZIIYAbcYQC9ZtahLRuX nfJRuSZ6yY4lUhpfgC3xrTo7Iy/o6IK7f4WxhSL6Gkh1mM296DpQr+W9PskLFhh7SW/TsVSzsjgb ASwaXmONo4CJn9+s1j3P1lhy3d33UNuILIIHZl3gqhcqtasHYewlzbpNeEE5S1uDlOy3nRGWao49 Zt7s7MpN3YabsJFOrwgQ4uUi7zxoCYN14zLdKu+5z7nPeF1TJVDGkt6SzjgIuMX2SvBH5TekqHyN SkdH3hQSHxxwrN/849MNJQ0h08ccIuerfnGjtkY1CoaaH9yonNtrj1pasK3GFzATIIJo8moQmchn zwzlV2nPPAz0ZpxhYchb7/5etyYpY0m29Nv9z/Lzr8kpUalEXf9kkElsH+HeAqgS5V9yj/dxAfnp oNys5GpSFOZPzW6zXxhyf3TZHn7EPRNSJYaB6lmVsEB/Jw0mBs33/W2XsCBbJ6yMOuwDcmaBd9RT 0HQb/nWCovqg5oJaqG24HszqA3U3lLcvrt2RY6YhorcvAe+CAFYKMB5bwfpPPdkHjAL6x+oe99KT uIAcJHwUJnaWZthkT6PxPVXO0dXEdKCowY4NhCqV5CA0Orb0EqHHwCopGhdXK6Oeoxnh/HN5UHx8 tPNcDOgnOvMVtfZ+y2USmomL3aux5Umv56px1bn365UP0CPpIkYVWNLsE7KEQYscwxbvbBMhMGuc Tp4UBDWWiTmxW8wVmKKy9olsgD3yx8kxM7cR/lFQHoB3sVOnuXLiIBSXKypChgZSghde28O/NXGn o7CtSVuO8faY7IJpwqikcgmELuuRSDHy+iTBJ/ff2xwD89ycWLfrjf+N1RpV4P4qQZkJjtL/JmJs 4BcfB+75zOurvwgEB/xvn8/TD5eZYPfDQTDn0bmQAWgexEebIeEdGqoSUPe4RwGP4mFIdfxTkmK6 1Pnng+dFMlAfo3NgOsK7+HnaVS8O4ObRg4BczkLCagP4K6fOsp3v8JJq5ljDiAtPn2vbBo1Te0y6 fQP2uQEcNYsvj8BI3DEWoyvFZ0yV9pi7UnkcpyGwvvlxIHtBoYwCFIo7t18aVNy27pCCQE9J5tKV gV6AAS7ixZfTQUh1YOBra/Zfd96o46+uhlk7ftOl+lL/j9DH/8Vsby3WA6UOL43eDopQcxi+hSI5 5cPrZe1A9hTU3olIM+LSVTQdtToyPp6oufCVb10oc6FAG+64K/JqAPXuWE8IYLqXsV0Eiw/GkcBW 4OLuUdgEmgoj/g2jaqef79BjlQXyuesX5RV94X9Mb6Po7INNj8uMYxMaSN5byjStVxjtJTbkNEud hveuLEeqyMoaw0IptiBDbQtAWm1reSAPkm3Aon6lSRvWcqMXKgj/r6NpP/zZUnHRT3A5J1w8Hs7b iQ8PGDYxFRxBPg5vQBcN3NrzwzymLw1dfN6Kk/lzggTAhjGVG+zkUkGEHcu5p6WytNgISnwrbgff 96+znMDV/0aX/h8iBMCjUsNRrT203+QmbPcRAHODpjSHaHcXvyy15RDNp4NwalBjLdD9Pi4zaTgy BkpgnVDFBlwMjOblfuSOh1ZrTEGCdpBeGe4WmOCbQPhx9jZXQCZVNjOWBMyxtrkMUQcZOAIyfPGG /CWm6QvydLgK84112yvo1O/dHpdVrcr1pmIraxFlgOKL/2pcdfx/KJ/EUQuNLZWQxcQwdLhxZTNi zpRnLJHSCREUEYIqDdKJtSZPzWedlYZtJRAaucOa8nF7pPw3aNrdn21l9BvW4fxwbZ4WGgYb6DLk TcXNAn9norbUPfKDVtygNA4QaWFqlrFx4Mqab7GphEApKOsuusA/5vqrIdh+wntDqtkrNZ7bFvXT RTpJY/Qa7CvcUFopW8AEQnUQ/n/Pq2YwQbwcpksrECz7XWgJS5MnoOERxabLXLmvsBgeQ7SkQitV HgccFO+Yn0DNytPzvDr4uggJt7i9uw6b9i9V0Fyu38G6JFqnmmsknsRKrVG+NgWCe/aH83DxYq5+ DGmTb08iJKXvIi/Lid9BUkeZcy3xGnRP/0yZHoPmo+7Tl4ybc4fmIJUqo4TwJuDKfg/g3JQUiFdv n/3yVO7Tz9DxZn4DmTJ091hptWRQiiaX83TeqzwuRUWdjNf9trJDGOFZu3JgKMFL1e7gV+9mAR50 ingtz7w71prJM+QTISjg0rbpbhzp7jS9zqR1u//XK7tQT1O+cZqEb6i5ZAgzjQjsWAXyukgVtiqx tGuVG1PJlvaXyEX9mqgAsImj1lGSWSVHqAisDZHtOZ0J7llB/b21M6QHEHM8Y9XqlvTEkrtJz4gl 4Znv7m9kCjRGgDDRzQGp/InhDlK/70S0PPEYvg/Gv6OuTDV5Zz92XLinrU85O00HpzEyIVhbtBqA mHr05hr9CygFf6wnB0xY1gWkBLmApa4pzEAxPcKe3Vh5uuE/PXBQBafTsCJgn3Q1CSWbGvlkvz69 gwFWtJsq42kLgE40zuR/EvgGbAvJj1Xr79Hpak7v4gCK8eELEWkh2fF/z71RNdOXF/EplKfIe0Xe 3BnRD6qj+DfNkwucIwGDyZloNiYOU3shPBsbsa/ohYDLkEPi1NdeObqFEY6lgvwQ2Oe6WBjN4S4W HixPME17ZruDm/HPsqGBIY+S9vhKvqoBzgPHPemmg7jy/weDDrtvcMhgzLLqmNALntR65rvzS8qI VsN+Ukz0NULd9juXzscldVyVAOyDYK0yid7NwkSDjCgiSKX2ma+pEVXJu+2j79JRZngh6jFMpJ64 Kh55rMTZroch1doePpiiOT2fApptJwRuEwEbTL559DPIBi8LIztW4Luw+eNFrSLKQXpePtSzB7eh KUUU5joRAKrIzoOiW94BhjcTuKD2OL+X7hG4Z8Q781SO0sYhx/CMhqVhewvGRAS2nZk5uAIAQsca cJo30SEXsFDBKDSm6GQSchLXX5F1W+dKi+kvJX5Z1Syg1czLu5HiGQ/ICmyJ2IpkQaKfd+TPlnlQ iyWXymwwOaR/jMixlc5LMI4xtTl9AELgjjkZj5NnymmhJ+RtlW89rRjQ3P9y3Uh3TrlNR1SPzTs/ BWoApmwCFsmZ5xNPP0LUfwtltt0w192aImf+hMhNvGsZiGEfD57R1lDP7uI5OvASE4wIlwLppq5s 0a7jOLq5U06mu8z+Hjq9qfIMsRfwWEFOv2qYx7AWIX5VWMnPgMQD4chozOp13Yq0DDDSphSK5p7F Pd7iy++lLh6qWM73+iGvFrSO6yqhqMhwL3YobIxKy0C2K0xWpPxTcOkFPKv69NABrI31K704PHFm NTi1crD7recT3tBUJKsgrHBJd5H7vVO2l3WsZq+lGLy4KZbtSFdMRY8pykib7B8sJUi4GOb5vlRW /SEh4UJILSPjZ4Mw0TTpqrPC9eueJApF38KmXegR6mbnvLfVmFpzSZVSx5SCCEt5/PyBAjEL5bMM FfZwu2qu5/ZUO+9IC4Hb1uDmz9wbR7xVeLKnwSwYy1qMcK6iUQbACqhmvJBeFPZTQTRvaiUqY+CI vW6FysP6swucdunWSpMYzEEE+T3sXoL0jiDlhI0pvpc4u1lHQzIJPbZp/x8Do5gwieSyROjajAbJ rEMyxDdDx8jIc66gZtjJUSj33NMnD7yHEwGJGpC+x57wgN8/Uo0v3bVap68om3rV48R/G4DmejQi SsqJ2TXDCr33baPnFEkI0uvqmtDyAcGhybquxXzYpTbLnRnIu3O49yXfvXWVA5MzeFQlXsVJoahw 0PKClSe+deotGyEae4QL84xtkaqDD9zfO8oeM9Zf0Rq9Q+YyNKL1S5kijhn1Xspn6LwURRIx30YA 0xRVfEDBOBrBHcJ+EClSvJpOV7nXGbUO+35unFAReXGc0ITFXrnXVqcQH+kEKrTPR9pLDmVpK5Sc MTPZwYLvgVptRp2C9Qj+fH9vaYzN6dTPcnstU8VA4Y1wyrMF6p0UtspQIUw6L0B60b+AlN6Duw4j q+b6aHoQSofRTxm1cUbcbjaCklqbSP4Pb5aHukYT12mu9PvSLTG7fuZO2fxjpUP//HKZQtVbbnSz 7pE7AoS2T8SPs+6i2rdkmniRqUEvYi/sdpy7qLynMxOMf2dcA33nuF/N1Hi2hellzoEtzgCHQ1VS MPOCDuOB/5Krq5/oyfyilOsZ2V+u11P0qv1ZGwGyt6as2F+Ozpq0CUu7WGRkRBFotQzFvo+JAUlO /ulGsCqzsa2eEalW5zLrlmG9MVIMsqP+rgswsomJQESQDQZysRkAEge3csy1AjCgbSuHiunw//c4 dcoTYX7RXxMExHjPgYseADgnoDbslK66362UkhHAPci8j3TbxE6bCuSIXIJ3fhIBoe6CENaNbvVS gRKiDRK+cPfsi8pIkdC3i2lHL+GJ+L5moup3DZIzCrA0/xnBBpao7mk8D+gzhqe3MhAM0cqC1g5Q 84hbDbmEeXWDTp0hIkJ27mwF622FkUioCd30nxt3B7QIYDpfac9JF/v+a0VTuqZDuVSubbsRf0EG 3pTpj01/bTPKqVPYbTTfq1rwcRWVl5y8YJLKz/6ZAVUNu1CKcUNJnsvm3H1/prFxo5TWdD4TH/4I U/qG8423CimbvoPJShweUHufgwgO3gVq5vJcOrfgJIobLd3WpgflkCZeA3kAyBRK/gVJfB8h/DGB vFD9btgT1SZfOxxdBWiUwdD17j64TK32XV4vFX/PzWOQwMewuzTIjPRyr55lpzHF2Hb3YpYH7nhz +ng8AefPuJONKM0e4zlc68a9FdS+UG5vp2nLZj7OzDOHf5DcCTSZP6blmJsdC5RriSutwhNRs0El NivUvEbJh4y2rYnFtrgvdkMgLG+PjQUjDQfOnXPGHDfOKrttiTb5bLhTBzQDiBYE9ZaQ81zChmiu w6VhGBdThYoaxkX7+SIdy9A0bHSoKbjigU0nJIthcPUhTdHDw7/ULkjN2/NO38wQdQELlNWI1Npx jirFaLwKqG2jAEDq1aT4CJeWniTShihe6nj6vTnkEWj46s7tlnqm+gTJZz9L6eHZslEV7VWofV5j Src2GDJBe6cOVjPeU+Aa3Cm+9GQr7WZJSnHI4/dN43/0UPI7FVAeIqBnEN35y1lHzliBLXku/kTb HgmEATwoSBsjCjO2Da8K/ODKM4CG6ygh4t743XiHC+vQ8jM/qa3/9TkTdtm1AnrXo5X8r79Fs9sT GXxHRkoPWqg1DsSCV0AtRnMsd/TnLWi88I1KA+psg+nn+Qyu4INTaKB0lkazAc4QDr5wUBkeoVcx D93fI0Y+KSGQe6Tg3IZhMS6rChmVVuE5Kjv12KlU+UIjs+P37T0ybA5ZGdydBxeFM3YrNEn8/G87 +S32HAHkTJ9Iutsk3aPxh3ZsRRSTHDgLdkoNxu5odYwj64F0RfBmqVJzMWCk15ogl0hO+eci5WiI 5TpV1fgpWFExeg9JY/oJouVZVPSX4aw2ZdmOyEarrP8D0O17hBGe5/MomGQTUGYi0JLy5v0aWRMQ 28V0249YFNrlhQxcTX4+Ln0gJi3zOm7pvQbtHFFJmGCGF0y60Zf4ce2Ravwx+Req53SGOWQ3WU87 snZuKqCdrujyUxt9fTj3/mxMzAGnHB2qSqTN2ecIxqpCYfaScXCUBPYs4b46BQdZpByhNAn302iV FbJkbm5Q/qL12dxo3k187DgVD1sWXPTNl2gC+3x7gNbOv5aS0oC/SZkq+WWrIRycCDf+qzMX+bJD NAUQGiwWs/YDF9T8JdcFSQUaHL4YoeUnrTkzfAOd4A5K24AU/TybRy02n7Y/bv9W41rw9pknJjL1 TAd9CvkFSByV0C/AicHVLOVwTXE4RBEUJbos7ThoNnPtbdo3vtQIR9H+LkTz8x/G8GCJpH9fNsGR GMB2bip/P8HJ/3JT1zKPuhvjH7o1wfwbSBTKV9/xxrah4uZaGumPXHNtabbw7vpW8txPfCAzAlDR bTpt4KoVs89JxzfTi+K1JwAWwTXfmRt1Z+m5TcBDW7T8/WFre8EMPkV1gBGuthxkk1sVbCuOwDSn 4a4Xkaq2GQO8CIBRs2uesL+ZxY0lJcyzVdpCgUIS7Ux4ZlazCJbSeIMVMWjmY+DPIiPJhYpZCH2I OnCjO8GJVT/+eIepayTiA7+YjGQXneHuSPu83NsJzjAYap8IC00H5vAu0WFhTfP6o8gY5XKc1QRE MDA8lOcrNMZYXCfHGJnhu9GpiG+vGLjsF66QsR75ZrKQHgGknDJhYREcttYSS9bsLs4NuggboLXU Jf8RIJgyv6KEnW6BDhBxS8t9xYzqxAX2bmLfm2Eg4iVc7pl2Xwb5StysXdR0k6clUDnir65GBhpc O2ItaDuuQO9rXzt+ukrCCed8oMeV1K+EICK2sVUYijHOPK6yC06ELgv7Qn3GpQUyXlcgUDBpkpuI uxBIOwHqQdpyQQApgyD1UjnWEpI0dbXFV7UqP+SOER0jXtKN+B+NMTcp+00xmll5z6G6MDs1Uswl M1eroNS2TvYRK4OFEp8xDJEvk8opA1EEsaJz4bJEBXoi2drynSub6tlczRgzosvAwqffECFtnN9J /8douU86gR5nWmUb5E/LulLwLF3ud4pKZtlZzfR7XDBg7mwCvI3bRVAwBF05YWsq5KKUZjINz6l0 F55KYOdBFRLYFzsMjRIo+r/5IMqI4HUjBWiO7Nh1+un+BbiuZ+oGCDXvEhANVVdq+olL+bwrq1yW BlgQ1XnMR01pOpbqFYBN8a7LV8j0VIivRdmGT1qIcTZ+85qcwobhD6Z0x5zVCLeyElPRTQMFYlDN wZAjSjdQNn23kQiWlWAnJTYcIzv8jwYj3vd0Kvs+BTLrCFU0DVWJdK+//Q0sXApnOz9Ny0nxf8CK 6qcERGhW3WIOdC8IxZIczVErN7sVf6xwuDe8xaWlBorxlHzQetMg97yNxLpOrAKTjvERC5hj4XkT 18cluThp3lmduOHxq3AjqB8JzBaZPNsyetEM7f6wDOV+rrzWljQXA7bupYEY1ocJVhhcqpQNBzD3 0DdCqiDqgrjV+aksuTH1qmVTpFsOAGuFi7Vei4tnccrEUgsA9E6mu59RvowhBRCsIOeKBy6DcCt3 SpsoQ04ygge6hzcYhgx8sUUk9sJ0AUU9EfNvY20zGCZMrULtLaNin396ksMe9BkC2ImLS/QisYMw 9CJId47r7doxAg9TLukRYLHs4QskjJ08jwg0LdFSZx5aZaY1typtDU5Irt0/HyEI/lW8yt6A4uMc a2/QsAWSvVfIpeTM/3YzwgXQkNhbObUmJbC5U+E45tBs+UkITNKApkc65ywBnPBdOpk5AhiHsHfJ NO4gsq9iM2EsTI1vjNfDQV8bCGWsBe97kBy2bISLmdBif9mDnniOLVTig4/O7Z0htQlj2QaeTYEF obZ1yhBYlIWKH1ceHCrH92oe4zgunCS3ZOWqJbzXNYcFzeWuXApJHFc2QcPJg/7aGz/t7FFf6hvT m9yGKmBo083P5sOGJLXMKPrEHIQJC0/uyJuAqq6SR6pXOoCNZD70VFHjyX4BbnRuLiwgz5iOTpg8 dhk/+k31CaapzUkGNtX3XEEqE38DIYlrjg8JC/neFfbhIicJLow6HhU1md3zxft/gkU6l/SycAsR Wp2w8enG3O20E3r68HHeoMZw54AAv8GWo7WW7IYZXTKMiZ9JbVlpITNl4xeNQrEDCzRyGzpqSY9M XWPD6fzBTWTwLSEezIATLfsbT0eg1/U7zjv+rnwnZ0/bZI7LQ05xcPTENnA1lKeZa4hz/Y1xf8u8 xtyQujXk4fMqxDzH57eYYr8EKyULikncwLNiOYKU3DsDXbuXD/Ln/H4tToykRGthEtqadLCusCmU 9Ekfrzkey8ctJVMbP3YIsPEWgkkGGx1EsZx8jlk+ajod+oud2IS9ZwCTLERk/j8Wud3IV1vOkrIx KUIeN5qF1zNeNZqDoC5BG7nh3fuSct676cubgDTDmuqNfNSosj9OspD0/HtloTaj0b+KUM6hmHeT 8fjX4js1GznTOuBKW1NwfTMOVsTNmcZ/PABevJCdN5bWMGCEArbEdDE0qoWj/gU2XseE+DDWFeO6 oGJFz6lsgTAZYL44Hfi4mN/Z1boP+vX70m71tBPoFLlBSKzsCnnX3fsNpUcpUl1SCwZdd637QECS 295FF9XZ3jUvC/wqZ0AqOCEpWuXDEJEWQJsSslqyqsZEQFz+cYlv4O/OucObhFvX2hQ0XyQgUVQ3 CptbkVmB+wg3w7Lv5ECwcuZoniXhitdIabFo+edI9t13IiV9pm8yLbWUqoGjeaqkIee7/BJfWAMv oNC2UdWCBB2UznWTxU5TwjCRYOXpE3yOH+FtLBGFQiJvk5vdjkheDBGTN2HbbSj4Tk4WjUsiIJTW 0I6duthlP4negDsYdC3+wZdOuhk817mOn3ZEJUPCQIOsHRMAc1zia/KkD7vwWC6c698/JVID4xeq ScU2hC40s4+UpKBWhutEeOhBChwmNuCY6Qnnk52etNJLIBJglSwgk0PbgP1j44lCQoKWR7VJxhTb qNoPy6oO5WpznWKVdef31T4mj8Si+putwWpkJ3qGqSch/o/bXyKF2D+aX0/CRaQhsp5XnNT3LI+p i+GHyq0IeevzVR/U4uOcB1tiIi5HsQ4I//Ba4K68EHTf2TJymq4oTO2T6Y34UuS3bV6OtXTu5MkR o5oZ4+g+XyLgFu2fAGa0KtikmTGiORX5lPKx1Sh2sssh6AQPJrpySkux5+x6yl4Nh07A93vgQi+I fP36yeRu1Y1R77htwmPX11egN2zDDLmxeoCWsWs+XXWoyFVyM3lUG1/VYo3b2OzDMZJirXhIsFYQ V0pIse3sN/Nkp1e94aWNki7u7jDUHfem79GTjWPP6YCsOQcHuDlGe6Gi1urtUaz/di0r0qV5V4Vm NhrJNzPpNXACEsop3c+84mkNgiP8PygTQOcYQA5wmiP9cusRjqoFTB7fEJuY5jOi21gyzrUz+iiN lnbgBN4HAh6dJDTBm5nqVGMXtozqRrgpuNyaqAx8VrZH5TEDooFT6U2Kz9yLbE1t4hzVLinCBhfa Y8d6Bm5ECCFt7ZnuBlwDy/eL+9aVGt6UOtG6t+mHKo2ckLH9P1v4L6NgFsytCn1bXtJ4TFrSoaXU MjM4BXkwURgjl7AGc6dnhWBjw265O7lAd1CdEnvBlnWuRjS4wJDEucCeKD0lBRyMY9QsPjScQSj9 FYx06z8HmbtWylrutAduvFK+MgQLIszfy71wu4WRdjHjCEFjnM07Afwwww9fliRCRkFwZDIe5Pmk rUrpRC1wTMwKGS6YAERWrcSB8b8Qbhlm6eEUkbqmz7BCEDS7TqcP3Z67IDxNq9x+DWevNmiRHT11 o8NXFvr4PuWDTHp1hXMLigkF3LyDFAGaXQWlSuKPceoetTUezXOkvNZ+kGjTDCsqWw2NuSf+0yjC YgcrY4BIv1j7FpyaFqLUZ+1gvmgjzo2qNdCepHJIefH0dL5sxrQdZ25yjVFpBzuMKc0bgJIwnb/m kPtg45yYMnAoMdMW25PhYrnMdSySaIor96My1RYz2Io0rPMKucKrwh8AkFI96ys5Y6Dna4szJ62l Fg3+DVtAvQi1Tkz5ayKg5Im1UsPXfQ1lz5n8bz5O6ldYOq/N7KFM22+EgMt5FPTxjlk1RdWTGLDF iZrgkduEKubyEaNNUp6VL2tSlVD2qoMVrTJRHsDsku3BXyjqSHT0wQM7YkU3IhtDnCFEVD3FXjQX fMGXVmgqHGY/RBta2dtyFS3YWdPSn3dKeU6OwzlS9gFgR+Cx7TPyghnIFxN84KOCRIACmjYNfR44 LnDw4mzgyZs0ngb95K9o2/tFz58GjxmmZZhnSCK0oAx7EhpJ8Yai1p0Om5wE81bpqqRDkyW86UgU Kox2a2tnJLs0NrBoe788E2EaXKMp3zFDVbHiFJDKavcyYJLoWgkunzRmfaaZyqn4/VXUNVSNV3od sLNmaIfOJNUeZOJRVY1011GGX1qegvA8RvJn3sbTMAQiW2wBTGXk4+TOLPVjFCFPtISoZ9J2qykJ 3QB0YV8DwRYa/Jbfk8zAhDZWBgyHuWM+Ae0r1YHKmx4gEiVT07af8oJPgO9DCVr5Z5+j6VV10kQt 5/6JY0+gtyxTiD0/Dv8DrgA/wZK72BhJENyICcRKKLiyUJHwq98qWkvtSgX2LTZRYLaMGohxuyC6 F170Bu3rQoAz5QZ321rFBGdpUCser86R7VtsYiirgcYNqdLXrTK+yUJSDDd48bQe0SVn2qz+iMKN wea5msKOdO5Iq/DsWegu/6m8Ck3YSnpxfLUV8xfROX3lNjXnx/SZ10za9Y08iW0a3zXsnCBN+8IX RmtZlT+Y52uO5t29fj5BN64aot+mLsp6wJVyQizzAhOi6pyHmPDmTALoCwfbZrJvxiRoedUKyfx7 vkyoS/Q00Fc+QZ3Kzc7tPFW+LCYcpNNpcnirAq6ambe4fWOE32bss3oSGJBEXx8pmAx+3xt8PLVp P44/69luwSEMdbnjEQi/9CDuS3sQZ+z5Nv51COQE+WlfPzko3/eZ+CVuuOIUC5fegQoh93gMFU+C y5V64tOHTvBhX2S0n1oevM+NuGjf2viGBXuqcle/OZ0duRGt7LBGz5HT+oPWZIB5cHqVPUsEBogK p1AU67uE/pbsCYgf7ZT3yKSTkIdcgOymVy8GSDXh/xJeBGB54qcMivkX+8IW08xz6uUWan8dHrth vXhdJ8MCaZUOpCZ1kzAg8LdGyaKZoPnkm3LZMi2nmWGW/aOBAcvikKR+UdXiQFFEcVck2v5Ns4JS AgJpx7TpP0Id1x3SDHexClOqwEV3d1dBplPfzUNLwQTriS0Je9T0h3wb+rRjRSd0CuwogzMhU0PJ MTlMiH03JBpAV5xF7wIT+0SGo0ctUZsFIhILAYUUmXAf23ujaO2SAN4iGU0EpLGAsS3kXMYfuHK/ khIAsiLp2oe3RKX/5v8XrsrYfouZLiU7Z6mqhfPjUPBfTplGet83gL/mKVtam2n6fOoFyCRNrZhG tutvyGAHN85JuWC+ksvb9aYbqSwmS8uSDA1Z4VeRAtcSCvGwu/pgx8sScoO64TCTHaMnR7fnPFtw X6LVs9eix+2HShNzb+NoU1B3fSPkssMUbSKGjSy2lkWu9M1pIlDo/hhvshxoEhSbmYfqlGMLS2FQ vjCd5NVSfCOBnAVRgKwm/Rm6qfpeMfxnqotSzUXTYCZxETKzlDUcSjrkJqp+ys6PcesHVHPxA23l /9ZqI/H+p+NLN82r7kjDtxYmFnAsxccBnrkQhgB+/QtWfRhHDxMLbMioxAVbKclqeDqIhqTue6H1 AkVovCSvzAI31tOYS7NPr9OoIXJ0OGGBwOAyjCoUR2ODwq299Ijb6EVoxxbrr01vqeyp64m1XLk/ w2Teyrqh6uDX0wSSLSRfe31lAOjVTpV570ipagPMpvK7E3lybjpdNuIoULWSI3H/KaB92KaQKCgk 6kOuuF2iiv1TTJWhWl0EntPzPQk9frLbUuL+xIjSqpUMuC6dEXm+CSnrSxpwp4w+OAP2bpfnXKbh TngbVeDhGoUummFArHWBTDyAk5vziyZHSAFTHhhn93AauKPp/jTAR2Wt69smMvEx5Q9MJ5Dv7Xll NgyScaZWjT4mkTqTRYXNAhNwexLheG53x5IDZkXheQoeu/e/kKsSAt/lII0wtvhUA5hToc/yK+J7 ROLJUn63WuLoJv70ZctfPmf+MyoezsRFY+EZLSw+xlN0Oo7HHZj3OJec80AeXFzlTtCoyfy/HkCI q23uitpOkhUyb6GWzBObZRbbgywoPWU4BCA9oBoS4kkcP57nKuapI2sCEw4hmgmFq+QY0Yh9RZ3e 3eDKtZK96j4qXAuwx7VlcW0htiGZcGuwW+TKgtGCRV6P7bY6+6z0NQKiT8wnLwAQ80noWrKIkIvC hz+o7V67ooDSkYond1Yzpcb5oB98eCtRUxCkfnEq+/V/tpBPyjw1DqOp/PfpmuXWjH8Ici5D1lCt 8wZK38WXmKGUtFXVAjKGD1iQR9kfGUHmjrw0sNmU3uY/SwZHEJCejEWSmNwVRX8YQFzm1MgPJldO Zc3ZJTH/shfQt6WFzG68ACsuygm9FA8GpRSKqnzpUxySb4vYj2sp28CYuJCSDKngd/+aRqGRrpRu 2ryIX5it0Vk89CwLHHvsBnHawZjwaP3nQYU/L6mAP463Q5QS9N3VI+QDivsm+Q1xBfyNScl7hURN gz1AOsXqZXViSvvyr4plU4/139LOiTmUM4nQJ1ejUOwjWI+xaISaAYzuaslmfbU/+D2ILTEIynIk cve/RKwRf5OdJ+FfXnyou7FnU7bzZiZZre3cxx9SNyQIJnqYu2ks2pqAuD8d5OptK6WYDyyXeGq/ v03/l3xVgbe6NaX17YC269YDk2jMx7Z4Vaxk6ymmqEljQxakbmdZXNWfct+R1yiCO6b22Hy/Apkb NYsIKiD2FgktvzU2248v5QFdxroTNNZqc3Tp9PYaZDxYGeCQx0esxe3qNZj1asi43fxCfDNDP22x bs9rDKVb+eNyF6mJooQ86vtLry7gvpjXpfs3Y+NwYHu2AtsTvQC6FxlObXFBEWS8tOe0gi08I6zG jqp+AhaTrmkG8+UDh6H/lbwkfbSUAE2vMq8PVMM501gvnGoGLNpcMQsuJyZqnV5KAWxCYY+GWoT2 qf6GUdr2WRaQMuLlFu3ZiWFu6qIu9cHpYeadE3vZQfwvn/PsHis2lSy5/+cvvtPpINGBCVl7M96e ybdjsphfeWF0KseRwnGabhvn+iA4wakpnnVDBLl7fxDaOOI3GsL4cjEOpvSur+dQy7+DtIL7bJNZ 2oF1wfm8BsmymkynzIH3ARxxlGZ7t2KeWbylj1FvefwJE8mAE3Brw+z4b9W2VLRIrtPMd8XL0uQl S8PCOQlXxGd1RnCdsZGixUzDg61OI2setvdAu4ZNcINNQPWTMkQFGUmjW/IUGEk2pgCArEBc0i7I TwTXMn/sEJBAC7ycfsCpxp5Jz760dVQ7SfHMgOAi3/O0GtZoK+dxRkudxxU6kVxuzJcHaRcqNsow U1aOdBTZo8Sy1MhaBaXmD9MH9EHjEX4PRABXehNYPhsFeZPV+iFvJgZQmZbcuB+Q340YyuSNRuj+ RAlv1WDKqp/qzZhtzFcf3nce/4UZGbzhthuRqXdtvzoV2RoLgJDQd/DAlo2wZvbJQaUiYEQCABF+ kdzMdXe9hmkHqha/Z0HSqS2N1Hw1J9EwqNiqGQeVzD8T8b55NZYMunyYfTUHTCpKqtbfrVhALumC jWnebtBgazyRyRgmHN0ZE6V15HuPe3F1oaS2eAoV+I67jx6vrQHTlS8p6dxKmIyQZ7p3AmRE/E4R QqEwWWRLEHifu8kTgbEnkDMHH23ZJFZaSfykSjKrb0VsifHedI52GttZYRoKnkajYk9S1d5/s5oM aFj0G4JkVfn+hOUXqc5RQfg59bXlzSZ0hcyowhr3JaeklbgJy0Mtf1e8thUpAOpQNHeMxNfbE0xx pqfxziXbAuQkrenn01vHt8VYfp8GUGAqykIh7g1YU1oWGbq1bPN/0cqq82BhYwB8+cBsxjm5ealb wyb+yHIeLac/aLhEn5YckT7KxVaH+jkXKWH5TLPp6/Ar/bSRVHZmO4GxorVX4TKYj5Q3iZ531nFH lKc5Zhsk7ljyMF/5wdMnEJEyPesJjaH/RoL7BibLQ7m5kNiDXyJg559n4c8O/Ke+Z+xtewwKOfL3 IcicJgMrU7vg7VYUmcFV2VhngoDXy0lSsUETHmJyD/bF2tc5jCkFhig6jrj5qIggD051J8JJ1wlq E2YcAJJsPEa2X9A7P7rHPNdJ4Nve+xlFnpGoEDvz1kS7T3gE/Ux+CRfPMSHMNKofrfHEgV8QRJvI d58EcvL3fS+cC9AonS41uCnddOm5QmlyVf2dr9cnGwpr2jSug5PUTLKDoMxF/T6h7rj5CO092wfm L2/IvCB1kJuC0q6EC+OYAe2xkH+E66G6VyVwcSCYSYp6HcMwwYhkTL9eqFwBPSKap3ywzw1tQyQA xCsWypqszshEKTS1S4jjCLR+V3gSNTx65BpEYQObLjZvbxC8caTRvVaErNzJIaKvKPvF9/HL9RAm W6yo1tApkZvos2jXeiEpW6wpR2K+36gJW6M/KIinA75/boW5r6S4Nr9SDCatOUNCrs1Y8HqCoY1f bBiZ6V7Lmajzdi3DslB0iQugfwUozTBZWfjAXU0yqw1Ppwo8T/GWnS4uED+YVrHgJdFkQCN3ni8Q D6pAUwIubTwIjEKC6enXtxUMnwl0v9fNg/O9+iUCzClobuzUagTZ1elowkMvwT86e1G5kS/TesNr gM8yL0htsD745fj2zel50Uoa6XzDbmTxp+OANtfrjS5ZuoZguIFfUHLl3MaCRdr5hZM5xwJCFOPB QM5XnU2n3ShxAkh53bP5c8nM2op0akSvLrPFZKE0lsbf67LROaGczFwiyZGLmwPVX4m3LMuGWY7T p0U/uOjfTLZR53LMLyyGEVkH55yfkElJjpBFB+OJfdJcO1mBBcgZ7i+NB3dic4Q76JSGQHrWVwKb E46k6f6nfkLw6h3tUvh5XRJkXTGW3JnMxZ6xLYCe5LEV+YI6GMaW8o6bpEyZkHWGy2TqjXya4thm 7sBjIPLwFq/X3hs54HaqlIInk6I+oOT1AKC2yXN4Jox5Xg+bNHm3wA1YKjLfhLMkahQD7MF99wf7 jh+bzkLWADZsIdA7jVAMuAjdGbMpUj8QLbM+doFhmPuTGsWohb1dbFt1aj4HcvXnYHxJVClgK1ge eWkpLZ51TMyrRLtVOjNXE6Xoop3LDaVMUYDFyc9WFLzK+gZjPT0oOUa0gy/L7VezeJO1C/Q/Pb2f MQSGrwi6rjJpY/i77rTosQSx+f/xK+fFh6i6sF2/b52bb11kc1SXedeHuWsniTQQIn10oC1/y8BM 2XdOi75noWPHGRQKstjUrJ7/Ku9IWnYWo0vQfZtByoAFqHoXN8lntlXx9Od6rpcf9tsm2wdQKEcB 0WeOoqSevSRQ65s+UiLDGr9wK8dgtvZAjuF8d/3qzMsuRN5GwWQZkSzygwrOJAwtFOklK0IpPGv1 kEmzFDIHkDsl8396dpXafDA9pl2I/umPGVUYjLaz3+jKqCFDvwaLwxZNjmZ3YocfLLuP53lrnnJ5 mF0Fqc+SOzi2KeZyoBCzP9yav2LZW3dpOsLdFpL3d35R7YHYTfc/aBWOFisN1aN/3PnhSiQVKfWg EiK711O0P7s9hvEnuTTxbJSpfUdyTrIxGdzr9pFePnZ53L5SJySB8C6SjnygLv0Iw7nJgZTuZa1z ln3B7Tf9NI8g7H00pqzsdQsyUBJEfC6XWnLJWqdu1lWhs/SkGsV1betg3fP2utRSpAVcVfF4FPeo 1nod6A8/RCFk/IboaXT2w/C+PL9vu4EIDT5VbO5bLL6gRGIuNFLnAuY5RNsxylcJ1fiZ/DfPDdta c9B7y5tf/RkCf8N3i6HZos68S9ef2uGRX32AjwhQScpKoTnwYb7vzILakHODVdkP/Zx11DNHg9D/ sb4xn+mfPkDZZF65ni5BAzj9vFRu+ry9CFK5RfPlOUyAfKsZHU/inN8F79/xF5TufXPmqxnIQEbA ebZ+Xy7Nm8izCRbav0krXbVQpuLfI835HoMMlsU+NpFIAh3jTh4ONdVHu1yN3ubA4rkleQtWuCC6 pECdvrjYOp+4DnWQJuxGhW0bxosJrD+3sjayV44uUhRxhytQwe8uQVaN8E5y/HOQa94sZ59gjw3H 6UnOjuLaT12Vd1UFZx2JPQWP67277ygo7xjZqmgC+ThSn8/yhUkMBDpv4eebNJnTwNPEhoCycjWk Lji6DNfyOEXKm7QEjRTtuMIQeQ/f0B/ChaSglyT77CImxDhvNdkkvG7L6T34LSE0Z4Fml898lC5d KisiIDfMRWpVg2KLvVZ636dckiLHFIQ1EoGWmUGAV6qq5HJfkl+GSwawWTo1/eYhsTvajnPtVtON lGzGYNo1MfNuhQXjs3dH61+9xvkcfY+weASARj/RJldAlX6dgitc5zWmrDD17r39tW3PFshzskvV 6po4+pYwjGpdzSMwR68NdYwM7uAmkzWUVOtLSla7mPLoLTeJgc57kAW3YVE/7mV0rHN+7wWfHNeQ VCkqCqOWPeLDHQ7JDq8M1qIROoJHD1yjXyfeqqr9Em/bIfsV54NoCtZPr8QVTt9aaDKW9F2TihaS N+x4XyWUejN0j0WHSGyDv43Bgm1F1fuGI9rC54OiO9jiz3TkXy29lJak57ldbx31ZQXWk61JFiCp 7Uufay8zjA8WkUFUJxtnkKf3r0kOOFylGiUIGgwYgdybuPZMHcsoUZzlm9ctCjqFYM03IcKqG5rw WIRxvwj4ESDRWWJqpWSTDgtDLSAgw7txP0D8FwHkdp8+kn1hxC02JmgsMA/6QlcAy+OYKfN7WVjL BEhUbzNgdYaX1N6pLUoB5V+sjtyARELVSpCZCE1bi1/Td8S3wXjs83JnA0YyAziOuV5xs0UW3AZK IDee2QOkwFIlQRROfjGBRgMoOWn560MnoMwAlrLDDbBVAD2lBQ7LBDuSnMZBvNqLclt6rWzzOvk/ q9t8e7mVaZb/h6P1aGYnpFzeehUqXs/gnKdRVpB5hXyfNUUlgVQdO+NjWhpRfbMdN6nZe80nz37W Qic3IBGuKWLriIg5mwv2x55qW4EdeP3AleLAp67wfbQ9PpU8fri8kkQZbI+IVzlpy3cJrEHHVY3r 8lTquv5mXZzcBr7ehF9Tz8nfPWFeQfd2A39aWHjXuYZsndgLdx4KLSvzM5ryJgNZ9MTYsVu/dBmz J4/WojUnWNf4jIyUV7tz6WTnlq9iSrYyTTo5HoDzArj7zEZvn7epmp+EHUjj9J3HrcI+acy2LB56 IDxWzq/jnmSPDRn6ruWqQVqszYhTj+S9k5WXJgk1OC1BbxAumSWBwSHCSoKbatVkONwOxu+8bTZz bakAqZGtymncmIlUyZvHppP6HhLSC0qwo63xUFwAztmBWQVx3lpovhD5M5Y1rfDPm72lOaMLDn1n a4NEK3oVVnz5WTLRXtJY2W3tdenj6eS5D7/SjBU4b27dVhcBfrY12VH62pn42Phzcv4bFhQixjSg zbDaHd+bJMDVLQgC9ShjvAhlNwSg/GJeiFDZEzSGTUXEiMT9kbxbz8Yp34kHSLk0n7XLFAQiqb4I JUnA6+hvWssY2j6nFlS0wZ6+k4/W+lrtBGZxZD4ap2GQQkDz0q86V/cYMWHSkJjymCxh1nsFl9xF 1hoQberLwzSwM32rmxbhc6VLLgPkne3EahGhv7qXUi+tkWVjEyGNx8qun8oRhsvBswiqjjHI+XJH Ps4FZrbjuVenI7raZS+mnFrH1Bkfo9iBb9Wz72S65O07KiO+qG0CXHL2/NUYXlfyb6HHoy7gYPN7 Hfc/bgcIt7eNavohtq6PJMNduTtboPDbt9Rvz36nvBv5GVjHiU7Q+I+f3XyAqrKlySCfPBSAqGyf KhrSvrQyTSX9AG8rlWnZfM3PjnpuVb4677253COegL4UE3R0pqlE2SX1yQA5iqc6o3VbSB5zb0Cz 537fn3I1ytD/Nu2RevCkEblsrvRxtlg39rvtAXuuPw/+AP8XBEXjX5/hyMbaxdsx/tlomsy9hrvK gt2AUhXu6S7YUvBZwFQTlxZQGF7+NrJVOWgH8tpEAW/p59kAfr00xXvPsYgPRC4bcDIjgBxikqJC 9/T/kf/NTNGtOO5XW7J2J5a/1KQYvbYUHPb6u2sdF37nxcgAWFmvQ+7PVQ1DRAw6mQY+IhMqQ9N5 tWvLYs7axz6zcStxTK9PryX/dTAVvhTJeDF0YwUD9bfqFg2A+wR+TDOvKOUGWdgOn/yTQupqMyZ1 xE43sryM6VRmxY2qdEN6dTPzaPOy5+/hXcdSk/FCKW2SgPTQbPZgpex47UlQkrLKIc7y9iMpcVKI TaaR+xgrSySaSpxYqTXZgmoIuUfWcuhlE9FTOiiM7dhlVE4teiOOBNCQsQ0fT/cyOozTy1ar+S9S Bei9+jWFwVq2O/pspPK9uoEqPNHktb4nFVZUYftG2XtYRx70eRszCjw+uOQOUvr08VHtQhaBIIVm xiMTyQN7FkrOKcfxgNbH95NyNvAo32gU24GTe5Tk5mkcBJ6Zr9Z8Qm1pvf6PuhjaUD4lP8uw4DJl /niKPY9zIk5BVN+61fQ50j73vTNWQCvnGUThbZhvo56NYaOEtJHX/4htpnrJckYilGWvnIFQjChR nhsNR9fv1zGRvyBf3axXOgCRBKkBfWDK4lS7l29MWvJkZ5OfDTXX83Piev3r8wWuCoQbdAIqjMDF F7gffBHR52YKx2zWe1dxn4eFeePy4oAHjapHAd21dlziU5W/a6spTN4qgkqUQLb+w1YHbvvmWNU7 z2Ci+VkbZikVG/mE/UfHnwUHFtrWXA4WrunJZxGmpsHgnD6IcJPp5M75d8BcRzWRZpTA1qowllTA Rev89NlGmQ9vzU6giG8SQeYdf10HbrpT5Mg5yEOMprEC2A+zP9kE4OSz8HcvTCflPUTf1k2kS5t5 Pp40XWspuRZPa7Tf6sHQ8/UGGdgpRR/eIgCtUeZmUBCnaPXm2u2Fz/6e85D4JGMwjx4b3b1BEiar /cYya2QQaiKR7HlIMGirpMrM8ZuGr8T23FhETW2XGdGK+8sOt6rVenvXQ0pbeYzWHWrvBkfNGMkH MPipTO7jHNh+lL87Gygs8FORFo3ANDPbOlF+gSXBkmHdPmajMxk5+znm0jaCRaDyLuwZMmVgHWHf xN0uAuUU0JCWrsyOJ7fdcBYyP3x2OjQJu7hiFK6miupclH4vtutmOCqRgflGVqt1wXxGxizGzkqH DAffiBfsP6PwpaWaNAevL5GUCtjg4dC81rMs06yh9DTD79VnHOZcR3b1czmVPg/wtjs2l8wII6r2 m4kOVyHK8MS9f+GkW93VfLhc9msEPQj4ACn7Wgh576Ed3eS3B3DonGwJaM6+JLMnLxiNTRVyZE3F cIVz3CiThFsh63BHPl+yevOlPxfvG6rkXxN/I5Kk+VsqmsSCbWl7NJU9cka1VgJFmLR/43YTJCT4 twjxE8tY/3M482qtYnbR/AnB9nP38nWmkQZeqGEWkqb28Q/MmZYtOH4phJ/CD4eWsRwPonCQDENm Tw0C2402GQ+OJr9sKs2BJGXbW3WeFlB/5E+EEGOpvtGN6TDloO5Ztaal+eWOucgVFC30ej1DAs+m JfE9nWQsUEtLP4Dhle+Tbirg4d/PiJ7NyvwdyOytxaMWKwz5hdPuNjFnpV7azzEdtzQtSckDDnJE SWEDbJ0uyeIuW9/CsgRiYT0sicG0E88elC6d1LWFnPEzOUHcKNrw/XDtLnPV0nsOqTja+sBB6PGs OeWcUFNZe4nxRXe3r6R+LKBB6OYMypgrCHsBKR1Ip0+Qt0hg0MOKZIOmdnfIvbrjJw5csO1zOPGl Q2+l//lrTfmOFeIM3XLJ48Tj3g1RM2QI4JcB5P199lJKt+BvlmxBTZUntU0A7hvqCwiIgcJ+k8Oc GfbgNSlxmwFJq92MXqiqjIzdvo35X3qOUrFpBkquNOF5Nip2hykBstHPlbm5ZWiLVD1FBaFirWUj +2rrVqbLt3vlSHil3vaGwNWmLY8Wy32TV+TLhsW0sgC0T7qICucVB1218c9JrEB9Q0quUcopJjkj h81XQdeyODO0hLRDWh1Z5nZAPxfgIGR0BNyviFnWECnmDH7HDSYlHOTJgKg3S5l1P5eAqQ9iDSFf HiQ+sSIQ4OfnjcgpHO4hPoo6u7vzNZzN1eqEuop0hu4unxfr4owbBy91OkV5LNWxbXmFCVgnqmSA AqphWPtxGE+8RnYeWsFMzlSKJ5TXgGY6rEOABOzdz7yPrFJAAjfPjyzVYVPYMygZa2PraOuTLgx7 YAdbaTFt5az6okkU58p7jP3xq8R+444xM7EzxCeJSkbRmI+0FmudjP7CgqAOeNoWKhUs2TKCtfBs ZDwenBdgl2Gv3fvpL8bMKwV5Vy+Jj+gvafA9V1YpZoCbMqui28SIlsXaNLHisxzIm/gcly7tp4tp 1yk37CMrWjkob+7V1izt5tfjb+4JUybPHKgObgN1sDaH11jQ7V0svLtcON0GPPUmPo3kVTTqSOKA xYYaRp6Kn8eeGkD3YDZqTVxDiD1oL9ggb2DXNbJxpAAqfUpsG1iuKBkNr8Y/f692AT6HDF7a1/NP 0TP06XSHOK3DSy21pscl2kzvl3J6FbtA9Upj+udJ8YFWL4hT0M7Nuhd+nnbc9w3VGHNe1e2ep5bU Z+Qt4DkmM2gZzpmYOAcKd09RfllwGbxjGqo44TSOYRxD8/nr9+rTT19/ixhECSpycOrRXzHAzeZp NTv435c/JDxlY5Fke3lTqHPABEjhwubcZKWbN5hL7icLZ306knLMhqroQcuvAoYkiqmtbb8MPSoP xbgLM+yIqSqA6ORNuNXSc/KXscimfe5Ojcccu4arUgQxtb3AxGewj6PixbN3sv1gR0jVARfZ6eWU GtldrBKoi804vH9Y2JCnW3HZuzGEywbRyVof+MFsVLz3ZSR3l/Pqn3cQVshN1rGduxAfi1A8evtz qXd0RvwsorcBP5l+INSy5miTq1E/KmAID+3INoR9d4yBi3eMkcP+Ry6WubgcQ9ufNNJrJ6ACe5Op RTwtDz2/6byBLOALVamEdGM6rsGEOMSihqJ7RQMLYBfqk6Ki20efS6YI9zNcNJqCd52czXNBTTzZ Xd5i5Evlg34MW/RqttAXYpu+ZMxwau/q3StjLPnXCDT3xRylY/LlopK8AnRLfgF4d2a9WyQIcQsq piGeVj4fbNCgq9XRNfV5+7DosMRbh/uPVtH8z/OETpdAYrqP26D6A7RYgVigk4H7gW3OWxa2H2tk dV9YLSUBfuFyZwEZX/YrHDHemvhCfwqO+WGa+qc2Y2YO1dumCTJliPr6fMnrYxJn55xC/fStvepg elLMkiIuN9eprg4lBMo9NrtR+CwXatDClmE7ZQkel3ahTsLYD84u7orKov96oWOH+QykDSeV9L35 5u7lg2uH8tlT+sNNjyFicB+/AzlHJRtp2nlAEvgPImHP4pXJzmE32mMFc5G5+kbCjCLCPxvxdTHI C7g3/9KryQWQKCoqm+8gpKtIUPX6lYRa792HdaZsylBozYdQRd+0HINgu1ro4X8JEg9+jAGLfS0O 2/0hyDck7IupR4fVpcnMx/3dqBAgkfJnbaPcB17y3RUXyb0VOKMaJGIQDTvuETwanJZa37jYg5Oq oyb0tyOh67TtapZHpWc+Yq5S/a1DGqsm14py3EX/TCdAj/0IAhtfHuDh1wXQTnMXlVUPZmateXb5 KBxnNzFtkPVs/1tUx790isOqKXf7sY6dffQxRwTaG/WE4z38godZq/Vgh9Du+37JDfPgA5Arf4Wq sU3s8fgRsPY9MyD71RNTncSYDpzbbLywgrg/IborDgR5UwNZab/fx1ZZOLgG3Su3qpTLpde60WIc EDO5t7d+AVJ0xxMuTejHd+BcFYSfltuo0KMT6thQJoGh0cK479Ow9F8i+SlpE7XbDCG4EqvSuPmZ XM6A7/aM9dNOAsAE9scodkJiEp+D4K72EJ/4/WA6xsuDmY6dd9tmchevI9bMKnlT0TjTWrAmMEit Iqo4sjUWpJH0tVt11dGwCVUQ2K7V3HY5wm2ehLoq6P5iTp5J7b3FI78gvt0qesXkBN40dBHUFfor GgVblntVCVrUM8jx869eEN9HhbKEXSJyHPQfEZAMcV9A2yCD+YrBIHEPi+UrBKxna/9Aycf6Uoan GzK67ECOjfuygWCuYMD6bUQxEKsNa4lfb/ixgNCff3VYz7wY4AOT1ZAiQ+6VOeXGdOxwaUzqWjEh YuLA61CrBYo/5NZkBBJ4+OJsdnT6fkN6h5KzOy24sEdW2lTsvBeDNsAZVA4swnnm+ARtcw5w9SH1 /RwJTsh3W9KDwYxxXR78ENYSrUZYjLxMMxB3FOsJNk+YK+krRaJzLxL1vdqnM+HFF6gTlq7vxypJ fUqGEwhR6c4OeDbu31DlSRH01sM5VJPLOufbv4OvZEEjDXmwLKMuHTnqnI8suKr4V9UlG9+BRJc+ QjdjfW8XSxTWeVIAv+XrFeR00wCBoqjU1mhllzxCfdy3Pse9c85NrR7NQnWcAYMbKXSKJkQVg5L5 JdY+cC4C8EIsXCg+yXx6kybgbUywGLkiMrpdBBiwvfZuK2NIfjG1qvwveorLQ1XrBbSqJF2pKmxq W6PXeF4niyXOWQoSHUpCY+NxkY3FDuPvqzvpaX5k6Z3naqYgJW9PuKCmCUJI6n9jeJaHL5ZgRNSY DF+mKEOaYnWshIiWH1OOyqElFsEFVLyAQcy3DVazhxb42gKmdDAUoVp4+lSP2VMop3zlYapUhvdC wdeLseyG7aD/UW9G4kYogyQgnw64Rec4PebpGmoNxNuRY+FR9SzP0nhBh1vi062GXGTsS5lM9QeL NPD1siWaf6cZzk93rdB9W2J9somR6jOmPCZCAICv8uratZYLIGfqMoNu4hgKJ+EuKY2MqaNgKAbT SdRVVt0FPkekO2FTJcS2MMFeAnrKWZwFIq1Y1eHaAXAI8WJ7Z7n2pgI+KkJYZcpy0H6A3yyhWIny s0v1w7XP3ZtqPdL+GJa8EamBLCUvT579g8Eoud+l7xdIQavtDJNrs63KpZYxO+x0f+ObVz9gz6y9 uHyemNd/EyjpYjFVoEMl1fDH9JGmxb9uie2w/sGCKr3zN/yXXLgHRMAAGUqO+juTuFK8Hp8jba1U /3XcH3Rlo+NeYqisywvFacoEcjlcdcbOsk94W2zDFt4N8IElbAPCED/1nv7BDJz08hOFSZgg46cK Hy/eX1Vgulz9yuE3Z6f16uPyJhLmyB3hdq9Xp11yakThDGeqYqPw+2WvwT6APH+aZcFacRdL0MRi fy6mz2pTBw2ptrHOQXbJPZuWu6K8/ULnSbbmn/2srhiPE36xiNONJdAXTPRVE3KlL8LWsE1UR1qL RCju7HgvG2FqPpEwYT877VKiQq/njPzZo2N1lQrvvhSRVDVePMhY9mvr5M3oLYud5EO9sofsg1B1 NPUZQFv36n9mB2r+0eGZaL5/U49Us882By/TSyUZmMeOqOmcXIglJmXs+XJiXIPop2yWWnwqxnvD ab+2HQFjaI2F3XJjS9/AQF51w+NbdwT/i5hNk0sYXiEqj3cSQuRt+uYFl6ynaAMckZWWvvFUi/1n UrC7ots0GqgMyKK+fFHO/WkiTCDfguskfWOmkNwWmMAAJiJjYtnhxxgecEnJ5mabhKIvhqzb5aX7 O/w6fU53JR/+n9zF0JC0PBZuV5kCnoKGYMW4u/jWZjAJwGAygq8aoJa0L70Hl3zqY/T/Ej/lHzaK /Pl0l+nIzLXhbeAmSq0DohU5Whw20/L0mjvQm85F35BdA4bKf/Q7oVHG7rRHuodJJzy19Ja5q8d9 x6bQ5Th2aa9WbwmccKXWQUbM/4FwTlDcGvIGDraK1EZyqTEB6+czh90sk4BNawXWGPjRdh21aNLh MlbAc6Z+pq8r+ETes55vZ3y3P0RwITaJcq+eNn7Iwhv/jr9qZdimIjskCN0PSzuEuW4B9Py9jyfk PFRjQXCzVZVK1L9aIUVCJWKQw2nyI5YUBkVU7Ftu66biVlakXHzY1HA98tkTLaL0VgRIp1Ux6VkB EVKHLDTGBrocUFlZMngKOV4zgjv017KjGbaYcenumeNlJYdtzpifFruqP3KqzdHWVMor8kK0zBQc LjiOezAtaFqXck1QiJlIn5PgNxJQXX6iND8Js/qmN73VoHyndaobuLJ2ukttnPccsVDRpvG1I8E/ rmYgrpoQG61FiZKQP1sekBOUfbFc8mmrn5PMyT5fvWq9WA5Zo3DmCzWsOvmZAnyVCAfRBIniaqpe YLJ5TwtfViSi0KpDpyny7Z2gjCu2j9FSalot3sAUeZF8hakpSyYfN4/RQwHcdYVDfJOFtRlGIY6D r7N1tHPemJo0wB6WksfWTvnbIwDOQhauHoRGmN+QFLGL5ySi0mJJ2mgsfap+8NC8AAT6yeBUlfBT +MELctN6n0LJY4MYjJy9a822+CMW8Fd5TDa+l50CvZL5qsu14baMyiHByLK28X7qEVzMhgCzrkAE 3WnfTljkiCPOGzYFgzrhuC9teGoVIjTmm/oId281CQqaqSZVBd1aIdce17u9RnTPYy0MceCuUhIn 3e87s5uzu/ns/s3/NS9daNVhKBRr33WrzBs6yTjo8k87v8xkwdRIdIRw9VFtoJFPt52W0XZoAowh xtfN1xzD7dhKk5tSp9BpfX8AS+25ZAHaVGwaCyDG13a5Qim3Lu7M8EH1zwqX7kY+sjhD7jw5VxGO MyJL1ZxzaBbm3H7Vnwi/uweABDnfoiZhDpLJ4f/CYH89ik3P7p+m1n3Mem8UZ1dDfcDiod501dQy 9t2EXQr1WEEvB1uenXayELolbiNz5lhKXGPTU0cOT3AvFHdVowJ6oiKlv9KyUHEHHZJkG5cMMvBk jpkg/SeFtycqpSNUBUDf3hp0BkOn6AkwtJR0Jrwc3cSIzcnnVISxFFOYe7k27GQarqfIJ5e7xHJ5 odbrmQ7dNxlAPv+NpgYXysbrFb9rSiJ5sbLqGDjKiooFgAc+FqwjP1EuBsX8yuGsq2gz7w8YJDOH A3BIdjdpDovcp9RKB/nbcv1rUTdG3q2uSNJRb+8USd/io1HpQ0cBQQPSMd/RCtHar989D5p0MeM3 67bdHRZN5uFSxQoFWujG4TR/6bmkh/TEvc+eKLs31wBGoMcYyjsa51fcFFObu9hY9zqxWmxTIwbO R53u3aFjnbxXGgwO/G0KI3CtFkEYIoiTwYvwDKgxb1CEwZgF09VEtegxLq8S4qSX4JaQLs86J2/M fNP8b6HNiuG7h9Mhynx7SVxWMl+OWMDZDr+B4Irm+Ko/f6rpJpKzQIpNJqXpNXwPuS0/TlUGpdrN UJ7IVQOr66qVSBBK4ARyPzxp+xX4Yc5rxzkdZRY/jZG+a7c9VTVYMD5OGn5FQ2ugxZfe4MXDfEoS K8isZDi//IaBPtV02GWfsDO1MUTXtzH3lQpKPpia4bH1zHidmyD5oBHP2kS3f2yp8Yf61ugMQ4Ti q2e083NdgdjEcuy4a0RO5qwuJi4IBA/dJJykleCqmNxmh61fQ5bX9ftP71HXGBR++Xozj4Nq0vVx 1xZEoCW7lOjJB34pJyPaUaOd/9fTc+5qU9hhV9/wMSfmGiTmpevbeinLXwVQLP3wenhWo0QvMZIg x9lheO1WxJYto2W9AwonBlU/v3vRH24NWwzymn5Uz7Ll2BOZMi/k21MXwyt8dh3W77LoTyYug7yV ln94Tb2GxoO6twfeGlDVZqF4M+i4zS36rhpyjKPGb5ZLBT96PlBf76YQNcWLV+xpOy75wRan7Rkf MNgJ3lPIa8dhmTld40I/gCm3h5VRwxddNwqgEwx9EwS66eCOZpRTXx/xNTBtUW+cpYasJUJCVj9D /fcmXjRlmrGKcjWQR31FLHKLhT5jk22chmPDryh1OpODp92OcleueOpcMJ54+uxq1QZtgqzt7BK8 Gw7TvFUmP/4yS2CHYQdQbe107GeXt66PuR89n4lcNwut7iK73RFDeX+xtNijEEJELcUpPnC3nYnl ymlFnrlPYsL9IwJfwwfS7ybyYrIs2YiDRdZTGEbZM55U1VM9WALG2Q+cjR9/wYDiXFqrxCvmfDro fT9ui+MNOBXHsqnfvXk8ceGjaoW9W8d6kK5aJENMl/c09IBCd1vkY+fWDD1F5WsmrwKoVqUrhvRR LjDdBvR1miMMmWUB272S0CfiSrg36Io8TpN65Zz6lzU2z3I+5mI0/DkGhmS0eQPDHOEl0RwJRSGy crsmF0mcN2VxQXv0kbli/HVoZxoduBbU8/XL6ATDKrmZ/+YPxbVSU9xN99qEWCiykpvAJwwEqt/z MySt4R8Fi1Y+Fly5m7dfJmjYEkqgyIYgtOzIbrZCIvJ8jwq4qFRekDu+DwDEbXzJ6mQtsC+DKA2r gC33k1/j401xHgZxuUmT1ca+UECoWxVaDrHt5iDv/ll920CXmdbwKuXuoI+eKx7Zs38h0VoJyurh HRRObAdooD0V9rocSRwke1egQCTKf/aYyPENTOJeyX9gSQbsarqgmdbzuAhZrAg/IGPegtZaM7wD WXPk6ZjZvZ2zs8zgqqR697QBxgWxDvSrnAYQb/I4HyECS5ekepVYOpGQTbs3pcPrX26RGQb20Qsp T2Pv6L2G3hJ4NYIO/uArNmw4I2je+/T7fUSLX9OOA33gWMJaEjeWqtSyjw9bW8/7cPi9odLOnuM5 B03r/m+0rU3tNr90BNNYCCSD76a17T9rjRQWpr9sDZR8Q4azzOQ/XKs1iHqi4n5RC5nAMBEct8wK aiVT1NWnrJNyar7H+rC3PMk223WuPz2zmvYTt2AhiE+NNvEiErEyOB8zGq/XB93beCr8lETHFneE WoFfIv8Fct6WI/0/GWG8m40M8M+mFRGDgRravpiAeA3NzrhD++IA5jw0jdNkVPsJ1t8LQs5tw52q 3jLqj2R6GY3gmAyYK6/m4kh97kyG9caF9irp0pZHzv8RdsiccARRCJMNt9ZAIfPcc37IMDJ3PLfy AbYUbZ6oYh5btmrxqqfa8sLdu4m1u5ht8AGUL75MhdjbMqHykUXraxIu42guc4HIgMWHvuKV43/p 2gk9zCs1S0P4484K8SUwH49hq5btJkvIG2q/dYFGPiQ03x1qdLa3c8Pm6W5kwxJ8rJtiYjOy1BXd PexI6n8jECqN5Z3t3ArZ3sjuWUFgRSgqjofsS/4ZY0vi20O2TGwhLkTBGlrTFGxs2y4DJfA7F+cB uRpM7mOhIUYSzQEU4JF+2kMK3pOWsMxieZ0nk1nB4gJFFhDLIiSMh3nmPnbuwCR7j3+2V0GeXTit te9+HFEg8YhgysG3IP3ciKfAnfWAoD/fnvF6ZpMpVw/UPueF5h8BZfuuL8FLEJrOaHBXfEsodRwl cj3R0AqPzrvZZbIbXyg7/4CNY8xL82Z7DnYQ8zz8nCk+8UDnyOXjP+uTNqbcAg5nZZX2zb2DN6Pj Y/1JuDARIArwfnD8hbCygn0T69fAE+oJ3zxaWSwEF/fYoh7Teii54PvyKtpZK5q6QL1DnsUJUTtW 7+R8TQ2+NQBqKLPpQ7HWFt8SeBVvjp0xfqIxIE0wKY0+LM14AYaDpnnP5I3iJP+GCe6zhEf0/nuR FyCHdppdFUXDXtkNeA/620TcJQ6uKEjoA4gJstBEpPvo719qFkfmIJS9usm5GcYwY4suEggCaSm9 D/1yfzKA+omeC+r4Q8mizO5vRDS5Ba1SctVxPGH6MgB2Xi+81J80dts/cg8eGFLbMOGv8jp95VMH FVtgUPtN4ebv/NZbH5RAKNTlDK/3GqhdzlWw26qHdV5kPkIWO6lBo+SVPFILDJKqfgtS86oVh0uq gIUkU1Fvjza+ob0qqY0c+4jO3gTe0sX/kwj/ldKdwizggC+JGMAfILr3yie3ybyxq6EzsyaELhEr VPd4X+CE/XEaBZJ12W20qXp0O7dl9sR3Sql/HClLbsRXP8GRq9SJPaTwECLKgLNbDEMI0+5Vl85W vS0SryfjfXa4ti6hGvdnYOMJ/Il5qPxM436/oxMdkTB4Qj/LA0XW55VoI2pullCqeQf0vZUEvDUX uW+Qkur3hBIx7FsFDFA5B256/RIvxCnqg5yM54Mr/jWbj+BQn5jGgZ6Ba3K+uZ5YCIBX90+4mfCw mQd4gTWE/69kqOQjUFDnlizOVsLwFTxcHVM1zC3lcuFIWlden/8iOhI7nB723+mrUQ3bxYCVEAHF bI62ThRc/WefTSrx7OAdy+EeLKFjSFQMd+SZVRx1ZIsgiP0/ORBuN/5TNSSlGSeIUeG0ifzkL/PP l1qRf8OxogVpoSeA6EWVkQrZFg0ZdVOw9RprW4tkMOvGE2uoHZM9nOeiPpM9hx/3Ms5amIqbLHxa U4UnUevOTCrkU7IXhkAOGotXnUOnprX3k7msg0E6gloFCor0ZDj+qlsPLVhcq7Tt7uKbCBJHnHgE qx6uXJjuYufJw8S7Tm8oND0NkmCAUWiXCEEd9+bn7VUGeGzDmlAEl5Jp9MDt8N3FPj5Jqlo5tiIu xTkdBIyltCMDE32THQ/2PVvdT+MSGsMxtcKeizE99CNBvBdRfsvUMHIw6kb8ONFESYdfV788gvx4 RBePcOCjxmjhJSAFguxuFQDMkaVaLRuKrR/0R1N7YrBdGicXBTMsDnX5rxLxJst0pCMJDfFHJelw omjdeRETpKi7f7iYbl3l78ElhFqzn35E46bfeRJvdy5eCaHdwYDuB6YXvGIADLkwNBmvpJLuJQRJ HwN9xlwuDHINDE67pqlyvXS/BNWLjIF2l6mDFlh/vEE8gewrAe4qOxdWTc6KEviyme/ag7fs3Mak yXPbXLgbezoR7+T0A1chOsOkpOkxHSpJ5Bf5xlFHnGMWwl3GKd++UIICerb3F9PG2Z3yB5HrpQPC HHwWzgDyGxLb6iMKDQ6ImebgVb4vNyPgOeVupH7i6Y9hGJ4f2Lt3BYolJ3kLOizjDA+xMKTu4I/n AcmbuwoyYKnO6Rvx8VAmsVPeuKyKfdjdz7EU/kUkLT4vTLHolJzybGO2n7wOxPhUJj+59/l2J9sH sQo7h7iVpj0F2SoHFCiUu2BgwXbrSlPreN/7Ribeq/GnO+tiEJKCd93c3TU6YOOzCOcB8Na7rRAd UkqsC+ihG0f3VJFxa4BKpiB/3Z19ivnhuGJuBH3huq/a5J6jNz3Jdb8LPQjNFkOSJ+uXFOQ51hy8 wZORwnL2o0v7oYY/ZvVUuiK0ZY0r/OzI3/O84BbQc4Lfkg3NvrEGMWkB4Jx4VN3tjqPXPlz9Symi T382WbTfL8s/mX5tvqbOA+TQi+xZQIPj4xLBQUozL4NVqoXKgPC2Emb0XEzqg59daseW5mO9r/DI yn2Ki2PDKGZt/TDq3vSi0hx9n+sGEUJGwEM5EUZJNAnV5uPhZJ30JZ6Q2U4G/3KXZgoPrQfGEmZg ZzPJImWDHQzkS32U7tLEXJeFiFLp1zkSBj1hGADcdW17gZ8huUVFiPP9k1XuZp9XZLqgPCrmcoOE Dt4P+HKn2oD/LsEkac9pywKOOgz03++eH+TzvYhs5ZXbX9CJLABBnGXUmluIAEQJOBUsReRGcT8H MCNOEbUA0mUBH1lozL+auD1w0vGLUH5h4uig17oV/ZIX/B8ZXUwf8zfT4dmz+qc7RrE5cLzyjsr7 uIkOSXqIbzyB7Rxl0sLt+2VK8+9Ma5rUJ9sK171Mj9+6vPxTGC5Qk+fi+VMyNoInseudPFK4vjOH kiwtPUkLuFjYkVaimhOchM1TvPMEm4QpaKblkiwjnkdNs88WIeZ4PlvthSZWOys8ewaPYjy/LtWu vtqlpZ09gLdsMsZt+8NsTo7758GAj/rQJJ+6DkfF1X9dJI7Z9xAdgmFj/oe+aQ/t/KhGssp/Ql7z 97Ude+mf7WHkioICvKkj5lG01snWVRhn49aWf43ZoitHh56betKOX7CTdPqnJHFPZGEladP9DkQl uuyDb8CHJogDKl/xNjhFIYhR7CrRIBt3F9IpFDoi/D6b8bPW7hlCWoLn0Xv05FtfwoGMoWEm6CoT Tyn57r61lHf/nmwzDIR1eVJg4/90ZhKjKZ9gUFYjF8GRArUvw2yTwhpEoUjJ4PYOat47JiXmBHTo NCH7RUZOBXaj/eqkg2+6qg5tkVzMArflnVMApU/W0tU1JWpJbAcEXV042BKCGFxPcl0bUrJ9oXlH 7aUYAJWwIJnPWhmylm28tla4AP54RlZ4i9+ubSQdqX9RZsBeis0JJ5On9sQJAIbc1cgIowwbafaZ fVWu7z0lIsq0YaW3v8sYijbB1yhobR5p+pIcxHx6rQQ7pddeTY6btZGDpiEtPmOI+k/KPVDdTEgA wSn9jVq+y1HHuTyVKFpaUo1NdSVAMGqmr9AivEHwdS3dgBkzGkUN8Nqa+FYu8WKb03vtWmLvCgwf zkgvy0bI82jMrCd7q+Ji7XiiNwwFsT3XdfVRVub1P4JQ3HAR1AVg4vkfYr+LNZV9pZjSi08LHbjY wkPgKaSXMU5iI+qJMInJfWCiTPrrgodWwPTQ9YY32MZCz09XXXHCzyEMNahl34Lr6j04ReYv0D4R WQ1pQ2N7MLTrvkh62O8zZ3zk2n0+4vcGoT0S3y0v6sRRyJNua9Oj8K0GNpd8u9y+BoJrBQQmpIrE aFNPBioEApowd8iYrOpnHcLPJ688Yd4S7KIqu5zsRdVdQoeKWsJR5fm5q3qTt/zkLWizCBHkKjU+ HTdTBsWjRYKTyuMRoFAV65ePU/gAr02BGM8AZY1DsWdG5FytuNlT4q81DQVROAR0QHvlXcOJuUIT oJJh+MhMpKO1INY3Y/p91M1uhivYFp81nJIzUmXh8eqFMfoktRfr3Vt50VcxnozpE+svLLanuTXY EhQIfZJsZ5XCqip7tCNAW4cz9DeSb8Kz/O8ofNdeY48uXqBfg1PAtM+k3mRKFtmQUGfP5MyGlXNK Laa8z12t1sK6vtwwZiGvWto0JSpwVk+yjNicdNrknpew922dFNOA6+Pj/J3bxlLpKxmeQP/znQuV g8BFJGSsS24UnGIB58GFnvfT2CSGFUchRsN0R2aqAOJaw3pAxfUBcQmlwwTsSwaT0nONppakuFJW R791U21wDcab59Nj5PbXnt9UN1DY60C/CbFe7LCTOBQ8BpSGZNwV9t6Zq7DXRAFGMM6IYa8JhXRI DoPRrffWUUC0tYU01m9cvYkUI/A0yT12LqKkXD/QEfoWyiEpLe6Ky+e4gOgCosFJrhakRCSotNL1 4a7VvvROHY9cuzdsx4U5GYVCSKlOh4RTznklZ7JXqcDTYY0cr4dJuA5o3M7mGWHlB/b2ZZ5wIzW2 OgTeTNQis34tXVw1FJRBNW3eD3B3REl/zEfyxVhbV0aebkY7+vh6Skx5NZyFogBSw46gJCFJyUNS +WaqAj3wmUhkhmZpz5A3h/urjOGRPWu8enMvN3Y45l9YhZ5e4uC1cnf6MGV1Xls9NbrWnPqjOTaR x/r/QEDkBlw405zKY4fBkBCjaGfEK7Tyvu98h3Coj4/SoVDjB66eMvn3c5dEWycPsCV9as9c96ET v+6lztT2H+Cgh5YMeTQExJxyVFYs4LFOgnrSfyZE7bFJyX87fkc/JRY3+gCw8gsPIOR5qbmVZfv9 TtYz25Y87dI8z9tIYUD1J/PiH4FmlYIdvE3LqFyU62KO1EJ0S6WCt9Ftm6y2fVeruqDnohYyO+Ip JNjHj2z8v0uIJqRFUXfl2IT8cz/3Um4TXfSgcHlMLB7ulpmwfaVKWWeTKlut4Fqot8H1WCQAvVHS 8VgrOVLmH+KLi4Fe5N1BNVDakHXcq14aorsYy2laSVCpoO46Jm2lzK98h6eJ0Wv3G0Cm3us35Wo9 ad6BBjfF/bqUuOCBPeWJywmT6e/HQ1twsWx61Bhzo2rpCPd2GO62r5i2pSH+Vc3j3oYrRMwzh4CB Y/SAUMGGsTEg6+1Llh4FjPwE0xXs9I4k4zyJmVA/F+ypKP+WAc74Zq/e3qqQ+Ycvi+3uzLUTfdmB VufQgQSoV/04A/D1L/1RpQ2lzR4NNnUuIVfHxirZ3uFJkg2mVgw+bzgtbDtpXXVICJcQkY1BO9My AnQNBKJur79/Ou5Vs/gTw4yxidGH8XEYTSNCqRwfZtSzAXIUny6Lx0MOz3e1rB0rvTpe6M+zdEVe LKYbY6UIvKc4+pSlAg0L7ALMgKCQQaf9G0PmRTU+GR+7IAUpqMbzVkHiMK22O2Q7Q0mPIxLC1Uod KoaNV3I3xUfQhSvQpaFs21DbfndokU9gjTMeKu6VcaW69y7I1XjqQv9w5JqYMngyX3gcCln5u4hF i9l6qFCahWQTxUpobqXHbIbuhvVfFowXUanVZehcmnFQCwb0QEKHx+gOZxoixtThFV1XvfONc6Ic c/1yLTR4cI9Bgk8bj77OcujVVRg6+DYAw+pPxeQPkxHwVYRAvApX8nnb4p1jT2jKIDVDTC7AuJiN MODLuTZ+K2xo0NpBK1LChh5u8nswV0lPUjpZyxseEcqYH/r60tZfNwHHwmFbkYQqql1QR2vpZvJk DuniToH7epxkJ4WDLg6xBcu7bDIJp81GjC61Gcg6mJRVYm/V1PySUpbkJt34rM96varCuENw1RPR tQG8RiTpbHEgEKzUb0gtSjTyFfUvBMflLuln/CIht+e82oHOrtfGYPK2pxPRvuIGeNcAecd3sJRl xLE+o/nYJSU6Pfy6n9dWr+TesthlPlkWS5m1+Kv4tXIHFd5lGzNk8cc4ImaFRCNCAcWJ8DH027es q2vz616WAxDpljkIa1jlsGuD+H9gi8gNyyrqk87+qBmR7qZAGPRu+Tbt5Vyw6jRs6p2Nso3ARXci CmKUBCOu2nMJ3x5odFiewjIgrZw5pAKU7jnfW+F8AD6dzm2/a9tZf5KEAXBUu+kdclkczZ0jfr9N fpyKZgo7TWNGAGs2LK/A/dv8xS6RsOUL0B+OIl/ynYGikAbcsn9Eb/L3MQjLSQINXg/VAp0X16zs FvScCXnFhbE2vbBPxrP/7wLPpVXhP0EIwkhXNj6tIy3rBn+OyJX18kFTHQGLN+yGT6nspIOv4Pgf 2oeHQI030vX535Us2SQ5QAG6Wg3hXYN1evVtIpbd++tyArzVt7pGLWkoODfQ51DEnZq+HdGOrR3t maD6A1ywaeIezmbPw1iZPmLvQ+fqWuFsVM/yjpq9eJ193DaGl1tSTLqbibBoQ0Ej2VBl6oUfJg1i 4SDZh7tQZHWUEKkz5/nNvQj3LNicFVyCsfffk7HlhLrJ8Np6147TuzEfTxpHR9CQCnxJfSG45S6w 20aX7fXhKWZqSUTDn5T07Q9kKDJC72SntblSbZql/miIGgaRb7naJdIwkssWjsCwTEPmyPldOxBY 1ditqprkDtCxYrEn4KY0zJx27KyTRBwezV+HDudqjA9Yh14vpnv6JPhR0N6ZOVTz/xugnNeBj48t dZYVL5vGO33waGPjKGNp9vw/djC7CaTadzwp4/mpcA0OdY/2TqLBpl7O+orb6YK7dTf6ooXPNVm/ XtAdp0eWjaBSjP0TKVbI/4DtvsRYElZYVc9RsHw8lj18btYhuJI2G0A9SXJAFcAs7fKpcmk8Ajzx ZDoWNxarXYs2IYOInAo8CFt7RofxFx8vNmVgSYpRvBPvfutVSLxROoc4O9yaY9TaCPeWOX4Acoow dVrGy/IQrcnNzG0qyhkO4eBbHkP6KQPngNdjUYgzEMSMVfvFZiEoJv5fu0K0BbX3twjkwB/OeXUO ShFtzDJ2y5WF2JoWIfCh5AMidelHzX4Lsz/5odxiTCOcjfrf+OigiMparL25e7RJ5RV5bRxPHKkO A7cWHXS1A8eQeiejJ6M+RkdqOe9/Ak+W+WXGvNY1I2aY6Px2R+rHX4ddPwBuLy3tZ33stTJ5rhv3 tcryUjO9WgQNN7laxJuyYJk76aMpKG8aXrhWIIoTlyuMQoJFLJKyP+/mXkUgAqnS/lpto+x0AHkE E9ZzTnFBr+l/54i0HVDWyD/cpGfe1Ja4j/oPqFToPLiWHSCD2JhknjBmShQd6agDJ/E9E8jspaFR PJpdKxm6rn2v12eE05VHGmcBEjBx0OyuMajSzzV8RsoXaxaOkXQDdibTzm3AaBFq6b6uZYMrrYvX ZzxfoqSVVfCKY2JiyFUB78JeFRdepbFQ/+NwrcFIm9j+rH0kF8yJAKRh2YkJyAmLEi6bVzH89tc9 6XpQWK0mII3bjDJAFW14yDStIGrS7Xg0Tpzkcfty6UqaNuRF36+sQZ5hBUb8UbMrfLnOAhJlJgHK gkPADZZxc2Xpv2AUHTbB/JNKjDi4MwPr7UjVWxUEZHghC1KaJNuuTMwPbTKpepHDuAy8XhFJvbEm LXqToEkgHpxdyGMlrLlMgphPqOt8YLZk6eHXB2rPeNaJP4nJlL9vitSayV2yWxlFZHc6TMPgQXkU muo1WrKIk97jkaF2PEXgrFxsye1fNEFRqFdxT/hFptllA0lX8fE7YrwKouwd2cr/cljHEqiL2pEz 2lDFRz8H13EWew8tgBZC2uoEN/JI5nfaEuVBmahNtcTC4qQM8kvy1KKX+WTFoZ5OOHT0m22IXpw1 Mp6UcDdhJOZCF/riAbII/ksgAM0oijzAceMWF10EeZH74Xx5FawFYwlmlaYDt/Pym7hDOzgceFRb H0U5thbG3DkbytqQKbeMudbJK9HY/P4Hr7zRkcu+dwdZM1PLFNoTe91siXxfe2Z2qaW9X6gs7PEi AuLIGZuUq4EyzoKsxhJLhTdSTcFjQgX/sN0GAMlmBwhivkKQUDa2rlkNfNPpChlEOQQygQRwNyH4 SZ2St2vA4lvIzTwKjomaL9t6eU6a0hwWewG1FN9Z8jgrqHFwivhuP8FblH0Qiqeu5M+UmaNM9DWZ ve0IgXp5xGei+eUJzK5lM47VzO+iAJXFtItRWFSOv/xRitC1kIFdpuTZfXQdxugZt+BSZQRlLV0f Gc2bWhDIKzkY2HCpXvEos+w+dfET8lcs3kdV1UNAvdV8QRkRxvnUJ/6rO8Rj7uJtXCdqIPXfjk5k Aal1tgroe70OB/U+NAQz2OV5zk6+EfkSKh7hnULwM8RZ2Wh7l+k9BhVPPOFiEw5R+k4upXwXQ0AN bK2PaJOGt4kfBb2BNPqIcIcPzAAYHh3NPZ3EZd55xA2zF0eTCTBQsZ1YH6IlPBe8Q6HeHV0fEkor eu3w23JUHsUS/ZdcJlfWBovKM45PsL95jP48O3G6Z3homtIIKU9GVmzXHaxkicEqNgrwZea3jPHB 9vhUvYPltWOixC85ZaIfIcFC6YYj68UXldLdtyHzKYzkJ0yhjHVZRXsrIyphhG2GOcRfnO+VGvTL v5VgEKqm1UYAMAKTFukZaerKTCDtCvMtXTaJ9M5wBstUpttDEfQus/p8YfSWj3OUNkc5X3fLVxjv JuCAjrNqHuKkJf+B213TOsLgrjhCd7esHEoALt5YLs7v8vhSYrrNL4NUFb/VJn7RVcDoLONPBYw4 4aYfDWaG6JPC2kJDecGJJ/JRpsXt7Dn79ltnb+ZKNPxtDdV0RzUAg8BytESUzKMHUEy25rF83DId xAVllGG4fxc8DYxmtD0sV/lKbtriFuVemZ3OB8Arnhhd6DjjZ25smN0eWoajF1y9eTmY10exzE9Z pxFekaGyIfoS/ckMx1eQEuqtiKch7vjFt7xZyHBPXCRx3ZKW/BtnpXwDkw+r0kblN4UYqgaEbBJJ z43UVwZlLZqsUDJVbjDxM1uyeVSq8/kVVdlC+srDAXFh6haxok5v6VCYlhr8/W4OnDSgmGDjHUJV mHBMGhb4c3zM+2DVsLecXpnhXQS1OstX1ovCPVLzbPnyUitAnFvhpRqQ4zYrIicC3Jq2ifc4YNcB 6FJ9gJC0W0FqkrQqtUmI11K8mO1QibGh2IGfX6hYojmAcz1z/csJ76A15JGdSJEnHa97MSl4atgX oy54wXDQRsQP2EDicHfGtTB1ibsJO04hlcNVXlFWhpo1MlDQFx19HQAY6JY4tCiIntt+PETILrGE 6cBf34IlQfT/yUP8rLlEhPzJdY+qg8ENenJmD+j+oUPSI4tFUsuN48338FP/rDONrFF1hSfrml0M 0BnXgtQtajJa//BTmKhi7K5bb4y4Hm68pjFxXDL9fQTkqSKyWb4w6zlrlHGfHa3A6cMfxWEVRoka wraOcIcKcF3wZ8bxuFZCe8MYJbvAvfu1dyLGUcZ/ihn58WLJZmBbyBR+Xp8Otl5Mr00KfgKkxCj8 dxqGY0kyYjlzUAueq1VfArwyrzQKUCdn3HtFnjoOp9+GnM7j5vFCmRiI6UkjkXHWkiS7GKrCnBKp ZjdHNpMBVMQ+Oz6OoKg9G2PVvO7SL7WjV9s7E2NT90EIRNNYNZUkas74BIKrBIofiwuIc0rZxmzr de2+nVlVtl4822zW3wgpdKIZfnJe0qbPB/9rg8I5Prrpa17ZiKnl1eg9auJduCqe9QcdOtvAb+53 RtoLEkN2ZPBLGd21TMkzBGPcfiH19poxglVKXrAKwsUzIdTWpQGdP24Jsd3HDSSVW3/5DuDZYqvv sCEfv3B/6iYOmI0gRKEUMI54+eBtnvy4Iy/Ip/FlkcYC6+SN9broUVMYF0Swb/lybZTLKx3/dpxc f2ro2kKk7gcx8SRgPgM3TRDsmsVqVfuzhkgvpCwZIWt5nMY3d2XLcOt+qTmppKLVuaJwY5GHnSHV vN+ZxN4wNpfGiMfuGih8cw57JCu83c67FNnhPdIvg4NASBmNURKXPlXP32pjAT5nABzV5DEAK3G0 fOCR89CdP7DJGpSC+A9RCGQfKMYHC3nv14VqdLn0qgIONZrI6WeEShsAMBGyHrAAOnV19vZXZpgn ZvedVFOc38/Tqhr+MqygjY2EETjgLUado/UKUinzrETK29Ozf7z5orBw1XiF4qF1o+bi9/G9WPiE BQxhKUrTcjPN8+fSZer6tKDf51eKJipnF2H47QOv/gXsbQI02iQoQE5JOb2X3CXLLGIBthJFCUrm 4QnqYiUP5EZK0wHO+0XHJC8dIJLuHqyhjOxwZcjN1YzTO6IUlgUCQ+tCDtAN7cFYs8CKq6mtl0+x RavI9rEvMOJnOSb3OOVd/1bcvW/NBiZiuofHDJH8zdISWrJGhbqtl9LXrAe1NM4HKwHFc+TDLdCF qIEi+S/V/RaP9NdvkUYj81l0UuHddJw3InY4cmcyKBJQBVfaVQ+/pfhJiBRz34xdsx64Cmp5zrDb EhmLnrCOAyuIurlZGdvqLt08+NFDEBr2f62rhnswTatvXfJvdUGR/qKUMAWKaGgijYFDrQJ0tiBR mJrhHnG5pbm+KhS1wUyHFyBjdqTEMPQ7OED2UT6gbo/DBYiMJkLL2q571Gnn8NZnwnFTyOCHGd3z kuGMpjoSVAEyqhwVx1o790JniF+ngqhvzXyqcH/7+yZ5AsBZnCkiWpmi6tovCPtOYhvCBUkIxFAP H1d2Dhv+B5UxGNCdr2lGj18RIG/R3ww6vAj5Iz6RYt4RJkndHUHZyb6b/UjBXOwd2J3PoN/GW5Ai FOyqrwIa6AwZRqyJX/f8jVH6d2/kA2GMq+PDaeLLB6MWGz5RqgH/DaS4VBpnR1z1YlCAsIx1I/Jh CrYCSAt+S2hkhRnriVPMVsW1X8aoj2NYaKnMu2D4GRSDhuZbdaBl9GHHxr+KnGifchAxU2vJu33S wB3DY8CnFGqYIaDHmTL2NijTW/iCx5UQ1gXpwuGq8QXfzH1teK82FDMKivJndoUB+EmH4B4HuOdi gQbpaCQjc3HkcrqT1Z2OVfv9BoxuzXCi6vcaZW0zi0XNF9/cIuhQU4OyNMVPhsr+3ts240eokyMU 0onLztMkg36CKSqNW10tt5bOD7Z0nXrHtWKQKoZwRveMfvgKdwFS03Bqym0+JaX4yL+3OAn9JSrn ze6jcV3TmucjVAfcyNN6uAwsSko5nT8/1UJqSho9U1mp47lntMp9ogkJqPYs9A85Kq9hJiej7wIB 91L48/NV0glV3xkltEhXJzOU7EpDqbtfyc8t2aiE02+y0xysgRQJgj75/RMnWR2PlLQL4P3X/IGM XqlRazbh9fMjfgwTANaNV0g1POjAOw0CDHwj3RYZPmOb4xiHXiNjH+ZPiiILYXXiv05NyZ+nCzO1 vfj4xo0Xl6t/rE6puQ5IycusXD5xjKq+nkMld/q04WswGfk25HjhcGRPVdvBAJt1wWk45YAf8/Il zokRxVoroKaQkoxqWqs/CzUz3utFFc9ZvBpB8LdDbMZnVQBK/UBq8oU9+/rKK2cETRhLchSHCYfn 6udngElfAjuAbxUrmhdnzETzAAv0DFf7VxFu+iLs3gmUDvQnsDupaQQ9KA7ZdpHrdkqajBUTHHwJ tp9XPnZ8n+udoK2TEbPVMn6YEX13Rw5OTC08Pv3swkw0JDt1A7I3AEgSh55JAKFDRg0mxgwYREXZ F1JrybOfHIrodQiHXCXC9jVcPrqAsdatlukNYaiDMchJBseS6nPVCeXpw9IIOqSlA2jeyAQRbpR+ xUEwReuGvbzo44FqUntgtjubpmYrgGhCMkCOwzKVIGYC5U7Blv9EfAxh40ZAd50KKMj4NQuErMjR DBV/sMr5cla5YSoV/qTxXbyfuypmX06vCzDNg0Kxda69+5zpOzSKExXIrGTlq+NNzbPTPigvLppf GCwODfQrlBfp33FBfjY/0fKaVFHU2kneORRbkWsnjHw8OLgzFuQZULAr7pvx5fXjlMg8tdZZMwrp /Mxk81OM8nW3s1/O48qIXxxOtMZ19VQmY4JGf3AucJHLrzXHtwMzCToz1d3WCbgm9binZx0tVPdg dIVNwxlFNB/J/NxuFuIXFIkV46S67AeRp3haewjQ41cE2YxMdh8cJmf2gjhK+YjovI4ysl6xpIsG 2zE55PPx1JzWtEDbXM+UpzHVdMn0sTovNGmbfRGRLTP++TjUT/x+5JK0IqbFl5njhVE6nKUjNfz1 B2DFMVD6m6DLlJ6YMshBuUWMcz8NXRlJpXvWznMRMW0TWwGpyyc9zeVitGWT7AJscplyn5HxMPd9 rGHZQcdblw75yDymi81040BEzragR3kx4ZGYpPwMXmucO9Gpivo3SJvs8H6O/c07mUq8XAM4gb40 x0aGu8D5WYkiuHMlRxfQ/PCE7oasqlvnwEoo/COMlP3pO12yXK4tSoDetGMW+yI4CFB6qhyljpeB L1ng7K6XFT1UyuOTsg0rMKuCTDhdVHmXh6mMheRryyPvOQKFbAxr5aEsqna5E43tUgpSHfaVxZNu EDPPIbnDSOzawzt6hUPJe1tKQElPU8xkvspRDI+BAf/ZpupnCrmUiwc2+/bvyxT8NU3gyYAUAbkW JxqLNG9j6oEya8afvmVED2n3xkvGe99UgdlayktNOYMQJV1zEScHJW70y6BPJWe7jyVj8Tgp05rN fz1ntTKfl6TIGQFI1lPRlBaNqX+Vnvcm/mAZcr+9SuJJ9LiB1YMeFKauzBgDAJXwGmNkRSzJOEnF JyLIhDJtTqztd46EOjA4BOWcWNBx4/Q6cn9J3w7DJTZ1A76UI4wI3COH5BMySjQl/Bkx/EZpLc1L N05hlBp3e2Jjhe1A/lSPPownT/qM80149RdwvaJVGhbIyiAUt1BA+mhqxYHwUOW06cqRiRrVkMXX VnKawlJn0CL8gjMLed1O8pVF4l5I0YMYP0ZRbZvBArLOqIXi3DM2pM058O7q7mUGmlPp8SDQHAuA 5dw0quWMBXr+GZG7BVT0SoK/53lfcyp2OnI7rLbYefmGmLyG8+nqtb0w6FTFHg7RgeWmvrGDVDIq /0GNRl7HEFps2L79W6LaQJxQav4Bfr/iJCzw0Rt/SWI4FRKbNwXOkkpBGxQ/HzCXQsQfzFcK5n94 rasGyFsK4cASJZ15cSkmfUeclICfuKVnpqNjfvx2a9aR7pTH8x7SEpHcg4aYDrJmWKd6XUDWog2b 0sAwMMDkltsx/lgl8SeQDZiIRq1Ot4aQXElDpP7bxTadx8YBaCiNjdeT1L7K+XyJLSTgH3sx8P5C hk2Zar/mgc/I0mu7CJlplEqkiN5MjBcDwF03VP8cNnkFtCmklSpH/NOEw/l/JUqYf4IoW7z1+tGC +Bf2rPriUTaIgGDpZ93wxz3XHew6MSePpSbvoNqMG4qMJ3L47CMzqhJllCGCwC6fJr4TTkb/O0xM Ipq8boTTcQ/oObXfQKfOiv3A3SutwdwWkG/CJ5Aw9nj0rQ0yTNbxolKmfqDHPtLlzWl2XPL+kts8 vm9cNGwCmzWp0z9nKJwgNcj/4XGLjQIpur6vKG6d2X3+qxyRJWxkMDUN6IVk3EXn9A6Wxs+/Af8J GjbM25jYDC9i9uCf/auTO3BKevh7eXdYWKpxh7jFIATAWTnHeXscqeLPG4ZfVt3pqKEuVbk/4Ea2 /DhzJfWyuVQEF5JFtL8HZ8+PFhLsWxKmW0Vaf1We2tqU7jGUjIflbb5mxpMSVceEcLEJfCLk7mR6 kn6Ft+MonU2HFNTTXJZYLrakeqahy1Qhy3cVV7jUuISniqg9Sg/w0vGbEzm/skDMe0H7RUZr48zi cll2aCB8D3nMZpkmHV5mgLGjA6/9Mulxw2zCQcZnueDIAelB/d52Ek5z+R/WGhcXnpCDxS2fDWsj isQkEj0fdYHD6dtTobS0eOYxxu6MXZLRTg5cUuGeV6L0k+Q40gUiZ+3T9dYQnE10QKSITgfAq3z2 TyzR+1oIAL6FZsTZhwWGcm9MBsHlZJH0Qhf8YK1V1Hv8pLu0Oy2pprm0DdIdvSJJUCSX0C1DqwSs OTIKMoBBZjpsF5scu1bCIerbCqTNIbgIRMpSSm+aGxX7tagxcjrhopYjUnTS/87NSKHJGf1kIW4G eIruTCVDCEYXHv8UMVR9nJwDrjlFa1EDEymCCclnWeON5CQhOqLUHSp47ssHUT7liRWXlc96DWuA ruHtP53nSP5YoAKVrrVuxsmVEGi4VMv3dVQAWJY4ABgIqQGZdYYbboLQvTJoThE6zv+q2StoSysP 5JAL4jaYDcuRsYDPu0vpugMvZOfTgoL71kcTdcHYfezmLqZXEZGXPudn8jjD+8NErhxf2FYvHJvj Yt6Y1PF9TZhaPMrroS2Pz9iIujH+KNsP7zafJd4yoJqY+Dd/X7pRrkNEtQa+Y0cwQUAskjsDR5nF bshRAD4JYOUWx97VrHZA6BLgweP2k9QZZk3lSK/ttjHoWAuglWXoMfU0sMoHIIRjaEaguvJ99idC CDj4PElNCEPxxU85ke9d6eH+S+lieukVjED4HESAYb3EgHqqoQ2XpAK56esIk/HmnHUSKwlPEXhx sNtvXu14ANn/Y5lmfn39FxyB/NQ7m5hJyAFXzO8WSdrlyMY86SKt0EuGZocXTHJLTye32+45lL6Z fbbVzdcuDs9K+Tiu4a+d+f8maR33KKRi0RclF80JRv4caOlW3Ygs2pbwohbiW0IOyRk313ayeEGr 2rZdH0f3MEROvYWYYUHlRYynh5my+RGAvhx6Sa054FLX1CBdFgOav5UAjGxozT7M+ZsqghWQhrv2 gd7Kv5ts0nzLb0DsocpHONa2HROJ7mCqA5ArpNYjDNAotno79/rGmduB8GcinfKOEtmDAFJDJui8 rRxgjeiYnZz0ECGDCgkpIM00k3vVeSEAyLOEl71vITjicd9a6L/fKTuehVthMd7G8imzRGBgkYeC pXcvuPsGPbjbk+X1MTarPfUOtNKsskW4aFidpxdyyPvkJkiDgxE7HixRbKhbBru6OKmzBMCSrF9g D61/GOLb0g+QXX5jKZnBNx/gsTvR2s9WVvo149bPKs3p8yv3NFt7RMzX7O0RhQYS8t+IBvljD3Oa SaZg7MsddMxNfa2LJKTjVpHmhNSkw2wRJPKcVmgKoiLG/E38ZurhwCbrjdbGbWc8E8fMP97jLXm+ jxYZxdo5RLOnq2TLFXXatz9FVQTLGQXMVOZPoiPQaTfeopk0amu2iTwhBUUS648nMHqVyULoDZuM oGC9PijNgxzOmw0vL9B7yYjCdzAVp/DHpnDhnWHdsRT8RE/c82xpdKIwJ0l0YxVaacCh4qyq9Y1R Moed88MKQkZ8TJKlX66ZyP4tC9p7B5gMINqNItWMb78GJHcHnOXfZ0KYUkfCyk/L1B2XtlggfwbC MJeRHVTxYqlV2yj8vJTAd5dV8U/3qCazFgn7iiey7aCOmK/gvugrnX4+yzNSi4XttbvTSazv6xVC vhaW3F6IbR20hlpdTJ63zuR1X1NehAwDUDn9r459s+aashkofdvm6Ze4tCKhXDrIZo6rbuCX6MB0 USqdIM7jyrKqjSLavc1Mi+su8b9LnT0y67ye9N6PBwNNLScJ+NxAGeCpsc4HEzjs5I8J2tiy4nj5 1mlHJgkXmvBwk/6hxBIOfJBogyrSjoyp4qDyxM7tRRQi1J0ET0TOrIN7T/Oq8m4MkyCSWftSEVBT +UrijRjnnFCLO+5EPrBP2Rnc0rQ1XFQ3VCTCzHrEuMxWXKnOD3KcjAxEb4EdtfTYwrXpMtSKpF5o PI8PGysMyFoAIpSWfIsi60sH1+7cy3Srlf+N5m8yNkRDtwLzNDUI1PgtrYOAAvuQ5J38zLf/rz4t s5gAjJJ61aLdhOnv92AFhK4Yi7N3d9+JNIr4LIPdhXCI416Gtml2v24q6QKzK4YBSqyiEXusygST GoVCWAPThsAGVryhYqyE+WHG7wJFukDHF0zv1DkU2WC6xiup6tiTYiG1QFSwR7sGSaERhB02gUeW MZbhudaEXy/FAv93BYRZL1w/7fXPdZ5e2HwUrXeGqXkLKjnwdwomB2EatfIhYAxXLSLDqtK7qiDU 2BJhKN8XmQQgs/VT9JQ2Ydg830qRNcc2mqMEAyGnngH3OXc5rZggemmIkUoJvqlNFIfAi+XIocHf QQkLe1u2FQ9Cyo56hkUgK2luV0V7caBhnOcYDuOSIKDYQApcU+BVS8l2CVXv2YoP06Kryut+NstI ohsBR87yzHI/FGhE5xC2j0JAyBQLdd9PGP7esqrjp51QMYKzUGEaBa2FlkSfNlPrRC0taGoUvFW2 6Vlte2vcSNHP0t79XEgfAfYp/KUDt23D1SqME7hL4+gpDeV6Vyx73HZ9IjuuCOOSMz6DW7Ph6XEn HgiAocg9BuyWynNPg39L/4qPpnqGGlR5EXc/pFMPB8N1YLAHJ6c2Xka8GAwv1yfd/WM20hNkN/dT isg8k6Gt0pZE5V7GIvdnZUH06rx3qCT3a2mUvrY9UTQweXGbRKFfVThgd37IUx3xAJ8oj8EAfJbc fJVU7gbkxv8hnSf5nd8+OEtHWXkx67iRqpZsPEJlRqqRNJbLgQUMfrd7hZ1GdX2QPpp8PK36pd4V 4WZcrEenD7tYzstuWYCP+6KLQHc4+d+mzGLvrTjywt0HRhx4BPqF633xM+ytcLIGHSMNry54pR04 WgpwUWzg946wDq9bK90nBirw3Rqip3wmOLHpllUVQ50qyH4pBVVSQliHCqzEMjlhDh+cg2hOpqeK /f8DJrp8mR0qQLtiZyaxcdWBbsSvoQozAcwFhD73TQRlBqvu/+vYM7GIhF6ZzZnFA4EkfpXiRvln dtvBaE965TkFDPvFtJEsJMTVQgW/GP+NFKPB5LKgKrB1Tl7RWn2upV0EaYFUviq9qHjnnJg6VU2F w1nDKhT7vru49VnbfOgLoNVRlmkFJtNVpby8cSl9fJml0vybodsG5kAvS85md8a5ljWKnKH0+ZV4 CXCMNmWgw3jT/MQwOD1hTI+EctlAovu+rfpKY25fPbYbAi0qHkyo/czBKW6n1KiRGhe5v8jmqiWB nCNg7OFFn6EASdtK4o227EcHd4rX4E5zJW20ExeDcun3m0yU9tGz/nL6s47Fj3sjq6D6p6eDqQhX cdXSw0u22nCy6h33oqQ3AVdRgIl/AomjHvkIWhHl6fK46N0O2wDu7IINfMa5VeHOieuIoDaoj0ft Uy9QKz7hAw3E85zSipdax9a46iq34DIwEXg9Pz7Spm06fwL+XY5pTseXD8NM8WUKI92NKCGDJ9el tzdH3dHv9h9y6mg+9B7kpgvSmfLVuJsNlkFhRgkgtBD3WHqYVhS7p42VAHdeObdHYwOySVtg1OFH XErRz2FQaw3UX+EBeMPjF3+YOC0odOTq3u8IOgG5Oi5SayuPTKWBNVgXWfV1m1pvBnQc/2Ne7U2v 7dgw18PNXKHgRWhJCraxZPfjitniIK1w6PDQdayJzMVS63plOihg9Tn4h0qQ2X+5TvMfZ1WjlnQl Y/XWQsu8hDjnSmJpX/HzAHML69+F1RIJp9tgJxaxHtiLbB6zHsLdix1uIvFba0kxo8ObsdTIrFdf HaJvWxmSwCMP1ONKxQS7niqnNUcK1BkjGIknklb4IgvDAfqwo9oPBmbF4iVS/8OAgI8rKg2NAknZ DYuh/zT2UOII9Yi2C/SMPHbnY81fnMs3i3pN+EIDyGULAQFDPgZk0DZgWgjYFIcWkr0zEAM63NJy LxvKM/8P8IDuO0U/ebx3dw4yDDJmZlwp1tZ0S0KeQWUyKyoworbqyquWouf8HPA/BUfqitGi3nTH DRqfJ3Rr/EAquw8MpgqU56FKutmQeDkly9gf+iLdERWb2YCOjBMmJ+seqVA5dOZjVFF0CF0rCEAr DgyX3vxt+3DWGePr2H7kgh2ncQsxY8b/n+nj7zk695N+fYvn3LLUm9/ddJUEESLVRWKP0Ft8TKmh uKYNZfx5E6YuoNqBQEANP0AE7YWHfS5//Q54PnHCcI3LLvKP1LcIC8j+ZdwzDvXVL65q64PP4TG7 LiumP040DymSD3XVQQj2CVL4FTyOPb1NL94i7FRbhXDEVQPsKGqlwrcAKk32TQsHp/Pq2mSbljnz Bg75lqOzXFkKNxFfVVQii1QuzMWjLbVXgO+PFMapRSKRvmqW4YxZnbjx6kFpSaKxLNWvOLqS3pG1 TG/XAIGfekZ5HRnU3rARRFAI1xzj3YCtkchkW/JMpqSShOFNgskcTUa0XXkNZW3prHzULURRWHCC v1jJ1O92BBtlaoRU9deVj3uW6PvrkOHxyszYxUDT/6Dq0vR2wFLyllR4dv9G8OdXG6purtRwGEDS ceowOux8daq4oea8AjeNrzObJE1CVizb7QGSwNcnGll4YO+YyV5SEmiCtODJ5pJd3Q7nK6rdbMDi ++7NFYhxJYaozbFidB+J6erUOv189IMwT66d1k5oebEcbqaam3yZwORLQhtwkogsgAskq+Lu6sXK q5EcBcHoxqHZio849M4qi64D1tzPQ3axJEeqHHgzghRH38g5VMiTr+gxouWeXUur+pyUwInnfIga 7dYhahahw1R6zTIbb65QyyONWZvLb7Q2vHbe+8z3eZDdPoJ+DUGVlGH+juNjJCYRR9b4ChXiEY9B s5kgSQF0KkEow4WYaKpACie2A+hYb+myxgffTtTKYgFkQPHMaw36VGPqDvDN6WPYEUu2QBMflNly +q3Xd72JY4KMzkds9sGbk+2MzoVg0MEF35+AvZ86i+VfXCWFLlAKRcymkAtNd2wsU8DSXuY9gvox 69kBRF/DsePxKRh66qki2Vkeekzzh7+P3N7neZkHOYyHFsNFQk7Q4wR1/YzyIBGTUp2wb31BYFki L6Vmz8zwT5dHxBDxzWW61Sg5VAicihI+Czm1LHrYAjhF3wUNlJcoqpC2xQh/PsSOMXjUdRHd0XLc YLBu2l3CQZ9KEkcIJXlYb6cyPHfHhr5Q6y8debMa6vdABqaoHdJy5GTWWm6jzmqoqORIN5Vie46+ XOdfKNhtT3j6lXv7vwwIyjLN/wsBTuZTiEX3wMgCM2s4V686USm7Xo6HkAGWw5VWhGx2dkM4wmBx TcRqsoxeRNVvKRMHvZjG/8bMxJsGLfAfjfy9RGxQqkh0GpH4JGFAGymlyPyKnZIyfNcH1C+0pwnu rd2dqu37QSaqMuVWaURc3bEKCVH7XuK4YQLU6q6UBw+ceCOwpDNOYETXE7PpgZHsoyIosIr2j/2z 738ea2mE5wurWLuuYpu/qBxwIEm2P/3jfVuHq4nS7P/ULe35l35DRzQwYbXdeJuMbg2zb0FhP+PS xiGfFS9Do+nIP3iyi3A4pBkNOqpNwYYnon7OB4zhDmp1TUCMmM6rRq3qqnvskNlhI88dKiTmSHA0 wFeEzEn+H+ZOsGdFtttwLImhjHo3w2lQuenwfvvLxQXnRX3fHUzXPiDfjiK8jrZ8km2bIuSMn9j4 Ie+y4vCnayP3vpeAkJtAka99pTNdzajKKVubSVrSJkEWzwl4NZwbvKg9HXG8Tg8VVQ97eGN9il8X p9lgmAtPo3Ivzg2UE/z5R7udd5JQb9FaBFWT6gsfuEpSENFX3HTkTE9tr2oPfVK3BARbSl236+/5 Kloh0U1Of2/CD6Rjc9jWC5kmo8ypDSn63f7TM1YwUZZdKxd+PUYYOo3UIxLZ3r8TCGZ0BJ3/OeSH ++7o0lujEgVDy11IMZZ4qGQeDoCtbEVcpwYC6uFQfwCUMjapwYG6CgDt+4gozYpJXW9ZEJ8TqaFk o0Z0dUxdJVY5YtzkXbX7qYuNfCqYrfdUZAFFnF5OPvih/X7b0+1BrI+TGU4yrsJntpIcpSwzWO70 GzlC0Y0534r6XM2JtQ9u1miqWXFhBfKUeED2ZUMH7igccuJfix4hw5OaE45RA9RyHxqgDXYpp4gf cRbHrnDgR8E2uwmMtXvLN33B7f5H0CK9o14plAxL55EGMyt7l1jbQX23jmWo4QeO96K/Y9SYXY5r Ut6KrYsg2/ANp1ww7mafcIy3z+NQVnvrROPLycgkcYB0WTCs7OT/auTqgbi78qqarAPROIcVEfe2 R65zBgLRQ9ICyVMiOvT+NOnr1RWNXw3O9OU5cIy7ZmDE4b4btskX5LSIxUgC/6NFiFk3n9EPVaRl 6LYM5Z6XE2mhCaLIf5KFv7LxuOAP5a6tb+AfmFtxmHfN/Bs5jTCFqe5IUK2Bg6uZdR9XCKoxaiVG e5t4jp+1BWhZzyvkNQC0B0UpeWnTkBBSbxauMh9HmCG+NPP78h0d1WrSLnCwYFIaiZ+uiLl95Yuf mKWAA64r4ncBlZV8ob8AaPb0yLcM/PK5+046hfgB10EyPyPGcW1I9atvzvxIjYYAEJDcmrah5uH/ KVrNR3/WzAMGzQgS/la72R5kvCwAf5eRXSa/R7MLqqzilOfUA3EXo4mLl8pPeUyZiip117FfhCi4 Th8Qy8BnG8BvhrYf7jvjxylIqyLfvrKUn56MYMC0b9GwoOolhcMN4o7bAxrnM5rNboQZ1Bf/0XpW UF6fnNDOS6J9GQirSFCi6ysOSl6INClhBufsXohT8ucTeRNOuIlnAxQLIQbVQTe2bEqROWXXfm2y MF2I/IUnHX8P2Dg14Y/0wlbt8fYan2pIVctFGzozdTz7qTM4b4jtP099xBY/rHBmCFkhf5D4g6cv j4f1uAs3/WB8yQ5I6x1rRiC25lb9GnL6XLOOflowc1ayoIq1cUIanEs19wUY9xnxGNhRE1/REAvi bfGsvAjNkMR6zo0a4K7ssE+ubWkQLRbaHYHVD0dDscHsIuJgGCS3bNJsBnvE/7MeA15A6M3sqck2 RH+MtZ8klkRn68qQuvCBjI75R4T2+llh8sqrW8j5FNyU7N0z8dGKGXjp2ti0sxFJN296YbFx/oZd 64gslFOb5zM8rNqJMdLYOR01YUl1lnj19eLq7dumOJ5dvT0g0YwSP/XSPtupSkl9UA0l3wfEfnqw qeuq0bK52xC/eei+w/vuDnUtSYXzmivY6PaRNMVMjg4QP0NfGriAZxCV9kkjOArX+hs3HsNLUXxd c3uj3HWfBVhOPqt3U8PmPTUc+fRqOqSWUmsEhe5fbTaTUnkR0su66XeNuEtHBEz5JNShHsNv/q4L LgLci2ohe7h38xrf0Msuq2WISzHc9ldHDN9Ul1Re5GGHPQa6DCYGVrR5qkKuP3ybQyYQVhp/pWxw i/ZHoVZwK9uK4doLZUuA3HN7zMPWVB03Gm3udSbOPNvOwR5DcTbxcn3LhXe5A29zZDA1Bl91cx4m F8qQVsGO2MTn3PdW4FU+e03cj4m6pZc9xjEVfmyQMeTmUEgAqWZHvifV6csa1a+dCmd7k+Uv1Bh6 7RZ6FWQN5V/Jz//eZmrqpUMJJ1P2f/BQSIh72u4jKdRzddn6uv75EeDZqxisvEJ9xWmqAUsnOvCJ gUG9z5U9HDr+SenJYDZdONqDqz5/TRNYni8CNagaucZWFAWZs7uxpE1nJHshOjL82bjszQCtSEyW zFgu6DJ2uK2AWL4mU5tY8LA02QSODT+1PJbl8Rl0vCYiyv0fMHJjZh4OmC2H1sgWKsFoVPnviA2Y oz1EkN5TzasLptR9260H1yLrc0lZlhhE/GVzectfUkr1XoYBZOkPqe3/puTF6FZXGsN7NFabgKIS Fs87Kd+YHzYpKP/0DNBWeYkQRf/IRCj9iGTFq0ES9zAUy501/bZMDNh54VGmGIgGS4QosTIJR+rq 3uLbO73ZmAvoo9D6Btrl0Vut478bB5z8eRelz70J0aNUY4CnzkToYmiVokniU5492mDq5Q+FX0g4 l5htcMcAzzvxGbcQ/RuNAqMXY8rm4+9K1QYGmBNY7FYsIUbUg8gRkeUo9iMLaJlz2lLKuA0/ZEtp nX+1HrUnFGLIlMPkMVX83gTelMbx0uehL6eotrazZ4Yk4iarYfs7zpM1s21BazoGBnSvbUv2J2o4 FjnA2FqzwskL8mMXm+qxMf0p38OYHzhvgW3Wh4OO9fz1W8QNOJoX/YE/7Yv2CjEHTshTvSqj8lYk v6BpfInWpEN/hyiAMHFQ1oXFlYcswMwqq8l9ofVySoVLs/5Z9jEHD7iToEJCWPxohgnspqlul9nx Ejgnd4B2JbPRxW//XRThDBZdjVNU/wXA70Ah5YSxIYOgXTsH7DKJHTG5OuWs4nFy5gyG34m/109V eYkUwJWbqvJzZpyoZKjYaGdYcAG7CzCc7B3ykPfCewdyzo2NLvyzYFhnqUb2vT9lwNyk33hbD+Ed HNv4TYiQGJrR4UT7mFZhQcut3+scgysKaOiKm5EuIT4g96oTaU86yCuAckY9cavCW2aD57gYgQFs 5EHl0vScpbs4pK9LeEu/gcAyiatTtg23EoxSHWPZY9RYi6JdZcoR7QWvsO9hx0gCR7dCy5Yp9wFC CEqwaAfLqJVfLpQyKiD1ojk7eHtEo8vUbFRWX536LDciz6J03j5U2VRBH5hxYAPhUshhY86Mz5/e WuE0JJNZjwJytek5zeGBoUZBgv8KqrYySd1/kAOLvCAgrI6CuKh+XsmTBhnCcruDsSMVsemC84yS u5WMrRgc1Lctaf6q/Z9oIOZePxBCaXbN0UrxihHeDVLyAJReX2LgCSPz1sITtB0D9RBkMr+p0A9Q h6Z7ZdnajEFTgPyGtNJFy5EIwHczEY3WFnwl602JxCLo0dqD97gI0pCyuZnospsYVYOqZsRNhIyt SS9Tf8RCLOMMqCsMeXe9tBl/q7EbtxGP2TyfQrrU65By/6c2jYrqAY+Q14pAkR3RfACADVXpVzgJ iuKxOEZxCrHQHTPgvqZVgW22sxjrWkVajhB7DQS/IfnE6CsQvHWU+1mBRCvtF4H0cmLetjx94sGn PQifbelH3F++fddQCemFzstxjvYLLD5GmIyFMxSve+HD1428ZWk9v2WnhDzt5mqfpR/PChUj8us6 pwUcdwjsyB9v6lhEkSNwLzUAYgb4L59za1KqurIzqA7a5jzhPrR+wGzjZMAAhGSTsVveMcOWGtEq V5N8tjR/ygx9xtHhdIZY/Ot+Bdk6IktED4iF7A8nc3MxVFzHGOOczfdWiWfNR2hkBqWpDq0Bp/n0 wVx7a5zww5XTujCfae8YRouH/ndonr8u3Snjp20GoKo32OTjlM7XHZRhrfnYPG5iivo+zmhIR8/V /5ZdYnRHP1gOTe6N7FbmLp0lrQf7/QmDW2w/DncGwOXMu7KZYFSl70vfjf3uyjZ1UP6Zr86TE459 Oet8gJWGRxXlxjolnIHsxVp3OXJwWhBevuz9vhFXXPljs5Dvd6u7ul2616pGbmAHhSKgrMyM8Te5 atrZllEF41fc4xDTRNxjREW/okLRy4VTd5YBK7MvFMfl9+goWSZyUsejawWpunu2ILXJZLCGiHFh +kEYTRVfTjBh2fgCcv2nUVxAb99sfm0Vw/BUhCUByPNbIvrfS6mfOvVXCc9Xj5WxfqbtXBC07vDQ r760J1B8PRPchqR91oKYR9+PasGapmf0F7SFNILbvfFfZfadW0Q0vDp1DSflUCjBirUZn70l1SkJ HWA5zK1xEgZkH9srz+aLgJtlLtOS4fWwJoRDgmN8uN+Twwb4irUldYjrOZxEN+q7ak7NqGnwxsJw WHnGktvPSZDayfndVhoBeIOAf4r+z4LQ0JQFoo02PmrFgUkfzBjSOO2mABf8DwFmSB8HAhk+Z3jG KNQGwrYKuOaHQxHWJAtR6dbx/jTaUQjQoDB1AmrrKbGIfABe+svr5vFtC+/mPo1hQ6qry55xwLDV zdwbUixoKDsQ/uvj7WYteM4HJk29GJrNOHbJ4mcOZHoVj8CvLO0JwPt8gq6ZR7KwENbDrHpz3+ze JUxEfw63mtblWxdpv38lKhUeeb5/CyZUm10jqtPqQGncS2NSHkThd2TSFkM0Hb7Dl0tYzsIBBJNl fvwPLRUa+YrcnTPaVmh1+vbOinB/q74FoQeHfb+m7yW1KM6v9lfnCstcPsmk08FYGA60NAGPuE/Y 5mjB2vQJ/G6cTCDSdMvWnZYKU5CncBU0WKF2wo7fIjZmnz0Po9eIPSGxpGZiD/LU5icpfEAzv34x TOTR87qVqNZHsDjXlcLVQRgWoAo9skRplbkJfAea2LiV/pnSvgOOTDDZ+pAsOuj8l1icwnUBhgOa i/oP5oW0r7dKjtMC8k56WHwSMpqUvK92xyaK7rq89N07lONVJD2ONKyUOqb3SLDjlusx1/QdJSRM CpWTrlUnF1ecCG8v84Iaz26Jr593bRXWOpRA+OwGO4TgYIiHlytZWhMKCvnjrv/0YCeJG43rxjsF BCga5BdeSOu60eHp5uWPjJ0sda9FpuPnPnH4VjRjSV+6pyW+T21jQpmRBGXr6DWhsDIocaKEvuY/ fXm5kz3BqtrVXbAui3jzyg2bBDX2iFvHRi1l+UfO4OY8lBYwg768xW7Hkc5KFNL95pr4QtRKahkb 4F9HUZayYutygtP3Yns8r6Prz7xZ6KuSTKsrhWcVgl+4p469TZWx6nxe5bJlR+0qsjs+tOcXQMk9 MbkLyMl3DRKq4wCwyyhguvVpfFAgGRZsLaOTRpkgUqOhSBhyACwnVrV5byXZQk6qmuXh+AIBzL8k 9ZksrflsABsoqrdMJ5fOKVyyP6++vZwzNDtjfSc+JkX4SmZnvlUu/tr7xjaINBcoaEyoFUuCJart HV/XczcUuyRY2i7SIkwxlpihMQyXqKdeRTvE7DVEpArQBGkxz9uZVpJ4uT13Cr6dThlQR5zv7rVk EnsOgrwY4+x9Ex+ABHhOHOUcsLv98dPtyDHvrhD91RozQ3vpoNIT2tpPpMvEr8oem5AnwKbwKQ3c vZDjV6LPOveNX41PfyYCLuUF0Ay8xtEBwnP/G3emWKmD6WLn3kkSzFlzLV2RhFSKjkhXO760YBF7 6GboHenjnk3izXfSeyPDGnPTgaPiVb3bLKO510mBH52gQCw9+Yyyvx2lL0fgcTrzsFlG3WsCvAWH OdGvvE2AQhLjXOCjd9XMV4sy5/Sf+Eam5oURs6/HlYLVjFn9/bcqwBLpOMC7OLhzPosb0EjTdy5H +et+YO5pZoGougcc762IYTJ+8B01vkE7kEMe9XRyvbBCk14mZgHPmfmYPxbxSJJIbkmzllCYb2pV 0EhJZDIzi/0nEvR4uEWSGrGoq3VMNrQrRjAsEBaMG0DTXa+JEXLH+U+xpdR4NjlKu+WeCT+B/XvK E4bQFfBFRzeVRQ1oMuyQwWfHibD7ORQDLUHZDlu03O6FNV9zDnTueymXmzbWTKKImbCBd96Vgan/ I69gEUUeQGnHDuxYQirNkg+vcRmpMSiOMQteQsoFtojO3/Z0Rg2eoCEXqiGAjeozFwoNMNUnN8Yt 71bMYci3URZJswPmAr17Z7j6O/GOzVFxOOVY/IYggthK4LkD1b7bLN0853mWAUemH/1AcYrnxHEH dEkAsnSsOFRoAsJL9bIMKSUYpyCS55ORrfq17PURwD6Rw/eIVAW/zyD5KGjvamkOilaDkAvYNMOn OCOoW7wjWpHlaoe7sCU4hpvUpMh0heCCLBPaZGMTEhkIvwaxzRNTX6AsrYfyqnND2/Glgmah/mr3 TXY4IsiKvuTxh1UkEjmJHB7rOMhPKG9R8VA6bB4wKNbyxmJ97bYM+9hyr8HLn5IkP+pjSPz5VqAn 3+jr2XZ8YvZkZNbQ1ZanG2JhckyBaVvCaboXkzLgK0gS5whGmj1MMT7+4oCNnbw3kwBlfuAQNSZr 5cECvv3sSEEFZLE53ToK+zs3tV6strgI+iukqu2lHcNbl2+iZ5A2A3LGQ1vlHSKc9yiJBiWhiY0l 6wMyQf+XFtFStohZpmO1hcHu76U7ptSMZ9BgleaKGNdzHyGrob06x7K+V86j5tkLd+g6mZ1QtY8E W7mH9KCieVIrDw5XgV8OAustKv0ur0QUBtfP4yJ/ZYJOuMvyWkvPzdJoFB8MD7QiIfd73nok3LsC k4l9vCyzp5Q1QHxOsRrxgKSzHwUxIQiPD8lZj4yK328Qj47XqYvivDuAjtDONrsE3pqtCFVq1Ssa MvNkZxpHKt6NlYK07kb2MtDiA3jqSvGBzeuRNZL64ZmbEf19KngrA0dbrEFcwy4gizyYYkOJ4CKf h3xN3uLbIrKNrtNDcyeQZIFx3J+M8B+m71Iv3PdfQut/zjHhS00YYEcCR6a6pPIQ4P0jLPreDDTh jJQoubXyfR6UUHax4hwnblcTduhFMxq6+iXV9IC982L/ZO7Q0QsY2uRpO896yn8KB2q/zBOin0Wr BHTmOdFr8PiufgbeKRYBDRGuVMBlM4kYsAe+Er5vEpHiKATJu3BfnjqAKoo4GnIi0M399S4OZby5 xFNZZybeV3oebNxPmpUEyVFoNNjG73ANobNPqKf6j2XSzIQlo3/FUoB9h/tMsWFs7cMCDcwMfLRa zO/F5HqXzuKTT1wGueF0s1Hao7P7Bl/on7ucAKNVQz+Z3tK28HriPtjmbtuJ0KnAwnBJNjbkJGep u3hsxxwt7rQF2ZvKwaMEz/g55ipD5tEbZfXQ4f2c3O22a4CBof3nFzmzxadQouhdFWzGdH1pcfyk +dlhtd/VOdVIRFKhh5LNayLfwqPIPqYYOsXIWOP79CJBHCQjhsHLJ+SpSS2T9DUGutgI/Ooneo57 bB1n7lY5qDl/zyxJx6lkZOSb64wff6QcJXhhoTZq0HPIgH7ovv9nfeUmyVp0rjJCC6glTAZOlX2b MLLbBz+5oImmRfOpxzdUoWW3gTzwgXor1XU9/OuSsgpR84WX8ghoAXVSTfO6YXYbXAK7pgKcnRfx mkPXN7zMdIDRWqRJoM3riJ2PVSekx3Ns7rpblSpL+ImJmtW2bHlg/DFyWSFTn2Dgdwjp7pdCLrTv wAzPn8zByK1lGRCTKO5ioUgS8VmhP2WOkNSvO+oG6gT5AA72R4PbMh1PnQN18RGrUO1sGMcUdf96 knPzCDI1npYt9utLcPhtUzQG79c2Eis9hNkxO2Lc3eGm0DlR15sDTNF7khYieO7HQoJiCPU2KylN 9OP3vdk4eSZihpb5UcUBAGbt4zvDrX8FMJsjxHJ0WE33sgjUTPN/rLJwKT/2XuAQ/4Yv9nGM4wn/ YIfNWLLlO8DZudYHDPDAIMxbefqZ0KtaEi3rkaNuycjR237qUjsZ31r8Wny6PPfUpPPKGVmTSdkv /JUuuoA5e0Gon0dvqWiRn++MIzD33G7o+xXp+E6IXRYthbskdgEFk9WCPOpshu2sjfukabBJEK3y 93hY+kE+qZ4CrDge5g/gF5E2xmiLgMmKQxmdhLx1Zq0kjPrD1miGOrU5Oeh4hB1mFYujG3SubKvy KjtlVcQkAqcSdOQ8zNlNCaNY1L6xbhUAP6SRTGb7b1FQ4gJ074HCgjndWUvpUGLHfse2DL2cylQh 91WfiiwkQlxSPKemQin6M1y+hUtNp63RdB/rgsqFjoeGvHuOfeQ3W8W3KUzkAzI0O3/FlWO+mSL/ nEwK8HqdoWdCZ4uz/JkBugGQFyUh4+zzLXVNZUmUIBrMczSWCasHUdh2jxL0fROtprcTtCnLExUC 7OKWxebmP9paXrzZ6Am4U0XPU/FQJDzlNbCqY4r0gP2AA8bkEb49khbmhlqbfDG1v2tW1LeROdjA kSI9EdaNTRRDDnyOe2xl/WJn8fett+56pAc6tdXw4dM1pso5XnhMy2P//daAIDhTL2ET/OHbtsqe MRF5zv4xYYCT3nxMw7aZsbtexR6LsMpHS/0Oi40SPsJuv7Nz8uvBXtz777CVBcNuqhAtlWjfulMR TKiXVn7V7Wbni4qBzXXHjeW9R3nLNGqrzjiJ8fnZlB+52Rk7KKVeMg2yE/NcM+bJf20AMlgoPIHR 2rPRiggZd+GSZN9jRxu25z6xGK011BFiY0qG4McP6RNatQXhspxOiU0IkP7PYWIaKVxf/MJpn+Gn 0I37RNYfFK1x1wjFTU82dwSpft5pxBHdD+USe0PmjbZs/sNPKSkyXJTY0tMagD/7DWLg/OrZR6YA kFIyOOSZ+LdXlXMgWV3dW8TiASgWzP9vWRSAuoaaRTYQFHIANao3uLOMb0ubXkEAKHA1Wk0DAK50 VXBNthGANudPvpq5qq7Z0UZibmp+vSy6NlgBipELkDl1mEukxR6x6pSUuK0THVTkhXfvXixwAQwB l8aAexAqd+J7NJGNsBFwRYKl8SJbJJIXIpDD/PN9NNKD8K0FKbodQq9p7vmusRjc+A9Mu24m9K/F WDyyL0T3AXNoS5K6bEwNeY85Fa3EPqXHqZNzxjwLnI03vhfvwPDSyOnp33asL1Ns9oWfRHD+l3yW c5LAPGnMspAimnGU6Yeazh4YT3f0k6UGExjiZrW9f6pHbI3wYaNi82/46Kxkq05Fw3PUIMYlpVKR Jzhata7/+dyCIAdW1ZTBFnwtQ4Hd0MqwnBOdTIrK8Wgg8OERnKdAkxVDRfnFCndgf8Ouv6WAaiKN IDcf3921YsITDrugEsr/OJSvKKUMRwp/+Uvy/OFyGefBXx8Zm1i/zfSnQF5/JtjR8s+YaGVjMXXv fhxUuCTfj2/Ay18i6BtuaJTsg2lHHM4RtSH56gvLD5lefYP5+YHKbTzUNMGRL/SOd40sfB8DtI2H RvK/0Pbbc/MtGLoEydU+w4566lcNyWySfwexZ2ScUKiRDlcKQdQB+nsDwf85mk5WbWP4REODN4EI dOBdVGg6D74czZdtQBej9tvsdA1+zOrxEeDGymdgc9iUdsF4vyPkOKbVy8jhKTu3fbKL5rlEA9MQ W8JefgSgz0AuD6iXz5Z/zkGnNPWiUpgAOHsMMQs/rVO1ew1zpPezzWM1c+P5q2rJqLwhQGETADzm 7ZakWacLIME10uk3zc0SXZF73O3t2127qLeeVt2RN4bk6ak1RmO2hrUq1tjvpCEX3AruMwMCPvJp CK/eBZ7dvcEYjtvr7T+W2AMGpuAoOOz+C1U53UdrDXYQVv7WkmNUSIIgrECUyN61FOkohr3zTeX1 48ZTCKZGjOhFozoqOuv5RtJb8RL1jhAOlUI6Ekhcp6l9RdKx6mRr998NXdsfwxCV3sl8DbOTucMQ 5/l3HO15PCyyzDtcnIs9UBjLA798HTclFusLZ0ySKdrdXMRwHttpLDCs+RJrAtdgYpyLU4XgOgNE BxjSB915mkyVQSAp2/tjrCAJgYuUrw+d95oy7y682kvXrfHDPSk9aSQ7JbqfOhbyo1dsoPGyUNr+ xskNHIPqXW0HOkv+gGY5PW8UJSCqXwff6XnWUnDfB1IqL9rzBB7g3C6ReGdIiBElSC07T0lFYOxM 0QO7jcBjXF7gnzsT29Bry0gCP+zhywgIPsWFm+cZFFziKI10miN8Qw2psFB0TqbFHxfQSXA6WKbI fjtqSNTTMa54yZn258BCQSKbfY8e4TwTxx240BunIs+Fo5vMDzx3MlNLHCIYAqGT/zsjSspOISQl dXEULRfAtBY3L3tupWqTCF030cTzpzNBpnWkN+SKbBAuHPj6Vt+Gbzw5lG1jG3Cz6PkjNVCT3PwB xaoh+u9HDFbhIceDgKPBdl7LdswAZmXQ16wVQ3ExUcAsScU1/97xawnWgUB32COkEgoqVp4IfBuq Wg3Xz0U4kY1klTfffB8YYQb3PPKe+7ukzHR9kdtyAWJOcvD6aonPfgeTQiG9T2BV/EBIF8RMzKrm 2W0EH5iuFcqYqLMKU7gYfNBDjWIZY8+XWhWDCFShhnmQYdJ5Vv8THiA4IFxRJJJVb7Mjni5I7A1y D5BW6T/pwVDwosx+SlHW0cr4qb6yytH2lXuIdq9ORE9FlkTmpwAUf7JNLXHT/2C+T0kskZzgYCmt nXqubgLOwu+8d/Fu7h+2TQ0awHsbohQsIIRtHuxCDWl/+hsGqlsWIpU0a/A7t1C9iZZ6q7T1Ap5i /UsXQX6Y6RGXqrQASJYQNYPT4Ov7YzArmv5JLx5y4jg7q0LAR+McrgHALUP2r+6pZKFTOZ/+shGA O7v7+MLzqCLlxQ+4eiONMpJfHNHAsHjjpzFneDQ3JRJMDVgr9pH9RF8H/gECBnBUc19ii90L4V5X KZCZKS2XRIG88cwEXiwBkKJqLBgTUqzvDyW/0md16zBX9txqrP63LPMBZLfBFS/ys2jdjqKGW/HV epnhkALsgwJejeXn6S8eXVsPulLsPWxmHpsSi4m6zXyzMMMQ5FD56pPOBqCkLu/JpkJ8f5LoAynY uqVgNUGFSuADMGgxqFDDIKlS/hIAfmzRXmS/b8Dhk20CD1B9A7ybu7Z+ZBozrqrnLtERJioofjRg eB4aptS4i48hl1lBFfw3EalekrwQPWqZzkRx1Awfr4NyC9NDpwM2zADRTZ5ENeHfFrU8/QfhJSUQ lJMYkbWctLFfopkfZSXkjnjwDBN4Uy96NvTJcT2R1RyR8y3LX1nZsU6Y+AYjwdol310Tf+PWii2a hiHZ2RGZwtlDl46oYehwI4kHTcj4QoAnVrWPk2SOxhqP2NUsFq3mnS87x/Tq0aiw0xvr45qgITzy um8wyK+EV5RfDFfnFPWpT5kQZFeG6qjRN9JcXkW6JDXpRoxd5OWZ4Sh7uf505Hawx9UZZHlMUqrA Nv+jsx1BjwcLby687fkA+McVAsRC3NpfyHVM8TcyACYsXz5HkexKuufBh4p/1Edl7+LaFwQDnclN aWoIP6ZMSGg/O+h0ZFPPtKsi6UhrzcePmllpXup6k98+VM8JvgCtcWIccxTkJE8RZFKNcQ2YjlUS EzT5fFElSRychrrXAiQNvHPW1kUoVWU85WYSYr6MbCuN7y/EuxgcfSoRcBIkO61p72ToP+QvyRuh UqzTvc/BgW7TMmvrULiu/KbEmLacLb6cIGMf/hGO4tgC+VDFuRYWZ6DG6IolmxfZvSnPOoIR/28p ZBlIJWrCrqmBuRnzE4nuNvJ1YM6GPzBQdchHlVVjVmgdtPO5VdyOQhB1XIGMPnKlP5kh7Bt4uQX6 /e8Z/ABLFp3684EJ2gmDI8KVUDUFvwAJudKGSqjT+wx0yu8q9LfPXXKjF0q359KQbfc0Mw/Ee/aQ TEGVW0rYwWSi8aW5Hw/lTMUVF/vlLcGNi5kot6TqlcAdljJe7pUsJQM5HEepJ/G25pjrLkz98BwA werk6RnvG+Gl58ZQ0g27SQ+uV0Gny/M+7cK0OIGcOjtvzZeS1DQE/W9qd0Lidr48DAMg1/1kW4Yc nNEjNccY5crA2S5f1CfGGpThhxUDYvt7lOREmKZ1Xrot/yRGinjCrQySWGCk8PerQCYdavs+JGuN rSD3UM0Adho6Qj+fY66XXijwcneerbM4ypF4SXXiZiIBkgwOpM+DSFzqI/YoYBkziFU2oT3L8oxB w928jeal6SXT57phhwJ32DUaPhC/RfZ4OVlPm/7i44ssjUiJ86OIMeD/lfV3ylyxyQgj4nVvc+Lh 6pn61/6CRXAInjIqTyWB+KCHU72vCi9KH+BYXTsi+MgiNF1RMXl4GPn3M+SURDcBOf6bX4d9YWRW gn+iekv8JdJWMzNOivuBA8ZFX4JQcR2kEPzvjlfFEJGOB9YzBlclr6b9k3jEdCFaX6ebUj1igPoL qp1ONkaIQ3CJwrUAivvAJ83bRrG9/SfqI4qxIdvJ2Vanga1qQRaSuTkwzcuARr+Q3IK3nTrBkZE/ 0Dg/5EKU/RAuG17/4ZOw/Kuaj2v9qBGlDe9XZc92Tp5RzmeWTA05QS3Q5gFW64o3lG3iPAnT3TFe O1rdsivW6QtD17wTpUOJ/2KweExmYhiAPehRo67OVlnAthrjZdvHzJ+5nNThLj1fhw5f/A+1yXPq xKh06rLNf9xdy8vjq8EywllXqhA7f2Wu+mI3SpkEzWtLp9ZNZvlmvJ2JPHwzwvBbb20JLn3VN4oF 5yb8l/HsP6JEtV2bLtdk3bZL0lFXkER/7k+f3QS73WA5X3ws4Qi6JaOGg7O4fwbbq2YV+t7MxnnK YfgSVMJsyO7ClZ3mMPRgbmo6/BpHBWMemj2o1/ySkH5GM99OGK1BHLwJf4d+vTyYdOhnu84d6Ivb em7eG7IW4ur0HlLSb91qE+vjsRzYuUnIF5424etkC6/0voJqWtJ1sxEl9V2awBI9QbYlGrE2t6sI sqSj9K5/U0JFj1VuRJmHoctSrs5ZtH7fPNr11WoDryXRM89FidBMP2A10ob9H6BVCDoroXCpSSPO XiBNegBUHq6NDQp3pCPr1x3V9v6OkZv6kNq13grW6ekrFhGaSTAS+IHU8+mMZKgIZJWAHqvxBYnD qIKMntWPA6cQ3x1TWlC7itSu8DZa0LCOheAeBfH4ZGOIOf68CW8o2/dG73d0u7NffkAcpzrppIA8 W05YDWJqlPeL5drj5cC94SlQXGyDKJd7m1URqkbseeQ5TpedwyPb/TlWatGLKO6qrchg1es83YyN +WTzGfkxvUQ5qouTU3ZtbpPZhxZrEllA/lgF7mwis0RKU269vWxuo6ZA1Z+RN/EjVJ9u8T06Vh9T yXCUClBBlf9XS9wjOECKeP18sYbb4aLGQ0B04kLunCWaYIC1gkCKIP2WpEhbGcTuezIRrB3tCeIK pU/YwwgcEPz1HCWpFqzpLzCqJ4EiiOCa5/aM4b17i/B2Srtek1aiwvURVjhPLfFuVYXifWGbSDY3 2ToI2ALsPSWbptKpRQdwPZ41+ogGWEAZa3eRnasESKvZTQDbZ4lGlpcfNcz43eS4NA7oGevAQFnj muJAubSVXKKAJlCRuK8nfSeXkDR/3up4jYjca2KCFPLe1dfHkZkUC0ox632PPH6etPPKdM3v0R7N +DWIqHGI727un8LGS0PESFvc5I1BfYhZMlfBhYjAolEKH/r8Zg8ri/sI+yxSCg3G5uKI17WMO+fy 5i/evUYLc8SRPhqcRTamCb3MRJQo0eFvPjUZ2xkAfVbk1m+KEh01mrfp1XUkPPbqp6ZcGxjIoMai pavfj5gCZ6f9mVi2fn/W62IrfYkxZvDEH4TqYICS2Ps9eZzXH7ccnipCKCapvOVY/ou3ARM39nps zwkIy1Br39b8WOVErQBRVscwUTW/ZUSAw6rJwb89vzeX3/cxTOUk4cvL1yGTEY2BpXaxDbwPIb00 la9/pYXYBpvWzDUEAIFpzSXVTJYQh3UhVscDN0B8No0ZT/tHx/7aB+rSnKf4AYY8qjyLs6U8t9xY rB8W552FXDRVQb8xVLnf54MDGFdQyTXsYnzo2sxxNy99oj9iRqLGfB0a/PtYSPLcU8M7HRngJM/h WV2otPd/93lYdUUNGMnJhbkiLQ8cv/5ABQw4QBDsWKp8xpLEjhruZoZ4/Fg0aJxSTeDHn5Paz3v5 A41OvnHlTh7gVUNAb0xJ7bccYFpF/BZNfMIfFU23AZJGjSLA7wl8YTPoGRN/X9VoYXtmmhKbkfJ2 dVZOY0HufUMmTI6WMHMTNz/+qnmIrHVg/YDohXs1EI3pr67I/UQjWoS+81qhre2fEIqkx5CpQNG0 om80r+KqpX6tO413isaVsb/SADBt84z969Y/mo7MKiMcMs2ZDsFYHIWz7fX12UnxSgwxG5dUjw5G kRyKwnkrcjZSneHhNW+PvlsNJI9RsyUqArviGOe2miUB3nxlwGjRBs0LvBrQKsiu3y+PvbIPJ1DT vmq3YlCazYaEK2PwhfDfmlajSeyOfM3HTYfOBy+gTdOXUEGkamtb2+btM0ztPYqxRqBy0Dpe6QTh 0FRdqQIwobYrqSXRZ0MxKHti3SqPvt0/4zKtZmQe9faOp8H537RuIOq/ryIitwdeQ7TLLeuejBx3 rB7PEdXwFqO8WCxBEyNSa6JziUyZcTMDLkFq8Q+9wvf6FD9EL7ARDT0/6RAUrd922jaJ5AJRHCMV M13nLLJOWjaL6jKD2I/bKLa71LSBhjCqTABPVG3jNAwDRgrhbpQJ6E5Swpq31Zi1yZ9kpdWJpKR9 aNXrP0nAMmwCMU7Wf5iUA9+HuPhNQZfDsa+LvuBobrEcAozUQHXl8EJSA6HrFQbSe4h4SfdCJMzb U0ucUZ4sD4LdjJT/d2UhTFO+ze2KdDsFRyVduGGVL1Hjqj7BVrMqKJhiGOoj9VaC7UbwNirxk3BC ZVDEqnnW0QaFzWY9O8GquW3RBVhuiuMyaU0/dlUPCc4zSv98cb4JNOnmeD5HIf/yO3nHOM5CB81l U3MBjJaBV7AEYdMLKceGcizrZVnPSuRioGzSFXKQJoM11KY2v/VEx02NEh9MlyBk/6fbJNRvwg8M 5KXs+2ZL0Z0lisullse4admH8Pn1oriOENGdBf+esmvO7e6OaOoVMrQetpvpoPITTEzLnGaF7/2l QLryLAS3G1cBojRnryG7PB1Q37JkU4LHeJMqbikeg7N+jdZDPlYSsC8grbYqMb9eKrzvaT1OgBQq TNVbAk+nxFGN36bbxI2DPe0hBPx/C9tr7TY60JRdp+Am6jleueTU+8S1TPcstRNj7Uj4g1UDKrWg 5W6U1K0rAjJ9U2R/POqYoQbGg5PeTTeoadS378JJBT+a/jCfeddqfC8sHiLqnt5wMQ/NtMIV+veN tDJoMi4Qbf+ZgolhrYvpWa7ynZajsuvzonwTFWnpS3vw95aTm7nDd1aO10KWWGXRNyacrKwhV8E1 GOy4y+Os3p6tfrVKSPpycyi+8yswUzjjhvxTAW3PFxYR5tWupQqJOK5HbwXpXkS2K3LZzdAWg/RX Too+ASyFi0zDPWt+x5dn46IpWiYiEg5HTcj27hNKTto78szMD0ZyCn46H3+ljvZRJTURv3+op2Ns IBhcvye8Qme29XjNzJtQFLsa+elcZn1wcvgzCx7KerZ+vSfGkIh1v7uV4XPwIjjHG7stmBRenv5L 5FOV7sFP0FQDUJ0++E25B+wJUBX3I6cWOeyAu6clr4rrqBAvZK4MxerLSwKKVlLLCqNsjrpv5tSU CWJDgt4DVMcV3TyTDqKz6YOTsFYTh7UBaUDWYr/kEvNp+6WD27VGlqZlmStiJ88Rha1nX99pH0nu wylA4jyL+fl9gypSnx92dGSqjXi62+0IqM0Q5xd1maKLmq8h5K842N41wEvAbqMmf2jcwGXCOW7J YfPCpu2VtWgYFghmKnMJNcwIZSJXdSwlTuUdj5npqmXoVPvkFEFQy/2olAdE92K+YmuKJvkvsZ/0 5kf7hd9A+TdhaXFqLv2dUsLMRUhG5IO1AWP8zIlraVW+whv7/vQ30dc7Qj1d2NeCqIhCzQL3dgOd ifmcbl6WTF1JFc4iW6PqAFfd40V6wvzpGKRZrbv9HDxWd/Z5bqbmJedozf0tsKrYXhRLjWi9Fa/R s168QTHcGwVbQt8g3zvd77/Bje0DCOdBkO2Pvt/wyD2ulGKmLCj4xNdnqWKBpMjWxXjavwcn+joE 7UCmj0wkLp/k1WGAIcRorPOMagxrbuVv/058bYn7SXCSmWN3AX1z6ho/nrFAZ2wwJOyeuZVCS6Hs 2EdyR0QpGACY+fV0gtp0xQBavJolDznQ6mhOPlQi5P6RHP8X27+jePuAQFGgcQp0kmK6MhcKQ1q6 wMQhZOBtygZpD0jcjd5oX3/HZZby6auqcskv3KgpjC1WSlSYBpk8J41e8ICCUi3joi8VaohGHvhu oOaqtzpDb77d81Pod40G300nnE+nLw1Ydfhd4Xe0GTUHu9rzBb/ThTcL1SsQAhrv7Ft611dJOavI 9C1qKxMpZiRlaT5hrPXDukR3NQhtyL2xtyqUgB+SIgTBIylWj7doswwgAy1QnfL/4qa9BUetYcLr GWeeVp39UwtK1y5hZau/RI5n2397A2ySoetpaX4Wmq09zeeQRm+qAvl9bEfFUdmPCRbHUzBnBWw5 CG4axRzuwWRDAFoNWfY2LwwRSzvuLjXTEl1BOuRXD5DNEGQ8NRro90yX0grFiIjQNGpXCZyzOgbX r3jzbNYAGoiYo0JvGxY3mIGOX0QczV212zWpypyzgkuMfu/sp7pQ14W/2E8yizdHb1JkjoxTvk9Y Q/vbpf1kNsJ0OGPMNjH4CswvxOdbPxlfDgj2WJrM+JNOk6jLrnBJcjSVIxZL52N5+Dy1/kyjbPHo /8C/9vIc83IjX2FJ2PPwXDmhm7gegTKV/3nuQPMkfYU4hCc9AeCrr6TuC2myxUJxkvYgptul8ohv wzb/LSysjAJkt6RhBxGj8/RqC14umwiztsofYzU+u/jq8eqU95ZF0EOGSQkQWogDFXWzxDUrj76f EziaXOZY2oy1r6PDfxcidfgjgvl5NTArzMFEALe5FQ10xez8rppEwKHxaOU1JCrhHRuEkI1ualSX b0T/uvwWiw2QrkYIRCSvdVfdSvDsk7Nq5O4Gw/1zqcKCTo1rcfLXy1IEjDlT/8X5xac71+G00+tn IlYHyG4YLh+BDp8V4IAQuALnHl7ckPJNqfdB2I/4fpIGFZUTu0NKUjZQH1twHEKPyN/18PGx/CH6 oT0ypXZCoRLqlrwJk8h5gQbQzU4FTfnMnSsVOCC/FvPhdL6balfgb1d7lItHZofcpk/Ue9wVNdeH myk7qelqN8w61Hi20Ycb5farlUI4ZWev7El12dNpagJZTjNCRsyFhaBmopzKaZd7uBx6tMbrKaJC Zjf7X4zl2b7j7XWlI6g2hC8cCKVQFEdoFh5a1H0kQi06hD1q6rIFmGinvfNZHVVp8a9l0MVDlHcg bk3RbmCOw+mQ65a+eNpUbi5NvjH5zESmepDtzMX0TFlOnLatETeBqVhQznj3ZeP14YwSEQCT8Ktt 9TpZDGC9hmWV4PpfDg93XYhf1BfRW3QMV15ddLomhTEKHBrRelnW3wt66IlJi/7LZyqQ241sqn6X ye53KdxKnHTk0hipPwz0ATQ8+2Mcj2m944o+ueNym6rh0hkqVCvmwD19jQRTPxHNJnA1jARLJndp UeQ0X8z1Pj1pU+cYzo6VArJaq7fM93zsoZ2ZcCQf6Amfo4y5txcdY8+p8Em5W0D0HdddQhP08haD C26sOamj0ApfuwDWSrtMUH70fKYASwkHEbiP/23QDFCbsXpZk8p3njpmP5jvqS0SZ1eHu3lSJfJM 1oODz2O9aP8q43J+uoD2ceGyDDcAdK15f2bG6Z/5NsZAmbcMDhRRn0+egQYn5XNkIpUuViO1vmyv 5EMS7hwvN0d/4RjYAFnkN9UvftMHacK8cFHzwDJeIAXoMVefjloDZn6FhvsvhIVJscGsq10VLJkg +0+6SF4GPtpErgYnJJsbRMZtBVCanZo21QFJqO0R/vrI0GM+fBvR32vjbXAKHYopdMRYUNevQjqv ZWAyPkJzkARxhcyVhGv1cncnuYI4F7NEAP9IowM6KhvSFV5qMTduY6oVdLlE1ULg2qQdthF94EsM 8VCyTg4fDo3SEVV71p3+zWlwO8juOv3+fYf6dPB/LlVFrI3J1EMGQve07Qt51zil22rMyMjklKGR pK7gqot3NyVMqZYUmV9uJC0FYn18GrkgG7Fh4VLpqbFJryJosyoe7gtlyjzfGzg86So5RnXOS3r3 bwze7q81WrYoQwAoGUn+jHptS7ApIPAWxT97XN26IBQ/N/qFIm1VqbdZJ0G5J8wuck+hpqInzNY9 /MyqoP7Co7vEj8SqT2+/Vb3EhR1GsTxbrmNZo3tpfc6pNH+xJMeYBPQG6CXJ9rw2P6zkpSn6X7J4 xfDdx9qiUDUpw4tNpX73zUjrRbaICcj70ro5oJvWYRdQFcsPWWs+8GCXWMFLeRYeszf3EPkIY4Zb R71Uc5WOsfaZNhJc4Y0+4/XjnsJhmAKz+15zMHZJcwxhDF75TXP/NT03Xpr46rnEN89d+C4GRpkS 1oiQ0UmWEMv94uyPz2rXIu88R6SRRXATXcS9KFf4A15j4xYKfgZglqYF8fopDC7748Llv7W/JH2N GuOOF3hGOg40xib4ZvWDU3vnNGEj6x0rOKKB/Y535UI/nDaXDsBG6BK9Ut2gVWkSuH23t0cZYWnA TYHSXuhxgcKI18KrNTJfu+fUDJyafEU3bbe/zdc0/vVn+A10/m6hUNOfVcY2+xgqUVaAgsNTTKMC CIWJ+GDDjlxPoRsd3KSzHHUXP6stZAY74sNQjJWV4LkbkCJ/s+DYeXxUBJCLyQBkG+CRC+GXK0Lo UzpTODPdfQQT+kb/iEJDjwz28ip8J4lJMXWcTnNIg+1VNGRGDa8E5b4HBzqtaOuiB48LMYvD8B6A QWhUAFLOJoHapqM1hHEXkGJAYsddkz2/j5EEVzwi4OpZawVk+y5GeDmo5T3wT5o1Q13xnx0VcgKA ow5zTvjydkxa1qv5QJHn4LcBBNjiiNuUX2e7YAgN8ghavGiPtCwzGk9sVHnFTl6RoWVuid9bLhCp 6gTmeWRdJSA+Y2SJJNXTEQo5EbZ+2LUMmx36K4Qf3t69PffKL8ytLgwNhGBrETt37jhO5/BjRjWK dDCgZ4s/XZJvmmctqwoJ372xj4Py4IVMbf3C45w84NZdUflswCSrpUxv7QNT4ldD8SObWVtwfl9X DkIOpkr+c3uyA8bE9fsCxRBesHNAez/0AySClEGIqmiZBGqH+O//nmEgsatrs9tvIOq0uANUWGVR GeIC4CObniZ/7sMsKQgICOEkybNUD9/fbPHcyAzdHti+zeehKEQbIEj18vJcJH4tbRUjuuDF83LH u4/ty3QPsFIcQHATXRT3vGsB8KBXewMFPrq5DUuy4ssmuOqq+J8ku5DwXlpXQgr3jEMmyRjBwlUc z9sCuGLc7K5pu5O0mu8o9xMjW5w3szRzppY9WRFTPOiO6cO6ETIoB83ChpophHFARHgNOqKkwCvo d7bfBBbEW5urIUhc6rOVk7UOySrdxXMNcnxaKeJ/ZdOR+znbdkYTorbWu/M4BfrpKGu8Futtyl++ JVFtY8CLoTG6/3J9dMIZM/0Cqt7li/dE/yfsPq2uH82OEBOYfkmgP0fEFQa2zi0uOuz4xzdZ2qkt MicF/AaxkTlX3YBqWISPylXKXXqH/8FQJ1DG5ArXXNn6XKW/cx1P3Gpm4SjHfVoj1DWndvz1z/Si Fa9+Dw/9VdKQz6g47rIdiNUWZpPyORKGdTMNB9Yatzj3nPNPYSZS+W6+X+G623/dSep8kTEY+CJS 1RAcW6SFm3jGmyEJaph6sW4l5f6kUQBgMLf7eMt4JCyxmJaoieB+6LXquvUVqhnE+gga+3M55+ft K0ti5BJ+pfEshfJOkcDwWURvmEYRfAusO8zOVnNnkHKLIkp5VzcDtAt0bedgSV99sAVLf62hIj7J b5F8jbvyX7VZnxpNIYaWkNvoq92Ghu9+1mbPaEUAVlIYFnKRDOchwd+xk5Nj6kAUpNWGXtAP7yia Y8MMZuOkF1vdMEdwyEzNUtDHdyxSg64vL73EK/5as+pB+TEhcrCU4tO83axUXHKJ1RbBpbPZPSWn /L3mV18oUif28zSpq08uVvXmm/VGdiJQX2vrqlcRMQzc+jz1V3KGJB81XznvNyDIJwa8XPWvs9Cg f+utTwqs4qbcUCaYT1K5+2IPlQ5muzV9n+ASYM2jbJOocaRQ2PIqbT/oM3lCAbHm5RKksrHMisd1 dHIrIaS4X/P8bz0k/JTwz5V6BOBvTw5dvaZGlgwNQrwpRTi5RmHUqHJ0YU5ZTPv2lyybREKl6ZlZ jrqy8uHZlI4Zzjm8if/Uc+pvb3aFPozzJt1R+70BZIaz/ksF0V+vBWU8gBCfSqqKTE5WbB63GSlH mpTj1fXSZyxaQr3U0ISFqP3+E+YTqjoeiTlctE4TlMY5nMXlJ/U2JLzo7vg7QpFCktfsYnRliA47 otPzo2SxIsBWCtXUvPC4p1llUwq85sDktf/f24fblM3EZawsKhWIe1yeIslLBpjIlnrb9w/YOfFW nF+1DlYFYEYlGW3DgvMNcA7xs9LjnPpBUs17C3xmRvcacaoYEWOA3pKOMz8SMKLx2UTG/XC2jMYC z6TKHpnVzeC7a1+f1Ljake5tN1wPSyChUsfziDU1STrdlQ+aVwyjmWwE7CKUE7Jt56ndgouYiF10 CUwlr748Nk4nVyYOPelKZ0di8xMbskbAuclpmXtgUNklW/MOog4+YHKOfMf8CZ5+FWA1toMMQbo4 8d1IrrV6xu45MAAmbAvU2DDqaXEWUAEN6rPYoXPkmRkQhCJvNjNBpEP9WrgwQvSkXEqzY1soexPd xih16a+f/Dm00Q8LQ2sHYWZneXLVixq3CV/Dp9j5xUyZwG1xeNayOFaW31PrOpBhEkgfeXUA7V24 NSiTMbtskELztGzUOz09ClB+p5fKC/MaPxhqD2xV/4UXBVZXdjFsZFDCDWq1162rPu5SVx7UBHaO iweJz6Gcmwshct7ti/DSrXq3iTjfoFblYgaN7jJ/REpaJ0/Lpui9itYmoAitfOaVu85RX6qkSMKA 1HrByE2bQkS7H+xCRX3B7+JkadDHN8oDv6YIMRQKB19vs9xO4tpdwsmtqKHD0JN4RjkWr0jY2vRF 4+zbUw1zSlcbYJ3kdvOP4TpLLuSElqknUGYM4DTiuPa3JmTjyOvBk0Vzus9k1xlUU4mdMTmue4bD MUnZPA55D+6ER5gGjT7RyRNDPGiYBpq6XxoDS+5PeTMT+wyQ8ReO8CQVRSHSwaU80K0Q5x3c8Ql6 EeWM8dXzzHnNUJp3RDNsT1UUE27BKMfBbEJPtU9QS72H2B2Hq4jDflZ/eqwmgcqq6UIiwXT8jKDO zgTn1W7OHc0x/+tuBCdxn49JtdZanMqzA0bKy+dCKGYEvACAP5uYWein1/ijMyUZji085jCDYKxV YJJp0up7j1HBLIVJTSELJwCaVeYCsmrYvjgzRcpe7iCNoWKt1fiyHkMjbeZhgwXytrGwIBXG6UR0 Is3BJgPfjXJoOK7vN7FnHv0+IjiDhD3PR9RY5zqm8aiYL9RzkTISiHzEfA+YOKflR8qCyGs+qkP6 aUVaA/vTnNcUMdLfMN17309l+Vm1FxGJOJuFWdHyP4I4Pqr0Vh6GkLdDEFcsoymaWxrwlSFmkyJT f+GUEYwwAQsnwsj3R/5rGBp/ABOUyR+fh5sj2ByCM8+Mocr9HDjLohYNglNs5JFPCSDHTKnbMqp5 MW5sVAKPN+gSYGOocVG5yHCl3IPR7Davv+xVlwETJTyOmpzCll2qrEAVD6SGoiOHE1w1n+FVqusG uok4Vw+JbU3qKWI9e1TH32akA5caiN54rGEIiOg0WsSZkzFkFDdqdnbdDRqVXKQBLCQLQvtBYTRt 6q6u9/MU3GHEYNhcJiWR55jmA5iJ47r0q8g8TOCzZTzA4NRSgyhxOSeIncHqQYAGimT8s7PUYeaa 8Qk2vJWglFbeAw6V+xFX96T/IidTIhgX5OiWhm5TxEHkWo79WkBSrfPbQBNDXyE7vWtgM0TXSo5k wq0vS3ftZYzycOm8UojqVaN9jW19F6pUlEAtZIlYKsiuBw0Dt7AlIDY+a+2k2rgkJpCOjXwJexIo tvor8G7M/uV6U2lp9bo2EUYWBcoM8Mb1GhUJDM0ZOEGZvO/d9e4teuV0OJqz+d/awy57wheF7Eiu /RT0OkEJ/5P0Mn7kSnXgScp6Pr6MJC9Jn/aMa1GG/fZSeCjjEszmJmntiTAZC6702sjL97XNqP77 SXzL2XVj5Jb02k1YgT1Z7zB8/tj4hJHl4ESH0t5fu4qnnzFxOfpk2W8Sjpn1a90Kkx7MTGvAZjiK SV71UHm83IYnIbY0cCFKASWjJLA3YzOjL2Ki5ge5Ju6Hi0LDUtwWPlv8LVen9yrTcBNNu+sRL5kN O+FuEzTtAyL+7ctRJ4AxPwqRVZWIOlmm6nL7kk2ectt2hYQXyR8syAAl6bEF0d679fSK7qYRAaW/ uQ7ehJ25RQUaqwoZlrPumdskA707Rx/0DQp7bqXUBnkC53sQVnnMF4V4HyUMaPBOMmUOKXeezHvC 1uPchTOM//7/CEntz9Khuzus1ZBVWT1r10+cqjAfX6Xkq3KM6o6x9W9h07cvfJ7r/Z3E03TcmMpP cUxAQ+7PkVKFvmMjoZyFGdfskMOmJcZz1CuV9qdmsX1gBCP8ZZyti8DurmDuXTyu7eJoXTWg9uen VaN+NKhNklkTPiUlOiE/HKTA9s9cjULJHaWkX5ljW2qrmpQxoTzpqEQg6f0cRHuPGIHrqJ0BCZKE yfpN9n/UCuLt3/FJnCjTRSDEPrG9rN74ee8u+CR89cEXikvAHDA/crbbji3DAF561S6bAVlboNcM Fjonr7j+nId/QKgXQySTc0VSHje9MVEQZlWLkLuX5ftjX8w2wlqqnoMtZmGlcGDW7VtFqSTLR6P0 fUmpUvAdlwhO/pb17z+b91ymaRzH7FYisTLg5DcBtJRmFYBme5md5PoO8wBswhdRi7OBbYA0r+o5 ZzkgFPmLNWHkm+rU5rvoxIj/2lrc/fh6enraEGiHB5iCP7rzDcx6KRKwknXobWiA7YVOoIKGdKO2 JERx4Ulx3m94S8YXCTDytAyVfL0Uoz3U2DI1SvODMaaNHybJnDmDluCvtGQBb3wGusg7GYl2NS9B 0yJ8niZusil+929CId1uINg+wnHR4u7Vao1iMlAM6RYnHymwksArNbpifRAw0f3/jFuk6meWNTLy zrukSdbBh8Pn47Awo0iUvppmCV2RyqjNoct41l7UdvzLzZwk0IKneTohhqIvqa+iEWC+d/efqyI1 Ogxwxe4a6clklVFyf1OSZFY2Omma85IUYCobClfqqFoiobJ6bs+ZEFXp2gVouac8Fue7bRbrh/87 7Kfb89eCFTez/MXE9AIZK2J0VdWK8ryhKBSQgChUlUKzjwLfeoz3PdKtE8DZds6HHw3Cg0Dj3D+l XMIicrGOGDJbux/2aqtCMTNRy4Jf8qYvUWDui84gsmXHJWLb4L4wC6tD7A1UmAI6m3Z8xb/QiszJ 4q/uyDr0gz6Sru67KHQcoRQzrK9JP/h4MBG6THK/lOx097L8bYmwNK3dmJZ/nh9+8rWEwEl0cARK n0LL9z39FiM7022CDjVqkReiKULtulR5FCs2xNQNyaGKEKz0XPUAzR0yb0Hmf6+hSPe9/ZMEx6JT YfGyDw8NoYTJ6J7s3uSzu6+4DfvEC3d9PfSIRmxipwLcw2AARZainUAtcyYiOISyEAvv2Lg/9WLt PwPWnw/o3Mq4H9R9EjU4+M2GhyugLeqIJ575O1+tyzOfqw2czYRs5jmjPqtgbcS9O4fLNbMuiSG2 U33Jf0hQxFqbFur1+l2oMjfh895nGfYca9Ks8CinUXdKfjF7B9k/YVfDeMshbbllc5YiTAQvyqU8 2cChEo/SMgJYCcCx/l5fCeNlO1vecxXqdVrIeXWTNQNQpPsIRPz/EgF2FacUhx49txT/Ep81RJwc opSDJiwvF7RcIBduEqe6rgpxgb3MolV840s6Ad+n691AZn+Keh5IWBEWjomqp7/g+TSOGQuxbCo5 yuTQK7rxmW/+f8jbNIlrkBUekXO7fk5iIaAYbtpEokJnWreQ661b9ae8+iflGgXYQo0AgoA8CQnr weqakAXE8QMgDUCosnMlFNUk896hHQITxaEWmFu0bhSdGYb/h+VeRhotV0rTsCg/5aI9rF4OnTtm aL+lx9BJi1fWIp7/1Nz4nHlY0yHfwbz3g536vklnQ3J95ouNlHFpoME8FZRHgWamiOjuJ6DuK5Ie mpNNPJV4Jyo9kQCEr/K+dmpHUgiD09sM4VnITbpkVFRhrc+ygoRPeLlFsr3zRyNsXHNkKjyvHMDH x7JiGFUQyRsjb9kSqn0PAhC+aPDmP15DBFeEOAb4Y5QiSoPAUe16UGYiPXzsPJtYJyw4cibcJe1F HLY/0WVgsP7nRjvkd5Yau14tGVbFaH6v7bh9PMoKRRzp6Yf3YZSEuyxcaEziLIP8Ot6xA5XsRKwh 8zcnYW5pmv0s2oG0xgxXxJwAyEq2FQwPmzClTsGyYU+NR6Ztt//6pRQ3sj+kYSibO0V2uUK4ncge 2z4MtDmRlGScgBu8S2qpd7f89fpBC+GjOTqfsD5HszEpYKoc07bne0OPkKuEY6YQFxLZV6/wK4TR MyFC2ZVxGQ7pd2It3DDFvZzuGIya/ZPKjxn2bqLcj5MOTqjNi1f8thYGuKjccls5L96589VN+MeA oKTl+Vh91GnRTRELrzkhdR2O2lMYRkEYh4cHDfxAmEr1u9aQ8qF2VMLqcKeaFEFXzJD8j3V17p89 /GL9oF19NTtmsiDbMTzicjOaogrvLOp71f0JFgyR0aVLt/9xy4k1aCOoKwcLq88BEdkmoP14+woy nEveywAztOueVcIxIA6S5b72bhNb++vNuZI6lvu6ARZncYoj0E2K9O3kucLkKi3uL6yz5SiUNJk/ QNSYNZ2sSRcR/HuUrWVSIVh/f1aA+jdpFVCjbZBPjOOqnT8MoJJJ48VcoSH29VjAmKjmh0NgkgOj MexpAvrY6OakXC1a06uZWKxusssOQxyPTDn3Wl5jKnGWbXMpKUzPOf/D+xhd5KNaqRF7sqALUPSf e4KsVUk0DAV8XMNSlKjGqceX+MmnybyvwDy+n5T/x5ZNSeOq2T+zOk3WfK9eLj5XLkPbMRZtND/u 9ZWY3H6D2Pn2MRrUJ8F9N5kSvSIGOjJ/Pswr5ZUlNTIKuFUlXl40c38usZ3RPprhcExhUaZx2fQH Gub7eK0F2brzP4/2EbU3JUsQz9s60TGTnuzHuRLp6VS4//eLSHP/8I0ejdXncRr2IM2zkEI3k8lU SKMd0uATdhU/MCkM6dQ5+sFmXrov1Ar0yZi9d+KGUk59pLrakSX4JiiPvtser2YwZvzU/UcEG0Vt AGbFlDASxdCPzltN+bRK1XE5vpd8M+yVwIA8RND3TbxQX8uAIEMkB0JHqmUS/eXm70GhqHG2a810 MT9OuoxsoKryp1MMupFyjEbuSeSk7iSwd3jNhFaMne/VZVX/vbYlqyunHnwMmFVOO4NCATtrUorD cE4WVyeHXfZef7n1M+wt1/AvYgLXnMRRe6Wm0JMZmwp4ILH1Oix9xKqAVk1KSuMXRHtsjIJZXhA3 mpV1NoGK8Dl7St/Sz5iaq31qRrZN0ilhY1zBuGM2Uvv+9RMNqHl1NGOzI1lCWoaLM8I2pPUs+NPN o5wRqQqN75KGCpSLpHyZV9IntKl49sno6uU/eRkMUSdiOdfvBC2gETx1bfnmn4bHvL9wbTxI3/mv MJZeaaf6Muzdg3ky6lKB8kHPgZDAfAh3/35YaJPOtAaJV9w7wjTp9tRM6h+OcOcOvLUwtMoTK1/D sSKEXN3tSnugdw7Ohim3XMrO8ooLEvBnyHBoahE2hnwFayKz6K5vIhEPmqmdgsFrskz9neW8Z0EA RBbSyUQtb2UiHZ2Dxrh4qpt+eQw/7CP2YimBgiF9uPGWCp/ceH0FMglxGeVdcFtgLFnK3BZ7+9U2 l3i8LkPGXe9eeuv7gd5s0jHtzMtsYrwiwIADF9dD5oPZ8RtTvYnvw50hGieHmOdaBOGwUWORFRLB itqiWB/zEIP2iY6g1m9HbBBwIKqXVeTwujkjMo/p+rlRZWOtIOJrB11cNyHmgGbDL56+1V2+/KbJ tSINPyR7jvHQ42AG1kHqPJYYpNz7EydIRi1POSmylmxyjn8dtQHj4IbQE4XSHEyZIkqZCZ9sdkMd zVeSyy1lpRpGSb62PZwOUZHESj/yMcyY7Hu1921uCZZ8T/Yqhn675mA1aIYGeX5u1v/jREUixzyY z8aCkkg7FUSFvPPj7o0AP7ienJ3iGZgvVqPKepjFHMFCRLvE6ms/HaLG5tAMU8nonEmy1sgObwOt NdZzC2uQ5FqdZlEl5BIGPepDABcp6+HHlI5gwa2HkBEp1Lqzx/ZhyHZsStL9Blyq+KRHN8EhnfUB p323xgwxiypm1rW27qS72fwbOlhDWLv2koVMvd1JKZ4WXna84VFnCtzfTp4rGK78EgQBWk2dDWRF E8RI5Ff1Eu1dSH2cNjHO/VUz0KajhEwBGJIExPagwGky8RU1zgKDeS5UiZaZYH9IFMWFhrjTV3kr c7TTfEow6qI5fH4BIV2H3ZOYcE0ygfLzqLmH9W1e32WPckcTkCH/VtFkJ/c3Efe/odaGNKihF9jg zpAiUkPrBZIbCLigqZd1OmHai1/WTi3cAvHJRt6H6PrghEt6UPY8FeM4xX7UpxumNBlJUJCkoEx+ ycz0aAF0X9aaN29+YWCfR4/REakO23zvyu1cqQNgNZZohJIweZDuJOtmbZ7agy0my2ouw3xDpZ0y J5dbi1o0Zz2ZONW3aAxAOFz/lhbrTSYeLTEDL5DEUcELLdoPuHdzNj/tx4U21rbx8zeD4yChc/kD /PoBP+2JJEisb80U6pN8x5/MLy6Z1h+A7XNhe53YiTwuyDe3P9lVgw+dtZLhNrQEz+B3YXYtJsDH lqZH9VvyE7vP4QeXe/VKYn+1wPWN0D0J3dCo0QTLmBoQTf0uMbxkBTkR0mDBCv0bMINHbSAhdek7 NO1/TRQ5+0qWmMXLq9LJ8Czub56ExB8iOoZgj1hpPT5rwKpGRcEax/0UU+yxcf6/ZAr1JUUMfLYL 7aR4v+5ypVS9nwRL894wlfJ1r1laizFg3rh9FYA8zQPjYh7AYSJUUmKuK/Zu041fJJ7uRXWcWXp1 DMAsFKdYtzV0Okvkr45cbRRTM5iLegY2VVKcmWBjC+Ca0oEhpQEyDWLVE4xopmsVzAj7lmvwJtFg LEo+6hjpQqs6GBpB8ENyPk+mU6gq7P9ToCRIDCN9Q5t13NWhMlWvu/lRnmcnUNwMNV2n4L4797CV /MsRGnj7AK3LjJfd2hEfzFapxhxcBC+kgfgytklJ7PiH2nxpTYkGr5YOVWpt/USzd10sSnaYDbKq 699qsVcJ3Ztdv0cEeSaQ6oexT8BcaCwvzwyYhZ/7P5JhJNL5moJgPA4ti+hQktCYvkHwkpUZd/en wvIcaj2/sMtpfjfQQZF4EUuffG03Vtu19yxVzGw/jnashofd9IAa3c4rjrgndogw5bvX8/y28MIq rfZ4Zjxf9eg3Z4f41hCCtptmnQt8b2fDq+YDfqa4SvBpq9jXDnTlirY+rDYbcFIZR+VsK7ycwGgn lHSA2i5RsKmlKuLM9mNtFG2EUeUXAyO0sZRnrlALdkJl+QZbFWkI8TeIbvLRmiRuRIFN/irgAn7M yRhMhIYNfeE8LiK0JEZfLBasHp1HGE8hOnWVJxksAp973gfC81aVM8kyuUeQv9P1QlA6GEzDi3os B7ctauaM9n5ddmILGFFAjAGxRo0pWz+MOUlJhc2/se0+Z70O8JOcfoc0QXzpPF+yHRySwdLTAc5+ OeB/MNgfV+OTT1M8fiXPa/50f2Jr+Onw/ltFXDXr83/NENKCcE8gE7J2bbARIagtd1lRURfGIO3h SYO8yzxazUsr/abzEdegJXfqr6EYGcR5qN/0n4LZ7Jvm3KcWXxFZcMDMNyc1ndoZRrcjKThuCXP6 ueZsIfoYME0jbrA2o+VMJt9M7PE6aXO4/CL5GxxhPtBe3ELPaZa6WPKODoODLZfIsx4bcD87S0R/ SYCxpLJHL/ehtar2iRPOqo+sG/9NXnx2uppByGGbsxIr9DdItiycQDJLmeaePUY+jZrZ0iQORO7P WsJyiX0ZVJXi5gXCVzVSjktMYu3vzTs/2HHjKfwhuY7HbIuzUpw/1UhFqXAntptjkPCkm0lb7Mjx DaUSZyCyq2x/K+J9fuiF4GjJNSkqzvhftdd1BJOqUGYQFQvZ6ljgh3nN/+ZzEKRde43CdTvCpIEN /eRwaOtGK6Uj3wNUy3mcIxvTouNVz73LJnuld/mN3bDbXXnrAFqBqrAmDi9eoCWwMeGiYcT8k0xl u3I4BIc0LbSEDz6OciJjNKppoAOyTh+TMc6nK/boD1HQ+Q5bsm9R88+waQ89ynhzZpupzJSzztsj 3l3kSbgErZGEXhraw2x8Bn0irhUuUd02prylsufsR6fpiOH3Qok38lvnuCEpKzLsszRU0KqM2VIZ M+/O74QkUKvub5cyyZx85dEuYv5yRxtVEEV+6k1H70gp+JRpfH+t19yoXeK+QJWy2xVt+56N8OJO EJdYb0jJ60etbL3kEl6enyKYbH1ey+fcoSACtAojPvOZ/O9f/yAFYtA3PcH+eiQJWbHG+fU0KNj9 IqiZvinTQod4/6vD78+6kHlOB6xjxsj63UW7PmiTVKu5kuHMhbcDy8kXmv26j+/lx3rAXV9dMSIX ce4jbdAVufRX16vmFe4iPU/WAzGlmiNuwSbiDgom6vAtHEGOogWVUXzuOdRtrGAXI/PdU66EgdYb ZODBEKXvmQCGjZFrR8Ucs+wRcQnA0Etz6xGemM9oXFemLtCO4q83TrALt87rI0Yrm96CtcvhPozs Yej5JrfjE7NE6A7R3FCyfTAKtjgGRmSY6XjJUqt4iJfZWeXBuOBGSrlu6Mx/W2KQVSreMkSwIFpZ DGr/r5L7Ygc+xNpAfMO5sN6UZLkGIq3FV6SG5DUhfh7LNurCQ7mzpb3Zxd2U+CEfQ8yPGvTdnlXV hKvlAP209QKasiMd6NSlqWVLNnKQxiCUjrXJqPUH8M/km0or0NihMAEa1vCt87D9kV4go1xjN4xq HzxqyE5cjaBDH/KkkOhwXUuXUTBmA9hWTrvxcJSt6VZEjZNgV8GxU1TXcNwyvDmcV/gPe+R6ae3a 1Of4dxmElGu94lo7+QybIXJyL3UnAdthQfufSYuix8+l+Bpj434EKZcaxWstKsJjrCLmEtFknOx2 5hzE13M8TNOY7fjwqeRbhanWklpaYHYiW2Tt9G8gT6YKsTNmj5smGfkk/7TEwQ++DJv0Ui3vHTUq B/d/+8kT2nKAM98nGc+AX25Jxs1QLxcya7eRPISiAnLrrZ4R33QgPeLzqN6G0YvzO9frZmDLmP4j WAFx3BUA75uuQYQ96uUHFBI2m/JLWr0+F6xOISvGs3uptS7N61lGIS1LayDhifBLFdMbuWCtSs/f 8Z7jc/NNCwc2WGgi19E/1IB2FGVLe+DVIt7cIhgkldrfqiPGxpCArFQPXq8N2d5xcppaxXQDwdru HbAnxqumMQTMtjSYPpvEDMG28rnsggdYDMQl/thFizTiCG3V4tKo4HdrD94287qksCCXdDQ5rqum ICeclzkcb7GJOp+sXoNj4W2sAogre1aSEnQ7rqDdpsSYzILBg3jnplxt3sWPcUraMQpoRHhb93dz tOX1Wf/IrkGuBzYX8jP5D/tYvUYabY8IXnaaNKBcaIGGqf4ozAckMwwzjufgqwYSQ/vGDSGrbqYS BAlNIz6Vf3bxHAW1WORtugRPwit6aXgmHbNtufGF+fvVPAhXd9isc5ygILITwsuTfgpCd5GH6gMf sitTQ6MSpHIFpjauTVrgtaayPAc7P98GL0fkQM+L9I0OuXGplNNHyHEbVXzzPKip4swrZDxOwB9r 5TeKlRCe1ddD0cqlfXaLkTFQHqPFa953Idq/zph9Pr2S/PJKxSwzYW0LO9v7QVyHOddBFQVuthIl FJS668f1Z/nN6AwOm4anrjpOqgjlu7FQGZgpZh6X9i9N67kU7MqxxR9uZraAxcuBObnGMzwUj5PZ o87syyhjchv/eI/YPSs6u1WaYCFRFYB4ALSxGpn26o5b5trQunRXbQlCPD7Syh+txLaANtNF2EnD 0LW/9g3lJJdiNRbpv/fkp2s0ok0AzpvTtGDCqpnsDdrzQkJphB10MMj7bBOv+kmHeUulcYDfmILA XJjM3c76wuo0voArdFTtOGFjQO8wXgzFS5NdUC7KEBrQj2ZXqkl/KN4OZtdi5bU6dvNA+bIs+uXY ilhVCjLrCNld9wa5/fRZ0MZrUwLyI3qTNtxDTTW4SiTvf+2QgaULdcH3pfxfiVyucpXZMvigAvkw Z2GOzdy+9J4R/+zPzmXXoJOw0s6EPzq+hAVttVmSLpgdfExLHpXe41ymcmC/w9VpvTwbsOvyYKu5 IBVAZeM3/jQMriFwn5gwBCSl2E9id2105Zpr2vJcHNuwATvOADgC94pySdQ4C3jPpevCqA4z+R8y fswB9AW0M6YEQE/KrNRmO4PyKU7zX19SkoX+eTBDNRMSjVHhf0d/lfTdTkWcY8vZX70qX77CbXoK x63KOxoq2IMDyZbVDjAEht7KhT0y1aXLY0jXUzWHGefesVtARIkolRW8K4v/s2hhQNLYG+/86L8k YruN0dcQRfD7SMsM/kNCQ+K0UCfhED/BSp982RDIoVjzSg7J2mAg+mrFuaNb7SnA1A++WT6NEuEK 4YWyn8rap2o14owFB2TqcIfs3+HYDTnY2vBbrtvDuiyJEva0bEzD/8jQzpVAQOFbMiJoccu5q+5t TJVeZ8tAU0mIVPP4FziYL6Q6YYFZc6Y4vRAUpZDO2ISgHxapnBkPEC2RfkYsmUrrhqmiRzpp6mEr eSkopKa8uwEZNg1jZ2C76K61kbOrn9E2RoLRk/hW4fhq96+iWNOr5VwWcikUYpxOYkg/TOU5IYFX 7hMupcgAf/QjsZKpWb1+FvjFa9uY2xKjjhPdiNqfIQpkeCjI3ezHNWmyTntIwfVAV2ptpewF0XBc h2TwjB2bLdW5s8MBKMbI07lHluIdB3HIxNd3HVKH3ka2d1IFFJKi2py1dl15husqVRDhx9v691R8 ShaS23qFfKTx4BpGlUkkNXALtQphFwms2R9x/N9EdUDkm07rBppEPOQ0Gs1l/RorvWXUb8lT8Cx5 Xx/iX4h93N44llzXlxwmiga2p98vaiV11B0oJGE4Zn8TWbfuCA1ParCbOK2Wa1iFohkO8cP2jyIV Fvb0ZFbO+I1JSOkgaJGEiJnQckGNgNOGrQM6mlLK1xcEswcyqIWpwTHb6MvMQtf36PIUcvrNzNSx swLNaW0CMpavMTtkzXkFd/2zCY3gm8j1MnBrmot5XCMitqSNz0Kk9sNk1+8D/DKY/krSH5cYoElf SlK+rnxagaQTnRpVSGFIdt13Tj+HfO6mNJk+JODcV+BidVMLuq0oow8hNnTbUZSLJZZdrE++w9Rv z4mItX5KILs/v6tfqga0JN2TmnaRVm3APke7MPKrhr2NYFNAAOLVBaFOb+NLBlgviTGKdmrCJGhi zAo1xt7orxNfGvrqbLtZCx3C8Xt/JkjU0SivqC2yoMBh5ViVaAf0CzErGOrKPKtaztYHGwOAM2rB qmUc0xTtKl8Ww/go3M0zQev7xYjYXIIX9p9mUssLTvR6GahF7ZsE/aGP7Tjeie5JVJI1hKluRn6k 9KUSa7VCo/MYE637Z4qMvU59iiUvta3KKIXieGTw+gdaYT4qLuXmL25OQNqd1qJp7yn49A5ecf4o KChHZEV8IsvVPZp/CrYclqu9IffmNcNWWOaSeymgyTOLCQRY8Hi7TZj9pQ+iJTOaD3iS5MvOEmGm MmMiM6Zu0kELhLRY0KD8m8Oto63IutUel/sRnx1dJM66GLGJ9U3fJvuNpqXjyE6BfTnAquiDueQH M/zgKko7LmPzLRWVTbki+4ZHzcAvasOlcrP089M/RY0U6UmS3rxt/xQAJLRMY+dVnr8Ar+SV+j2q wb6pZmO/Zz3gm3YR+NHnGNCmj8hM89xSq79fKNuVpO2OEywyHi8ewd/pa8kxur+gYBgyP2RswMl4 FO47Vrh+dqD5+8pnOUIM7kHYkwzQcK2MXJ8jJ5pv/8p/cnQFsijK/CJK+L2Js6rz2jqnUpk+m+D3 oHeuMZNJpkhqYRp9VIbOrgh0RccnmKprDfNmsrtds4EVKt8lu/hxqbjDChH/zIVku5X7H7K8BnEI BaxOmi9XIfGyC5T4+RSs1qNcCWk5pDPP+OeWTT81qwQyQwR7x3uwQ48982/1vMWxkWrnXBjc/Ehq utFF4x7mhirnqR2bj1ByABtAV+9WZV8EmmreiIkdoDAnM3rZCdxc+Wz8o3IkRJWSBQ4S44UhJJTW EfODsgvO0QAEqVXLs/pN2O4SwXUnTvVdE7e2WvPBXzTwknK12l6SrLtZBOqyvSo67iFF83W4WJPz c7wImXQcyISJ6qy9ldLpYamFfdLsKH0dyZkJRq8qfOsZ9urLabBFuGZa53BlMUtwYUCiBeMKqzFc AWmsKxKj9GvurKCCBDRiB/M5FFx7UwJZptYPk3IYhNLbUGPIB5P/VrZnWVATjm5VLbLDlA9zRfZR CFet5OPMFIR5crcypDxgL11XPbDz514F/Vz+DqNO8wRIM3rwu3riY7e9LtWOYu66XsQInZjzQYzn GbwiGv39XoVp5MlwzNErCL9tWVXeY3ZKBx1ibtXuXsSZ9qfkQZyTJ53RzlIobYvS4zyFvKn15dYh 2xKlfyjASHlrMwiTYOsNXyxheYYeb9RTDnNBPlJqXj3k5mr7rdAhQHculXINBma0eNyctnt7xcFq primkmRyWT4rMXP6CjEwFLkTbAZFHr4uV7Yv96xTIcOGPHDBD40QKnyXnuE/QtQ3EcjhTrRmRmKH dnExCkR8z9qgmsH8CIxoff3MvzMNLF+SOJOrf1cqD6lmBF96nY5hAFa0nnKpwvM8Hk0wUA/5DY9V hv9uxYoOH1JorOu1VIWNpcEf4ZBmopCdjPVmtdUs+qKget26i/Eqy+fvDAWORWGq20sT2rTrF9uL FUdxEyXlQcv7TxMm5UtTRm2n2faIOT8ZdjGmye03Cojg9eRQ5tcPhjYikdFH8HQE2XzaRlbtBV6B PoID+5I07y1DwEVspDfwmuNxvrWllzGfLJzMMCH9tPtHz8FNU7quSKr42klNdeomNLVYSwhHAShP RYkEHX6iqsgecfySV8eYxNtL/a9wZme7oY3LwTLjg2GFfmPAZNQ4NZwIUsguLSp/bQ7U5qoD8Gwp +FvR2LOGkmwqnRoS0hynXwoXTLOnziRF4dTn+YY6Ehk1I4n7wnru3prkOwk/Yuv1Ub9aSBTjyREn Heje1n4v1bzWEt3mi7/iYRX6V15m2XeXcgqJSPTMyAGf6Mrj76lqizAm1XCjq/r+P1IWXG9hYTm/ JCPv4CiuCUYAS4t4HzfRRhyEc4lE2cVygHadD3m5vabYWAo6Wtl8mZzaGcz6yAB/BcmKf56w21CG 8wtbIQX4xfjRVYb1eZum4yk/NvA5Xn5jyu7bbpPJsZnE0coUK6tmk2Aoi2h4FMFGVCZu8B6QllRa 6Yn07fnJdWFV1/wwM1uNNPU9XoD41Itur1j6Y/ZdltBo9hgGDCkandcbzBtEWawHMQ4ozlz+7ZFT NloLwQ49Ezn8Xbon47BAOYygMtNF4QoCibmJg/9nmJX1n96OUVJSD+y/dhhLaYz/HIwFHxUl7/Y6 zhi2le8FV627TiGm3YluZh5LCELzoX/5Vdwbgs20or27o4F/g/YR/AZ8bpmd6ApgrFqMyHmysxzP Ayu7JDh/i/ml+qo9a9T46H1qJLixydDkb9ICvjqhLBpWXVn1OvCvFsCH1gzFZBxMY4W0xjJUzgLr dRYB8ZbiVwGARAD5Mu9VlZI76sA+v6BmiSV+t0UwFe+u/NOq037Up+GaP1Ye1TPjTyIYPA0rRfZu qrU5LAhFUclVvO+wNygIbOjB0DZRueqgOnP8iE0L2X6TKt58QJu0Ayf/WXDBew0MzhC5tbqnyfSQ ZYzDZWTEVOJKlSKvqpPOxcmccdPLNkxBZfP2DfvscfTf+iFK4lLCXwpZQG5J1QrouROhKj9dyuc5 RgyBlKJU822shrpM9PNP/M0ualJHCxWuRdVL7zK8qh8ZujSWg8OmSUgiksn/sO/Qw8sUQPNKsjBO 7pYSrCoXGVCKXi3bIbLVz9zFy4n51np2bZfeXQq9air2MVtC7I8ul91TR6TV5gJn0frpPZ6hapbl 4tqYNpR6FNCNMkUizqElokcqjDns6NPUcOCtTJXbTTfu8uM611FEYuFnflHpyDuZaOUdEACwWe0R aMCcGYh0+DpTaN1OwfKdrrSMssK2kW+KGVP5Q64o147Ok0jhrKdyKqPT91xW0iM3SQZgAS1m9rFy JskuxezisDR+PmI/PFqHMINJPeq8m+EXqY/2Eey2UqFgRDh9R3JYRAmns7zl7RxSHrDBpQoeEnv4 S1ZGel8LrQSWbIygMMotibQESecN/lRGjBI5SYaG9asYx5An/TqaY3IIy8AtJIRtOOTdZpN+woPO sy0u9CHpwqdIfrD0rnpXbmBmdi/lbqs5rQzanRsai+U5w42a2sr28G3bsCWVmb76WmMclI5ZZcQv yzsV24EX+cYavi1t55yMYI4RW81r/oILum0zrf1YIgjiI5pCKYQ/ZqKt8hAcbiLei7n9V7ZepKOR hYcdOuyi4MVlIQlgOtCgKR4msciWBegkFn03VJggt/8JzhWKaBllJTXnDjoFucDLfR2Sxr/sDsvN OYcUVFYecXdIZbR4alvcLF/lIyETyKrqNhVLgRWXFzjEaVNZxzLO/on50KcpmD819qTqvLsk8+iW akUf9U3DM/01f6wbe5zijffMq6ip/QvCnPx0ZSD4cgqYYCZOARUMXImRRsPBBwN6oIvb+k6ERzko T+inud1yx0OsTTxXGPljzXtZLHTK6Lf2COm/VXgQTVKc8ak4h8O002s9NTwqNxRcaVHgfdi1LcFn jgcl8ZoB80bibMKUXOki6ANB1LJTYVzt9O2SOuQEAsLZMzPzeE2DQ7Z19nmFGOEg+RhuNMr+75Li w9ybWJOfAYBmO2MvYGOx6acl0NhsUbnw8Fn3F7R+UOTA8UWlH1mipecPvsQeWoNlrX10755Gb5pG ItwDDjVooYBiTJo7P/qUbRALfMPocTnaAAIvNY2gm74pmFXqnx297xQtHhxxaoJo6QOebSgCSfIC iQ/QQY6Z8Wz6o2ZB0GrrCaCvY6U9hZYY13aX9Z9473lwnH7Z/dzYkuDGRcmxrkM/rURvvfCH6n1Z Z7JhsF+7c2MAynIfkqWQ2zVkKT+avsvYU3OuXRBdTz4CfjpcIHpatoynBa+fNuuqI2Pu63JFqAJ8 bz9MBrAitJU+j5Zq/dEVogy47N/chO5N34I4Z0raJF53WJom+tM764L7noAVQwMRjPTiLc66RKwe z6UgZ1UOn3qLvg9YrDlVaTr0a072IgGmRBjiJnXllCLHhh3d1pGR+prvgEyWFTlqhI2HSQcFv50g 3Go19NeJijfudBfStHqj8BQg4wg+LmF7D8noEzlen3ARlKygwCWdbwtXaCeMvJ8CF78hMuJRQz8p 9lCWTflxAXZUtJj5mw/XeA4KAJSwRPfXWI8sIRwtlZLoXRef/WbklhOx5ZERzg/OJJhWspTk5aOl zPo+kHWgbZ68sYUqA9B0HuAocMLsMi3AYtwoRjlAvi4CjRa3KAMV9CNcilQxpaRAmLQR+mA3C4N3 DxGjazsgat2JfDCs73t/1cin845Bv0zENJ5Kd9cawx4gNMISZC+4ORy5Y7aUhBduRD3DVbm0rmwa nMXV4FWKhdWfUfmhTklaEYr//z4rHGxtEXiRlwtUkckF0+YvG2NBLSru/Y3sakJvyUtqA8drrX42 F6HbouEjoOt2hP1UTjZnROat4ZefaktkuXrgdVBu7XKxBdR6/gJtIrYMuvTvkX8TFM2QivMA0Bb/ 7ml/xOzgn+S4UHhhURMGp77+7qyYaGk5YqazmW1yG4hw+AmP4FAi0lw7p58uDBNSmQGEyKAj9YY9 VOAbsAoLRu/RZUsD/T9BnLbP3Z+8O3IXWLRxCf0rGrPsfOYb8X7gqntLxuIinWgdKb8Qk5OE8ZQz 23srNgWjUB4D5ueNpNKo4OTJbDq19zhb+HIrTTCfi+jAEZ3SjabLEuQ77yr19vdO+ppFIbBBlTfB xZPyoSWDckJT9mBuFia7qZ0HLL3BlyTpDYGYL0a5SppNCnyc3bdkEswgEzmom1A4nYwjRXLMgPu9 53M4XoCXlML49QPh78DYvoDzI6cjPdA97SPhr358zjnrDaHAAyptuRVhbgaNuclStpNtEvOfkzNA D3WpxqYkH3YyQl9NHnm8PCr1JDGjtW9BiAa/9YotHTpm10l81Js30g6EvLsbpmez3xqXmVqulZeh gM95U5lIk+/w22NIHJfZN52hr057aOWiqPoG6HEwQ/CJvHUFBIHSLq3hmRLbLKOFmLWl5waiCtsN 26uhVrZS93lJa8iyaj78WRI15WxOBUk9JhoiEoRVwj2KHxgMYrl11kdA159urDRJZUtTtS75MDmg UhV+I1X97VkR5/KmlJHhbbne/ftnCLDk1HA/WBqdUlJ/+CBdSIPuHLZz4n50FyTqZsAYahx232iR KzqR1qmeIqkAcSWFp9Mxuq1u8N+OH+gucYYgLAw7bPfvXsBciKT3XxRwGOo9nOnxncd7PM5DNi8l qLgBMxIHwQvCISrIfVE/yKMDUFqp8KroExm3jqgrkb33rliJ3qa00b2THWMoKo64/0O+AGfxMHbW 6tHBz2ocTOHbGriCBIGl1Io55Ct5wqLHCmj/0m5wUIUxP3O03ePRLlDizQ/A/Pc0ynYLjSHEZjQZ 958fBUdIKQ8CYUI1nyR9aFxMCI/QWvgQnthGmB55/dYXyF+9evNzoGzaqhC0+/XOJUgzV317zuIn MOn78MkBxaRIHmajEhVkef2Go1IWbjn9dHCzPDSAa6HbwMG3if/O1yJFBd/evo/K8wgDLjxzWHfL L3UsvhE9QwdxwEjWJSHs0tL0IYdSCDuEUgE4Cv1tVyZOlgGGQIO+5aZOd15uZJIlbUrXrfm3waFx d93vNsThcZmsv/gkx9je9XFsGfd3KBAi+X5lzYtJoQn3x+bYTfr2aOksMk3ymgS5rrX/6HV3/Icn pN1QSxQ60/Wwqgyhfw/NMpB7/aT/XrqAtL79V9x0r70yh2al310HPAPRKnur5eUYK2ouWU1b0VfV 5vG2DEFgIPqAQTv9JLhb4i2WavytCeBBKcKuNhP7uqqFsXMDi8T/0Pxmy3vzdHcYYUvzg4wVF9S6 diZvECiukCv/a+kTem/YxjxBadASx3mzcyzyrSgceSThSIk1Wyr4wRkf7QmSv67e5zf6h4YTgqw4 9Zy8V0iOxAC18sihSpEeZ4QdP1pLNfjAkBHYi10L4zU92GQ303DU1qbA3Oc21oZAzP8Hb18zCHJk 1fqad1i82dUhPvUTSHQ/rLY+ziM5rEWZKQ+3jZDHP07wQNTfrKEcLxFI/st8yHr46XPXxmjcLOgL O7yeVEZBEaEwEIWRF3IPb4oQ/e/jbSJtBEZthch8xbUJb4vVyGJY+f+NlIt7vmN/kF63LzYoA6UC LwZ0VtTN6Wh5zMjpP1M1Rxun8HMq/5nu1FTmRNLhaPi5O9RiS07CseZXm3uPiwU+8tPWylL+nrFm haQSM9j+UHNbI4oR7pwKw7zNNKJkowMpfNUYb0uERfVemESbP1R8hCCQ0d8OTuOfyMZtADwYU8KR eHBKRe+M3TvEFdUdd0yBCLwlBREbVv1yt8SRXqi3IKQtG+h7KRDQdeGrNFGnFTZKHqLvqGaiIvxy K6crA4JYfR5Bl1ZwzQ6qqvUkGE4FlLwZeCZZZu9a6q5Ztth2EJOnbfZyrHQTs7y+h1WfxPdt7n97 mkOF+nELc2l2jChYC1+b/FWTZXp7BvdACit6EQLD67bPsjs/ztHPMX0K+c13ISXsdASZohPNw7SY XDpOf1ieIia2mq3r1n7ZhIQzYrgtums2CzURqODptoiorBL6QLZ4NZrLS+Mp6YglTcIDMKvw9ruf S+RuxIlOiqs7O5fuFjFKYUPgA/6TzUBwNbGgWCWW8JpU6i+HLzJLEUCkjYu+BNfb9twcvlDFywOf a/QW3mhQqpJ8r8+O2Cj3wCBd1CynBqVj7WhFIpw6eS/hghBXKI9czpjBJx3Ajag9VJSNkTf+dj6U MkyabFIkEjCIMw3FpCzyb/QBa85lT3mrmwLFhyFc2A+r6x9e2/okvCf6AMtR+3+XZh6PjD1bSXJA 89vxhpB3lAmr6HR/wkjsC9jDJTw2ZRj4t3PgzKSIVhuiHW7AfhprGxkNOo3N6yuPz0es95NUvmdB CBUST2ypNpvRnNNl6zJXZVLAkgqjyfiaI2VCDt+UTEkrGhQBk5oxdPb8xyY3F6qZJYL3ax8RGi3d 0LaYbnhPD0qz+5X+TgAEw01HjhvgFJ90Eeg/hcfEo/TxbL6qI4Nog3EFmfeV4enOiBNdIbIAgoAP tD2vn9BxEqoxZ9gybbk9RXw9fh3hLmrqf1Si4ZSg+XVfBimkbiEpatq6BUfwwEzsylonKVug4clq hrT40J0/BUcr1Iepjc78RT4SAWRVghtZfq4sKso1w/bJUGGMwoOqFN9pj5epR8Cx9fqriTNkRrBk nWG+azqTXinjXTwhK8vbY2zwuDFWM3ryx1RTQmizaeY/CYXlg0C/zVqSy26OKMbstyRgLNZu6X9J 6mb2p9rNIq333o6xR0BUKlyQgIGkDY2Y7DYweehpHBqdeuYSbgBuD/og4RZ+zIFRW57slglZ+EMh G562/LZfOms8w5YAqhjDcNmSwbSRKqBZUgEXwuCwDbeDVjxOcyMv9uU0O8U/y95X7aL8YFpiEKZH +VdiXExyUinj5MDsTTuBwM3rMvr5fSgM/ut0H8Y9h+3y5eHSGGUZwowusIU2kWo7haeW42S+BOZs ba4Ca2ky8Xhrh2HdDacafMDx3dQpxC0MbLRup5ZvWk2P2WNSOXRNG2WC5xub56+xxy7RkDpy963H 8ZsnwZ37JEv/PsUqqhoPL9aNkuTrktCwKg9/pe9UcgoNQXYvacd6NjuU59soCcCM7XQGZXvWSDIh g2VrmJLueWEnLkaECusdYtNi93V/4YppRxZum5wPIkU/Nk1hmYQ9Hb9LimEsWGckxVj4IuE0RYSV qzxM2Yq/hzN+Z7syOg0R8WRRcXMm0YZMYlq7zacc19Rdxfk0SD2fH7B1QsoY5VnoPTJAeb7h++Vs iI1m9KueOxNs69FiBYf0wv4O41qt6Bh4XG6wC1jZq86ntsSIb4naLS19Po7NeCL8FxmeQKWfvf2s YHY8sPfsGxxxND/q5upR8PyeiJMDvWiLo+eywfi22cPkomlp0tlHAZfuzP8QcmUGyT+k1nlB6oJi DF8Oxxs/CsmJJ5GW1qtY2dF3P3N14Bo93yIB2nCPFdvWjblvF3LP4UjsKk7PDA8tB68VNT0aTAdA z2qUcvf/MZdo6iWC3A2QUqz+CZ4I5f6Trjtl/QMYkNcrEap1NYNBv4nqsN90f3ESH7LykGJ07JRY eO3eYXVbZl3+wZfQxWefEM02JOE6bL/XxyBVBEMy6OXrddS3WZPuT7D4uxiqkZyxb83Y+jjD1udP sbOZioKoir6hxT/nsMXcm2mGiW/yAuUhNCSCDjzg6gPKs17JUVUWjLQwQg9XHSO/vDyWOQAExIuh GXhWEG8KHo7LrwfWjEPe0sTtKZ3ySExdE9DaLSCWI4YUL2TJza8/BYHAKudJO4t5I/dDYbX4yN5S mM1QoJPO/pKrLVVau9hXx/mqA5r8bCaN01KtIOojOP13Hb/aQ1NVsxebnDAXmMZunJQWO7MuUZrX XPZ/43y0p8VorcCYFFMgzT6IvXYSf52Ay4iBUgnp13i3Bx3yNbsdRmd9cs7cRuzYNgzIxV6vdHu6 54trP+aouP79bVL14oGQGdYdVfPP42Ywl+VOy3fq0bno1hAMgX6inP5+NtALvqk0yEcz2jXPNga+ Ew/0eSySum60KJAoZ5Tvd+gs3btGIfcq+pWAgFX8wxduZuqzYER9QTzfj4rbZ+fgVSaXpDFQL4J3 fS9UIQfKuztcQrY7g0c3gd47LRBxvl2XR/8dSIHGYwfQizIynOXLE3N6O7iTwgftUpwvbMiUBpts gqbYMHjeF5ozonElLlGkVh2bqrrFzvcN/L3BMbChBZNTOFzO53WlkQVbFy+j6ceQaCImAhI2prgk RAMw0YL+Gx4C0+NLeBO+vr/z/K/SpX8QJTJVGulalUayVTs+KDOY0FOvTUjzjcLrgwGHruNyL/QS TyarfVxGKswGNRnyb1EYo4Kk04quE9gesIF6ZTvHniYq5ZKh0mjlZV/+zBcBA9b4J9AhCCVvqyMO 8GzZO3VcuOL4WY++WfeYVjMFEEGPAEGPBNlYscF1I9UkO4rLU1H3nf5U85BwrAuZbdGxhgVPyBBu 8UzcSESvYDLXPWDWwC5kwf9cbp1b7ktAJUa0Q9k7Kk7lgLy/2m3J/6U9hRCMoQjIgXElqSm48HHR nUGgQpX9TVdEdW8UH7CJff2UR1PU1qmNlgVFR8ObHRkg/8pLJZrFMFA+fiDaPS8h2SLWSzTjnJtM DRrybTIQmmGUkuD4PEZ3sCvqXhuN1ct388sul2N1DJP78ZgBjEw7e/DqjUBFQTSREzOMTuD748zt Y/orXVD9XQq1wtji+6+QM+WPklIAyiJdCdxRy30ZWAS8nd7K11eA8vv/lqXdEO+Pmxb0IdQTV1ML ZUuW1l9xDenzpQWGcSWWZp3obwHF9SQgiKEuxeSPLBqzohrlKHC0aar9QMz3bzh0Vvkyl7NZeTuE IJaAsb2+OLNJuqMvlvXSM8uXlzMv5o6Jn69Sd9VpTDBbtK3Nh+lFkPFB4hFk9L99N50yjoy/yMuR 3CmOABkWkR01+rhHC74budJZVQjLYWa8zIXg7OXngNsGLxoWdzA7/SVuKm2gkESDCoEkpDDlWtQV ymel4maIJE4s5MkI0kgjH+k3AmIbI4tiqL/m2qcKJKL6G0ClMHo2FY0K32uSeaLPnnzx37ePXeii Ci8GHvmbBPchYy+JDSOjpSW+1rYcud2xY4PtfdBE1zM3EkQqVA/CWmn/b/j/FIuJpzt2BWJkiqXa Wf7Go5tXgA+C/8ZqLFL6Vd+JkXBO601XMharB3kxBxYa++faIG4B8iLxi3pw+vJPyZuY4R4pXEWC RuRggXZfJP076lWDRKfVxrfxIPuOH4sEdHzdYSTaI6GlVtgrFUl9nPry1flY6c+2CS/U7Jqp8qEL IhK/2ZdLsckjaMUiYPVhewR6ZU93yq760gv8fkcRvAjdja0xqX+k2n5aRVJnVYtL0vVwQXNRwmIN CEG5c8SiVD1b6xo4otPvSPUc1sL2MHVo6Ahnp+qIaMm+gijGpBbqU2nvsvIMO9eveaMRXZMNYPrI G8P+18/CiVMM+/51OduU2ssW1psdttlGuMuk8OUt1OiKR1LTlmrnmz8jirnhsBUBBigiSjJ1+i3Y 0o99tigr+PO8LMeAjUDxEFApx041pDA8OoyZCcY6ikrXwKqnKSffa6daqyRIdMFVtaVkdjpvAL4z uLpYhmpzNG6R4NL3OnS1hctZxZN3HyYIT4hbTH8Uto2h8uUs1Cu3m7QID150vsLkkOgmxQIIjwlN Kf8ksCEetFv8qSftf6dTALOkel9WHXTSuKJZldxqB8vCgJxW/pAQ4N3I0QDafVpLwvEpjVyHmKzX rG9koGMdCMkHwyPOVs3sxIgT5gGmqT5WXaBpv2cVWGQWrIiDIWvE+M0URKemyTKhMu4s9o8ZDwOg DU/bM81YzII5ye0COU5cLm+wNK8zm0NrcI3WLqWpll07TXAdTfbe/F7wsHMof7ygGE7cikjYiHFl +u6Gnq8wk7UJUgOWds464Ztwli0cXGLbTwrwSNBsq52cPHzmrxX4O4dczXCGeNGJbxOFdwuBZ3v5 31YXXgbdIkLhCCkz9f56JBJJi0YLT1/PY1mjOK6aCzbqvvW2ZiOCXBKc+hsCW3PSTblFMfj9hmd5 Lx4RALpVEbjb6azvlzsZWobvkB1T8V8DXbjIFkJ4LsusRr69ZcAebCwkMhZyRo8y7uSWRxDjRmp+ cctMSU7da7ZrOPqWEtO5Z2M/l44k6tq81bZ2JIPYAjz5hAwSo8+41wlQ3wXuAy1Z7rWgLIOi0IMn owxameLN1O5Drks28u4NsIIcRthje5M48KXtbC2ycEMk7o7PoHul2QhdZDCKRM8yK+wq6kL0V53q +/+vIbWDKERKfmvfuJmoIcP8aKur0imYCXlDXiVa8S/8rrT32KdY6eA+IY2kG18z+pj0AJjcua3x 8/ZLMiOOPHlh8G2ILemfPG5MRNATnHgIkZpewewVCGCKyEBTA7vJPVExgi9yIUoJVZEZPjIdzFXt LDi44Efmx1oLWvDrpeiIDugzHckVJUKRs7mRFEC2o2wzjKzBR54uKcpj5Khtm/mjpYGdfex9feym mbfZEwaZ/hKWWttrjdLNdntdOiIiNJWPQzfN/AChqR0/TKZTD1keTBbqUTPOIPdkQ7Thjpjhfpz1 s5/PxqbJ/Gcyx2c9S90Rru8ummKjiqzeuPV+mNQn8oWM3kJRoAFtVk8BVN5HAJeJHQDCHV+jTPFl NT+hKz5q928I/hRv8miY8VzDY7QuMj9L7UGaD6ttcszIj1deTcOe8mCoPT+VMKliKQONW8wJnbAl jp/7dLcrfD6BwzhVS1LlN9b2TI9Q3SMp+amvsqmL3ZjdrJM9IRP8FBnqy3JqBM7QMyDEvlbOrDZ5 Mjs8ZDimtaXy3vgRZ49z2q1ww9jUc4Nm6KeuAWoMZwegt4P9uIiCGbIclSBBouPQLUIszgJa7FmE /iDS5NvEgdvv/wlxokoWaYQNpmopmWinFMH5hZYPOtYiZQpk7c/1PmBIupoYjKNHY7mb0EjBr3aQ Morf56z67CUQw9SsDYgDnSipFG6gGCA7otahx0rZ6lukAzKdCNieDyl3oKjVqejE1CXc8Y3mzdvd 1QRZHQHRGYCiefXjGOmb43flV3X8oU4RIFWZZSxwEjJZLu8fLdq3hy4Pq63xXsHTC0jc2TkynsNi gFPyKlCEsrTEYnuAutBjCIzlsLQo8VfoTlzhmwtSsWeiKuTSH87Oup7XvAq9NGl3HsSbShktzBhS YXJ87nkiza9BfV3f16Bs1P686UF7admGgJBnbw8Zt2tlR3ZqcAS01FpQoKyQtk8dbeEklGgHSbBW 2kt26U8T17q/2SHHvRMEQkE58V+HEy5Qhh/i1NyW1nZOs9EnvNq+uX/AGAzYACB4YhicojdLT6Ix dmsGSDY9H26XiMShIDxZz3iIU3F8qj7yF1M2oxaQpJNtJ6qzPItWVRj1kZ4piJSNtzLheejBO2ma yNfzNoO7RNByrHr7/sxQLPfOOhLuRUodqS78kqdbxOg4FXDhdEy6iQQGMYFyDZ6wxGzAVCxh+yqg fhe5qsi2lYiE9XrknJSAkaeXrjSYv531NX4yLFHXsMYrQHa7+COIe23NC998QvmMsRm29MFYXH3C B5eJfWkuS7+aWyk7NucZ2+tZ90hauger4uTEd2MWSOHenAQBEm4BZ0/mVEmUuB3VyiWH2yb5Dynu zU1pDIO/ZDUTFzHF9G3wk/JjOxP2+HpHFXkocxX5eGoe9bHFmjlUlbLJWf8/S/0ktnzoRUlnBq0m UvqLmo1ubgYoWoPJoWWMd+fViZ1vZXuGpUQprcrna3RvlCIwR/EiPXPlSpB5ZpjnLWP21Pwpnnyu F5YmwdFFOTcwpLlE+u+JTTzbKMZdOuaN7LJVBy4XJBgqq8RUIMsEOQ3toigKnp7M5+DtJHP3Wdgw wxBaPNmxGC5BRbZ1zmYgVq/lKh5IzuARt/1tvRCTlSnoTO3MtHCnGCOEQbVkIV3WfAwffp5UzVE6 HgPHLBn5pCVFrWYdjSqixs6bHxny4W2eMzvZhsHgBJpLKB/T0JM9nMOtCCURt8IJSw367WWiM5wo BjDPs0f734NAp3Jglzh6bhXjrNFtEt4SZ5rcwVxopxO2cRnIXHBsFeo2CxcTC7nB/dVqYTbnL0Eo O4ehPo3PJLU5dyDJobARqy4aHCXmF+K4Rs1ZzmC3AhxyK57WYPuwQUBRU8WtKAiUcWNuRAi6lS9t hevj7D5AoTn6NV6ea+YBpSQdP6pGxVudekPUBShIgeXW4Y16ac8vk7sqQ9KCrYeLeWAjg16HdoRV gM7IhEjnx0BhFcfLpZ5B56UyTEtm0zzb1FAo6MvA/JyrwSd4hw8rM3YqAtICpav/px2gG4hfh5NJ ImDSp6RzJ+NKOHQHUjLvZbYwMVV8nKLVl9WoywG8D9gaxfsVY+Kj3FWUH0H4Wy7oPhq4I54dUsBz JcQVs8KpA2XfUtHmviNRYjTKGUAPqKHu1mhGJeObeGLZ70BdC03Uwkay9W+9VUwr7I83qju5vjA3 nUdzoUjsl/J31/N5DIc3stUBOkurdmDM80uJQjtAk5Q55MehhcWbVlIlHTBDuPTLc8z2fszwuPxs Gaxq3Yz8ookZm8kxtE68U2jkQatxPy1kmb/B8x+vJYnrDqHhfoKHdMdG5ZV5KdF0ml3i65oTyNzG KuM8Nz/EDkxcHSGeIVfypQJz91SqHdZ58cG4UYqJJIcszo+hJMQwE1Opzx5wRuaMuxGcNsOt+gXo XSLwvTC03dKRvNAMXjhy7MVfKlITOQhTvrfSujdLuACzpmB4aBt7n9NtLzn427IL5FfdOTayOlrk cowf7OqbEJymPQlv4IueQvfyG75oEeJHaiwVCupuMDTL/8waNIgPmZJh1nqFjran6wHJ80lfL2Xi Fb1TJ/HeUPSeK9e4LM/QByvPxTyYH8OBnJFSz8HFwuTj/00dTM11mICl53HGKKOwCFIQmhfayT6M 3MM9SWZVXCpOdDRVym8rvn0VcbkCdMZvuIU/74v6I3erZLuhBiZZbFpLjl+3YBq06i3acAOBZFxa YxcGDUxoavVBPRqssPNS0c/eys1Jvn2tAvbbEEouB2+AHD3eDkf/VOEc7Qrixl/xOgPjy0+6X0oZ ojW/QuFaOdFl69luMyJXZYe8aArSq90v/ReWpQ+9H7yk5D8C9PY3/0U7lfI/ZzDdGw0eQ/XgEiXv eq7yButbKF5fiHoSctnv8/PZHJfZmHo+3gCaezWbXIG9tzz/w9HI1z1uvZGNlHxO7BS6VR8ORrkz ZIwl2QRycyJWRbclwc0zBLs0DownXhy4mGt7wPOGAPOQQBDHSQORkEvKjBsxZS3MTqXr7ID/Y2qH L70ODmCy+AJ48W5+KeuIbg3fkuKGVtZZ36Iq+dFPLCWNL3ax0gusaScV6IRwQMqW2shHgOq5o6Fv 99U+sq7633bvuox0CD3ZanmCBHSID9zwhZuFY350zEagWH+v5+bAelbcZd15Tg0stppp56MiS1dv W62my0GTyWSE9y8ORY1K9Sk/9QJH7eJLGCEaLmsT3cYcy1TyVpwZKiv/vE8v9yg/oDlNIk8LK3VQ RIFevLZYTHSVpUtEOQpw8zmu4BoYxMEJ/nD3tGdW01bHKiOcvH7NqMfIfOsWghjXVZ1rvWavRvWg Gm2m+Vdi/pT0+OpW2buJz9iPGuNloNQEu8vU2Xw0QX+nuMuQZMrMV34L59/kBPeExVZW39PuHVWg uAuF7WXEtRFaYSrV8x/C4d3Xkt+y9T4xZxJLD76iCSUxTh1MZs0TusUFHVDTou98Np/cc23QcJBk DZJQ66Yb2JyDgvOwp+9whX1Gf62KVK89rwfxBbii4NlPgn2P0ciMMOc5NuM3Ea+oOmfMYAuHYeLC n4tDaEbC6n7KuSiK+955uG5d6z2Q5g0j7Iw2tafMDk/Y7Ere1+Ais7YAMCb9JC2Btlom785wk4G+ z6sS6DhiWTdHI7TZAsIPUlb2ZNh/ZzL6UJfmqjkvCohl9BMPhnsKAStfUUCQgdwq6szceFZXMrHW fPmZsk6ysa1TVIaO0wqTLAo++CSDx21+KdMSVB8uECdWwFu1A65pEJjnsyqviZNit0FIRDuAXRiq 5XKZNycyqqlPTc968M0Gy025o8ts0gS+IwKxbaTZbcvDnUbbPr4neHduc14H4NluhCMO2VoEeT4z zB3tANIBmVxet+z63xrIB7DxvwG/Hyeouj7AATIPzNHxLRC0Gxn/kSX7uCTILlHMre23ZjQWEr7d TsM29z2NBilIy0MGbs0rGchNFIiStDkVnMoO1+5cAUXU67qWYAvHCZNYn9+pHLavfrpH+JuwSeGy 44SWFmRVHNGndlhCBwFixRkcILEWTJFs7IFKlGc+akX2mrj7J66j7MtqI9RrR19jC4GhDUjmptxl DJRoOaMJ38/ae03QAL5waF8PDdKBOMQw4UCc2mjkF95TgelWQpgkRDeimbrGzxTEVzSt5CZkxcrW gbH0c4P6aTUGFyOY8MN5/5SNAB3DFwsWs2FI9QabHgyvB6Etdy/ZcjNd18PjMtZ6vhR193X4pTps c+SBcaeAtq/zTnbQgGTu8zNeIJezYqj8ZG/2DVNKagrPXA7+Kmg21YErlU68NWHYMtJfX05I6dtU kMqLYIBYC9R+HccbZwh3Zc63FBRgZuBnd2JrfPsbBFrFMvuiFfaQAEgcVvYxQ4vhMf9QSHWutxu7 d5VfihwIT1ohP8uGgt4t8P3DQUhT2XV3KP3Trqs5+yw0Pz+GCKsjfe8UaiQTtkVrRrBSEv3Tvbsp kYLIzXUSSlgTpV2crfF30hn5DI2+/xhHoZf6wnHAeRRSwpA/YcTgFhQgrtk6gnR0/H0xTfOqunGX ka8mp0eFwmdORY2WkUL5HEeQvrPg8OjYZLSt78RAhw4lRsobXylmUkO++Jdla8tOqK7ln8//ancZ 2KPUEfqumcmCyG/eq9MqsWgN/d04hriisDdWs9G9P/7vRf2SwdTefgtUMnQ7Xde3PDVjTfyawudz ITzpvjjPVAjlh/1csd8otAMRnMsWulkPjJH3BJfIpAwOyzz1khFNK1Ploj8lEpTqyE1tV39fw84H ALbhUbF/1LHlm03H6cT/JeOhJSVFxCA0qCyo+huhGIj+i0zH65T1lSpIxN10rTLZ1RGDOUEfkHR/ sSREzuXpehXCp0Hj6ZMDl82u7V8FXpdyfE2jOSFN2bcelJgxtVLbnl7lJFTQAwNrwYr96Me5NmW2 jkJmUQr5ftevyvb+IVe1HCHPG3gwd2Iyr4UqtYDDj9MRQdAY9D3aRbIPB+gB7+l4l6L43Zwue0oa v4FULgCuATXStA2w+32H/vzXiClyosjBPE9zkEhf1ttOA3VLTXVSp8u6kA1D3UkfUaIU/3zJNGE2 ghk369vWIvtEp6jic7Vlk7ja0U1K3+ESrRZKlUHsKg2qBpnReZNU4pdpM0RCtJVHvIE8y5rpgkp3 EbNte7zYlZcDTEzTZdPlFSzAzF6ocfPrqP59arLrIK69Y+/Xc/FKHzDD1EiZsbbT3hfBNKEvCmbD Z3JHhy1xif39ODQ2Q/MCIV1TfqNbqRmh7W8buHXE92dXih7E9O+XrDngwJR3pVDE9f/0VVaFuQ/r h64BQGKttBjevJmoNEus2d4IkT76q1VYLzhVQT1NGc0tGSPjMFHm9W418zGUHytv8s0rgbWgqFtC n+oSUNnagxc87uLTg9NN+fImQpBYBz4d+DYYXc015LPOSiulijqOhwxNCbj009TaJNaNkEs6aEX6 6hzeDwmTbZPfXjdd7hiH9dsnQpnYS5w7eMD9S63pGH70wahXm+X9FJCoKKyLaUEv6NXIRYlzDOtP 4aFY+GwwfWlDkNw5eCNflpz0qQhmTtF7OEIM7lIKc1LUwnwp3uI+fgqkhnmIF8i2n1IU1QP3JqIj SUODP87Ws+4KeF8QSQmJ5bD4QqLLzUzmxq+8HCB5ur6fS4/dggv9WnHOEtu0Pc1xu6iaOxQUyv1x SliiqkCAubY0YSScMrCnqScBZKffQ6zG7OMzVt3bHV9qmCsDhJEW1eWXTJ/FnqBjz7Bfh+pGtYae 8ygrmT6CFOQXY4MVlnTBYE2MJO/eyNwcJMkfhy+9KtDuF3vOdX81x1kWUieSFc+8G/Uj4C1EyfAm mEdNUBMHKQcl9/wvGtdT+L6erQrhybGDwizCtB5k2MRD40/SL49gEBFrUibuU+CJJfiYpMW4pKNe CplH1LFbrhUZxbe4u6PvjNXptS9LitivfNB/Ywe/rIFsnBdLbHSsZ6Z/ER7SlqufmfokdA93yxkJ 4ofB7ydjOarEhInjVQFAOkwRBDiGkXO8z0OPSvdXCFq9/Wk/9ndMpinG+FMLDNAMCod3rbS29V+u yoNR+QTKgwfy5Kzon9bsiNCJSi/WL5ub/lE0h8kkspUzx6qqJkGMcYX1H/TMCb13HeHXbVnUrJ26 r/8NYTaK3qjKMMhRdOdYKtWSiZz1rV8aTBrS/8JB0PkKv8dpOOVIJg34UdGuOmnf9d9TVQ5+Nifu jyFFmgXTgcyaCL7P4QHErYw4ct3adKfd96tHWGJn/HC+3pH1HxLWnuf1nLffveQm1NeeyCjxaWQJ 5iqiTwpeMjioU2ApaG7m6SuSPeWlwWPrEJM1XAN2PEDMMWp4J+hoMgPRbjC+WXl6O5ZCqPIe80dr bV8+bEC/iPKxhhcUDTf/Z1bGTZ2C3EET2yGLutQ4SJK34ebNDf1KG9oqz91TXdsyjSkYpgLypXwy tDrb6XKUCWXbgZpLGIuAgq7pb1KgEO49WaZPpOqZF94S5Xk16ynhNnW62HP79Sj8oecspRc6yD7V k+4y8mANxbg7kKGz5C9bxjK5HSNOOs4SNy9XnYjnZpyAPNi05RPDFfcrsVfAECCtmC6hBB1BVMpK 2YJFiWve7nA3/BlrbaFxCzGOS98EUEgBbbwBTeW0pi+sqXTdINvFNPXiUhMj9UKkyVjiahaYUl7b uzXadZn1q6Ofyy4y1hY+oZPU/gSuoG4PK+RZWTbXAWcBk2/yf+tqcbKQzwBc4BIQyjOw7CeBlEr5 U4SJ5LIwxUvKPy41TQsAA+GC9CoQCL0ve4i2Cuwvar5EQ+e5uNjhJemtIXe33h3twC8e8qkSZPcK q9yIV8c//aHpujRug+rXmQXYsICXoFQseEP4QCdexRVY7W7CZ3w+HuY8P0fwmChBygWsp8+kmCS3 vg77iazwI5qZcmFYxluk+dIQaplqkem19PeF00ouw0/pGhSsQoennFaZMDqWgx89j0ygjTIir2ev u66oit9PSpoNokeIAByjtnwgPAteoNaB+j8U86R0k4v79cEHn9o8ezX44noX1mG+qR51gaHTlHWY bcNmt1cuV4r8QxJWwPR3b6glNQyNYsmV42we9RAjjv11yx0ByTY5IE84n6aLmMOmaVariNJhlQ3G M0jTFayNWDel2L6u/EBKRL93axQwU0ytIwQPltxlkliWawpFvUVT4fQzaNS22+KEk8ZsY6bgQl+O 4sgkehZi+g3P+ev9xXJw2CJkuPCg604MgZ2DoLgRr6pdseZs3oRj4opfm9iqKmvGWLmqeUnj0c4H 3VCQueuauqRfXolrgWOk4d/WYvQ+cTx6mxRq1vvLm6LjBpm1RyoC7FMnze5tCnWyzRdcJ0aMmM7e XCSUhBh98EYCoE/0y/sBfz65hA6tFihUl79lcMPtnoRefdN4dQTprDf/cb6PomrHzGNx/DMknjWo 5LCp+H4ItRFq+cga2MuoLBKVICrjkKzNDhYAvKI4O3ahREGv61zK62gj1+c8xAt+5p8JmJwmc7NV Z18AfUwcK31mjXsyyW9qO8Jh3A1U2JQPgNDIATssCJqQRo5ZWfUPKKSrP0SPBZnLdoKvQ2zzZyRT iha1rAtrzHlkWjEyod3WOu1beogB0IisjEqefPf4MlmH1JhwEDUceGP8/buhghJpAjf7cTnT4MYG 6c7s0xmLxMpaxl/9AsHS3V7d3wrnKkd+e8oVuvqRmBvR1UtVHPfqeVYmp1bFwEmJGItGqlQpZbis UVq0UPZQId1Yp6KCEtRElkHxvrMMB4rMi8UcGzu/yrO0m2+nIcpVGjCFbbWtR2uOc891BRdiaWW1 fiz3gdfpYjfPpGOiRMV53azl/FuBXAKaAGCp2kqtddpBGqeD9CqQmxMJjYqRMqKwhVl3riobU0Bs fgz9jlEcpUNz4Ga4bHJ3CuIX4fEGz4T6BAMBTwTpGYZ4DzTwWNd3dcS0npmWp+XIFd3MTqP5l+p2 qNbm47sEuEC0a3AxtbalG2F1rGEhmJYEHiTmpVaCDfdF+V7VF/rmL/Nw7VZ8WL0pkidtNn2lfHvp fG+J/+F2uuS+js3mpXcT/HNTfGCYevuUFicKBe2qchnCRmNTdezSHFXVK14RFK0zCaXj60ai+Rfs W6bEeS0A4KqENTj9kQaw94P3pYcNZUIEQlKGF55M1gAnFq10ms9snWFhqRhAJB+dvJih1oVlQUoQ 6o15d0NlitnLJuHJsCilaegaAm7dTGss3q1LliZfsavhVGBVx/EwRgSKfJ8iTHaT8zGcBaLC23sY l5PJgbcWlZSeGcfvpid9tAvbKbN02TKY3Ac1UsqzuRKVAMNpkJbb/T9G6zT7XsJB2OwAiE+G+63p jDEQtOHaE5fbh6R8BeTP8lcxC3+iOtBeP0DYc26aY1MhzGTVfsMMbifqk++WbNb4YltOWTykYgp3 KAnwXsNzSlHFNkTUCjeaac4rLzcSIuHwL/Z78k165JqWt0oDoI995oSL7cZQl+jL+5G8339Qyq3D Bw6ALwxyDD6fxSJj7bUXbylJPUCgnPh4PKWg3cDq9wm6DwYAovdJUKOUH+7U2L8COvW/u5hDN146 pm0JhSw6jFZbW9VBarmXsLG0SqPI6BKayGTlGMVf4cWN2wBSGVfFw4+HCLldautPQXXfCx2CO/qm KmlUlopsSa24D35D+YXOUnxKTV7lcaTtivvblkSOhjmnWlQfMX9G/Xsdoytp3O3FsGTHUn8g+7Fb CwbxBr8BSkGLhbIMdH037enyrzvCeoPFLVEAsgmdKyEXWMpgqIuoBzq0vYpyS8y1sQOmUS7HYrRw IC/rCOAWjSX1Yr4KQwKBNPBlyt1gQcmtXeTriljBWQO6ptlkEzi6TguedHk1UWgAyGl3LtwjXY6Y EcYOozGe+5wSwivnW0w2wviM7lQJhM/yv+xPwestvM/qh9tv4H8Tx8tQ0lxWV4lgVOs8Mu8QzJP6 hCkVM37BYfwoKIrzYhjKwNFXpsfS6WjUPSdL71EKIhkNwgo2IXpvJUk5KYhUQshzhvdoIETQOaEM Dm+boT3BbADuQu3nlyph7tsi97V40oRJYvy/MdTGdTnR8RC4o81zTPJwNbd8RjK1e8HTH8sP/9bb awSDlWP0YpBF2piO7fc42X1SDvR5paKtM4f/7PkDQ/7e4NiCKXUQO/qBtutPEdlnQ6XXoApF2w/7 QB+aOTblWqHv0Es/9syQhFpwjMmiCAL/xhtQlHIQgR+uhnGQOnv3XBo8eIPm5u1k1uwj73gOTNk5 q8hq2SurEM0WSFEkn2zm/58ySgEDzs5vPJ+jCOw7N0nxTMUu2Ny8ZZCl5ZcKtuZqTxleI+wjKIeY l1IB9AFzvdCnRaE8K1SogUaB+687HJK/8udB+Fc9K6jkKorz8Evo59nftme+DZwCmnqRdEn21tA0 NzncC0BlR61zv2XF40SmRZ1BTVv1kv2T+5FACIqmK9ovJYgNnPK3wq8R3D+pCEiSaol2Tbt7vULP L97B4XB//PbAnsX62KLOnuJsUpVOSvxDMb1s5U/4f+m9mkjwoIcSIM/DRtfxzTXcCoQmq8AkJmsT /OEaYSUoMxcx6IxKKg8B77x1bnL6xSrUYMqaeJJkqR+O87xvRw5TZG5VUUWktZvPDEsvtZt6owAt m8gfeF8UaRILZxj9I5eTDVpXBhH87AO4dyWMFBYxRW1aAgisG8dTBI00c6swqORcJWgcDKhm859F TgTE/ycvPhdKXoh6xL/m2wa1hpoa0NlG9R9+chXi9pP4+NZsrFbZbLJrJKS1tRYkWQ/No+5755Od BqhK6Ae6DSNRjUJ9eSN82GkklA8VsFvvTQEUPGFJrn16V07PspNjSUCeGuildxaDePAihqz+tQJz hi6kKGAQl7cd9MtH3F8bUa1wb8+uYkaXRLxwjYD/FD9BlB2nWcJTiLLO/0ZcOf2XxKJcsvTOKx/7 Deo5MNuT9X0eiTlHbsKpBH8kAa099rGI1Hgn7AmZZ/Qm3SOfhkbB1uJZwcd+37a5etiRWONFuMRw E3zJrkEDLM6p+TIOOPEg7pE3NAu4qLPGBoJuV31ylJSWNtJPROAHxF7EfXrhGaS+ejTVD6mGtG52 e1lwVedN6rsraRCR2cGg/3yOHWsEpGYwYSsWlM7qwbA2oaDv/j4sAcjAhVM3gOvtLq+ObrYvN46o ZcgNe0DvjwOyXWdFYVmZXynoA8E4rVKROa1YfrwOAO1uX5/M5WMryY33BinrJiWwuj0tqeFolY3O 1LCDQ+PS3rQjHb1WxYA5DYl227byAqu0ek8ZcAQBgvBOoKaVPzDeSZj1FS8inCZqjYSDy7z653CF 1KQmmDsxSoajbamlx37V3HMCkr3EJ3vAx2Zg6gBGrgNFNAxBtyEVFFTuADFSvFgkt329V2GYAPOs TpWzuDhLI4WOLTOuLi5B1x3DHNvBV5kPbE11dY1nwmLTViXrvxJDe/ML8I4IgYg7tw8ch+5O7cb5 gInUtT9dAVe3DvrR1PsaxDUa2bkOLBqlrQST1CuCsNGEnTyLwLnxZv4UUg0Stgy9dSA4it+TxB0Y P1gIBVc2J5OhJjAPmn+I6gXCBTPMFO7XNCw9JEGXsYbUKkpZvnfla9JDoGEDCgjiCVQpn/JissqC EEgLYtSc6slZxClOUqUock0cL1O0Vouktt7uYTAOz3BDKvPQrrQljLNhRl3pzdbqPBn6VrAiT9eh AlA+h4WM/7+1i8qhxmYwrNwADFENpfNZcQS2IVwGKAQO/GOtFkgznPy03XlSwh0x3duvHP/RfkQc NkUfsKytRYW0cqFG0XaYI0IuqXRXVoqIvbig9Bk2akD4OY/lhsA0CE2n5Xyj/4vyf5x1Ofcb1l2A 0pZ7MlzE4Dskml9lui+8XlwnhsKRHxUFd2PX4c8POrB1xFUomYFgjHHqKu2+vcFHut4EGBUr26sz GLNorgA9HpBiCu1kB7aH75zo6THUQwljy8kdECPpIv9YfWUp/ZnoIvgY1dA03Z/cBbo+w5ZSa3Hh HSjVjTSBwXO53L6sDYQBnBuwA+LFaHwA4L3eQtZeMyGyobyWlBUG8FuUmUjB5hXfloONi/faCF7b pN0AF3D0uuKaF5cHEfEiLi+S00cUkBNPPWTOAXC+li3zhtV5cj1Al2bpK4yLMV0FsSASFj+oAYaF b4P9A8WRyfjyv0P4AIwfBm61RQZLeUFcAbaeg8obO/68lJR+l4warinwcdvBkxFapShz1VjAR76V J7aY9g6Ferj3luEXCqQQGaq0Hc2lsGKsIpE2zZ1aAo1aAjPqV4qWFBib97gOUUrAc2vl6gS5NfzJ EDldO7zCf9KtxkfFUyGC7pjjwM2F+fK0ARB57TPta5bq2hnnHTIghyw3Onv+0V1Ez2h0Y8LmsnR1 5NXvaTs49k8Av58MC8G8hMPx+DQbaCOSEdi08tKRo6nYtYpu/np7CWLXQgR3J7urTp15TgBxK8rH LufHc8GlZKD24MNzvBMND4Mm4N40XdyORvG6I5dqBo6Rg8ev8qAaeZavCdxG8VKRwR5DM9WOzjBR Zqnjgpj2eXa9INYO5zWLoO0VD/z8KtPgtGL+F7epLXUy0ILHgveV47/V3KehTR17RLx6CHqjZL2V vccUkl5UDdKmXvC9K+yGJ2qAZrXTcwe+zYexYg4EbWAUKxbNwFK/58NACSwO4kjNmWoDUzE= `protect end_protected library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \ddsdds_compiler_v6_0__parameterized0\ is port ( aclk : in STD_LOGIC; aclken : in STD_LOGIC; aresetn : in STD_LOGIC; s_axis_phase_tvalid : in STD_LOGIC; s_axis_phase_tready : out STD_LOGIC; s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 39 downto 0 ); s_axis_phase_tlast : in STD_LOGIC; s_axis_phase_tuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_config_tvalid : in STD_LOGIC; s_axis_config_tready : out STD_LOGIC; s_axis_config_tdata : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_config_tlast : in STD_LOGIC; m_axis_data_tvalid : out STD_LOGIC; m_axis_data_tready : in STD_LOGIC; m_axis_data_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axis_data_tlast : out STD_LOGIC; m_axis_data_tuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_phase_tvalid : out STD_LOGIC; m_axis_phase_tready : in STD_LOGIC; m_axis_phase_tdata : out STD_LOGIC_VECTOR ( 39 downto 0 ); m_axis_phase_tlast : out STD_LOGIC; m_axis_phase_tuser : out STD_LOGIC_VECTOR ( 0 to 0 ); event_pinc_invalid : out STD_LOGIC; event_poff_invalid : out STD_LOGIC; event_phase_in_invalid : out STD_LOGIC; event_s_phase_tlast_missing : out STD_LOGIC; event_s_phase_tlast_unexpected : out STD_LOGIC; event_s_phase_chanid_incorrect : out STD_LOGIC; event_s_config_tlast_missing : out STD_LOGIC; event_s_config_tlast_unexpected : out STD_LOGIC; debug_axi_pinc_in : out STD_LOGIC_VECTOR ( 37 downto 0 ); debug_axi_poff_in : out STD_LOGIC_VECTOR ( 37 downto 0 ); debug_axi_resync_in : out STD_LOGIC; debug_axi_chan_in : out STD_LOGIC_VECTOR ( 0 to 0 ); debug_core_nd : out STD_LOGIC; debug_phase : out STD_LOGIC_VECTOR ( 37 downto 0 ); debug_phase_nd : out STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \ddsdds_compiler_v6_0__parameterized0\ : entity is "dds_compiler_v6_0"; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of \ddsdds_compiler_v6_0__parameterized0\ : entity is "zynq"; attribute C_MODE_OF_OPERATION : integer; attribute C_MODE_OF_OPERATION of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_MODULUS : integer; attribute C_MODULUS of \ddsdds_compiler_v6_0__parameterized0\ : entity is 9; attribute C_ACCUMULATOR_WIDTH : integer; attribute C_ACCUMULATOR_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 38; attribute C_CHANNELS : integer; attribute C_CHANNELS of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_HAS_PHASE_OUT : integer; attribute C_HAS_PHASE_OUT of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_HAS_PHASEGEN : integer; attribute C_HAS_PHASEGEN of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_HAS_SINCOS : integer; attribute C_HAS_SINCOS of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_LATENCY : integer; attribute C_LATENCY of \ddsdds_compiler_v6_0__parameterized0\ : entity is 7; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_NEGATIVE_COSINE : integer; attribute C_NEGATIVE_COSINE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_NEGATIVE_SINE : integer; attribute C_NEGATIVE_SINE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_NOISE_SHAPING : integer; attribute C_NOISE_SHAPING of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_OUTPUTS_REQUIRED : integer; attribute C_OUTPUTS_REQUIRED of \ddsdds_compiler_v6_0__parameterized0\ : entity is 2; attribute C_OUTPUT_FORM : integer; attribute C_OUTPUT_FORM of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_OUTPUT_WIDTH : integer; attribute C_OUTPUT_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 16; attribute C_PHASE_ANGLE_WIDTH : integer; attribute C_PHASE_ANGLE_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 16; attribute C_PHASE_INCREMENT : integer; attribute C_PHASE_INCREMENT of \ddsdds_compiler_v6_0__parameterized0\ : entity is 3; attribute C_PHASE_INCREMENT_VALUE : string; attribute C_PHASE_INCREMENT_VALUE of \ddsdds_compiler_v6_0__parameterized0\ : entity is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0"; attribute C_RESYNC : integer; attribute C_RESYNC of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_PHASE_OFFSET : integer; attribute C_PHASE_OFFSET of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_PHASE_OFFSET_VALUE : string; attribute C_PHASE_OFFSET_VALUE of \ddsdds_compiler_v6_0__parameterized0\ : entity is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0"; attribute C_OPTIMISE_GOAL : integer; attribute C_OPTIMISE_GOAL of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_USE_DSP48 : integer; attribute C_USE_DSP48 of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_POR_MODE : integer; attribute C_POR_MODE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_AMPLITUDE : integer; attribute C_AMPLITUDE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_HAS_ACLKEN : integer; attribute C_HAS_ACLKEN of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_HAS_ARESETN : integer; attribute C_HAS_ARESETN of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_HAS_TLAST : integer; attribute C_HAS_TLAST of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_HAS_TREADY : integer; attribute C_HAS_TREADY of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_HAS_S_PHASE : integer; attribute C_HAS_S_PHASE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_S_PHASE_TDATA_WIDTH : integer; attribute C_S_PHASE_TDATA_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 40; attribute C_S_PHASE_HAS_TUSER : integer; attribute C_S_PHASE_HAS_TUSER of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_S_PHASE_TUSER_WIDTH : integer; attribute C_S_PHASE_TUSER_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_HAS_S_CONFIG : integer; attribute C_HAS_S_CONFIG of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_S_CONFIG_SYNC_MODE : integer; attribute C_S_CONFIG_SYNC_MODE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_S_CONFIG_TDATA_WIDTH : integer; attribute C_S_CONFIG_TDATA_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_HAS_M_DATA : integer; attribute C_HAS_M_DATA of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_M_DATA_TDATA_WIDTH : integer; attribute C_M_DATA_TDATA_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 32; attribute C_M_DATA_HAS_TUSER : integer; attribute C_M_DATA_HAS_TUSER of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_M_DATA_TUSER_WIDTH : integer; attribute C_M_DATA_TUSER_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_HAS_M_PHASE : integer; attribute C_HAS_M_PHASE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_M_PHASE_TDATA_WIDTH : integer; attribute C_M_PHASE_TDATA_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 40; attribute C_M_PHASE_HAS_TUSER : integer; attribute C_M_PHASE_HAS_TUSER of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_M_PHASE_TUSER_WIDTH : integer; attribute C_M_PHASE_TUSER_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute C_DEBUG_INTERFACE : integer; attribute C_DEBUG_INTERFACE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0; attribute C_CHAN_WIDTH : integer; attribute C_CHAN_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of \ddsdds_compiler_v6_0__parameterized0\ : entity is "yes"; end \ddsdds_compiler_v6_0__parameterized0\; architecture STRUCTURE of \ddsdds_compiler_v6_0__parameterized0\ is signal \<const0>\ : STD_LOGIC; signal NLW_i_synth_debug_axi_resync_in_UNCONNECTED : STD_LOGIC; attribute C_ACCUMULATOR_WIDTH of i_synth : label is 38; attribute C_AMPLITUDE of i_synth : label is 0; attribute C_CHANNELS of i_synth : label is 1; attribute C_CHAN_WIDTH of i_synth : label is 1; attribute C_DEBUG_INTERFACE of i_synth : label is 0; attribute C_HAS_ACLKEN of i_synth : label is 0; attribute C_HAS_ARESETN of i_synth : label is 0; attribute C_HAS_M_DATA of i_synth : label is 1; attribute C_HAS_M_PHASE of i_synth : label is 1; attribute C_HAS_PHASEGEN of i_synth : label is 1; attribute C_HAS_PHASE_OUT of i_synth : label is 1; attribute C_HAS_SINCOS of i_synth : label is 1; attribute C_HAS_S_CONFIG of i_synth : label is 0; attribute C_HAS_S_PHASE of i_synth : label is 1; attribute C_HAS_TLAST of i_synth : label is 0; attribute C_HAS_TREADY of i_synth : label is 0; attribute C_LATENCY of i_synth : label is 7; attribute C_MEM_TYPE of i_synth : label is 1; attribute C_MODE_OF_OPERATION of i_synth : label is 0; attribute C_MODULUS of i_synth : label is 9; attribute C_M_DATA_HAS_TUSER of i_synth : label is 0; attribute C_M_DATA_TDATA_WIDTH of i_synth : label is 32; attribute C_M_DATA_TUSER_WIDTH of i_synth : label is 1; attribute C_M_PHASE_HAS_TUSER of i_synth : label is 0; attribute C_M_PHASE_TDATA_WIDTH of i_synth : label is 40; attribute C_M_PHASE_TUSER_WIDTH of i_synth : label is 1; attribute C_NEGATIVE_COSINE of i_synth : label is 0; attribute C_NEGATIVE_SINE of i_synth : label is 0; attribute C_NOISE_SHAPING of i_synth : label is 0; attribute C_OPTIMISE_GOAL of i_synth : label is 0; attribute C_OUTPUTS_REQUIRED of i_synth : label is 2; attribute C_OUTPUT_FORM of i_synth : label is 0; attribute C_OUTPUT_WIDTH of i_synth : label is 16; attribute C_PHASE_ANGLE_WIDTH of i_synth : label is 16; attribute C_PHASE_INCREMENT of i_synth : label is 3; attribute C_PHASE_INCREMENT_VALUE of i_synth : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0"; attribute C_PHASE_OFFSET of i_synth : label is 0; attribute C_PHASE_OFFSET_VALUE of i_synth : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0"; attribute C_POR_MODE of i_synth : label is 0; attribute C_RESYNC of i_synth : label is 0; attribute C_S_CONFIG_SYNC_MODE of i_synth : label is 0; attribute C_S_CONFIG_TDATA_WIDTH of i_synth : label is 1; attribute C_S_PHASE_HAS_TUSER of i_synth : label is 0; attribute C_S_PHASE_TDATA_WIDTH of i_synth : label is 40; attribute C_S_PHASE_TUSER_WIDTH of i_synth : label is 1; attribute C_USE_DSP48 of i_synth : label is 0; attribute C_XDEVICEFAMILY of i_synth : label is "zynq"; attribute downgradeipidentifiedwarnings of i_synth : label is "yes"; attribute secure_extras : string; attribute secure_extras of i_synth : label is "A"; begin debug_axi_resync_in <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); i_synth: entity work.\ddsdds_compiler_v6_0_viv__parameterized0\ port map ( aclk => aclk, aclken => aclken, aresetn => aresetn, debug_axi_chan_in(0) => debug_axi_chan_in(0), debug_axi_pinc_in(37 downto 0) => debug_axi_pinc_in(37 downto 0), debug_axi_poff_in(37 downto 0) => debug_axi_poff_in(37 downto 0), debug_axi_resync_in => NLW_i_synth_debug_axi_resync_in_UNCONNECTED, debug_core_nd => debug_core_nd, debug_phase(37 downto 0) => debug_phase(37 downto 0), debug_phase_nd => debug_phase_nd, event_phase_in_invalid => event_phase_in_invalid, event_pinc_invalid => event_pinc_invalid, event_poff_invalid => event_poff_invalid, event_s_config_tlast_missing => event_s_config_tlast_missing, event_s_config_tlast_unexpected => event_s_config_tlast_unexpected, event_s_phase_chanid_incorrect => event_s_phase_chanid_incorrect, event_s_phase_tlast_missing => event_s_phase_tlast_missing, event_s_phase_tlast_unexpected => event_s_phase_tlast_unexpected, m_axis_data_tdata(31 downto 0) => m_axis_data_tdata(31 downto 0), m_axis_data_tlast => m_axis_data_tlast, m_axis_data_tready => m_axis_data_tready, m_axis_data_tuser(0) => m_axis_data_tuser(0), m_axis_data_tvalid => m_axis_data_tvalid, m_axis_phase_tdata(39 downto 0) => m_axis_phase_tdata(39 downto 0), m_axis_phase_tlast => m_axis_phase_tlast, m_axis_phase_tready => m_axis_phase_tready, m_axis_phase_tuser(0) => m_axis_phase_tuser(0), m_axis_phase_tvalid => m_axis_phase_tvalid, s_axis_config_tdata(0) => s_axis_config_tdata(0), s_axis_config_tlast => s_axis_config_tlast, s_axis_config_tready => s_axis_config_tready, s_axis_config_tvalid => s_axis_config_tvalid, s_axis_phase_tdata(39 downto 0) => s_axis_phase_tdata(39 downto 0), s_axis_phase_tlast => s_axis_phase_tlast, s_axis_phase_tready => s_axis_phase_tready, s_axis_phase_tuser(0) => s_axis_phase_tuser(0), s_axis_phase_tvalid => s_axis_phase_tvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dds is port ( aclk : in STD_LOGIC; s_axis_phase_tvalid : in STD_LOGIC; s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 39 downto 0 ); m_axis_data_tvalid : out STD_LOGIC; m_axis_data_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axis_phase_tvalid : out STD_LOGIC; m_axis_phase_tdata : out STD_LOGIC_VECTOR ( 39 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of dds : entity is true; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of dds : entity is "yes"; attribute x_core_info : string; attribute x_core_info of dds : entity is "dds_compiler_v6_0,Vivado 2014.1"; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of dds : entity is "dds,dds_compiler_v6_0,{}"; attribute core_generation_info : string; attribute core_generation_info of dds : entity is "dds,dds_compiler_v6_0,{x_ipProduct=Vivado 2014.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=dds_compiler,x_ipVersion=6.0,x_ipCoreRevision=4,x_ipLanguage=VHDL,C_XDEVICEFAMILY=zynq,C_MODE_OF_OPERATION=0,C_MODULUS=9,C_ACCUMULATOR_WIDTH=38,C_CHANNELS=1,C_HAS_PHASE_OUT=1,C_HAS_PHASEGEN=1,C_HAS_SINCOS=1,C_LATENCY=7,C_MEM_TYPE=1,C_NEGATIVE_COSINE=0,C_NEGATIVE_SINE=0,C_NOISE_SHAPING=0,C_OUTPUTS_REQUIRED=2,C_OUTPUT_FORM=0,C_OUTPUT_WIDTH=16,C_PHASE_ANGLE_WIDTH=16,C_PHASE_INCREMENT=3,C_PHASE_INCREMENT_VALUE=0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0,C_RESYNC=0,C_PHASE_OFFSET=0,C_PHASE_OFFSET_VALUE=0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0,C_OPTIMISE_GOAL=0,C_USE_DSP48=0,C_POR_MODE=0,C_AMPLITUDE=0,C_HAS_ACLKEN=0,C_HAS_ARESETN=0,C_HAS_TLAST=0,C_HAS_TREADY=0,C_HAS_S_PHASE=1,C_S_PHASE_TDATA_WIDTH=40,C_S_PHASE_HAS_TUSER=0,C_S_PHASE_TUSER_WIDTH=1,C_HAS_S_CONFIG=0,C_S_CONFIG_SYNC_MODE=0,C_S_CONFIG_TDATA_WIDTH=1,C_HAS_M_DATA=1,C_M_DATA_TDATA_WIDTH=32,C_M_DATA_HAS_TUSER=0,C_M_DATA_TUSER_WIDTH=1,C_HAS_M_PHASE=1,C_M_PHASE_TDATA_WIDTH=40,C_M_PHASE_HAS_TUSER=0,C_M_PHASE_TUSER_WIDTH=1,C_DEBUG_INTERFACE=0,C_CHAN_WIDTH=1}"; end dds; architecture STRUCTURE of dds is signal NLW_U0_debug_axi_resync_in_UNCONNECTED : STD_LOGIC; signal NLW_U0_debug_core_nd_UNCONNECTED : STD_LOGIC; signal NLW_U0_debug_phase_nd_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_phase_in_invalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_pinc_invalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_poff_invalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_s_config_tlast_missing_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_s_phase_tlast_missing_UNCONNECTED : STD_LOGIC; signal NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axis_data_tlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axis_phase_tlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axis_config_tready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axis_phase_tready_UNCONNECTED : STD_LOGIC; signal NLW_U0_debug_axi_chan_in_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_debug_axi_pinc_in_UNCONNECTED : STD_LOGIC_VECTOR ( 37 downto 0 ); signal NLW_U0_debug_axi_poff_in_UNCONNECTED : STD_LOGIC_VECTOR ( 37 downto 0 ); signal NLW_U0_debug_phase_UNCONNECTED : STD_LOGIC_VECTOR ( 37 downto 0 ); signal NLW_U0_m_axis_data_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_phase_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_ACCUMULATOR_WIDTH : integer; attribute C_ACCUMULATOR_WIDTH of U0 : label is 38; attribute C_AMPLITUDE : integer; attribute C_AMPLITUDE of U0 : label is 0; attribute C_CHANNELS : integer; attribute C_CHANNELS of U0 : label is 1; attribute C_CHAN_WIDTH : integer; attribute C_CHAN_WIDTH of U0 : label is 1; attribute C_DEBUG_INTERFACE : integer; attribute C_DEBUG_INTERFACE of U0 : label is 0; attribute C_HAS_ACLKEN : integer; attribute C_HAS_ACLKEN of U0 : label is 0; attribute C_HAS_ARESETN : integer; attribute C_HAS_ARESETN of U0 : label is 0; attribute C_HAS_M_DATA : integer; attribute C_HAS_M_DATA of U0 : label is 1; attribute C_HAS_M_PHASE : integer; attribute C_HAS_M_PHASE of U0 : label is 1; attribute C_HAS_PHASEGEN : integer; attribute C_HAS_PHASEGEN of U0 : label is 1; attribute C_HAS_PHASE_OUT : integer; attribute C_HAS_PHASE_OUT of U0 : label is 1; attribute C_HAS_SINCOS : integer; attribute C_HAS_SINCOS of U0 : label is 1; attribute C_HAS_S_CONFIG : integer; attribute C_HAS_S_CONFIG of U0 : label is 0; attribute C_HAS_S_PHASE : integer; attribute C_HAS_S_PHASE of U0 : label is 1; attribute C_HAS_TLAST : integer; attribute C_HAS_TLAST of U0 : label is 0; attribute C_HAS_TREADY : integer; attribute C_HAS_TREADY of U0 : label is 0; attribute C_LATENCY : integer; attribute C_LATENCY of U0 : label is 7; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of U0 : label is 1; attribute C_MODE_OF_OPERATION : integer; attribute C_MODE_OF_OPERATION of U0 : label is 0; attribute C_MODULUS : integer; attribute C_MODULUS of U0 : label is 9; attribute C_M_DATA_HAS_TUSER : integer; attribute C_M_DATA_HAS_TUSER of U0 : label is 0; attribute C_M_DATA_TDATA_WIDTH : integer; attribute C_M_DATA_TDATA_WIDTH of U0 : label is 32; attribute C_M_DATA_TUSER_WIDTH : integer; attribute C_M_DATA_TUSER_WIDTH of U0 : label is 1; attribute C_M_PHASE_HAS_TUSER : integer; attribute C_M_PHASE_HAS_TUSER of U0 : label is 0; attribute C_M_PHASE_TDATA_WIDTH : integer; attribute C_M_PHASE_TDATA_WIDTH of U0 : label is 40; attribute C_M_PHASE_TUSER_WIDTH : integer; attribute C_M_PHASE_TUSER_WIDTH of U0 : label is 1; attribute C_NEGATIVE_COSINE : integer; attribute C_NEGATIVE_COSINE of U0 : label is 0; attribute C_NEGATIVE_SINE : integer; attribute C_NEGATIVE_SINE of U0 : label is 0; attribute C_NOISE_SHAPING : integer; attribute C_NOISE_SHAPING of U0 : label is 0; attribute C_OPTIMISE_GOAL : integer; attribute C_OPTIMISE_GOAL of U0 : label is 0; attribute C_OUTPUTS_REQUIRED : integer; attribute C_OUTPUTS_REQUIRED of U0 : label is 2; attribute C_OUTPUT_FORM : integer; attribute C_OUTPUT_FORM of U0 : label is 0; attribute C_OUTPUT_WIDTH : integer; attribute C_OUTPUT_WIDTH of U0 : label is 16; attribute C_PHASE_ANGLE_WIDTH : integer; attribute C_PHASE_ANGLE_WIDTH of U0 : label is 16; attribute C_PHASE_INCREMENT : integer; attribute C_PHASE_INCREMENT of U0 : label is 3; attribute C_PHASE_INCREMENT_VALUE : string; attribute C_PHASE_INCREMENT_VALUE of U0 : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0"; attribute C_PHASE_OFFSET : integer; attribute C_PHASE_OFFSET of U0 : label is 0; attribute C_PHASE_OFFSET_VALUE : string; attribute C_PHASE_OFFSET_VALUE of U0 : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0"; attribute C_POR_MODE : integer; attribute C_POR_MODE of U0 : label is 0; attribute C_RESYNC : integer; attribute C_RESYNC of U0 : label is 0; attribute C_S_CONFIG_SYNC_MODE : integer; attribute C_S_CONFIG_SYNC_MODE of U0 : label is 0; attribute C_S_CONFIG_TDATA_WIDTH : integer; attribute C_S_CONFIG_TDATA_WIDTH of U0 : label is 1; attribute C_S_PHASE_HAS_TUSER : integer; attribute C_S_PHASE_HAS_TUSER of U0 : label is 0; attribute C_S_PHASE_TDATA_WIDTH : integer; attribute C_S_PHASE_TDATA_WIDTH of U0 : label is 40; attribute C_S_PHASE_TUSER_WIDTH : integer; attribute C_S_PHASE_TUSER_WIDTH of U0 : label is 1; attribute C_USE_DSP48 : integer; attribute C_USE_DSP48 of U0 : label is 0; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of U0 : label is "zynq"; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of U0 : label is std.standard.true; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; begin U0: entity work.\ddsdds_compiler_v6_0__parameterized0\ port map ( aclk => aclk, aclken => '1', aresetn => '1', debug_axi_chan_in(0) => NLW_U0_debug_axi_chan_in_UNCONNECTED(0), debug_axi_pinc_in(37 downto 0) => NLW_U0_debug_axi_pinc_in_UNCONNECTED(37 downto 0), debug_axi_poff_in(37 downto 0) => NLW_U0_debug_axi_poff_in_UNCONNECTED(37 downto 0), debug_axi_resync_in => NLW_U0_debug_axi_resync_in_UNCONNECTED, debug_core_nd => NLW_U0_debug_core_nd_UNCONNECTED, debug_phase(37 downto 0) => NLW_U0_debug_phase_UNCONNECTED(37 downto 0), debug_phase_nd => NLW_U0_debug_phase_nd_UNCONNECTED, event_phase_in_invalid => NLW_U0_event_phase_in_invalid_UNCONNECTED, event_pinc_invalid => NLW_U0_event_pinc_invalid_UNCONNECTED, event_poff_invalid => NLW_U0_event_poff_invalid_UNCONNECTED, event_s_config_tlast_missing => NLW_U0_event_s_config_tlast_missing_UNCONNECTED, event_s_config_tlast_unexpected => NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED, event_s_phase_chanid_incorrect => NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED, event_s_phase_tlast_missing => NLW_U0_event_s_phase_tlast_missing_UNCONNECTED, event_s_phase_tlast_unexpected => NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED, m_axis_data_tdata(31 downto 0) => m_axis_data_tdata(31 downto 0), m_axis_data_tlast => NLW_U0_m_axis_data_tlast_UNCONNECTED, m_axis_data_tready => '0', m_axis_data_tuser(0) => NLW_U0_m_axis_data_tuser_UNCONNECTED(0), m_axis_data_tvalid => m_axis_data_tvalid, m_axis_phase_tdata(39 downto 0) => m_axis_phase_tdata(39 downto 0), m_axis_phase_tlast => NLW_U0_m_axis_phase_tlast_UNCONNECTED, m_axis_phase_tready => '0', m_axis_phase_tuser(0) => NLW_U0_m_axis_phase_tuser_UNCONNECTED(0), m_axis_phase_tvalid => m_axis_phase_tvalid, s_axis_config_tdata(0) => '0', s_axis_config_tlast => '0', s_axis_config_tready => NLW_U0_s_axis_config_tready_UNCONNECTED, s_axis_config_tvalid => '0', s_axis_phase_tdata(39 downto 0) => s_axis_phase_tdata(39 downto 0), s_axis_phase_tlast => '0', s_axis_phase_tready => NLW_U0_s_axis_phase_tready_UNCONNECTED, s_axis_phase_tuser(0) => '0', s_axis_phase_tvalid => s_axis_phase_tvalid ); end STRUCTURE;
gpl-2.0
2e656d8ebf94fac785997aa72485b0fc
0.946446
1.835123
false
false
false
false
UVVM/UVVM_All
bitvis_vip_avalon_mm/src/vvc_methods_pkg.vhd
1
34,105
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; library bitvis_vip_scoreboard; use bitvis_vip_scoreboard.generic_sb_support_pkg.all; use work.avalon_mm_bfm_pkg.all; use work.vvc_cmd_pkg.all; use work.td_target_support_pkg.all; use work.transaction_pkg.all; --================================================================================================= --================================================================================================= --================================================================================================= package vvc_methods_pkg is --=============================================================================================== -- Types and constants for the SBI VVC --=============================================================================================== constant C_VVC_NAME : string := "AVALON_MM_VVC"; signal AVALON_MM_VVCT : t_vvc_target_record := set_vvc_target_defaults(C_VVC_NAME); alias THIS_VVCT : t_vvc_target_record is AVALON_MM_VVCT; alias t_bfm_config is t_avalon_mm_bfm_config; -- Type found in UVVM-Util types_pkg constant C_AVALON_MM_INTER_BFM_DELAY_DEFAULT : t_inter_bfm_delay := ( delay_type => NO_DELAY, delay_in_time => 0 ns, inter_bfm_delay_violation_severity => WARNING ); type t_vvc_config is record inter_bfm_delay : t_inter_bfm_delay; -- Minimum delay between BFM accesses from the VVC. If parameter delay_type is set to NO_DELAY, BFM accesses will be back to back, i.e. no delay. cmd_queue_count_max : natural; -- Maximum pending number in command queue before queue is full. Adding additional commands will result in an ERROR. cmd_queue_count_threshold : natural; -- An alert with severity 'cmd_queue_count_threshold_severity' will be issued if command queue exceeds this count. Used for early warning if command queue is almost full. Will be ignored if set to 0. cmd_queue_count_threshold_severity : t_alert_level; -- Severity of alert to be initiated if exceeding cmd_queue_count_threshold result_queue_count_max : natural; -- Maximum number of unfetched results before result_queue is full. result_queue_count_threshold_severity : t_alert_level; -- An alert with severity 'result_queue_count_threshold_severity' will be issued if command queue exceeds this count. Used for early warning if result queue is almost full. Will be ignored if set to 0. result_queue_count_threshold : natural; -- Severity of alert to be initiated if exceeding result_queue_count_threshold bfm_config : t_bfm_config; -- Configuration for Avalon-MM BFM. See quick reference for Avalon-MM BFM use_read_pipeline : boolean; -- When true, allows sending multiple read_requests before receiving a read_response num_pipeline_stages : natural; -- Max read_requests in pipeline msg_id_panel : t_msg_id_panel; -- VVC dedicated message ID panel parent_msg_id_panel : t_msg_id_panel; --UVVM: temporary fix for HVVC, remove in v3.0 end record; type t_vvc_config_array is array (natural range <>) of t_vvc_config; constant C_AVALON_MM_VVC_CONFIG_DEFAULT : t_vvc_config := ( inter_bfm_delay => C_AVALON_MM_INTER_BFM_DELAY_DEFAULT, cmd_queue_count_max => C_CMD_QUEUE_COUNT_MAX, -- from adaptation package cmd_queue_count_threshold_severity => C_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY, cmd_queue_count_threshold => C_CMD_QUEUE_COUNT_THRESHOLD, result_queue_count_max => C_RESULT_QUEUE_COUNT_MAX, result_queue_count_threshold_severity => C_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY, result_queue_count_threshold => C_RESULT_QUEUE_COUNT_THRESHOLD, bfm_config => C_AVALON_MM_BFM_CONFIG_DEFAULT, use_read_pipeline => TRUE, num_pipeline_stages => 5, msg_id_panel => C_VVC_MSG_ID_PANEL_DEFAULT, parent_msg_id_panel => C_VVC_MSG_ID_PANEL_DEFAULT ); type t_vvc_status is record current_cmd_idx : natural; previous_cmd_idx : natural; pending_cmd_cnt : natural; end record; type t_vvc_status_array is array (natural range <>) of t_vvc_status; constant C_VVC_STATUS_DEFAULT : t_vvc_status := ( current_cmd_idx => 0, previous_cmd_idx => 0, pending_cmd_cnt => 0 ); -- Transaction information to include in the wave view during simulation type t_transaction_info is record operation : t_operation; addr : unsigned(C_VVC_CMD_ADDR_MAX_LENGTH-1 downto 0); data : std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); byte_enable : std_logic_vector(C_VVC_CMD_BYTE_ENABLE_MAX_LENGTH-1 downto 0); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); end record; type t_transaction_info_array is array (natural range <>) of t_transaction_info; constant C_TRANSACTION_INFO_DEFAULT : t_transaction_info := ( operation => NO_OPERATION, addr => (others => '0'), data => (others => '0'), byte_enable => (others => '1'), msg => (others => ' ') ); shared variable shared_avalon_mm_vvc_config : t_vvc_config_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_AVALON_MM_VVC_CONFIG_DEFAULT); shared variable shared_avalon_mm_vvc_status : t_vvc_status_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_VVC_STATUS_DEFAULT); shared variable shared_avalon_mm_transaction_info : t_transaction_info_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_TRANSACTION_INFO_DEFAULT); -- Scoreboard package avalon_mm_sb_pkg is new bitvis_vip_scoreboard.generic_sb_pkg generic map (t_element => std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0), element_match => std_match, to_string_element => to_string); use avalon_mm_sb_pkg.all; shared variable AVALON_MM_VVC_SB : avalon_mm_sb_pkg.t_generic_sb; --========================================================================================== -- Methods dedicated to this VVC -- - These procedures are called from the testbench in order for the VVC to execute -- BFM calls towards the given interface. The VVC interpreter will queue these calls -- and then the VVC executor will fetch the commands from the queue and handle the -- actual BFM execution. -- For details on how the BFM procedures work, see the QuickRef. --========================================================================================== -- Without byte enable procedure avalon_mm_write ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant addr : in unsigned; constant data : in std_logic_vector; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); -- With byte enable procedure avalon_mm_write ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant addr : in unsigned; constant data : in std_logic_vector; constant byte_enable : in std_logic_vector; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); procedure avalon_mm_read ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant addr : in unsigned; constant data_routing : in t_data_routing; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); procedure avalon_mm_read ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant addr : in unsigned; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); procedure avalon_mm_check ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant addr : in unsigned; constant data : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := ERROR; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); procedure avalon_mm_reset ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant num_rst_cycles : in integer; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); procedure avalon_mm_lock ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); procedure avalon_mm_unlock ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); --============================================================================== -- Transactino info methods --============================================================================== procedure set_global_vvc_transaction_info( signal vvc_transaction_info_trigger : inout std_logic; variable vvc_transaction_info_group : inout t_transaction_group; constant vvc_cmd : in t_vvc_cmd_record; constant vvc_config : in t_vvc_config; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT); procedure reset_vvc_transaction_info( variable vvc_transaction_info_group : inout t_transaction_group; constant vvc_cmd : in t_vvc_cmd_record); --============================================================================== -- VVC Activity --============================================================================== procedure update_vvc_activity_register( signal global_trigger_vvc_activity_register : inout std_logic; variable vvc_status : inout t_vvc_status; constant activity : in t_activity; constant entry_num_in_vvc_activity_register : in integer; constant last_cmd_idx_executed : in natural; constant command_queue_is_empty : in boolean; constant scope : in string := C_VVC_NAME); --============================================================================== -- VVC Scoreboard helper method --============================================================================== function pad_avalon_mm_sb( constant data : in std_logic_vector ) return std_logic_vector; end package vvc_methods_pkg; package body vvc_methods_pkg is --============================================================================== -- Methods dedicated to this VVC -- Notes: -- - shared_vvc_cmd is initialised to C_VVC_CMD_DEFAULT, and also reset to this after every command --============================================================================== procedure avalon_mm_write( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant addr : in unsigned; constant data : in std_logic_vector; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := "avalon_mm_write"; constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all & ", " & to_string(addr, HEX, AS_IS, INCL_RADIX) & ", " & to_string(data, HEX, AS_IS, INCL_RADIX) & ")"; variable v_normalised_addr : unsigned(shared_vvc_cmd.addr'length-1 downto 0) := normalize_and_check(addr, shared_vvc_cmd.addr, ALLOW_WIDER_NARROWER, "addr", "shared_vvc_cmd.addr", proc_call & " called with to wide address. " & add_msg_delimiter(msg)); variable v_normalised_data : std_logic_vector(shared_vvc_cmd.data'length-1 downto 0) := normalize_and_check(data, shared_vvc_cmd.data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, WRITE); shared_vvc_cmd.addr := v_normalised_addr; shared_vvc_cmd.data := v_normalised_data; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; procedure avalon_mm_write( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant addr : in unsigned; constant data : in std_logic_vector; constant byte_enable : in std_logic_vector; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := "avalon_mm_write"; constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all & ", " & to_string(addr, HEX, AS_IS, INCL_RADIX) & ", " & to_string(data, HEX, AS_IS, INCL_RADIX) & ", " & to_string(byte_enable, HEX, AS_IS, INCL_RADIX) & ")"; variable v_normalised_addr : unsigned(shared_vvc_cmd.addr'length-1 downto 0) := normalize_and_check(addr, shared_vvc_cmd.addr, ALLOW_WIDER_NARROWER, "addr", "shared_vvc_cmd.addr", proc_call & " called with to wide address. " & add_msg_delimiter(msg)); variable v_normalised_data : std_logic_vector(shared_vvc_cmd.data'length-1 downto 0) := normalize_and_check(data, shared_vvc_cmd.data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); variable v_normalised_byte_ena : std_logic_vector(shared_vvc_cmd.byte_enable'length-1 downto 0) := normalize_and_check(byte_enable, shared_vvc_cmd.byte_enable, ALLOW_WIDER_NARROWER, "byte_enable", "shared_vvc_cmd.byte_enable", proc_call & " called with to wide byte_enable. " & add_msg_delimiter(msg)); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, WRITE); shared_vvc_cmd.addr := v_normalised_addr; shared_vvc_cmd.data := v_normalised_data; shared_vvc_cmd.byte_enable := v_normalised_byte_ena; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; procedure avalon_mm_read( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant addr : in unsigned; constant data_routing : in t_data_routing; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := "avalon_mm_read"; constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all & ", " & to_string(addr, HEX, AS_IS, INCL_RADIX) & ")"; variable v_normalised_addr : unsigned(shared_vvc_cmd.addr'length-1 downto 0) := normalize_and_check(addr, shared_vvc_cmd.addr, ALLOW_WIDER_NARROWER, "addr", "shared_vvc_cmd.addr",proc_call & " called with to wide address. " & add_msg_delimiter(msg)); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, READ); shared_vvc_cmd.addr := v_normalised_addr; shared_vvc_cmd.data_routing := data_routing; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; procedure avalon_mm_read( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant addr : in unsigned; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is begin avalon_mm_read(VVCT, vvc_instance_idx, addr, NA, msg, scope, parent_msg_id_panel); end procedure; procedure avalon_mm_check( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant addr : in unsigned; constant data : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := ERROR; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := "avalon_mm_check"; constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all & ", " & to_string(addr, HEX, AS_IS, INCL_RADIX) & ", " & to_string(data, HEX, AS_IS, INCL_RADIX) & ")"; variable v_normalised_addr : unsigned(shared_vvc_cmd.addr'length-1 downto 0) := normalize_and_check(addr, shared_vvc_cmd.addr, ALLOW_WIDER_NARROWER, "addr", "shared_vvc_cmd.addr", proc_call & " called with to wide address. " & add_msg_delimiter(msg)); variable v_normalised_data : std_logic_vector(shared_vvc_cmd.data'length-1 downto 0) := normalize_and_check(data, shared_vvc_cmd.data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, CHECK); shared_vvc_cmd.addr := v_normalised_addr; shared_vvc_cmd.data := v_normalised_data; shared_vvc_cmd.alert_level := alert_level; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; procedure avalon_mm_reset( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant num_rst_cycles : in integer; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := "avalon_mm_reset"; constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all & ", " & to_string(num_rst_cycles) & ")"; variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, RESET); shared_vvc_cmd.gen_integer_array(0) := num_rst_cycles; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; procedure avalon_mm_lock ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := "avalon_mm_lock"; constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all & ")"; variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, LOCK); shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; procedure avalon_mm_unlock ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := "avalon_mm_unlock"; constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all & ")"; variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, UNLOCK); shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; --============================================================================== -- Transaction info methods --============================================================================== procedure set_global_vvc_transaction_info( signal vvc_transaction_info_trigger : inout std_logic; variable vvc_transaction_info_group : inout t_transaction_group; constant vvc_cmd : in t_vvc_cmd_record; constant vvc_config : in t_vvc_config; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT) is begin case vvc_cmd.operation is when WRITE | RESET | LOCK | UNLOCK => vvc_transaction_info_group.bt.operation := vvc_cmd.operation; vvc_transaction_info_group.bt.addr(vvc_cmd.addr'length-1 downto 0) := vvc_cmd.addr; vvc_transaction_info_group.bt.data(vvc_cmd.data'length-1 downto 0) := vvc_cmd.data; vvc_transaction_info_group.bt.byte_enable(vvc_cmd.byte_enable'length-1 downto 0) := vvc_cmd.byte_enable; vvc_transaction_info_group.bt.vvc_meta.msg(1 to vvc_cmd.msg'length) := vvc_cmd.msg; vvc_transaction_info_group.bt.vvc_meta.cmd_idx := vvc_cmd.cmd_idx; vvc_transaction_info_group.bt.transaction_status := IN_PROGRESS; gen_pulse(vvc_transaction_info_trigger, 0 ns, "pulsing global vvc transaction info trigger", scope, ID_NEVER); when READ | CHECK=> vvc_transaction_info_group.st.operation := vvc_cmd.operation; vvc_transaction_info_group.st.addr(vvc_cmd.addr'length-1 downto 0) := vvc_cmd.addr; vvc_transaction_info_group.st.data(vvc_cmd.data'length-1 downto 0) := vvc_cmd.data; vvc_transaction_info_group.st.vvc_meta.msg(1 to vvc_cmd.msg'length) := vvc_cmd.msg; vvc_transaction_info_group.st.vvc_meta.cmd_idx := vvc_cmd.cmd_idx; vvc_transaction_info_group.st.transaction_status := IN_PROGRESS; gen_pulse(vvc_transaction_info_trigger, 0 ns, "pulsing global vvc transaction info trigger", scope, ID_NEVER); when others => alert(TB_ERROR, "VVC operation not recognized"); end case; wait for 0 ns; end procedure set_global_vvc_transaction_info; procedure reset_vvc_transaction_info( variable vvc_transaction_info_group : inout t_transaction_group; constant vvc_cmd : in t_vvc_cmd_record) is begin case vvc_cmd.operation is when WRITE | RESET | LOCK | UNLOCK => vvc_transaction_info_group.bt := C_BASE_TRANSACTION_SET_DEFAULT; when READ | CHECK => vvc_transaction_info_group.st := C_SUB_TRANSACTION_SET_DEFAULT; when others => null; end case; wait for 0 ns; end procedure reset_vvc_transaction_info; --============================================================================== -- VVC Activity --============================================================================== procedure update_vvc_activity_register( signal global_trigger_vvc_activity_register : inout std_logic; variable vvc_status : inout t_vvc_status; constant activity : in t_activity; constant entry_num_in_vvc_activity_register : in integer; constant last_cmd_idx_executed : in natural; constant command_queue_is_empty : in boolean; constant scope : in string := C_VVC_NAME) is variable v_activity : t_activity := activity; begin -- Update vvc_status after a command has finished (during same delta cycle the activity register is updated) if activity = INACTIVE then vvc_status.previous_cmd_idx := last_cmd_idx_executed; vvc_status.current_cmd_idx := 0; end if; if v_activity = INACTIVE and not(command_queue_is_empty) then v_activity := ACTIVE; end if; shared_vvc_activity_register.priv_report_vvc_activity(vvc_idx => entry_num_in_vvc_activity_register, activity => v_activity, last_cmd_idx_executed => last_cmd_idx_executed); if global_trigger_vvc_activity_register /= 'L' then wait until global_trigger_vvc_activity_register = 'L'; end if; gen_pulse(global_trigger_vvc_activity_register, 0 ns, "pulsing global trigger for vvc activity register", scope, ID_NEVER); end procedure; --============================================================================== -- VVC Scoreboard helper method --============================================================================== function pad_avalon_mm_sb( constant data : in std_logic_vector ) return std_logic_vector is begin return pad_sb_slv(data, C_VVC_CMD_DATA_MAX_LENGTH); end function pad_avalon_mm_sb; end package body vvc_methods_pkg;
mit
8bc491411dc71223679c7f9a166fc6ca
0.574579
4.000587
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Reg_No_VGA/Shadow_EX_NoVGA/ipcore_dir/EXTERNAL_MEMORY/simulation/bmg_stim_gen.vhd
3
7,579
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Stimulus Generator For Single Port Ram -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_stim_gen.vhd -- -- Description: -- Stimulus Generation For SRAM -- 100 Writes and 100 Reads will be performed in a repeatitive loop till the -- simulation ends -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY REGISTER_LOGIC_SRAM IS PORT( Q : OUT STD_LOGIC; CLK : IN STD_LOGIC; RST : IN STD_LOGIC; D : IN STD_LOGIC ); END REGISTER_LOGIC_SRAM; ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_SRAM IS SIGNAL Q_O : STD_LOGIC :='0'; BEGIN Q <= Q_O; FF_BEH: PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST ='1') THEN Q_O <= '0'; ELSE Q_O <= D; END IF; END IF; END PROCESS; END REGISTER_ARCH; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY BMG_STIM_GEN IS PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; ADDRA : OUT STD_LOGIC_VECTOR(13 DOWNTO 0) := (OTHERS => '0'); DINA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); WEA : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0'); CHECK_DATA: OUT STD_LOGIC:='0' ); END BMG_STIM_GEN; ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); CONSTANT DATA_PART_CNT_A: INTEGER:= DIVROUNDUP(16,16); SIGNAL WRITE_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL WRITE_ADDR_INT : STD_LOGIC_VECTOR(13 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_INT : STD_LOGIC_VECTOR(13 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_INT : STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DO_WRITE : STD_LOGIC := '0'; SIGNAL DO_READ : STD_LOGIC := '0'; SIGNAL COUNT_NO : INTEGER :=0; SIGNAL DO_READ_REG : STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); BEGIN WRITE_ADDR_INT(13 DOWNTO 0) <= WRITE_ADDR(13 DOWNTO 0); READ_ADDR_INT(13 DOWNTO 0) <= READ_ADDR(13 DOWNTO 0); ADDRA <= IF_THEN_ELSE(DO_WRITE='1',WRITE_ADDR_INT,READ_ADDR_INT) ; DINA <= DINA_INT ; CHECK_DATA <= DO_READ; RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 16384 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_READ, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR ); WR_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 16384 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_WRITE, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => WRITE_ADDR ); WR_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH => 16, DOUT_WIDTH => 16, DATA_PART_CNT => DATA_PART_CNT_A, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => DO_WRITE, DATA_OUT => DINA_INT ); WR_RD_PROCESS: PROCESS (CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN DO_WRITE <= '0'; DO_READ <= '0'; COUNT_NO <= 0 ; ELSIF(COUNT_NO < 4) THEN DO_WRITE <= '1'; DO_READ <= '0'; COUNT_NO <= COUNT_NO + 1; ELSIF(COUNT_NO< 8) THEN DO_WRITE <= '0'; DO_READ <= '1'; COUNT_NO <= COUNT_NO + 1; ELSIF(COUNT_NO=8) THEN DO_WRITE <= '0'; DO_READ <= '0'; COUNT_NO <= 0 ; END IF; END IF; END PROCESS; BEGIN_SHIFT_REG: FOR I IN 0 TO 4 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_SRAM PORT MAP( Q => DO_READ_REG(0), CLK => CLK, RST => RST, D => DO_READ ); END GENERATE DFF_RIGHT; DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC_SRAM PORT MAP( Q => DO_READ_REG(I), CLK => CLK, RST => RST, D => DO_READ_REG(I-1) ); END GENERATE DFF_OTHERS; END GENERATE BEGIN_SHIFT_REG; WEA(0) <= IF_THEN_ELSE(DO_WRITE='1','1','0') ; END ARCHITECTURE;
gpl-3.0
a9919d6606eca8769ca7bc8f8212d904
0.558649
3.78005
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_fma/flt_fma.vhd
2
46,940
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block J9RCLrCXUSshcoqt4CS0/MAGMMVH1xLUcTrZ/IBcQaRlx0rsXcn9ES+e/TJgdsOTjIUTPWeEAPO5 FRDnh65nNQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ty3tiuTCXAN5HK4ZZOZCYo/KyRlITUoSnKgCFJk3jAlR5CDrskFIEZA4UvS/UhKIvKcAu6nXi5Gm pyMOLjvTsdj7b63c4AmRrTf1w1c0jZVn3z0cxHtofq+k4MXcoqlmmH0khLyH1Z13TbZ+dD5s49+L kK5E3wwFlX1Hv/BcZGw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Q7FX/GGG1q2alSy/EfcQBCUiOWgypad+wJRMD4WF30/AoRNySPNM83+mwhkgCx40jO4wnK9ro4Uu 7EqSOIPlgZB78I7VJTzjQdiSDMmBnT0q5IJupPZAhLKZULkh2AHbNurIzkF75hpSHfjzPMnDsGTT QZ8/cvkcu2OMo6f9Tnyi9YJxFctrr5EeCf9CYIxeEInH7MkpW0GR068cuWNvD8xKLt5TS3lI0Wtu H7QDJ5G8M5ledx1zVESe7uqqr0gXdOOvjwCIHZG4x81CVyVQ14SMd9zi7CGgKDlQ6AJhsAh1QapG Vk3iBBcgk52U8L2Zjm2yXhT9e0Mjc9zfaATEDw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block sHGHgwfm1g38vVBbLWl8XBYyOSqWQDpqZr+PgHmbn0Lh4TV3ITmX5kFvoR2BrnAMDEPPHbw6O/n0 vW17zlGWcXUrJonHgKJEFd2WTIesIG1RGKRhbQxczus3x2Uel91hxjbGHMYifv8Q0mXIrjEFvr37 d4l/t65Sq+tuP3IQN8M= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block B+WAChcAuQXVOmUzieRqkfW83fce7weo2gF2u/vuKcjl7OjkNEpeB681gis0yn3YkZw+Ip4XKJmu afatMM0T2tiLeExN8pr9MOlGY5LtSS/2MqVyjf2Sq7BDn+nVGd8EV1PrOceYammmSsfMqyA+M8UJ qN1oPi8LgxFqbaofMQ1Mxu/j9kPQV9KYKIWE4MFbiByZvR8H+WTy9CTu1MWE5Sa1eSJukYP9L2Qr YAV0P1WMLwNaIGRaPG887kMeC4Sw/9K/vB0WurCYXOGqKljnMgFnuA/TUVupscTBechnsxE8K6rp eroYJ4aGC5ZUYC/ZUX1dyztkepCgWAo6rZBsqg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33008) `protect data_block 7A1+yu/3WF1Bz4hHlhU7Z7hbLpGbj59Ea0MJrpI8lgeJ92VAwbojXYpojmjgPhY4AIUC4DXN2Ysq gp40tbDKp+ELKpCaz6b0Q5RitM8p4g3j9mjpq+qAoy1QDHwE/BEwPk3Ip5lKqcziN0sO+dlUXpC+ dzAs7laymmoTfFDjLpv3mjoElGIbF6R6s2b42c3s8Vw15nSWU57t5KYwMZXEamnhl0vmV9xj5AoB 7fGOs9fIBCyWgwHDheLXtjkS8V0P0jv8smAG1hu4WTohRpwy/948AcPIlWtw36N6VpycMJKu6LE5 HEoMNzDa+VTHW7bJRTpTr1M9fKOtUKZn9Hp3HHccdX/B2syf5xpdMtrt83GsIKNwGvTJocJZepCv ghAESS+rZLD+zEGZl53/lPPQFqKLTzDBAdqr3FCuzc5YwxAY9zCisRqucXaHK0b+H8kkewu1YfiE O9PhL3Fe0cMJ4ZbnSxtTw36VDMx8n4ujWuQH75k8dFgTIzTFw2alMeXTw22kFBk9ReBR3XZQVF6i J0ObGNs1ddy9D/q+zVOT8bXk58fMuMh1aNIZTR8wmtXwHLjm8wGHjvzab4A5fSmmbwdXO9qv8yh3 EIuo0kF/a3ZvFPE9WNwYZFtaBJINVDjbNuSnOnFWZavcxYXN+OkS11Db8kmOhjehcUk213Z+1lZq vCdiFBq7tJsmMPsFVJRYVqq4I3aGMkU8vy37oe8MXAJU7TwnvDvm1IU2r/aCzVV8wWi3hXOrYZe8 +ENdpy9HfHxiht1/zWyw++n2BmNZjaNIDjFJDDfx9VH2ra3AzNgu9Y6F8J3zVfBlQI9yJ9HYL9K7 H6HtyiY1hVuzYr1ZJdJqHZukZuiWNKVAGmOo5pz8AODMeRTRBrwwpSP7pn4JdIpDAcl1UgohMCVg YShTo8zyMV1ts7b57DMK0cMbvRbW8m6Yws25cOHMXYGACMYJRujdGAE88ZLum+KnlhfrZOY/hlkk PN0lOHseFWGa2btPeehnqewKQm6YeUCM2F8VTrROePoPSrOvDmQIl/sqfrVNyADzlNzI+VXoRWkp YDJcD0v6AKyr/793EsS4zaI+hwBoERNtDk/51P17Vh+leHYXOWIyR0SDxG7wo30PkiNn+mtH1uUY 0Bgl8pYgyTVcDe3ZY5YAfVVcBWKZsivVr7AuFKSq+aiUt2Nm22i9lAXrotBQgYo/5b3sPRBTmac8 nHN4aSn4y/0LqRiXIVF/K6406ZfAMcAZPk4rTj1jqsowbF9nlqIk0HHP06GC70dcJjKpXfbc7nn9 hVm5abGtJr8Sv0/F3InqMPLS2ybZKmFgALXqApWGzHo9V0covdADOt53azwqls1d/i/YyGVC4i1/ 10NWM04kIy9rUFqi/RXf0dmRUaxQZeak97jbQElqL++GMQR/YRsj9hNzCJ8tUgc3lx48oZhQhDaY +l5Sswp2ZkpetSDsqbusAAYjtd5i7drozMaZalPAYg/+sIEp+po0bq8RQOw+DQzfItXUVUnjMMR5 sYa+er1zTI35Ye5p0Goiibxy93rw2OUwjYTyx5SWcN4Q5d0NZFjyMxUErcD8+z58aBGf9BhWTOkH 5oIU2hqT8hZAYTGjEYxG0sgZ8SvTt3nzL7hV+f/HmdTDAPeZO8DqyG7UD/VhdVMz3fboa37mAYfj LRsvAQGen0hkU5OSf5xgBV7Qy9i4ysyR8YpYvdWurRwRJ1dMIqdvWDV8l0lEGUJkG8E1ygZdSICJ /GV3H+URX/sz3RLLmRfMKWqLLTigUtmPvsOfnf5j2ZeggJmdBL9s2lF0/00uOnA7NMc5tkWOm7Li Tbb/I19Ok90ec8BcwP5ky39jFMHVFYgukznJ37o41znLsyp3/z3O/EU133LJnF9/AtKJkm20NrqE nasRBc3sCW+6pu7kGwQNvidaGJsBwWy1hv2Cx9wWq3Wgrn2k072q80GqpIk4AydRga91vPx0Rtlj 3C1zpwkf9BNmXf4iPoqhlcPyLl9epEf91KgnJNJefh6sVJKgL70SMEc6Drk7bYpIpHHR9hhOfqQw PQ5KE5L9j8Wtjh+o+gNurRgMi/qQ5XhjDmZ6GRmC2dvZaMBEsIWPl49+DPt/4ZWF7yXmYLMec1Mt JiG+r0DsziR90y/6wDNSFr5s0/jzphkdSzQfq8hGMrKPBXOsjOQQ41DqlODfaUDlimvZ9Ob3zfNQ Fkxx+czi9rhIGUJ3pJZQHXrbSvb3AIXxrkVX4zYjgbbG0GKMNzlUaxiuRl9JceJD1sHwIEGhDV4i ruQvpwHwoRBxNB8TX2tOO2yCgmETfXomHDobjHJbj/hdBuCgIN9UqM4xtfG44i5gdgyvm9OxGXWf x9vhBqrDeC9PjvPc9sJ5urG8NzaLznzM8VDqV2HBuHfC6bMPKYhhZk3f4Jvir0vfVG+OWdnPOfBX J0dDJJhO1MDQMwLOPZ4o7NS5LZuie2cDnl1SCnNmAXd7wMSrWEYCkDGsMtiMvannweqilTWH6d5u YecGxSJZN+aujszvjnSDVOmBI5+Xu3f17jFKHyqYAiN1E9A7sKUAT4Zwliut3/ziEsjANadZrdlW FyovzNNCAGnHLIWgrvAcPYOGItOIqYxz3rx7siFVINEJRrp5tP2o/zud1H8VHZ5WabXeDo466ayr fp1mJvvFK5ZXQscSeCEm8s61jMUP6fCu6h2UJRH7LHr/fAnnyUY00i/HJrMr5ZF+vaihephiiKmW gBOkFYPDOZ4EIgNRbngSUCEPYyIF9wlOuvj9u4n8m3TasG9mpphv4cHJ85SJ3qKLBLcw/iZaL7R1 iVhFMmntUeqK2vt/4PUhG5uzmDIqsBLbEnC8DPFl76SMl1faV2eoZS2JIo3QZTvw8otnHIJJ+9i4 yMsaJsqzcAe3aLNC1nUekSLAR7d99LY/HWsMP6F/5AIxB9/qA2COw7kKgme5qkGJ6KDDoAInnjD7 qfhmeBTIDu6v2HRzRrj47l1tvm1u+aMStiPdfJmAhLL/RaqBeho/jOwltWA1z8zdkYT0btYoFyG+ D4/0wuhXHUV7Wc7ntyKHG7GSKTHzznf2T0ohNXk3hj3eLl4sk9Y75KpLktuXzY6d0TSHci7KGlWY jer5G63g/MBAPEHBNmkHJRsPkrPN/u0b0sNTMP9jtIAizZUo0cOR/iZyhSCjWGzaMWh1wgPgOqjV UxRrzWFQ6XAFvnorYGMXiKKA/SrRanAU4Vk5ezUgNff9IosKa3mJXVBWyO4eJIhk0U8j0aJsYF/b WwpJOncEvkQDIq3aKq/89HeUoUr6HgHAZM4z9p6wgc+lUZERQ5X3t2Jt/95HMD4XAZwl32JaMR2v MiAjVw44hfTSEVSBE2wjwxGSzHGHQl4CeMsaocoWhok6Ez8OOw04L2CT3HW61VCmVMR0GqeD8k+e Bux94njGNGPqQyXxAEKfQnYNe56ZwvaAS5Ql965cXFT30PKpZugD2346a+u0UO6XN5egBB0DAgT9 sUzI4M+1WeJr/JogdlG3NQGl2Gj2IcswpEkVguSki2jsrTFOSzYH1RpxwnHWaXKlvczzJIyxZ5Lz EU7Jo6WhllkAQlRSBc6K6Qhdz6ZZqik++XZptewfQAB49J6RYMll6kgj9yzouqbhLuL7Ajxsdr90 +z88vuzNZGG4P7YZbEJbgwCSR74mrlCs4zVzhqDUVfRm5YJjR1OEIo7hA3BjsnNyOjlg8HGVZ/+w snQ6s+rXjlGxc0V2CJUa694xIbpj4SBwH+DHlSwti3PvRkciGnrt21LSit64zTof30McNp2uG4eP pJ1bkhu5ixJJHcUh8RFucRAmdpubYI4UZf2OwkgIAaWCOumYPF3NYZjWzh6fukHqCF8i02SPWZjy xirTNrrp+TkxfnJqkW/XxYObQzjJ6Tf5CqYz7qRD782t8wLJ5UR/ETIh7iMsIorCc25lnvBCQF4C mIGli5WJz8y2b4ptu0BDEyd0wIoAkOvUD5WuJU0WYF1E7hBLm8/0OamXcmcOxb84vYMemAsMPFvH kMoyLQ6hGnWzzQJDARI7FyYohsYusKmy0rdXyMm6xRxLGJ3LWRE/VCSZbRXO7Noycc2UbGDLnxUD Fu8CAfJz74wwXNcAjLN8R27EFAofVdgmUY5FEIPpfQH3CvVTYCesXIWChfEkpTfApmDX4QOD2zvi S9E7Kg5XlDuNRAtPvgIyzMIAdlss7HzUij89anE+3VhlojmPurSgXNajbCPyNA3X1LKkP0I+35WQ +H0RM7cunxobsoEW15mC9q0QB77dD0diQgHw+rQtd1E+O1Z+puz9Ifs46FulPrk0LvDpWEu+Gnhq PSOqHMDTajJDxehaCQ3KZgVFwHv9w3aBD2vvypj88gk7X/n5gfu7DBESbSxe/Ois8M8PtlpnxJqX c4B9C9YUE5cs5ac4C+ksLHDTT8jY1Uq1VMQO7X/emEMTjfUf3YUyydHf+iiPWRVfMmsKpHiLAGoC +Y2yWCvFAYObIJJQ4jy1NciCdR+DmR0yW2l773dJEtkNgMXo2bOyzyUNKjkMvqeyW1givIgfB5R3 8pKZqnPX0Lan3NIvgrU+M21woGINzhGNFDipcvjlmcYlbE1kfBVmqip9+pgG6wdSh2F/Bg5ZJBZg zm4mgxGASH5hdbc/ymyQLtr2GwHyPI26+16AHgzZxVIi4M95PDY1YZGqy9AFLpvpvfKzNXjwCKuV bCDbdvz/2aOThJOWfj76ruhCi/XXwP6X5fQEbQoy0XHn3y6I/HNY076U2VYVjfx5/ffWKrKC+RPb iTlBKEy3z0eHwleixyrJObSplHwM6yOU2FrLrpzAOBPM1JBClLi663hf9uRfgSCIuQV9zyTJvdMy uONRV2sf/UNlmn2LCH0Ld+wEVXAr+Z0pejh1PvdzslnfEXq7UL+HawAxstgmQ4l+SNP9EifbnTxX fEeM87ZDmr1OVVvR9JPIwfMfFCKYjYp9/UPm4Mq0WmkRhPVSmDBPx6zGKxBUeDJuqhPLfY4j3qOj 8FIJy34WPnPBczbHAnlbkino57OVdf2SF6lymBFIlIYsMvNQU9FLFnxmAFUlVx7RBIx2FALskhSQ u8UxM2uaWy4vsuD5xqEEt4kVZ3BtIibBlxBdpJL7L7O/4I+YE7rPey50Z0srkeh8C4YoZTwp0Gay QnS5uoSkpznHzp0Ye3XhUHLA+YGX73XMU7jbYrKLIs86xX1LOhKRAO8R3jtqOC/IPfOvLH8JbR3O +bZtGmeJW86u7ylQcRPeEJm4LTOFe1iJVVVsrj9gsaQFuZfF3o8PXgJG+zMlnLtgtmAJjwMu6tSc 7E8EoZC3xTEXk/KUJt64iGuCv8fLdpRICyftMLEIGwKaqXwGZEwzj3CV91NmWaUGgmw29lnxakqQ naciRcvfWlQoIF22DEz2/6ZxfjLwJl+SObPqRpQPmLP8J0cT0A2wlAhQv6szky6hnvxKUmOm6VtR AW8avUgSQ/fmeHlqK3n5D2F477SWOl02ImqMOX0qW6lLn5v5Uf0cCq5OWNWCug6W2/czs8VFH1g5 4JuKl/ld4r8mmOXnQGz/3mXOUgyCtTIZlXrnBOol9qgngrC19X512rL0LxBENwhiPJS4m0LLAgnd 41z7j2vIvBVeoYCP5AhjXt8ez6TpjeKpiBqhT7yX9rE4uClnfXEbqKNY1jdTrLDb6WjiLH/tH/RT Wgne2Fw9ZB4LaGbB0GiDU21etuSQgcc+URjApF3Wl2T7HlzUBjt/JR5TwWw+1Bz00oEtGc2kDfdh EqxfeUv0M+UBajJiCD72z0TLOV2jMixE0wmzMJtzhilZJ7OgXiVsWOMFnWcdt7RtrG/s1fKxxoN7 MwG1X7xH/QaGo8/OVQwG/wzvB4WBiaM2jsyLjHSy0t6bVyRS6XmuuoLR/q6PwVarAUtBkd40ItS/ PZDhBHbeArxkspTl8H0DTzOxig/lxq+6zHVNS4hrRRqmwQm5HZLsUcGk/ePYeYDN1tpxziB4zekx 8iqPcH2gYsvGq6jmwYSGVoL3viVhzzBfulRYbaP9lfpgJ4mbRfFFUBZmAjWt6a03PpO8DEXF0x5O kgjHNdZHZqHHLWYagwrhQWKHRWFKnjcakTONscmN99/c2+61TfKWw68iGsSNAd8EkldXZGbNTVeW dgiC7FCUYwAH4SHC4C86S8NjohD1tlitS18WNJfi+lBdAVJ3ReBCI2U0Y/Rhz+gprCKHIs3pmiHn xuWcHbWxJx1aPkAJMG9Q62aBCof6rJhkU72wZSh4QIDWqyRY2MuR0veFpbavrL+SO3R1kgcbi2O9 FROV0FBcThwDaYKo/Ek7TLZ0GyGq8DkSZ9/MgsO1oR6AzMo6zE3neLqE/+/JKTwlyslZ7gWUOKWf lgt9xJ2kdfuC0iAtEfTrYlHMXPtuDV3smkcTV8BVldsixC0eV2aAqFW5z6nvDg2GOfYIrRO9EUzN 7caSYfUgeVT5FoovB9+u+Ms2JS118CZaKyVrLTteUAYAFeBPYwdcWipCU/6m+HOfF5EhALsEwtTB j4XPgywinG/B4uLzTJJZd4iLszH2OBT6DaErWhBR5NtbO43e6CvPXylIQViHC8UbXcwZpKebnl2h L5y7kla0cCTdKHygul7gMgAmjCerqyr/REDD6Pkx6WB/mx03bJWpXw8TZdcbFaU+TY3wuYTX/zm0 GAb0JRHV32YDiVy4Gbdk0DkdtmMNRVGw0DcU/3pNV3A411stAeg6OnSBm+YP7UnG/bZsEyPN/+qY mb0qMrJKso5e9goO+VPpo5it1y1wGSkoNdayCmt77Fcy+XipME9qAXS7061F0itS3Z/91qM5nyGz YVUWeq4Oiqt7btH9k9VhGdSYFALVXlPvxW7mu+Drslq3wsno8XNBJ//W+SRSM7jHE/zvtr9y+Jbe ygEudynSOn9NdABS2ttTYbMAKzaHlpl6AzfeSSOEGRxJf22U8nbIEQpn5cZyzB7wlZyDTcg4T+9T kEcOCzvbiqpE1IH3Q+VFC8SgFUGGPigTIvQeUfmmnpCBCQ0kwzKFbi11RHadDsYjxmuJtcepxNlX FfsRp/9KgXjBRU1aJ2Av775xFcAkF17xhDXEl5ltl+soJ8xKhigrM3O9lgmj6hLris8QNJIGllVU poG5C8EhglRrckauSOWFksY8gYhLf8oAeJrvVyCS9dZAvbRWPOoR2s82YR79PMZl5dxr5aCYKsUK CpvgkQM2zNtL1Y9RNMJjCQzWKYrItS6T6CScOdBzMB4aVTeGIO3KQ6fVkUWRkyXYHAMpK2qkDTlE w4fRI3u3wmB3OYk9EfFq+bWGd/+nB0wVM+a54Uf8x/P+yUPfnJoDDwb5HxSuSXdDVbscE7P7mYCT X29X3MabLozJwi4Zg2Y6eCE4bK6SOQ824oIQy5LEXebHWQtA+lUz3w6u4N0Muunl+LGfCtGy88JE CPDUbkEgvaMnOIc3LwwuDXGBjA1e1+7qT7G1X0AcjOw2RJcvDKSU9/3ZSZoCrNGRQ+Ficq/WD1lH VF3irNxWRZk/YYe8PctntyzCxxsS6rGyIxgGmJ2eNFjAIoPcGo837CV1ghQFh4kAp8RsVRVPF1GU BbVSAVRCGtEh/Pu7Bgy41lDssUqosdEum3W97Vtn6+/QkMjBjavf39qd1+ifJ4oO7wvxxT1OU1F4 sxUvi621Mus2cR4IrBp27Z2gBcNwhI2cfOw6UqgPfbJQPmDVZeuoa7jiGSDiNMSoTh1VO1aFClQq YerTgkE7Whs3orrmKWT3zlWzkMH3Zd3knkVzncQCZQo8ZYzwECL5tVMUkpLGCqaTgzsgvb8jpnIh heeKVMbFg4Zyk7XVGPc6H/QC3Y9oSHSaHAMp24i8G/ZicXIvcpoMK/TO4TLGDE/SMD7eKmiTYnLF s1vVuR0VFpAAdCuf02LBmXFC6wM2NhnoGEMppJk+ObNOQoMtszObnm7/NU8zhdm5PtIoYlgn5EFn HoXR0yJ30yTTf132dXjUyj6tV68parUiaOpCTv5/9tZYKsdg2Yb4euAzDvLJrxJIuPjxrf9nUgCh 5IgjDGotjmJ/vYytkqzTqUjB1vE71FTm/ryK3UYR5LCNbE4DSyaBHyN1c9qgTqmMklcXBXP72hW5 rghKLkgsNUX3J20t82wftfOM0R8a6LTj8Tz2IC5KAG1gADTlSlcAi9f2IifQ0ElFfKnFcWfp+Sg6 iTMyyEHbkbJ5L1wd0u+D6H3wRy19sVHsKTDYnniGSoDftQVTdYrIPlwC7G75K8bMRuW779G/zDre 8Zwz+5jMf/V/uCrxN8FGmbP8AFz7Y6pEUc119pXvGFILQNe6p581JKYtq1/RwGD+qTU/PwTnP2Xt Qh399fJ99z8dWUi60WXlba7dz2VsKfaUGO3HMDIkI33Ky373DdN2aOVICeeoFsQz9j/55by9xkx1 N+A3dVhv0jsUeSa7lP57zM+i/NSo016G5m1A0NAiZ+qD0eV9N4z0NDO9xx+7P9YSIZiNdRxeWwRG B8nNE2CihVdrDUc1abCXlHMGu/NIr3lyxJPUQsmQntIJLpN6dHeeBCvUAsdhMDgvh4gsMuhW0HNv hjYTg7z2yqsj974ASp84eQcuX3MMWS2gpoQ7xAak2Bfgm9cerqXQ0nCeQmusp+aDOJhe1xPr2Drb gNn9rtuoei2CntK65zE4jYe3RstdD+woaPONuSfzY8t2lVXnPiwE91a+FgCqx+jLW40+/+Mm4PzH L9J90tPRgzyRVO1nCv/gr1+698rSJNkYCERsR2AzOxccH5YuH7FaxLPxdJPuU++OehPYci0dBknF Df9sqc6Y0KdbX5A4ofB1vYgvSo1+LmZO9ZVVWPvD2+xYg56Vrt9im7tGDXeglADHHp0Dox+IMfxZ LzLuNSrtJTtoQcWR+yqBU0DHGtSBNDnH8b1WgYK2hE+5ZA4Cm6SRU0Nbb46/n2Cz46wy63q7j545 klUUsTqBbRft70U4q+3zI4W1ZvLZYghpcnQmdp+UOieKPL7yWfbibqON5TR2e21b1+tVC8r+tw+v Y6KLMdtej2CAjwMejj/G/gNKtCYylAVvIYGdQTgG1i94tLU8xareNDtC/faQEe3uFUCxe1YYgoV1 4EnzboMT1e4J3b9S/7lLtWlXuUJ9LfkDPfh1qGBbFV3s8juy2QXAzmMbyPRO9k3BWUsJmpJPM/IZ dHFMiTHlzHeUorlFiI5GTb0sUehqRhSbKtjK8x16Ai1bN5goo/3WOQPBdYI18k2cSNRg8Hs45wl/ ZDUvWtb5FpuW0U/E4O9xMhz3J+/mha2p3N9naPZyMuR6qjMVDh1xVHmob6tNbZfHYTocZu9wd/Tj pEQR+BtV5jrV70KGqF0RZnw1RozSrhbxsxeO6vYfkbcMnmohdN7DuYRlmwvFgjBT5C8LIuPE0eXz AkxT3LkhWFk63Ec8EXOoc6gAiY5qmdmmEf0ZOhd95Z3I4gwz+5NB9oRoMeQ0IWC2XNhUqkamJBKK 96ANq+hQvOjSGwWBvznllITll2rHw7HvJfxcf/DO+y+AtqnJrElELisqT9Yx02SPpVb9hALJNYsM WVat9NoEuBd9IpidjvnITmdRKL2VJLu2qoM4mjKfmdaISabS2qkYwlb6FxRxpxg34ythPNdSpNbG 9t8hoJE1506mpwu2Qp7smT6TmmRFvwVH2LvA3Q2B8zSDbPHadNyrWTx/kdZlYjAYFNhzSYPFVbho p+hxcxDSKZNzZq0CMMswogHvpUewgdT++aGJnenDMwy7mGEegG/MVTnM42GYEzpp2SqsDx650P/H Hp9e9Wb9OQQrB+fu/kmt70eU0wrqxzzxSIOu3z6uFDTA33Wk44loRQMqbZKKWzF6rMKHiPKsxbNb hLxBt4U1jcvuLksx/rNb2191q1lqRZPIfHXW0fYpdPRXu+KC/cxYHKepdUDuCM4v4colS2RfrWsH kW0ZfLQ1Jewdeb4khhDJ5o2CSFBvgqjxUzZgeBcdDK9NmJNT1tdsLqA+fI4Pr1Rqxvxky6oARetm hq10wufsXPaYGcIVlpiopTnlQHFldMiAFqTjA8RRAff0S9HYoWxu2qIv0iUeSmrzooKUnAnRQCoE XlIDQkvmikx/gp2BhaZ3uZkfROZbxZomKG0rzA6w8X8XpLBUYQHBpU/Ny8hzaX5VlAhNFJSwH628 8BDIHzPjKgyo7FlZaYL1TAVIoBRjxP8U8qF0vgLvB7W5s04JcDzH/z+a5qpo4Ke08t/6/7GbKMMn HkuiJzl1MG93JF3cegKk2OaMiMMHR1Q2i2GwPl60lEulmsp6Uhxor09jaNA+ChgsNA9h92Nr6B9n oM2I2IgZS3vjCnwp35RbCGoEfNYi60HXd+g2hCC7RfkA9AjToh+n2PEhkkMNLW+uahcNkJvUJ4gm m/M+7jcWAWR8X907WRMSGp8Hw7rTNQUTGrnVPkmjxLIV3J709Rp7l54+LB4F0PS97wjnqkxv2uNV iWjKRTquCM+zDdCbSoa/DZM9lfQAzVfdy1mzWuRDMDQfaKsuXkKjwKlLKBr4SNpJw/T8qNUjQdLi aHGrOLBNraZH2gvpeJNzdS4D1N3Kzeft5YncWtsEZjrjE7zh5fyV5obEdMD8cH1SIXVpK13PTzz4 t6RmbUNG4JPqaSDBhTDRMDQ+2xInHUN9JnJ+NT7WiwL44ZEYmdNuEqLyCz8Ld5sTlJlVVS0LgHFB sTPyMypJ8Ntu1dCmRayXiNu+zyNPqngMsR/OJ2fRRJmYRTuIHywwUurNWuRhUJ7Kdo1oBx5KWL0O haLmJJDMmofAURufKeeGDKJ3YYGXc/dpaq4wgy284x9QSMcxA1j9cTAjtVc8ExGFi/xk1hGYu3LA y84tohjjFkw56WvK9KVuwfV4S5unruvNMqfB6M5qB+VwZKdzxElI3DWxN2WyaPvZNwt2mrPCzhrs KjV9YyjuWPOs2l8fU6u8p5kTOvAyeAp4grRgAFKmL/iRzy2IWaLGgaRwpSZJmJEvKPDsFk6yy9Th 7TF0B0wnMOZmBSdsOoG8q6I0c7FiQCrbJEo9G3rsPMinFA/IhAiqHB1tOaSUyZiqSXNnJlVsthQe 2M0lwRyfS481ico12nJS2VdfyoIrWrPGG4fDa8vGaaPv8wU5wWYtU8rmfeZV09L6cF6Cm6WdkEyk dKINblAt7c/XrbcA26lHhlVUTWCjY0j2JzCRWv21GLcgdQJoXKMhpjR6uYy4gSOGutqOVt9QMLpJ EUUnba5pmSJJfQq1wJkrfIP+Il8LQ+IygGcHDDct3sdKHkWhA9jku7YohMoKg9FW+vuWrHwNOnwF kuUG8HMMd3U7QaJDAYHB8hElHwDPudK5itz1BYvlds0Jm7XGJ8mxWle8Bdi+rThAXp9xiEGWh3Ry PsBb2aPE8lDwKpBOA2PPe1OkPM2LIgsOXb8hIj4zg5hpO7C80EriTIJh56JVLaTdx9vbvfoc57yd B/XTxufUc/dGJixoni3SUkGsysoTJKpf6ZSuhI0OVOqFILm/uTbGlUfA/iOWOFpg3LBtMH353BA8 pe2VLDb9s/fPLpdih48684xrjEvX6SkMSjyWI3B6kQANRWQRPcouM24FfQd/dpMM76PfwllVINqk 44mBNoRLP6RHjU/au40FKYdVQwtpCw+Vb/2ela1/wNzMQgPscVeC/JVMADCwpbvArPviAoXM/tbe 9pMV7yRV/otimm2tb0zRtVtKQjIUhiEOJTMtuxEtNwzW59DkKyqKrDUQR7W79jeDgmff3XnSLCcy NVownX+FJk8VHKN0YL6n5xo0uK0WY4j2HXizM1XxwIABt/anxjeVLKN1mGv4GIVI7OPHgoJMKg6w eTj23MRjCZxiiB9dJODA3IQghQROoWLvxVf/FRNKjrJy6e3OvHJW/arc/JyxNJRVwYYDVNA2TW2M bM6G7j7pbbHsU1lNw9JYRh6vie+Z8KTI4VLmWG2yhBqG1kHqJcjx196ntgGfFpj9TOMFmHoHiJOI hjXX4DaL/vaYPzsRpZzco4PgIwmXE3Qa4FkwH5JA5B4kv88hoAYEPjosBzy2cM0tEsuMfdKk1jEz P0G6iXFBlYnbwkA/VVN3GacgkAmbFqCYrH9eyuc6gdJ+5AsIAH9O1lwxOSfhNzinWd9DuxvyszHJ 6gbGSBcDSiocTuvX76dUXTlzw4WIbVg2YHqs1uuo2QVSoozVs8+0Pq+p4Hk43Xd15USNS48i0cPs an3Kee+3HywZZCbvkvPyWw/X2AIa86lC+J+J6iWNoX8qPLoF9gupu9mMRicIPwF/PUNvHnbTn3BH TNqV+wQmBmiXejdspA/+mQfDOGFw3t5VQjAu29udRcB9fSe/YThxvsdqnn+cRjukTKJdQLdryNBU q8z70cMJoQn0rNIkqgKDLFydnLPXAewvMWKNdtoCff7CBK+Jay956bLoPiT7uiRtBUWbBxJoILh8 r3ClPabTFvPoI32zywgsPa3taryxTdH203JkIBicx+Fq+fImA3Q3ndW/EzqHswoO5bRaeRK+a6ZC a7PcaR0wu8+dALQvLIEJ3Jst24xu9n/HFa3zTPZt7EpEHqMRvFPe31CpCGL/Wr+8ML5PyHzQQEhs SkhsfPht3ShHCC267dlQc/a9VKhZNLtOsdOMHZYwM/Sm561Ir3v0vhN43QaBIbvD9+rwOod3Y4it I62hbxdBs5iiQHQGr7gxHqWadijJHw1Qy7F74iaap2nLKOMI+pADwwxhBhRJq/dWjgWL4a+a1td3 qBnOISTwrzYrErJvoLLtKCafKZz9JsVW06ntBlHYaTEzPSLffweu1NFKzU291wddHffvD6CAnSXe EgmOyWZ+8l9PplO+8stl4VUoGMLbD0jHk4HGjdQEwIisLeLXlsFcH2tyoyHYOM9Gdk+7K69ch21r VjR8pK/27CMyhtglxJu920vQfHMR0EXBFtM3F9rhjARyilPuoAvAcLFdQ4sHqOKHwlEpi8VZLiTz lEzp8CT/VufKrNQbDso9amgfdSbEJRrF4QmEjm2/ZS/L+sAAAIjkoadG97BCwDg0qhaI9ojwb0jh vDg88cSJjiUJCYhXQNo6GNVe9uyXhx9MaYP+Ojk7UmMeoebX5pDJ9w4oKtXRJe/GMnQ5aGU9QYcw 2xFDd7QiOKgeYp2FR9jA49UFWuH2tXS7ofjaXjCbX81t0od4a4k6RpcBqT5xOyPl7D9UCKGgAySG UVc9eEYW0ysL4QG1/g21APkjQZxjwiHP4VgwoF6dqUu8Jm+igXlEuAZsRxx8dJIO+pzk2Yefwu6N Xd6giQ+nVcYfVQ3IYrfOdf9kv9MugkSI3oXDEXSUV7Z/j5rqnDjuuY5yk3c5zpHqQJiLCq58taJn iKPQvc+R3F4gcL2cJ4rnmR3w3kijJHUt/q6KedGnrcdQX9l0bIO8gIubRCAlF0TTHDzEppyvCZBU 05lVNcSDupLwoMrEh3zpLxao+PUV7vGoZAPMQU5MigVjtTZnj2OXAGwUrMfWWBNaxTogU7bRNi5t RCdpcwoG3lyoR1a25wAF4xpDeJgyrJ5gsktq62J7wvyA1JpBHZi+Ji7x7Xy4nqoFXUH5EOqXauZu NnMHDiax0s93a4n1d/OpHRe00QM4mFYw+2R7LW9i8V0zYYplEMJxDI+t/wUbLYrK5HVoJ0sozw1e XyOb8gIO0TkxPFr6rLN1LCQzdjKpdyU68yh4FD6p1Y9S5FnDxobMIPzGc8KSbn60RmypKc/uMs7Q gUUZJkfEa1Vsfss5vuIZ39yLLeCVYikKObuSOrJlCIFjiolv1vSB6cuf7NWQN19oidWshQHuMg6t 1dv6YT+8a27lSFKF0u1Mu0/tDkA0/F2j5JkSbSvmOZrLniByqJMxZJ/J31rVBzsiZJSrHSQpRugs aRIy4dkFtgHwNo5/Pdvp5h3BwoJuT0GUHTCqBY7vYax1wB+QN7nGMDi3/ZfVYJLSg0RZI4IT5Dgr zMU/sFzaaFyNx3RaBkrq9vLV2vKmI6iYSBwAzz1k5zmYE2aFaGQi+WlSxPMPWEywpg5fBAfcdRGX EoPusZmtxQRLBbNxJi66EEcyH2AwzJzQ0/5KLecUDnOxVO/XPcowe6tMEMhz7EDh7P9I/oZBHbeD XX16kLl7J5SgwNuJoH1hDVn5/tdK5UEo1vCtX4uwbCgVxzJ9l5Awgefnsu+nYwf2jto7Yuu3bTv1 dBQF5Ma0xsb5g196Yo0UQzggNpBdIOaLn7UUgshQeqFv9diOmEanyrTWJVMoB5Bm85Svpo6GBa9J QFEP/TSEhIUH2SiDzQhmWeNc25frXf2GvVe0SOOrmKyLJZjg3oE+tWOiZJpMmaa0F3FYNrdQkJT/ 8nbTbMvZPQynzJQb0AAnDH5VxhEpjBcdf0N2tUFGiNUppMTAaDoZAdEHod/N0XMUYSgDXvewhs9J YeWqtHD1mCFHa5CmzSDe1UX2z+a7YC8aJun7CTWw/iAyN8Sch9WahKXR91ECrYgHx49kZe6EZEf9 z4mCkMjb7wUcjhwxG7PHjLFdSioD31Kw7KwSn4B4R/xHV+/RJwXdh8gKoI5EIARf7amQvDiy5rkP pSNaWw+3/s5ykQmwpXuy1I81d87NCQgpG+ExFHn05PODVj2YHcv42qT0VGaVLvIT76c1Y+N0NZTK eW5Cf2gPzzu2B4BNz9lYdFblbk0wYV3uUbiAF6jhQFPErjvMSPF5cGn0vaeirjSUW/+HnunEt2nx nAcjsfNFu6BlHi9X6+dQBOqx5CwC8caeYl3eSj3R5lBIGlHwAxCTDAdRYe5etgSILCZqeg0D968+ 36zSRsNIj05MmqGNpi4ibqIGuHe41zrHazag5uEnUrRScEZ2rRLr5Ym3rA1ck87EjKra5CMaqnAz wpNAnLrxtdx/PQ0c6rLS/fl31UVrWXKTs/05EbcWD+Ith/MK9T9yYH5RKhtqyjgnfJyvb6TOpost LOKdKUmA2UWYXBZr0r4/g3FIOrP93tEHuabsnu8puKdUO5O0vnc24MLZOCYPpYwBDnQsCb2RkMHF fAIayGxqsmhdpujeF+lxrvNhpidj98VthQO5H/SSeVw7ECvLuvPbZ/hLpu6MjTIX805nKLBEi5b3 zWoaWGz7iKe5EH7ikIUq3xk9tIJ8D9g5dugKT/NClg+ewxJPTIh+3rZnfk8D8RurfbNYNY5ffe2/ bkfaw1ajsy8EuAfQdaLE7KfRe1KSHPO4ec/C8d48FOZXYIwzfnBzc6NDWezXMKfYNh8I8YMCASrG Jk5OJv6/xRd321u8vQfLYDMuKlCsmWR9aciMn2hFmobzA4NshG7GeXNZGzwXjNJ+uo4b6zF3AkeM Xjvunsq71i0BXntFFnZuwm2m+NnTv8a/wo0dFiHVmNIJNgSEl/ChgLhps6iDVaTq0dPsBqLe4bmb xvkZA+qhOc/lfEjaM1tns4di+iLdeCQvFxGkJi1Iep0PdAsB1kR3lhRt8eeCFiFJ88Mx3PQBzgie 0SQpnUnz8zE3XgWjRv3kZz56/81Blg1YHr/cEBIsw2kQt3cEW3lNv1cVcRA20YOpuN9nD7wxz+Pd Czg9uLnoPTTWux9p9AFrQhGrRGKDfZLsnyAUfEuRHCfyn/i0fXRtcKBt8Yp2mA+knIHoUKnqXBOx a6/q/XrVZgZIbdzyLhTprcCVT+OVuXGldG4vDUhE6m7bm3KU4dMCMJ6jIkoylNvYjezF92nbCWjJ nmi5mjKRcHUdfyXW0LKH3LEC3ZTzQgdhVQmr/tuPCeLqLjSai0Db1TB6pe3+YdU127dXQEXfIBF0 9lKnrSM/u078asDj+FCk++tCmh/kTfMtbpzlljUriXbNK3rAA3Qzk8XMKcJxSaOGT7lKTS/KZl6w nSQOOYjd6EOvqXt+Su8fW/1Wk56s8kfbV48se0vYXb0PtV0nqRnzxK9RbdcjG1RXr/Q+0yc1kPJP 48jPb45ujsAOcOLAaInCyxY2mYcMm4dBIh5ECNGF9S0YqtO7mbYRba77Rv7bv5SUfX13qmLQJoHA 7uvpq6bN7tukQuNhj+anYZJR/yrzi5ViXJmo7OjwXOYDxAHYGTDeMNhQSeVnJn6r5OtcxNhj2YD0 iwB+tehvCOPN4l2+HsqquzUPxlIxw6uqptRBEfFy/U0thjPQ4mwQxEXfE6JDfsMrZuuj5LxWsT/q /mNZWhiduUiorvY/u2fahQPGipRhSJs/QEQxA54nGwV6vytN+OO5YqWVdHqOBq3913UKNM5HrNAN Nz8JgrodPYCBTjxRKnHRXB+TR5LTQvqnDk94pAn+FvSazmVV+4rJzdndJXwueRy9Rxqu/n+dYazl 8ERoHqoBRS1VePLFEtS9OzNZfGQp2HHSs8TxDvcK8BLBgNPudaMp3XsfP71xwwJuv3+EwavbgIoF eIQKrdoSdlsKdU6MGI8kLv+Rw2dv33U6DvEQ4JEB8EtRO3LrRhsmXQty2+FplW9kBaafLOUwJNzV BCDIXbUJCf5WPlSE7FHMfZGEaxxIBfyH5z4EHegp0OjFi/sRHyXAjlajrjE6e+zVuxIdAKbMTjsX Ki9etqJBSRQnIY/PxQ0Cou1q9Gwcp+uGPWi3T6xniX4SCFf5LcnspWZYtpaoYLNKZc5lklrBkPCH jC5jGGB3ITaq0vLGirxpMqLpASoQUKYnoVq+0KgFBXNfYUw5NxnkXvn0ktlDCRR3nobP3JvBJcHr Ao/kZAp1mgN64h86c4gJ986zSNr+7kRO2lELf62jLALaUF0vehFBNiRamzy0XhUQhdzPdleipQ8S 5kMDgSGPOprYnrEICO8OpeidCAUb3IsOJ/lJ+dNWrhT19EKO1j4dQkb4ElaqSReEAOizB+5egQ4e Cpy5nM8KFsO1iOduTLHQ81+bGo34CToHQyA9x+JcaXcItS+AnJ7R46a3NW7DL5riyyVqo8VUFT7t Aq8Ifo7lgM9tHYizxSM4QSl2rqsVTrsLYlKGwSDAzNQ2h/anUt1V1fHMj7LHSnVjP04bEl18Z3Z2 lVEztFDDAwDy+EgI1HfhWEqjOgR2GXKlk6eGtWjXvZ2+oJswIdy2gyYZDDEj9rW/uDJ6H01e4Paz eP63RSSQp4RHlOM33c/6fS/XaR7+klRzoLZxWQqLRb7+anjp1+DDtih7O6URNgW+hithbgUthQWx OmQAlc5EIff8FMo2fCizAffRcpJyT3ivLQcP/NkTwhm4IpxRstjDwQtYiryhQtmv2IjE/1vvSNED FQPEXhRoqmrexPql+MedvTuabCeM1wwHJ1AUHZypjFh+TYQJNpH2zU51obUnrCnV/TddBmkU8KKr /IcC8wcWtbgjWDSNZZQVLMmpDLgpNeN+/nYKb9kKBqg/eQj7mNshKigci14uUYtcO7GG7Hc21Nja icki3ZRIA09TISSeq+dsxCGNgUZBpnE9jnVy7zlg3Bv7unsh0FKJeK5ue4IfCTiAGwgOXBbzsOg4 YQyQHPy7xRjpbILTmLO22q+JR9jd/4WCfr4nM74utNkrdCH+3BnYYdiOkrasADV92IETl3YeBiuG pJPSfxThSFoYXyMXNC2FfqzWVj/qfDU1Q3XD1iXC3b9ZhctRFU+JQvABQPspS5tCPFHvYOv4bffl OYomqWZM6f7AYx8ZQJXH5HI1hvlXy2hjIQf+UW/7Ld9PhChCsOeCBGDjosF0yHbVWeJjMz8uEpKY 2koRuIncEel1eM9poqMvC9HyocTlqJauosJc6H3276LgDvSeOn5C92hGWIN48PlWnfssr8vslFUg PGfYhEou8Kg1aQhc7CKPnssb+e4X9tB1b8Hyaox9xs8zV/vg4uE8qrSL7RizmK+V2/7VRuAWb98T 3vGpGyiEIF601Zi1Ky0ckW+N8eH9vuZ52o/I9umPq1NIl2+GkTTcFrcbXC6x9xfFdgH+9ZllV/kh qfIPXI6hlJyXsByPYEo9OspS8nqotNvH1TnZ5t6YVgnxcJ1yq1Z5dbbiP1a6N7fiFeXJB70EsM1d J2sg8Rk/KUftQldv36fBWKlWttS+QSKafA7TsmaCuuZStca4TCMiyh1QD8mSicWw+acLLSdKaoFv WJKsCrQHXf53Yjw38IyHQQLAqpp5lxrmfXDHNNNFje29X3eGdQdVZsvf5sjvV1wnP45xKUkeVD7l KJjCbDqr33tTBJuTZqvZ+Zxgm1U53VrW8Oxq/ZeuP05N4gaDbT4bi2VAuPZMKdsRabaAzfkM4yLK A3LEpR3Xv8sQrG7kEF/rcpGVfpG6zQWdL24gROvKMREgFzPd5vxiokXroewAQ/5i5f/eU3MzTUnj 4TSRFl+Gnk7Q9PjluNyjsDKK1gfRQqlynx1qCzY0NH+4dOMm1SONJhtiO4eWElprojA3Qq1YWsrf CSUsrhaJDooaqckEUtK5vJDwd1fKy+Szl4CYTfuIKJjLcA9Qba2J/GSdmzXFDN5G/Lbl6gxLxOJV Vcd06xsrRonveUFN9XRmexo6+99xgBcULYteUvpyhjP9TnkV6fRoc55UUhbByQkf7uFFaZv5kDfh gcChkJs56Ncjs7oZrSwO14fNvnBG4UKpyEKSDszIBEH1ZvrzUHZK5NM+CfKd200CAgCT9UI1pWnQ kzfqtihPGToKGFSCoQfqVCfAURDoaF1k2795rsZCwITX8inae6qW/K0LCr+MA5aOTFgKrF3L0FnS rEJj/DkfS3KrVvdqDkkqF26Cyoku3oT5y96Eyf6N0AXUrkLyairj+luuotHmwWyrKIyTfjzg5qDE 9bybPo8JaE8kIYfGzTBggKfk5gl3Mkw1C7GG311pUjBJjsK8ttUmYzXReYhW7ddoJPPdWHpApkqG Cbajeil0OXlJDRKfPQMmORTRT0smgI5c6a1xdePJTsPMi+zD2ndm5Qf9//nB3KF3J7yq5lAUBFpr AhmDWHzF+won9AK1lDkV7IkJy9Pertg3Pxpf3ElPd85AVH2/6PVfygnAoTvuXsfOHw4/VXcN0cx3 bELUWlRWQiwiBmfE6E5Xd0n3mtj/fSyw5lGXJw/uJEDfVZKCi0JljA7ZyhYBebeb799uSoAiG0GL KL8Puyj5hCsCLFBkNdrQb6M/jqTaYdCs82+nddbAGDvpISasLrDiGIj14qjO2j0w669VJFEHCZLa jgfxy+wC0Ttby4wARD4HgotDTXkJFYUN4n762DI0AO8+mf+phBcYuX65xNp/lIWFaJlfe+4To0j1 CtdePSrDZMCyrTp8osjRi/uiFzhw0NDTnz/b5s353gSEkeOlIQsltEZSxcr3yeMlG79BDIl02PXM Ea14uxoKd1U9Qx69c9HE4QygGRlqfWatBASFSeWLkdgPBKKyGZlPXLtBbL+erPpuET4bS/AMEZlx di2Yt9hFalywGE9gP0nKLtmX3R11Gyy7ExEikIRl9RABR8tJ1Cr3X3cig98oghjcZZD7RPZ2Sdmr Suc8t7lxwomubfAgSjb7KkmP5583kPdD/5WJe2s6GyuHK14QdpBJV1kXAfcgYPHNKG3w2O8nqCIP ickAaB73RPchrFNyaiIi24SNC0pMM7mhgtQYyPEYgnFNY3WxeIf9ztSL8L3tePgDFpx8SDAvUdSL oq22p5Q+QxBisvTytWVnN8bPKoRyWBIKe79XLbtTYmx24iDNxUEOtJMe88ALMFYxnKAtg4xLhBMt 7LuPwdeRH/aQkwd03+iIXWNqr3xccgBbIdFL4wFcGmCq5nFeCFmeyVGRsOJpfQN2f0xa2mFTT0+W UnhkhOyr4zIxjF/alObWsseNLwFtX8NMlAMq/8fGDO3gK14sksj5pA7LLcjSTvjJDaBd37tRQFl8 nZC4W6OAdhubRYHEmD5D6M3ixFGHMT7xQEz4nCgAFTnLYu5YZ1+SkBwlDPAti6yI2kAavSZPILRp gNFUwBhYF7Ywx5znF2aaA0RgJjQ+Ah2goP6hg3tB6E7UWWM2IJDjKlMW3yGax/LwUYeSXBH7z4m6 bZGEaN8UcWz+qhIdJeQV/YpO20UEZsTkqNohl71zxwvUuKRBOC+Sheejy7s8kI/jwlzYaQcyvaeh 28k3xjo+wakYmca+6qe+0IHBexBl7eE2JR22v48thkGHP4pqqPz6yy8syOj4uKXoNg8JOfM/Sui2 Z9gdgr12Yfi6LmzG+ozDdJFd7h9LEXiFXJbkMq40iM+sJ5oiL8FfJifLJfEsFH+jotYvveuthWR0 ffUeWw56vab+AQhwNVkEQoVdF0cE5ae+eoxRxB2jl1NGIjm2m1NHzRzqAVMKIw1mAQh9wtQW+4Nn utaXMkELouwoHWI7YOQT/DfzIPRIgH4sfXEvLciVyn/Rm5TwWsLqWIA60a6CGm6LIBRPcRFttcfL lKGp+jdFb9NJziCM0J/ueXUBzxcRM1pZN5Y8R/SmH4oLCtigCiN94/fTGRZST5f+Eh/BM0emb1iA SNkCBGF8EpD9rf/ns8WYXSQplN+reWeQUXlOK0ZQBAzaPN0ui++uOQBpQ8b4M/ZpZfQRD4c+qmvQ Ntv1JZMzh5HPsCVwrF30VvWXmJui89qRZYP2K+XZNoKfGFxFniIVnGNA3q+v3xOKxInz5yD2v4zw 9N986tKVGBy3BbVL3Wtx2nIqerG0td0dllT49ptE+GYNmOv+7wwTWWOGFG0jidMCGAmanh8r6b0d hxTEs39YNuro6MTOioY4As9QRnqmpeHp0vsoKihdaR6mYoDVn/ZRf55pS+fbrI2tRKLaiJktpTgO b3bifxZE/+HyFBC/dgI6BOArX00bI/wI9lJRMVmnTib5PK6jiUjx5gPiPdyhICu3Fur8LL/c8Duk v3G+s20unnmVLtSsoDjdLNDXvojMngncywGCgmay5KQyYx/T4i+woj4HeCkbKfwRKVl+5Z6+AcAQ 5VUbMIeS0813OEW9jD4I+7Lawi/0no9lcXxZh/z2RNe4VzYHFrQNSg9Z5ce/hA4wjcx7QQiej4FQ jtl2AsKstAEzGJBzLHBVj835a+kKFZyCXEsYEggXTgcjp9gkCiqrtSj7+4AucKCbVlIBb9Ow22mD XQr333I29wl0/m+fLkCCiquvqaw+7aOhEa7cRQP0XLm5eaRrluCagN56+0CBALEzDtbGOvjiCc7w 0AkltCDBXOcOO3xPgMf7nH48vL0yy0p4es32rD37dEmepNkR/h6IW5/MetQrapxd1RhOekyeqcsX Mis9L2Q5dielZW2ff2iiJej6VAnae4WUsgo/1AjKf2Yh3r1VZL/Vulb4Fngb95I/2sDKN3UDiIeA 8/TZD/+Jxy4hU8AzT60LwzlKwGCEnQsXvAWsEq1vXFGgI+TSORK32Iyq2e2O7Er2AfBT/6POEY+B vQ6qPmYeHvuUtjAfq3CRu9tfMZeWlu6I8OsIAYT9AatxP85JudYneHYhPDjal3VAX8tX4XUwrx7U YUwepYiZvHQLXshaHBsD6qhyVFSS/ahUrkVswkgwf3H+HgYTW53ebP8uOkY2FEXzG6+goFF02KRS h+DlumtwCDUXQHf0hExGDYXfRRxDBta9jEHmyI2HFATeN7Vl9IoB9UcWoFpAKwwCZfcnGl+SRTMH YaXec7gQeq+CF98JgxX3h+BkeZpz9aXlS84pv92TpHj3dySYyolC1P7BYsDlSO2PEqi37EnZkptA gxsiePPAgxqux7yQAf8L2BebYykfq7tF1DHPZRL4kzyb69hg+Ad1BR2idkadE5jsfsQbTNrCd57L gxJlim1ws0o1P1/3vaT/ep1L7blu+yH4ZW6jXZYQ9oaWcbXkyWfy7iYRKv+liwZdRaOkLMQaV8Cg H94/r8rlWcD4O1A0EsHYbB2nZSypp3dKUd/COz8czOwLNc1A6Ufmb8pV4L09ACI2vGEez50v1+HY R/qWDz/c2i5Z22gsF/AEzYFYSzjlThGu/zBtbNhM+2OkjSwk7L+TktrsLY6FnfiXnB2fzs5zi3eq PwTvNEvv/HjLy+xkX1ILcY6V2ZVBS+1TjdZVuG4nfxLVC08v/DyhQJRD3Ubp9BkYPpc6glO/0+0d yg8UiuEOvUYuDT7Wm8TDUhK7OzlUmwBp+J9Ixa32P6J3fPRiw7IHPTodJotQSwxdDEqpm9adYNTi sQqsrJo7Vgk9PYMsDQUVcY0W5JCVQ93hT/SwzKvNRULP1ZYFQbRym/S1IzxwZaFS3OWN3E+UZzh3 teB8Yd3SKwjJHXA6B6UnSYrxogoh6eH965j9uFM1hgRqq2IdgopWa57wxrhJDjiZa1zAhtej1K6z 66csQsM7hBVw3NINvo3pMgCkk65tTtfRN+rTUyJl8L9CBB1hSe/7d5Q6+L+9YoZdsmBrGl0Hi78m wSEU8looT4115d/ej6C1Z5Ox6dVVf9vCmsG8R2iAAefsOfMzzaGJhGHcs7PGibnTAvjW1f5rdbqY mhOyRw1C+Vt7SVTOiPp/PdUTIizvLx+gXs9uxzXKlleij6VoJBXxfxNc7tKKzyKqnF10y4TIiqTw Lyf/29y/amx8lXUbGZuRwrfSZdyxBUUYVfd/47dVmPw8dEnoUqTAxRGhbXnFYt4qYgOBuHYqJuph 18mvgrxwShFI0Lqw/Fnxj4ATPULf0WE/jWcwNzIlkbzAx5qbH/eLN9Bkbn38Auq1wHqaob4xw8SU bsKWqkgX5TvzfNtedCVMoWjqXIY/8rb/zLp2+4o4iUTvlL5isp/QTTtrJ1b9UUSm9EtwQU+Sx0Ly nbSdWJrpRtPtYiTkM+vpPc+u9oSkdOJypF4Gn3+AdWXwlyz+JtOJ3kENBPF1LZ3L6XngBuzmerjT q4SLv3xJKSn84kn26Pebwb0OG1GGW0bVlF57Y2C19WO+/cvAYEFaRo3moiubvCaqK+aJlPvsNlAr OBONdF+tyt70IoUsbiZrxVFHP3iLTo21+HtXZr1fO+XXOi48mZUjVDEbnhyj8oQUeRBDAEfbzU0V i6kFY1A3U1wFHOwhb3dIb6sJx7/7jBUxeecWm1141CkzAeuidyRUXqdxRWTNW+ccgHynEaTqHzOR O6AuObsV7eGuTcjmbl4lKVmhp0EcWlBYYE46Xj8gWU361W1jfQhBWO5w99JH+dZsHvCIuj4C6yeo Ve6n6fnp+pleB6HbYH98L5wekV4YGNDhaQrphXScj3TmxdN8am5xJRAfywDD5wxj2yvuAH73txoJ mHh6Qay+BbdbNH+vJv+UFr3zFT2f8uBXaZSlTRll+4c08Tt65WcvpQ+IrOuVBft09kXFLYP+GEUq 633cULWlq+4cacXOQdJ/1J0kB6nIh9gmsQMcO0agSSMrpTUnvY0qZTXWFlfd7FGpIP9RT/H8oK12 PfAW/LTSKNG0ZeEvdnvcDG5FnJfe8aYCWIfqioiRhw4IdupOzsb41CfOKUOvTV37B19+988NzBCm XGz4lZVbvh97OLEGH/44P4RQRhA6eqecuqhSHQmIjoYOHldN+7gjhUZCl5JC1dK/F0jb/7kJQVvJ vYWLYUHu5R11zYxllAGtyX+GB/O5s3pmBYGvQsQmEpWak3PzniPtt1aQ/5GbrOvmbQUSkzCXKlL7 TOdiAejCrUeXoaDh7A+U43fdD83AOrfKXHX2cn5IWUcvkPcnj6hDQFqIK4s8SlqRN/IOod8VTfYW ddyCXvpoc4hvm6uJyZ0gKZGdKKZ/4Raw4mRPmtkUe/ks2O03Fxo9ZiWJi5DCyFSlpF4fIZlMi0Qn 7XO+7cxZ5NaH7XfbY57+R7wBtvxByNF4PTLvNmXUFdwn4Ev4P76IqjX+SutHWUjOzKXJrzgBGWd4 f8EZOhW8e1ymsvaua0mRGx2T0JcMCzqfosD3cPF6fb4ft87pxp0IW1rRId4rrT9jLHsHjMbwOvlI zaGBHD7gJ2PtkNcROrKMSFKA6grxLnHcNQTKvHy8UqIZEDvbxMrTaH8ehbLhmW5v03qrnUFHWcOa hDxjhk52s2GojhJ99L8K1L40WAp7TvKCbINgIxDrrPL9l0qa4tbFTKvMqohtZxHcXtWYhOPz0yZc oNUxRNIcM7EXoLehXre9sqmIDY7G3KbF/pR7stCtRYqERYOkGIWtSb9dDeGWc9FNMnCUmU7pVXh1 txqM7IJR1RewEGhP7vFU3ujwghIkYdZJkiZ/jwUThFxT3UWYaMzv3NhDqiBadMA2p8J1Z0l9V49r fflJAgdM9ZueiYwJAZB9vxkUoijI8pe4Vfutsz0ebe2Z3s2YKUnm1jwlYwdZpNWw5tPww3YQtdug lrqnqzoxKMiAeiJ60UKkc6oIl+6Ay+rK9SwqZm9q2ttmLspbUby/DzC5eQZ4z3ahfdwSfcCpGi8K ClKppHrlUEj3JeQgDnoY5wGntt9HB2KrIvtPTK2NIBD7FY5n+8oSJKwfrWd91V7t1WkC00ilFW8/ p6D4IYiXdeanMEM2Mq6T0gSbXHniATQJ7Y+N3/J5XBcObzV28euTuQEBGuXkFtsCcM/weu89I5+u hjopghCT+N1hzog33gFV9oTosrqjpJg/V7lqOdrMJY1ek+uvNgB3JftbxpHhTtfBPwqAjMz4Qk5k 1LMIyBfT63Zc5sDLAtxWnw8O0uE5Nw/bUkvagwKKQXG+AYQvsx2/P2SCmW3Rp09S1ENn5VNQD641 jv1ddsrqGKeFcWiQ6XbKXjK8nmpOECF3uGb5jN05mTNJjej+HBBJrIdalJuuzcuCx8yJkRe/6oqB mQnURidYmkbyzmHxJMl8j3UG5D+kh6b8PX62ot92tMJ/d30O3Endb4ZSBZFqQ5O54ZTeibybuATW PSgk1IcX4XWH7IMn8hn8O1jd4VVhR5O949O0LjpftLZtd+QsJ7HRAt/4W7te4a8mJXystGWf/MKe ukWn7yNNRQZcpk5aroIUiNHmLjesiyffPofAZAh1PcSWjxHFXw9jtHowrjZ/kEX/E9TQD6/xAQKr +c9p39/eOQxFS872M5rvPmee9PE9cYSoYWRVDkUCEZs7G5xsPSoh6QIUqEbaN7vFo3NY5euE5k98 WMH1fFiFO4CV1IV2TYUK93lE2DMsMvnCFU+DwpjMeJudLrP3HQ+2OSNJgA5xldhgOS8zYsG3fzHy 8mNyz3zTqUtrX7tllGq/1Z6kgc271kdS5DeVNGnfjZP04EKiXQcR8WXU/NaZRapOCTdIRp8Dkx9N Zp+1mCroiP2DfIlShNfczbFYeMjVs3zyOzN7u4d3UyRqqHTSTYuDx7dY5tsYtM07taRVhwA5TOJC zcAbPPIC2Ehs8rVtd12CQRM50u69p6EdgzoRwnVqpeJRzw75xAqUclFCd0u9XBWS+uv8BZvkPfzy CtlmDgQElpifCS2nz7n1DCI3gvLDxTMCtQk58zdmiHVm2hmjhnerdNFbIb9BWs4BJLoCVSfdoBbW yr7XmjjDPMCU/E5Kmffka5M6EJUHf66spLcOwJ2Cps/82Ul/ndj1Mfiejb4hHq3uhgu8RutQC13x JphcYg60HNQkhAGN/hYA/2RB3BaWI5DPjGxqkfNxosm45aWwEa2f5163XccsZpEEsaD8u+ggjA7+ ok9I9cWTmh4QNHq3EeZEaAKhUqizO2L5uHRaA/wfzfNPy0ZGKIJr3IFPd54S958JyYmPqS+W8w3g Is+peAoF5HRoXKr1qYz5VbJoT4YZqpDDcOaDbP3gJAc/wOuG2FnzBmhSDm7UEdDWYietPHUVEDkA rq7+1smzv2ArNivngIZXwtC554vfT12oOSOtdmcv5B9Z2cCnHJoAv8lQRwtIVDMEiXPe0O6FSTYz xpmkgSNc5X9qDQwD8G+hChJ5N5G/66NYpvyUkXQhKvKlXy/37rIqjOZ5+f6Iy9lBcTvsTE103Il6 uplnjN/TPxdeveICUlSRZgqkSDWtlfF6x04uTxAgQzV/qpaO0ntB7gMvbUPNBNHvPUvwVble+LAJ 5gqAI1O2cKrp/td9W5/1+hHiwhepB2wRLZajjd70hyesqAyuCupuWUTJ3k56KPljAmJaJrM5+XUQ JWRRbbqSW9dTi5XoRylG9N/OoAhnw9OM3HjS0adFMFN8p2w8BpZm71MGjiyKa7VWLg1ZktTWAzX+ NDc1Rq9XiBMmhCG/ZRQ+jfLx70oZkL3yrj6IsLZQMftrehARkfS0SbEiDb6ZlBG91SKiLjO8bANJ VmnD90uReBnpWynAv8N3RH5QchuaY8eL9vkLtp4PU97lW3Hk32tnwD/vK6Rn2Y4GBEfeGecAPa9P MMhTKDlqm4xfpaXtvIUp9FU2CqCKA8fHR1lc5ATQ6bIwssMxRNf71p0f5fvG4hBqp02UQ4gJQXKz Jta7nTL5cWN/Mj/mqaXEbIbnUkjAAzPUJnUxQsM7K50R3nQ4Lm+RARcwfGAFW2Tc/8RS7tGBtHlE dNETq95cG//w7lDNUpbXyk+edcLCbYbIyacd8xv/Y2Bml7zGxoxmkQ62Y0r3Dzf7yL5z7q6GvsbE +qY4iQZ12XMY+3G6LXMUKUsyQkpMJBpbBYGHoV18ZRQgTHNzra13uM+DKW2n0nFJeUadaBe7akbC fYxUSiUnakaLFHLlTtlGoaM/p9v/r+toifBW6jypIngETOWRJCAiGEUaRkMybDC5/0MHv4/O7kws ZQZpSznD0ybRIlAzijze4tw6PuTB4iXVWbZhkuhMxUu2B1F+4wPW5VtXRVQHAH8OMbzfAwAv94Vs wYh8dV6/3lxV0v/VVMGtkF068W71NffECW+cLLOzqnAlR6vlsBkBTyO5YMQQYObHO1dg0Hwif+Lh yx7akpHQjKe8OrLq33hnXzaazffeQO97pJIZoPq3ZFLY9GUfZwD7BfcwzrHvJ8AEd1EAaord7dEJ yM05uOy/sXPWFJInBDLIZa2RNI5mpJZrvsZddpb8K2/61V+Ob0pgKeouBExqDMF1xBbDqasg0OOS PaYTT1ccZnBFivZ+QJtMBaRiTtYel4NfzBHqQdt6m+pM+nPEzCirHb75RTw+hpxJ4lICU7Rqws8H xjC3t+E/qhfI3YKt2cWmTpR0+sq1WgXqP6NfNG9YuIZibifCmwkNW/ogluPgCyfK/OORnR+8Swl0 twXXX/7n3QkR1ic0/BaLg8RQ0ylDygjcDLynE7nIJLsYE1pWMvBEfKd4EI9XyUuZtS5j2Wzgb6hL DvDd/63rljtd2MHNF3p9hI/kcvA9/w3uzZajif4+tZUxRFypjCQiHFnUuFmT1xjMV7Hpdmlg3xgU HkeOf+U1HzoigIr5lA3iA+2kRufh29X/QkmKkM4XST4e7x81UVmQcA+Q8BY6N82WD3XIhapmKpvK D1yYUfrz9EXLVHhlET58uc0ce++728e7rTijtTsFPI6LZciZCzcKzSbhyZKHZoTMXzs4/UkY63m/ 8XBq/FKJWgT7yab51dRPcStFbvvPNw35Lz49w6SU9C0HHuCiREtJLQAO9jj1g/jtm613M3rVb7fv thpBUs6HTHRoVon9bWIYTEP9pXJGtxXJ4NztgAxTUnToPNsm2Av1osGkv0C1IVJ2EHed2hKeQLBc m3z4jvko6G9hPwkQ1MSKPUmQm47CtBB2T1y2/W3kFHnKHbBEPWwDyQitNaOT/yykKvcli/5miwYu JkcrljZGgPN36KCrU/DT2bwFHFCRX0PwLfdZg0L1bSfNJQSeGvC3s+LAnRgkoMQdBKGWi+uMHmgx hPz9rTS9ikdmotzS2yE/gFX6AUfwRq5SGP3l0qyMjVRmnLdlQnt2ySJzTlt/jrvsqQf4P8WBQ/TY Dovy8KG7VIfJEjwF80Zw/4RZWrQM2K6LI7TeIvW3Bh+xkDvzNb4tX0ortI+yKs/eOV5y8HTJ3y7w nmaAUviK548LHDK+rdTIP9NvZpDKhAhsjC4GcJk2UKPPVmh8XJo5NfxlSbtlBiakI2ZBMBZPMQdp E8zNfvJ7Xo2Ek55QbhmsQLoji8xSHrztj3AasOoyc1e7YtFUCq7AZ4dzayv1UfmVthpPBd5bekGR ujMR67K0YkdfBeS31C2uVpZh1KmhuR7r07xDu4NldK60HqcRJL9PIQLlf73Ul+NOK73+DnLQL9oQ S6WRYKyyZsRnwUQMlGePH3um74SvFdrPpKFSDA3MQB/cHMGGPoT25ouUYuiDc34yYaoZMDixa+Ky Wo1WFmaVxhN6SxFU1kSLvpjUpX19gwSptVqDXsuPiYsuK8nfxR1cY9lzYDm8moJ6qDUfAvXh1I+2 98HZ1mMq/70qmL+QnH6h6Ai0DiFb/KSdTzY6IwvIa5Y/DefuB+yolatwu+1cIOrswo//sC+VNDLQ QM62Ns82U8ZwZ3Gyaimt5cSpro7SY5cgRchBZAFVljm9lNHBV5FnbSnJO6hiRWVtUqv7DHfyvFkK rvknUhn1VlkjD/GjoW+WI2VsFFDS6UaFX4J+6dxkILH1KbJ/xYDdi23z6y7vk02dNW+LHjqdIjGu 2TFB7aeEsHAZ/tRa+u6A/jy73S5MB8+aIlpGwwCwOBhUJ4k1uoKgrsX82Is8LaisT0TzuT/64hOC cvZXUmPBBHTNeX+2v7uV7iEPRKJ1MH4YvdHv3QYksCTNrLX2rW5202hEBrqmlIbOBnRkudwtFDL6 lYKXbZih819xPhvc5M0da6Rc3a9mgjoKUr2X8DM4QIQzfirea+rqHcn6E6k04Zkad6jhsGFE5I7x rkQJ79QKTspYuG4AaR/rEvkNArm03JEayfO0b7O0h/zmQDiC0Jliv1DoaRnIl5GP/cG2/PZpkunA 1oyoSe4+4myI8tSA6/xp/BUM+OzMpM+UE+sQOg0N4/uQlHAb0aogZGv0i4oDtWKv7cOhcpqf30Yz Y3RRMyKQ3yaB0At895MWBajmoNMlHT9dtKwP1QGaKervXg4UjhTPNpxtFMslWrG96MaFhbtN812g xRfYXWyiPc8F2s3uYjA0giS1Cz707q9hZZvYAlXxoWs/FVecPAeBNOiaeaKItqt7f024bA4Q60zy MclPkPRB0GL0psef1mgyYEhYCJSQMH3rg/PDLk5lFxzFQReuGAsnRJDHUSOLHu2QByt3v1cGrUYA qDOtRS04c1pUv2IFZlY1g3NZdVQ4iCSKWav2WivgDmhG8WHSZqgj0PKmOLdtewJWmK9P5xNQg6Jz lBu0h2dz4Weau25F+z8L2hRxij0r/Y9R5np+ChUOUAF8EW2NGKrSM3wtlsMvBrviMNCkbM5VIdoD EbU13cckpMENgINcwI2gvV+WrqmsaXIlZ86vChmNsVNQ/0dOXA09eFGg3rxInYNZ2TUxf1yLZ67X eniGYK42+TXiClVU6f0dk3qKN0siXYt5MUkv5n5erqv5xry2ukAtZ6HAC9mcLKsw9w9//+pcE70x +Je9FRINyqedgN6gisrCd+S359dWUYZNMXDHB+buJYPrzRdkdievugeDXDRSJb7UCgEOmqcT2UVQ 1nhhhCoN+f2YRZJZmPk+cRPTeIaQ37TCODpXZyP7awz3b3zj1AicbuJPWGOUtFZtEJJaKlguYExn PGQuj640+aEhE7of33vPtwHPUB5b3w1k0A/q64H0R/5a/sCpOZicEkryXT6xyFHDtcdYh+zwHFX6 EfQc+A/ytRBwmF59KUNN/m7qbXBd228a0HMOZSjUDtgGMMQdDhAc5CkcQN8sR/xwvSIqiLDuJJwo AdQzFxaWJWxayGzkRYweHGkueJWL0MarWH7f2e/2VtVcvV2spF85VJ46OVxeP0ktWdGK2qx8IIHF mYPHo7vrB9ZGv2+M8TIh0BZzL2Vp4KEGdY75xLsOQ0VB92iKtPUn3WtPXwnwDCODqe9YBOoqaJ6z D0psDepjhJmM8Z9yAG5HZ3qc3ESIlLD4luVLMZa/tCRmV/4RUcYjFFBwogdCp8yu5kSsXuyQzXvt 17+K4LRTZLArnpshA5MGrl0+7LnS2oseQ8x8IhGuKhOyJirafd8XqVJ1zK7fRLdm+tM+QLS9XPZt 5sVcdf6t2OBgw1hvsAzxRBXcGY80z9OhTP8gBcu8oErmZAWai8JEvSVkDgcZjkntV8m7SrgTK89f nxhaHCRlmMZS7VMXlQFYon10ZVHTN42WRQbrcL4kEy9n3ROMKa2+plGk+2sK8maQimVsOEzzJBfI CB2tGZ25t+BqNPnhWnEpR+/wUxpVe+VcVE2tZbYD1VwW9/nsBZfG22Kfh5HUHB3mpfPoNBxMldOz XqExXZjRaxKUDO9BLQ4zsHq3p871ryEDqnJTn2T7/5mM6lnSGwhI0QzyZqD3EjfldRBG8ubmT/F1 m2PvyWZ62Z9mTZ7ROWyelpKXIym8Z7JHxAcovxRJSnHpFMvC0MGguGKnH7b35AY1BZ7DBT6hPXxj 3JgVRAx/W65OGUO00aTxD+jlS2IB1VIfSJwHkkxuKZqynqBhd+VgInujn37PZU/JxbJX27q/MrCQ yuxzXFQNQM0Vz4sihnPrN9VgvS22svT2VLo9vmoYgqpghiuap97avAYJFqRRIz4pwYfuqszMfglR q6EVeFQ3uaLLUqKLkxHLo7C6smM9OhotTeRbPmvfJ5fqbstHZHkjbN9/tmK3PU7i9i2MJMy/zViD IIEtAXsAuGMwHoWVhaDkSBMVVcKXHN9nSOBL1CDM3ggZIZHfpTwvAbPzn65WbemD1qQBUeflwoXG 2OBpL/lNRPKaDccEePvdKzCXd4Ne3esu8ovgjBIs5wYX0JISpWFdM/mG5KvV9dLjFCStUtO04mPe GcBhvsGLK41Ch/y1Vfn4pVW1+Bq+6OxXbmuCZxK4nkQ2z70YyGSFDlH2inxQonI5T3+JQekRsXVb cb1vNc17E7AZMMex2LeRfzFJ5oIC7RCtVp2GXNiX4uqm9CW/Ko1POulCw033I0/uql85ec6ipfvV gIqZHWQw7pw7TTkVJ+ISHvoazkb1kpwcx2lcfUz1l2zkqnQf6dgOO7qVUmzcQ3bygNFEWDdhOORq szv4F80pR1Yg0RyryF8EIY/C0AGWyrOwnTHGhW+z+ePBomSnWO6NioTY/Um3lLSeJlYRhJ7JyPow oxe7rkKmbJE5DcikOqccNhnCfqfsOTCyO1ky7Z6e/BSpHF3K8q5MrUOiPsFdwPBEcgPWX4Zw98Lx +Cl+Mgn+GzjV7DD8tz3NJpIct4gr12hDBl34r8UaWjeGKDAOdk5gUKdasW6OzIOUdD3UZEiv2i87 OV/N5X0G+VCAEcLGjYyBYySS1Hp2rkafdwTHa/EB7BbunwhZvubXojB6VTuEo35C4GQsKYyUTcMq sg6ZWvO4IPb3GD8UCph4jCpSyWZG6AiHsuyEr2AVLXuRzpiAXQHpydDHkLbbMEf4noUGfh4hEGck AQdpg+0XHnJdl5e+SuO5JZm/i7LlWz9gP6DrZpEhMuYpOkJwuMYuy4vi3XzndcynBLQtApCb+4w1 hlm2Kae3SPO06MmX2vyUAKXYBiznlzFzEaEVlCFRL9Btu0szBH8T5NlI4au4xlzbP18ExzyXpvZ9 m+oo63/y6Nr3bzASPyBK9zd6VtsVnqBHncrE0JLvzIqB43iYwCp77sPC7SDKKEMCVVXE8mHQADHN F5PRsR/ppIgG6T65neRIY/ObvoPDf3X+BYJ6yCHa9BWqrh0TXrNLLEJEVVlt9UbsYTYjscMwWMH2 ApidG+49KOhfbZ1ltAXDQUBH70z6Tqy1Z+1/IKek3upqUD8r1LfqmLJl1E/RUKQ8cnCeekamGSq2 7idqBub5zkoqmx4/QCbAQuWpcsPKO7TDtBMJDKsIiqI0+A2zCU/9odVeOtqayJB5iZylLKbj4IUF clJzz2QRh7DCawFe7Dsq3e9fSN1zT3LGQaDv5Pex61BbSHk594LU9xW0tiI7CUPYSdnjMkFtVt/q cBT9GgwIyldTjwiI3fcvg5Uky0Lz10u7PDRkmTwsm1zbUNkkbuEwP7LPxC/IeBVn9yWm52sp0myd SmL5VsVP2IMvK3NjE93yx8ZWdi4aXpt+z/rKERFzlxr7YEldScckWRkc74wW+T/+b3kpTZA91lJQ D6X0fNlj190KVBkAs8b/BsUWJPX5xDF7MEErSC/czKNuLxMuZQADqsTUJHRuAYY8uSAkYTsxZJl9 tsRIIbzhg3OCpKGr0AwlsOKyITM3JVh7gNxuTxIiOFD/I/qWn7w01e7Bfb0UQIT+uFET9CIQC5Oq BQj4zDYXarDnLKKvG+YajDgMScjlS6ta6viLydTvRgVt/UQA88mRIV8levu/fANdV3uy/RwDJktu 0uekUl6WgJEdgj4qdFojLBW8lg0m2krtqhlxQxtp+DXPFI5VLbikJW6fiyyaI3NRg9UQilpXsYNf NUGsJiCoy/CrhQ24RUwF0QI5aE7H7uxfOe0uCDBInRJc/TUg+349fUUV61uuvkqjG+6mWyIUEJpE L5vtMAneKGYTsov05rX2m+7JUXf3gVW4BM1K3VqD64y4YPe2F/iY1WRAjkpZqXFmO0KDEysJoGEF 9MhF9YUVtrp+HQVdZHbaJ/CGAV2/FoKi5eMycFFqgijvkZFcW8DDZd/mw3//tmQHuGm0Ebp/zf5+ yXQ44s0j6JlG7AJ+AjPCvDfs5lHxL1hwt1gOuAL3/ffgR4h1AeE3t1Ba6yC41/GHIcJE0yObIIV6 b7jDOpd/N3xDpEnv7CK5/IsfJDVRYNAub7QQ2Adl3MSEKtPQ8+i10TnamF73IVhhUNKHF+skp4TD lyFPZmK/qDm16F/7vHLr7ygx5rorbBa6VX0vskUSnQr0TyRo18nF4WFKJSAjBI1xa+Jrs6KYlgzM 5YY5KkAVDcJtjgSDg+wdm/FhXxggAXojAAkivn+2ehysIiBeppc4HIpzify4sfosuA8B2jWyHp54 7d8SliFMgMbGSo9AKbCBfBcyPzbJeESYXJRNecd93grLY5veD8lKaksIu86YDtSSP3FmdpoAGm7C 9XsfasGe5tHc4G2/4Zg6RKVIvLUIKubBbJCg+apdadKozeqEKqMyLaUfIR7ArfpdLWb8pJUrbff1 L5+jVDANeYYqYEhPa1KMeEudn/xa/lmfl+Zis1X8so3QokQmjp2BosNDAqJH4+7rX+BTT542R0Z+ reQPzV5A54QiaM7ZsRqrzslGdTrRJ+LkxGzdDVw4qpw/8rnyzx/txq/DbdmFtzb5+hiRuupZTj+r bjvUeQEcd4Pym7yS5JWNInerduyiJ6fJpich4sj/tQmvqEoDwpWQxlFS5TyRDhNxQ0eHot8OkNSy 7j+6P+LDeYnrLjj9NjpxDwUCMO2PAT1v3CKis3cm7RiYgKw+YTOdelaR2+RpSyBjTp29/xQ3EeTM IjYrIpRK6Gq07B1OrZkA9umXLarKWI1MLbMlF5bB61CbaEgorHlZAHaBcixRax5Z0ZdIf1y34X4G GMOhhrF3fKA7iwILLqcNLsn+zsP0DguPblQEzftBswXnMeB5St3vLvfMMZGbhHUFLvYxvqtIpdtd 2bLDnTzmABgP+loAmtORWag8aBIxpQJhz6+Jk7z56TKjDPNBDw5DOLYHhVXG7XlMMxcWPD+3VeAC Oqd3APcLc2/CHTMvYlUE8bUhpFoIUzNz/LIa4OF0RO6rpIt+zpi05QCc3ykeKQb1D1Yw3b3WIc0m J+x0uv+/4VDzYL5cU77eOUWGbGNIMMuq/KGlRR0c+3VkmCb8WVovtzQwWOEFNULlvoxXTR77suiU xTYwJnBAGlWjvgevFUpf+uMt1Nz7BVMxtmIll5C0omP/Z0lEjqY4LPzFP1H7BZONheZ2LmYNDLYV zN0STXhnMmN2E0qr1EluPdme+bUwbdVhneixjgGgbSmlrsTr5WyouAkWHDjChF/PO1fFOvTxyRwF cPTFoOojWJNXovPcUE+Hu3bw61s/Bn87bfEEg5KgAuxdH3R7AyWcD6DiPXJh8+thormuGxSilHGr mYnWp6erXYyuTbfDSCoyd//jOjUXN7xcmAULCSpUKG2bNZykyzl9sfHjijSES5+6pIHz6ZDHbtRc uSqVgrpti9s+qaioVUyE5BKIFOZalDJcGABglZUZnRz5oChUdHI7Fpsu7PfKI5/8wJYSwHJroxgx znNSrrZaDBsYB74t/pRRTV/rOmoMN2/V2kI9DXZj9szHHJIQgPZQYpBgmqPyHDS29w3fsEeUzrqf FDTe0CEEBUaoTbjmVLXr/KRc9Nd9V0BcoJ9QayX6swghnLPju+erffFwUwk0mcYptXSAbbSgAah8 UawkTY2VHinkvQzLkYX9dom93XWsr77gGZOYZ3vAg97lh27NKSfL/y5Bi5Lh+A8Fg5sHB4LCw5Ls Xksu+hZ+YFU1yP9gN5QmhsnY11Od6sFz3FxGocLd4PE0PBA3nAYjdvKdgy2MFKITQfTyJWIzbWea C98OjKiGaLfxxRQKwZf1ocs3Ug7AWWkmKHCa+E7EwDHbUJZFJg8RINfaYNFWFA1eUu33TYWmMC+H +s6Nyd/nPLh7Wtnh4CLZbdAmQbRId1dAggA7oJDV5HCftGJutJo7qfjNHALNZQyI3t7J36bWV2kC eCux3lDgnlVE5jwFWxZUWdgafVq8vZFSfG5+KDwKNWb68BxUALA5qCFmhcG+tFI4IEhTdoUO6vrZ CK78vG7UJi6/LdNCTzSQ1Mf11XZ0G0b3tvAqZqa/aHSNWoYpSCSbb1pcXXY7Ij69XjYDSnQz04vX 0nkSo9J1+B8/IcPwaMAnPMTm07nEU5Sicrzi8fe85Rz4V/96CqL5wAFk7ylBXMkqUfg6bllXNMVz WLZzCy0tTtZztrd+1hN6eGidHWDEVOUNkLaMXQxGuPd7m3tnkFaSOmwPP0DDy9AL2MPxPUgE9nf8 RJc4Y8OoVA3Sa1aD6d9h6nRvmGF450zLOoRRsZFSgBtSAQGGiQNvdoOD+1usNRU+zkTGh/vLj69f mOjVDy1bj67PU2Rr14vdC4wQzsdJrqfsH4ywbd84v5fk0bfhfV1YJkIOBseydryFAZ/YEh0co3gT HDbqzPRjRf2DlJIEMDf+i/JRWWtcg8dzddybVhMRKkaUiSygr9NpqKVe4TT+OpgD7TNmTEJqP6Zn Llqy8412r7+JRZq8v6l2AGE3AHno4ivDYJ4I1mYFjQdBu6HdDXYJuHcstNudJcMX6gWklVult/hE xz2VgKxBNQgKnAdFoJ4vdhKmqz5VqhjPrrf7DZA8W+iaNEKnSzEyebUUAMO4dnIr6xHid5RA7eeK G6mYvo8uU1Z0a75KTzy/0pkwZo2afbvnY1lk0xFJEqN7MZRYV/lzsa9hRtf40oe1G+liUznqjqQS uqZ4vvA2LDF9GjvjRKF13hn/SS9kVT2GUnrmKmSq6jwh7LrMDxGkDyRwWxCPvM/ritgeuilFO+Yu O36ZIgyAbGLbx53+RVULtsKGhHcd1LQLV8doykI7ga0dg+akwR+JPT/SXhKimWXI1/XTz6avpoDS twhKvgqoaWkTYUxG/z/37XPc35OzYDB9F60ZAIewN6rvCdzBA9Jgbxge2RcyINJvLzcKvrm3/WLc i1BotYGrij3R/0MXfijzQzuFPk1DkCI3T88MiLnbvYr9oxRhLPWt4FzDjCuRfGHefE+neMcHCcvF 3s6vVZ+Nsaq4bcUIpZe05bWoop4Tw+UhvfX/KTZR9bttub8VLrR2Kun/HvfbD0Gc3mZNKi20nl3e mIsZ/PwT5HwSjzenyVBQdQwo1iqhybeA6os2J+icXLkgyc/v34Up4Jrk6QWU95q+2C+V5hUp8VH4 y7xwzTIQCdvBy7154A1JtZCF7vG0+qwo02IdcScnrW1vgYfm5QG5z+XQdl8lTrJfz46g19qkt2Rt 27zuFaA2wig4Ekg8bgF7IzD+6KnJm7S71fYv7BgwVpbr9c0YOIj/1gPklsq6/nmbmZ8Ro5XXcOJU Cj/gU5UDbP3kRewmfEYhAgXy+XuGqos3mFoNnh8dV6m3v6IR8bTFqy9y/p5qAmn9/4jS8PgDQRrV C88zRkz1KOWDuoL137IxzTbO3GRbtgsHA0ZVEkZcayTD4rXepR3D2JdxkTvfhE9iBBl4fM5dYdJG CIEr1VVU5MScLg5l0KOX0YuBBgS0DxiPZbIBSCjzd1CXImS56rJvUFJQ4U16hYE5aSnz6DdcZ1oH R9b8ZFvX7xEP0D9y1Duns345RWvJ7qaGewmW3pC4R/Vv17XcP9tquOuKYwM5lURAIpVnIhtnAWZz D7F/1Fsv4raAso7jL+gY/WElE7mYu4rJDIstoutC8sRJRKpum4uUGW/xVUOTdD3Ahj1nDbyhUfb8 DFcnCjlLo4xG234olFdlodnrcRGqG/yUwxTCS2eqxxxwxZqS77sOQ6CEkFMSMD3Qu3pxHcEp0yeE OxUmSrQn/pGG0gO7mEyCBO+JvIvZbuoFdGh0UbX2LascpQ+wwlS4Xq+LPp/SPn0qxuW1ITsho5ql Rrg8hh8D5YX/hdZYq2b2dD4HyDujI0BtegI9vMRhTMNTZX55u0kPl/HsA3WbdVdWrrK280mj/T7r UHFqcnjzpcOffL9QkLrL5kYL4UkzrCg5R+gBX2Jc8jTCFPuY5zJxduX90h1rt7tIDOsAzjsTerSc hjHbL4psOvn5Penvt7TUAchgyF571v7uayer+RyUPJSOdHf+yG7XPA3tEcmN2+BzkbNviHi6oi+Q 9vPzSpc677E36mZMO41xnpEF5P0IqEsb6divXtcSqQou2+O8zzy0BUPyyjJ5V9QdU3lQfz5QE3Rd Y3sTgbx+ogiPrI2agtMGxHoxdNOK4O70rScD5ocd+k54np5FApGnSGBCxoxF0vD6HGHv+WeO1v6J YoM0ImlKQFgdTtz3PrWQ09iUrNfZp0xpeO6lovfxGrF45E0QRPh4mLAhIXFThp/xokM15RgXY73t /n4rD6T/6A/tWQG+XGpn5qbBWVy5GP3TfAZJZlvWcsBiUiDs5v/zumZAf2a1yCCsG5qMRA0XjTFk XO5+bsZita4xicdvixJTmonlhuOMSB8XCVjNs8w6ICxt7okHSFUL0K7SZbTTO9H0e12kJ/dvxlhE lL0d/HyMnGrfnwD0vgLpSrFZ0X/o/7xibFV8yo5tgh0eUwahLGNAjzrL4q6S6JTy62q9fF4rq2Gi hLjh5nGhhK6JQ/hnZlq1cI4b1rf8v4HnDJQMX6OlV1Sm5cY9aZ0v1lpplIV9zuzjKlcS+SZqNGIj zWipxtHFiRPJoGsbyFzCZOnBID8/nAIkNxj1KEgOxzZ6daELyL208WrAF9q8zgFtc8yL2027Q37j xbB8yvc+Kdl+zSxE1tsIk5lut+yQ0keB5la0FDN0njxTTUzk+QsHMBgo6HsLip7jWTaejvkH5GwL nhyWVyJU5yALADGhHhvwBoz+ISX37yHh7TTAMfKmZb6ihzsNR5cl5kmzSpqdleX/w/rtyLrdSO2W AQHEWpWK2+zkeiint2Dp3gY5O9ZvnyErzII299WpobdlNQbfxOY5nAbXbR7rqfXmXB2Sjkjy7BwW 46gJobvXadyYI9mRK5FdkckdvFJC2sGSuJfJ4kFndhu9bweVz+Dl+8f5x44TxnZFRnl2RIck1R9y N8NSMlHrxFX+b7MvrLcfe/TZC4hZ0M6r0877YqiZ/aPfESnpn2N3GQYyjdDUcgGkvjINu8noaYT8 C3nEUSRSe26GBxHeY+k/GF0A0ovMSRR9Qdb5Mq5uiZmXMzRP4nDdXikX44WTEveLX6ubqRMHA/Ua Dv4nIWVllmLE/+V+cQ+XqiyBFqk4xTvc9hmGWC7FtQmKb0vzmX9K/BHlq4TXpWaGEL48342MT/3r 7D3wuq7tWhAUEI363L9oXfLsSgT4fpFAEWIaYaCoHR1oCl6yUYiowLZ6I8fmYT0J9bbvSlKixITu JaVEFqoyhhPcCJxOcP+ffREXf1ZhZPZUjup5Y8Tcf19IzjDJUfvJkIWQfrKverOVcTwi6YPhHD+T 3+A7bl2Wr9NYhq+fCyDkjy5LcaAYc4jLseVLUBljRtwgMgmjO9TceBp/Qhvi/trXLoaS46SXIihf fA6reL2EONv926G+CupmgMw+a3VquhGCIJmUd4+yWGdx8EqD/DJkDUzbUAmioQ2yDRjol8rNoFUO IDn2YHDAsmZxJtB+KFyW5dkiItG4Qtnm5pxoW1lhcQVze67LnwCRIBQVDT38t2YWPoJYMzlvg3nN UlxMSg/UaJgHuoQHNyapusXnyOrwQ/tbhqyos0Y6NqMqI5AIpKa7cMrWVE0REhIDtBvhr6U23z/g Fghw008FjcetXQ1/dPF/0LsMrKE5vIHG4f3blq8K9W1jNKd97VA8PPEownn5K7N7uyXj/EXYPy9i 7LKrVSW6lCyMENoszMT0jsujPk0pvVGgyRUk4ERTeC+8taoaOw8DbgHqUQ2aHV3NiR6XD7vCcKpB hHQqY4woKur0RjISIt6CGXIz+VnY/oHW9Z34zzhkwyKzc3ps3JS1LrJzaSAynlBKCszRJuuuL5qY DPxAAMVzZHkP59tTai3DVWqGS9//GITCTgIJd5XA0KUW1qnx+XDaG6rmthzyra0IH5666wPZQ99J 1TV3jcX/3+IBIkn+1ubBExwH9tpk/lXdRZIPEN+gOK7V34KnLPF7RJdhlmFVNzlyUR7+OSMzaJH0 C2nVb42QahibuRimHWEDBYgSuk/v4UN2o4uLJRBi/+kjyTUwD3b0vhFbxoOvedJZrW86iJfFdGPQ OBgEu6MhwUVLdmJEa4oyamj1+NdZF56d4v5k8ds1zAKQIfF06EeOeTgYe2e6zWOXdQ8srMA44Yiw YzbJE5HHjZtNnktZwd2FAjMpTT6txToMn673zTEGSRiCmDfYiVbkiEFPs9lausEgvo/NqtvR5wzM nQt80wm1ZE2ZMPUvNpe/6Won8v+MoQBCZMDoT5r3jqdlipnJUdyxasNf28wcajOSa5D0GGS4Thjb kDZr2XRRwk5Au5myWLTI71IObSkf4bXnvZs+XGjgJRYm1c/AFburq9pOPymFh3yrfTp76MwbVOD1 WyHzfLvrj7oWktCp2fKapoYJaFdLJo7m2L2Jt09s3iLfmPpxmRlZq72+4Zs8JZvdPxy26dOK5/iM Y3XplZq8L+3ly0ZiUXLozhrRPyVjglDEe9BEyW8lKpnhlriqWlCQVyZMgjjlGXJzw7BXlElOcBzZ fOjDMIcuiIBTL1usrfN6vqhMaXJVsp3O9YIyDslN8GCaohw4ZUa8DlW0AaXyBeITg5pS5Kybokhe 6bSFrl7QY4Hr4bIH1CH6t+vDMUNMvPgMBhId5z65P+MOagTLI88wYEkmzK7iq0fml5/jDJCbN15U ELWGRZf6OuAa/7JKJ0f3zkKt0SEkB2DzUl50dZVjwrXLWuXbSVdlLU+WB9ec/61pRwgIVTzvUGWp PuQ40/C97qbtNKOU+Nvl1kJHAW9N1XdxvJ8rGrM4Q+XzYRZJ1CIPfVdzGmWzh9/FtfdcmajVnqyR 7DFVJFL2MW3FT0UuJRi6mjs2JFRrhRCGWGoL4yx/IYYaljhZX6pCE1G9Xh3j6b2hbb+mpvWNYMTW HA1cM/TErHRWiqjanuhjnACTY3OlUwdqKTMVfTDH4dhvl/q2cZ4csv+3YQf3xb0oxb3XVFlxN5q4 Vju7fPQKaItWfANKqOVp8sV49PRQLybUofkoyT0ldmGvdCMV4fr7Rbs8GdcrhDNMtRFDhH+9sr83 6Bzi5eB+iGtlnHgpt7IAqla+opgattsrjelIYyxPDcEOH/ZmrMR1DXhWphSTKLQmw/30WaBRKUiO Gp19JuW/mFIfjCK6UPgsz/VKTP8vs9qHvwTZxOH59JVWGfVgzl7lOh4Yi3mfwHUpyurTlnTVz4/5 gY8P5bqN1aCiZ3+CGn/qPhVnj7tJLrsIvzm2qq/QCoGqP8NUdyPWTLLhoX85WktEDmD7AoNK7D5K 1Es5ceHC/g9BuKvGZjtDari8lSxiucvd6rWCfqrfRz1Dc96T6xUDZP2GlwT4522JNhCln843gH16 g9wqvHD/GXNYM9/qLW+I9yLnPRlCz30w8nUmuh0CCbnVZCo45pv/lKbmlpF/o7Gkvb3V9sJiRVjD PwJ5MxCjyasXc2rBWoOAWGTZJgRDi/wYL9O5P5MP0KP9suofFbubZ6mHo9Xa+b/y496QQL0m7FgV fRThLUBPs68pIgWvLIbCnPZa2HLrAFX7qvy60+LARQIzxK/t1+iBYKUqaO0nL1ImxAtpg8qJTuew LNbCiRFZeRz2wNXRXqDSrdS+E5EdRibwjsIICSucDjiM0VkqhD1AVXfeYo7lkV8rUk3YvDzOcFS+ Wo/5cAPQiYxUEjpPSUOFYG4rARyHadb4s0rICh55GISvKP18DYWkN4dJg8Yx68l20dSXhg1X37vV WM+kzcvC/qN6wDzPHaS6hox/EggFqS7TFbAQj/47sQGRGa/LRxj/U7d26KgGWsbLd862/vB7hgFa ovdVNgNCAzIwFE6h1APMGMDEQWpx0sW5G0C010Y4EimA/72MRdKYAKiXEDZkECE2QgoYofW30iRm I6bnRa2k0vl/tDaoTzaUojyDxcBX8PiMcJu6+nn1mVKLLRd2IANGc2drXz9IQL8uUOduE7GeZ7I8 jFwcDrp5HBTqjMyr+1lp5nhGfJe6VHB4ttUmkib6+VytYNmaftGQb9zWS21pILhsJG+zdsfMcxSS bEQEmVIDEBjckvjZosgsfeugDKqBhQBpk/fGSGaL4Gu+V5QRnwyuBunSBPsc2AcBc4+eNTCI4nL+ IAdNLvaH9Oj8bBcALf5pkIWVk80qo9kzLkFk/WbIU8d9TlIsIdZs0nWo83vIRxUXmfuZNjHK4Xi5 KAQvQvDi5l/182Jt5HrSsWKoJlOJ1c1ruoscKefR59VDOJcmGGKXik/fsxlzcs+i8pBljTC7PAcK xMrX9HnwuPgFKoChk2gPAm2cPxZE0iUuahcW18l8xP+VU5kMXJXLC2AacoD2OF4CqLLNHeBjH+XL rlyfZ4wZSa7QGEqnRYO0Sc0xW2ET8bG0t3gmZ2elc87gtDjIhEggqUZAhEdnTDcPBeONSkhdEB7i Q1QnVo0ylpCppv9NkTiy/PbbyZ1olE6loAgwT1lqRM8n8U11w+Wlcp+Ji5tF6UGy5nlYf0e4zIW9 5pIeoyJoe+6Q/hvBRBw6i2CoAkPkehSsrYUNRuiD6MgjVCFgGiVxty88kCh5oN2mLf7ii+JFVrKF 0QPNx2aY00jfMd7lGtJVGozLRBvQ8LRAbiToPJXtgGEBoMp6Qho2MpJoFPwN23vjvoOOQd4CFedQ dg5TdTFpUxAEUVYYg7D+DPxtcZrfQqq8IRXhn/yA02XND1lb08kI6lHAATrBMsNvgzaCUWaQYeJK IQdbGmzPLzMIWhq1CeaBusa595wSXGN4I/nY7UopSH7aib+ItT3A131zyKStkMo+xiVAAe4gkKpB +Sd+muqxonJ4IwbDbIc5ovT+SmS02MBR94CIIJXPzQxFO2qHNpiG1aHlcwtT0viCJne1GeBID86A I0kHMoHtPnNaaM0bTSeBm8u0Jc2XnegWVtd9qYPfJN1WIu+eL7i4JE9zSQepRYxfIBwYGhUG2+cs z/xDQAcyU61mKMOcmP2Fztmz+/Z11M/FV5JWMYowmKd7cOj6ncLCxr0RMPu03eI7+IwAWzOg6lB3 1A1mYKGus04NntHh3KrqwbNt8sGg5V+pm6fz4Gk/uGPgyn/RrzY1srmuSOYA+nU086Z/DVHH7fJ0 EPxq8u/e5ghNScPI3chTp4sUx8ua6smJUL82ark8u6670mjGkpbZ5a6mq8iKw6/HSZMsPYtB92gF PzlsLAto6chskufHIsIaWyaLyifRyP41f0v73A5ggPTDJJhcdtH1ikdAfMrBX9bAzdR58ogwHNmK h6KHs5y0yQBuxcKEAilWg5pf2n/rDDYv1FI/WdW2kbJSwDqZ1Q3B7zD5fN6kGmqcliMwL8JXH9qO ZnZ9VWoFxWz73uA2CjjBv2WhHyPQgH1h/ZtFSr2PG3W+iAMsNf0EvNhPg9uwdpPLNrHAbJse4pYk gk/CbVA1Gw8pqE/cgiZUqy31Knor1sih4KPHJar7NM3MPLVfd9NaskHKJrlDA6yIAODWGkMsBcsj ZwignIEO+5ALt48INEKxV3GX1bBWHZ1O2acTwzP5Jg/iSidv7hjfg7SWK/YjxfebKYtcXsq9Mti0 vAcmnEJtdt0B+xc3ScV6aPMMkxsO9ZQZRbeQ1sLlMoLLAl1OmGeXqiu2nJ+6wejsqfd5u7OdbZlU 8JygmVC+RdeocO/4zwN78mZMZF4Ff04LwDr4s4xSKhkSrYX9kTdXCX06Pmry1F2la2fUauxSjNoe o6CR8l/cAep6WyuiPF+z68vVZXF367GTKK1uOlLt5E5/5qnNspj+EQVl3u52vYenHN9EHIL24BtK Pdd/Fomln958iMJhcrqNktn/OOucEM5s1omG3NsmGEwVwPdrdsCUjpb++z7VLJ8NJSsbNP1wk+Y5 hY+D9Ww5aoXIHZwRXj3t1j+laKTwmuGVpm7m7qn5Z2aQpopVh3/7KHdGePKmFg7VDg1ogslPLXGS CkQCsWeFzSCsYYWSOeg/E78sEQFHOp6Fh0WbzvywlUUal+nxGoVhK73Ddc9oI8ANO7YcYHTvUO/Y 6ZB4KDAT4VYPcv38zE968fKh076mjmzfCzVEEhx9q7JKwvZULq7bAiFOEXMMWtVIwMDQxERbzG/X BNZomk+chgQfScEwDsIr4ixqAjTSmX0cF79wVr9HoQIuHA78W1WeSoUvPkkHmlX1m+drz5U/7FNk RmvJz10ote0+PMk3Jbj1mHeDbZ/0g94JSboI8FVhpUc5e7Io66dkzDM3Kcg7miKrQh+Ivi3SJzb2 4ov2iXJsSINqmiPvC1hQxWem5HZ6Sl50rYK6xGVEgKWZFXn2HDpWxCrH5kKPf0gmonxhAf1Qy/zT KsMkdxlpzbxuaNE9/r8SrHKRoGHK3vtLQ/wtlCMRvKAqYahx9wLJ6c5cC1hq1n4NOyiDEt+x2K7+ q/bhTIMY9EDYoxkCEoXESK2z7KGxmLcCzUPpMmoEwddY7CYjI6LXsqN4K7Lf7cC+L1zpHDedy3lU cZVL1+DCjBApwIR20X3Rou/wyQSuTYsxfAmjFGEj5PzoozUxQ8U7st1Lu99PzSzL2blS96Lhm1rm 5V6eN+ZiBBVixusqigIOZ3DzpyMynF4OoVtVBUwG8IfeabevdMtzDq9zPmGzvQH5IbhmhYFxPhl4 WAyWKz3PFruET+ln4Jy5CfGJYScz4CsgMpj03peyhb0tdeWQOnkvh8U9RCd1DDk203f4DzGXeT34 m2hb+PxJL+iu4h1Nj9NgkKOZ6dop70uwMKMohbTlmvTto027EJuHtwsnr3jP4esKD+pJtIqpwYrP 0ic24jdStaMcCPZK3HPowm2s7xcq/0SpK5tY9ulCZ6htRtBqo9awT6KQ8M/bIpHqiTPZSvDIZzfF 8eGWGC5EY9+56foBUSWZ9skpDQXF7YTnc2y5qjtezfGPJ0IdColfKjErQaJLWTRsIUAPDYrC+k14 NNIoG+n7ZhjIRJJUIXzdCEqhP6u3gYR8tMouw8RCO3bxpgeXrNmcq27eZwsjSwHOrLTkTNTdK/aN 7qpZCxMfOZVgE9dShvHTkNjjX7fRN4suMWokvJPm7MOwhwz4hsUb/9WVYWQ14hmWaq6gxhJXyoZQ oLRRzZEg16mGLMNSmtYu38xht2OJ/mws4jR77w7nhyFJ/eKYYUE923t4EChJgTcOrTDZliMdPuBY 0AEfT6G3S4t6BrQevjeiOjOyQiC4P8zYID9XN8MqF6xEnFBmrtJf7vdl0R6XGXO8j6awwFm1QH/X W4n5FGzKSHEBytf13+Bzk8sO/+6XQ92YUZhN169y4yj+32ZNQ25ZcxIrCDuKzPpVakKVbXy981CS UtpEhEcR2WOkMQH8dbqBqZCog2LSnb2f4ylnx09ha07I/hgCosaX6DJIcFuQNuK7OlfDUSFCL8Eq p6K3wEQ= `protect end_protected
gpl-2.0
f99f2f5e38a89055fa71939718e2a2c6
0.948764
1.824542
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_sqrt/flt_sqrt_mant_addsub.vhd
3
11,296
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Q/0JcanrYN/RnPh65FxF8+TrVPIxo0wjE+s0dO0IGxk70BELAsbas6mJHK7YoN1Ee0RI4siU1JRM RGhPpQfcqw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IdJm485tL8D4yYCTCtKm9rkIWSqtzE6XzNH9XpCBKosqSjr7GXW+2mt2JYdNgQ4ZrxW0sVMEk/KM JaL5bOu8v7LpxrOnmqNttglLolmnK9yRUMZJnkF/MbLpbn/d+50AE55Dm6I18tiVFOdN0gGfb0ZK b70GazgThdBn3jx49Ug= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Q2T+jBxEUK2J7OhfZmaY6UMx7F6nByTOZ68/ubVU554p0PCkKsj+56t0z5IiqqQXWwdKfmisUtLD 3jOga/ERXfNsvE2hyMVbQcsO/VpKPtu8SmSHIcMhADNrviStkE8FkWixqGw86BgGt/GvxwLVV29P evrCpVL76Rxb78JyPcHMXnLbOV94HMVK4l1xRg+CgNrN1qUW8VTXbFqwF92bjCvXAcY6sn23HubP 5QqAWNpgpEiW0iaJMCAjUR0o18WTfwvONEXktoUXLQINZRkEKqjLda42qJ1/rkaeAiKvZ+5juZsL wBM7lXJ9ANU5brX2tohdcD1glfPk/Eo833qxdA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VVr74oDMypvCtlKdhyv438dQZ5FQ5kLOw1yj0yaa7THG0kyDDXp0XhANnSSlDrgBcjRm7L9hfQrG ZtipkSl48oKR5pLPXtphhqzkOm3r3sVyPqYdY/vJlAI1dYSunyjXlcWdSkK/6BAJ9d1xLczHLKtC 6zMKCjQkLvWKNdfmgfo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DyX6jl5NH5eMcsmvmuLm7uvkvql4Ob3lpcGjUbtqe7l+mFUpbJPlUzBIJrwTrBqtigCEWdFt9ByD Uqel8trtgfufY9+18ySenlPUOsgkQBfvJmi/F1GVvc0er1C8w5A9d42XrLavyV7TbsDtZbxJsOeJ 2NHVo8okOEp03LZ7dV3ur/5tCNDiD6dbf0Mh1GSNoKEJbhacycFxoyS+eUS4lMFrf4I4cYGK6ykE cP2UxJhc+US4rQ3NbP+iaUbDOFiD0BHd4jOD7sahTFzuZ5zdh4UBgRNJg7ouBC/T7lokROt1G4jA p0bi6sh7tx7D00NbRaNH13p8mIJQhfClKbnfKQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6624) `protect data_block Iyd4kJpr7HkHtznltUv8inrnFQN3NufgcsEJxtY3jW94ZcNa39t0RwOzZ650vTtlylf+HcPvpPTA /Lsbdf1g3Xn3em1JyCCiqenxQrtnZ523CZTvo+tO5dSiNSdl+/AmdHyE9Y1Z1drlQX14bpmAhVC+ PLTKYKmAU9ig5E8wss0APZdr8Qwx6eLwJAjNflQqhUK/U2MVNNzTBVG4QJvwK7Qgp8j4wt8GrTuD 06lX338xonvJzUitubAIOZFeBHWbIk8fwHBrgn8ik0YCsYhk+8Rm//48WWNHgY45WjvRbJbreu0j sNDW/R2TDhs7lboIKYRtaO4AdIZ7fJ+JDagJ4MFKyDST5gFCIFI5ha9MrNuBFsHk/ex9vDwb4D/Q U+wXCL6Pnrs6oqNPeyV1no4haoyz4DrfCmHDfp0pa56YAfvMOMJOeCl3rfLPEX86M65/HHNAttEU o1fDw2TT0/fZl/rnoszMZK/1peQJlJbIlI64tGw02JioCHgNlQxp5zqT0OyCxryZzTgPPSJrzePE WWqUnvr4rYk6UHW/C9ixMF3d9Q2XP2UX2mSWdUQsjAZJaTXFKiJnaf+93PZujkbocqfqoM34872N E+fkJ7oglqXaO1Y8lWQjXlG6+G6WbasvSCj0tuA9XFaeV0US0xu9sVtrXEo7LNcx9WfTI/1WCM0D M1jvBsSy6WGcr2lf2Ehh6iQ5EDgEjPp6QSARnRVJr4JHwTfrX2AS7x6y3SNscenfXOOCxB5FP6rJ N0xTTC9jQciYYRXU1/bxSxHDJtEJz5JHUE8+874I/NHZ8Je8KKYO/Q5eIWWrIY7nm1lmQXGIguJV vwNFtRfwEBnpAC+nQfg/ZXb7H6FhtNu113hBU7hMirhCy+0odtbEKVEEdH2ADDHY2Q74sEE6hbZ+ PErDeHWIVSQ5NJ+MXZPXrGPwRGmXowm89+bdhhm5K5xWRb+kPytXXLTFWBL2t4aIdUMza20kxYFJ hGXjsnrIUOXLHpEhTA2Bh+Z7Zz6Nu+c1aesGH6QG9VdQYhP+9vczcCiDlNxNi1+OeyeJ8VECN/iv EnxLbcpmsLY6OzLIpaZ2KNI7cPzhwyDxARJPqJy8U1+mhtdI++lHguAi6fsIu4oXGxILnXBUznfy FevbNjuJiXDv6JV0I14BTqtTFIC3VhV79+ejAZOlOKs6oTwm8T9GIBAOwvfU3Z+jsvZtyrwr7qtD mXOzmcIHHWawqIu13cXC6YOKk8AcZTlBe+84sXQEPo5Ej7w7T2redfnkp6VSz1MNHEUE5NYYYlTR 1a/Qep6AALpjKfLkizvcWeUCWFGa5fYauCAtNTmJHsIKeOCMWkfYi/0uqZC+/gWJz3NUWnU6xZ6/ URje7VWYCyUHTBR1gTLei+v0N2ZTHJFpUbTOAv2kwb1BcQTZO8QVIl/LtMOvlLAm73YxrEbeJgUZ e6mTWjgtRMq7Mowhkv5MqB3kFu18YXLLCJOhhpNS8J4SqGAYksGMxooPIHatMQGeQUhlSx+bnQ7q P0rh+eg1bNoYQA8o5RSQi5WOFlAkcMfLUnroMkvP+foAvOGxFZuyQ8XacJwzH5Uxz7ZRDF+IpnKM ODAt1ShtKgYbIuJevpgG7hHywHJkXjIk/6if7QnUGf17rBil3iATuFjswC/M63b40U5EtfipL076 MPIfAM6YfI08CxELQuJ/1+w0UlukFtHq8U8XcX7HafLWO+GMGvWnWBD2BBq5UY3SCkP0IFisqyld KmnnXVhepyKx4mpnANjA2JRgzBK+FFZgUB8R/RMzIGO5AHa/GGQHSvyG4j9afHU9Lgym2LHqcApV Gi6q2mniX9ba+5CTsTTEYO3LwlySf4bpmCYgkggGFusFxIkQ3JbmNkXnDNrFuRNeLPMkHhgOPeRG NjgOYAgTdf8shKER8NtWg8SX0V7WEwczSpHD+KXnlxXIMmn1gGz5FoVWCeqUupkDrRPO0PWqvBWu O+egBICg/OCYpifAn1F32ucTEZxObs5//IPma8FB1G+UtPeAnl3RnML8PgrdImrQnEOrehFNui43 pKlKhm/F7YC/IYv/niVyiyCwWM5eIaeEQzXyQzo+K+B7SAmxg+bIpVp6AelLjDjOmT6cwUeRZNgj QwNvaLz5Z4vdaZWu3r14NDfCHyMRueN5LEtI38OXHqmzwynFheEbzxedIUMkrBjKfN6A8BX08K9r dvJWuodGh67m6YXkj1lefCOsSGofmLV2KWuqCOcVrdZx72iX5dllkIKDMH644a0hj+jX5DJw5zuo 2rnjVW1zpVNRuZvoDXS3+QiNBz9CN3cEshlj9xLHieLkDkXKHd9UHJCFUfCyrmo3omR2kjfw+SI9 dc7fWlWPJQ9nX6Vl2kRfwpdW7wx/PkuNUd1NCP+1KEL7+JjwfgHEl9ItmgxCMnsOy2gLQMrYHZfp FYNOoFxp8nVpY0oHco+rEhwmsfBdAxkxnAsExGdqJ/wVCybUOd7ljASMDTdAovC+S69VnaRbqQ/m 2ky0hdssCth2U5XkHd7TOHG+6SGwgTXN6VbX3C+F5FaGy1uPE2SltX3k1h5vCuL3s6CyvjtSflWB HJGPyAOO1X/yHhldw4UupJx457lvlE1SkcDAANMA/UZkxRbe/D970dVf4KGwA5KL6qPcdaT91HQa KJks0XDcwvnb9pBXYsU08pgk68wD0/2cpeexfzW63JJiN2KX1zzo1BXFEVfMvLJhDVPL93Q7hzcT tsBcx3mlsmQaBq1takvCjPZ8hNB4UkaxcUwt+x5PGCv8WGVx1wfSDjAESZe605KfWRjxPfM2AnKA FGzb9keA00uXuc8Y2pMoC8fhYnNgM7G9hOHnAyVUbDG808T8cq9EiYVo9sQmW1XzWp3Q4lULsDS1 pDnsUst9371afBjTY17MZQXaEYXi6dmY1y2qtn/kUlE8RXl2ugc00Mdmit94Ty+gvwo6id4ww72K qsj06tkV5ZZr66w/cFzIi0uoOGDBlJndU0vXzVk+DHKDHP3NXJRm8IrKhO/m7ozRbKwMIX1ED8fa ybrXJrR61mtSVGF5sBXTTdX/SbdgH3hLyjGP3sJL5uGSuZ+Doe+4jHfDPdfoUZxEUwzowA2jcLyw urA51HY0YVpVPj+XPJh6Vw58l6SfLrZ/SigNebi9wBNvL8WVMfYpQf1O+kLvX8/RyspmD6biaKia sIXrkzSPtg2LLZ98+9ykRgW7W8m8UM8VMyOllVjeVvoKPx1fYsBLJnQFYXPYx+FHpawZc69n8I22 n/C5GKBM3rwVz7+1K0BQxBGxdSNm5V2vBGseSr7TSYRb5s01/3w3oNc0s/yETtnee5nF5fQVttvr ftGVphrmgia5KrI7XOHA5/ylDv9gurNQG7l4PYjExuI9DnpmBtxjNZKR1Da3gdN+EDp6d4Pr4aSU Yb33E9ERUoufvY6A23woa+fG0VCgq7ii88uq6H2UdK1iCZvhhCiizqPpwmcLy4ejbleXI8GQASUU F8lRc27JMNu84zV3naE4z/hKMJsCZ+7Wv/HyKCjCRQuSZIfsgPjKiwpLg/yz3NOItf/riIN8g7qx o+kaFKLWgDLVjw0xBJQ1qQ7O5XL7TstAfvyynlZNLyBQFwTXFUle9uXQjizYb2D5ceRaa2nMUoer wwyxErE0P1RVGOqJ+/LT3UZljo+fVNOSLMwRGYcfj8bR8VVA9X8osvPEMnnzk7PENrN3T5VU4Wgu /UN6WgA8kZOcRCOqxD+SdxGbWD2LmB24sKGAcWfegFs7eJ09EX5uYM1o7xpHmwxIbCvARy5YDEGl NzDAPvNsk1f9K9dSiR+APUTht7RzJJrm1RxANCVXnKTKlj2afudbje/iyrjScZ3kaKwurwwwS1Yt Su9JTQ9T/abef4hosOLsYCVAOdqWwTIKrx+sGbjqGhUSx0UQjvYvovQmdpY0jjcj+kpD574zY1CO i5GdLoU6Bwi8Up9QiqW159qOsM/rSgllW+rAWslskdly/Mr8wt7MNhlHEhKZ0rPgZ/qZKNuHYDs3 vXeKIBXbwi70B/xScxjffVESKgK8seMPM7DrOxwixPUpZDcbYS95p5vFR5mz31/76BdJTLe+B1Kx 61+DMDksOnsXBgjE2J5iJyEpSVOEh2rH5uI9NfltawZLzAAN55dJ7rzeCh6N7xmPjVZlN5oOJ6lT /AXMh77xsepGAmHn230jAx44eQiZtR9NOagiEaKQXxDcgU/sO3Gfk5n7x4yd80hjETJMn7bcXoGx EQrWfKKo27tZGDC46DnnJoOcsLw8PUeg1NKzvQX5fq1zttHOXrqjPQ3knNQ6+ims6+icJADQyKXX avI0j75lz3ICjnlSPqTupFIy0/HervvL5RaAXwqHuF5ucvZW4L1JaNaVPzAQUipvRmsoxrw3nSd3 4qxRIziZRYQ05WjKXJRIRJaeH55wl3mgzDGuI/pdklI9BcGTMirskp4unfmdssRFm7JJK1OsDtLb aY3UJs5CnGv29DKgdbaxqNAQgsin0QortP/1kEqxMxlDI7vUtjPbbQMl0uJcuuv/SUMCgVSsJ74U eGIL4OyBpdpoIyOshADAIba0qQtuewtpT6dON52v1grnB9c5KVIz7bDi91cDx1c48R8BVQjCIGEp W4r3MGmzV/wKMVLnq7if7OKLcQlqnHPVPS+kiW0RAAQ6ntlpRf55B8r4EDY06IPlbz4gp4u7DDE5 AZ+nU24skaRqO8SbjWVSwhcUsBrC2eJ95Ma2ZBUVWvEvfpywWwqf15JWHZFnJjq4StOoh4pdGsGH +MNDbXtC/oBH+7HXbvx+Q3Jc9e+7DdClYSCe49r2MMI4Wzbgvcg9qfLqe8Fq9YyrEE2wG+qVmEAx u4A2yug2CEGVn7lMsrVWrhD+0wC/QeDuzhJWh0IVLwBZzch8HGZggzaV59fn6ctpgG/0gGWoTDOK aZUXmKWV6eR/kYmoiZdkIEnJb7w6CHtClSoonhbRyggHR3c30FIPgmjfO+w7v6UBAMI4vvWNJ20r xyA0Ufb7YWzLRH8nBpQJHtnj+OWjB7Vrsr871oYzYy65WGazDLC+PxkpCsrJXRPkgRr5vZfRGbkG 0UcaY6rcbeT9CtkrROgE8WpnTvLzKROLNUlrVgo8dwA8cx8SfyLHMA5zOG4SMPlwPhTl8gNNSlou T60PVuzf4TRazUgEjU6g97fqwc+WbDZCe7/WwtunV78HszBq3YIWWcW5E5jy0F+vXeB1FLqxzY0m S/I0bAAwXemcsJWCzPe9Pnp/g+UFYV4PMlt2tEKgsdmuw9OZdExtiLvT8VeoxUh+qPlDPFndSq3A oC2dcmOVcGN4ms/L36ezvg+I0KFYmbSz4iuHD5anIrI8gKmnDNe7qWNP68vvK6UtwgQPLY+wqhnV 3StYtN2Hr5trsTGf/QmqgSke265yCBLaKPlgoyZmNhuFVdglZ+WecGHE36CNZ7x9rSWbSWKX6Vrv hhjIL4iNoqvd6N/d361vUc+LnVtUZUYVj0IvHr8Tf2DlbhvAFXS7WxQu37Dev7rIw6B9p7ZVR8IV YiDWv2sYcJ6CX4vpNLSFnPSVkg5531Ekq5CZwaI+/k0crZXy0IXJ20DI23dk06fHRcNlr9ZnbLMi 8G05yFzUh08oF3aSOh5pWGCMaANEen48ddH9f3AEE8BHQuxgWumXvazcXUNv7vpXT63BtcJq7ur5 ebTCKOKaN1+HxDgDco20xfHqRt4PW7tDfyjDNTm8A5Ovv7Qjpqz6jGvt96z0cASRRDDvLZwQhj+c CE7MqVvjtjE29jV5HGOjAhkxDsFpM7Qz0A78b/xv+BLcfDGLyY7mt82gjoxzQW2Kf3Q53C/tjIu9 I0302NJoF3x5Mpl5AszspVpaTvBXBU1YLcblWqn/DSh9RN331G/kOcvSJYCR9tt+1pam/j/szPxc TjUEir9XDx0Ge+o5QNKZk2o0sPetLt8NIl7p3sM5TxhU8aqyTyW7p1hiw1k7ecJO9ZQyWz72GF4P /oBsS1CgmgLrzRDXDsTDin2Pv3QUJYHpKxlCCeBN+K7RDFqTPORiKzdm4IXVCl3j5LcFoubwoDIW MJZeXCFEuhgvkE+Rl2fAzwg/S3LKtf28Zou11Nefmf5ROUy0iAx1MVNRmWffMRRaW0R/1YAkI2Lt Vpy1oc4JCoR8a2HsjUnVjym3bb7I5b403hfsEq8LhqapTzGA42TZI0fN8/p7QdFpEQfBUdsvAyPA SXWGV8bfqztjuNy+dD7H6hO7psTL+aH3gSyUe/D/BsaF1wD8EKXd2E0g/PMntkyxO0jFHpjOUpFb dvytnKprwp2tMIPHegQ7IdfgfxnuT1XM27bRGhqCXgy9UecbtxvQ24rwMeKIXwBc1BwrKeqyQFj2 IpNmVGvZu/Cpx9tuWJMJPD1/8lpNpyjaT/YCIKLtMCtUtLG8IseWdb2v6A1cJIN0SEQnC3yImbBh 6ZWAYDQMdSxKrYZsLeDdRZRBtKh1T+RR9suwDYzyHB8gdQG8P3FJt2OzqAdU77c1eYatQfdbs66W NssVAh2kRFX0gWyLIZGO9MrGefKbYgJ1Cafrwy1c/FptfoLlyWv11EzMVARaAd+O+MV/ODltMA/3 ui5Nme0aV70ykL8AzlUFo8a55JTqAOK0T+BCf2h29ETFe9b1bG2QzCViF9PFLL3cJgYzPUTm3aBs 1si+ynBNu5SSkAPRqFjhpSb5YG6gmOyQMRcCvvBptdGNGbjAJv1XsiA7IxddO1mZNP0ItAKcSXub XcTAmi5C/RL8hZD9H0XeCUYQTLZUjv1MHCuuJnYLHC0FQlC/Mj7Mj/i57SBnSFG14XZgHLkFul2e C/8BTptPzkt+A7HBVEJKPklJDcd2/VuJT3/Ny7RilIobfZW/lgJXe9JxdEPqt2+xMpmJWElckD1c B/cBX5s1M6qCWf0FkOsFi2t872h2/XEOohvHkzrT9qE2hO6DwAyGxptNv2gbxyWZWrydnw8+zO3d dWn0orwbCuf8Rg+7phLvS+BVtaln8Fwrx/luFMdkKrf0RwS6cFoFctmz81t+A4h3Wsl7nx2JPAFP Jg+lbl2BaFsiAh4rIPvuo0JanM4/jSW1vMopJbKQ9k0+YQoRiydLNcjXyQUkpCHdBMK2EP+D7VLH GjdL/cRE/nlkDnIMXxV6s5zPRoxR0SOgrfNIaT73vyF0dyVYa66qTtDMurSWTnS39x7C8/Wtixig 2cRxLtgOkA2yMFSmkM0el9vevYCqIJ5BrL296iFm1LQCWBhpEer5JXc7zPRwLnChqAjHis8tbTUM S8l2PkYKYZwT/BMKrrfMDoTcnwGWMyNCRQWN2Qyf72kYCeUtJIitoHkqzUG8lmauc0Z1kBM5ll+4 67YboPtLuD4zWFewXjT1XTiOC3Cw7YLvD+9CERjdbuR1rfc6HY3IeJJW2U7leRfpMp/g9Vp7d8nV +LsBlCz5n+NkIcuQRjs1BIrta9TUTyUWgNC93VJK5By/u7zHboEwptvriBAe7eKcXJf/OzJzUaFr E0rwqHG9MsviTbnTuVmwqpgWZHghIxWpFUaCjpRb+1bmB6ek2I0iad+xpwb5DkL6/AIk1md2rOdx 7GPJ1Fyuq1EN/dPkKy1G2cmmS7JLBjKicKiKyUc55iD7HU4RiQOLbOagnh3gWZphDrGzsYvVmxlF BUgvJWBgF4D1d+OekeAbr+svzxPBTWBLPI69+N4v3xUdysQZBRFO+QDXD63iGB0LLXW42yP5dL/U gwRClSpRJdfq7TKOa6vk3QV69sd/BPL9IL/LQdwEB6HicBO2DwawP7Jg86pl2QmIuzrATWmzxrc8 4qWeK6PaDmqMBiNjqRZl3W7j1TJH72OslD5C+foMQEjvGlj++6STe+eptJ6TeuReWiVG1Kx18KPN FkmvAR4MJBPvzT6xqf1lscKZGQ3U+RS8F8Q8c1+hXZOLDX1QxiwC3ovfMMl+FP06nu2Y17ede5Gz yFRo0G/ePy0b5mFP+XXKxFf3f5TtRo4K1QT7JaCwn3i06R8PS+sblGwIXawshzQIwqZ6MZwjhohh iGED6ZXHcl170EF5uA+nNgqZH9kiyObh9FSG6CEWBv2dZqLTtoJOwYNYUmLOsg5Jm2hffNRyG9y3 B8XgJseXcAGgavu5Mx96FYS9/H1GJ8mPzd/Kza7Ox56tW/nMbu4o3kQPuExvjDPaQ3DA/rBC+3ln Rz1/4ZlqEHY75ZC028+idmuzWcgfjKl1OgB1N2/XvllKm0jx9D1t8cUgb4EFTcolskF9Q9/kj7dF 01DeBj2jVNIwfgvRpqc5wDgLT71kF7MOSjyVE4gxWHg/wCIntxvF9LY+TttDOe+a+TkWuNiAF/+P whCzyOuh0UuRUbGUpNy2wWuNxJgVe0JkBoCd1ZeW4JbKtFWJV/ytSebsGBzNbFPO4BBuux2lh+kF tm56KG/LwMKUW1H7UOAyhzcBrtJ4fw6HJQ4V+vEeyCsdhvlMnw9stU4pmHMdQiuf3Xg6m7Go/A6Q e94Ypxg/ry2oZFNn3iePlJ8pRaUgDmh6D0Ip45qJqPHg35phw26D9iQzthlt7LPyu0PCv4aiSMXO juV6iU+PtlEuo4EiK3Ku+vRsXN1Wbrv8vkjDrrkaccPw9SuqfY7Q68YDNmGcSiTTwhm75BZaIP+O xgpudzphbEP8rUchCzj3+8gLOeRH7LWepRB2vx2kpqS0yHAMAmkUrVA9YtdfnZLaEWQ+H9+wv6hr JREU/Qm/S0HQnKhmjicSiHFrUJsQkOnYNJuJboFIyD6LnzPGnrke9HPNCZHllOfH+eMWFcg/Kcx2 jnZopf5n1gnmBFUT `protect end_protected
gpl-2.0
d6a2635352c6b8f6903d16c0e39f28f6
0.926965
1.88518
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/fir_lp_54kHz/synth/fir_lp_54kHz.vhd
1
12,291
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fir_compiler:7.1 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fir_compiler_v7_1; USE fir_compiler_v7_1.fir_compiler_v7_1; ENTITY fir_lp_54kHz IS PORT ( aclk : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(95 DOWNTO 0) ); END fir_lp_54kHz; ARCHITECTURE fir_lp_54kHz_arch OF fir_lp_54kHz IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF fir_lp_54kHz_arch: ARCHITECTURE IS "yes"; COMPONENT fir_compiler_v7_1 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_COMPONENT_NAME : STRING; C_COEF_FILE : STRING; C_COEF_FILE_LINES : INTEGER; C_FILTER_TYPE : INTEGER; C_INTERP_RATE : INTEGER; C_DECIM_RATE : INTEGER; C_ZERO_PACKING_FACTOR : INTEGER; C_SYMMETRY : INTEGER; C_NUM_FILTS : INTEGER; C_NUM_TAPS : INTEGER; C_NUM_CHANNELS : INTEGER; C_CHANNEL_PATTERN : STRING; C_ROUND_MODE : INTEGER; C_COEF_RELOAD : INTEGER; C_NUM_RELOAD_SLOTS : INTEGER; C_COL_MODE : INTEGER; C_COL_PIPE_LEN : INTEGER; C_COL_CONFIG : STRING; C_OPTIMIZATION : INTEGER; C_DATA_PATH_WIDTHS : STRING; C_DATA_IP_PATH_WIDTHS : STRING; C_DATA_PX_PATH_WIDTHS : STRING; C_DATA_WIDTH : INTEGER; C_COEF_PATH_WIDTHS : STRING; C_COEF_WIDTH : INTEGER; C_DATA_PATH_SRC : STRING; C_COEF_PATH_SRC : STRING; C_DATA_PATH_SIGN : STRING; C_COEF_PATH_SIGN : STRING; C_ACCUM_PATH_WIDTHS : STRING; C_OUTPUT_WIDTH : INTEGER; C_OUTPUT_PATH_WIDTHS : STRING; C_ACCUM_OP_PATH_WIDTHS : STRING; C_EXT_MULT_CNFG : STRING; C_DATA_PATH_PSAMP_SRC : STRING; C_OP_PATH_PSAMP_SRC : STRING; C_NUM_MADDS : INTEGER; C_OPT_MADDS : STRING; C_OVERSAMPLING_RATE : INTEGER; C_INPUT_RATE : INTEGER; C_OUTPUT_RATE : INTEGER; C_DATA_MEMTYPE : INTEGER; C_COEF_MEMTYPE : INTEGER; C_IPBUFF_MEMTYPE : INTEGER; C_OPBUFF_MEMTYPE : INTEGER; C_DATAPATH_MEMTYPE : INTEGER; C_MEM_ARRANGEMENT : INTEGER; C_DATA_MEM_PACKING : INTEGER; C_COEF_MEM_PACKING : INTEGER; C_FILTS_PACKED : INTEGER; C_LATENCY : INTEGER; C_HAS_ARESETn : INTEGER; C_HAS_ACLKEN : INTEGER; C_DATA_HAS_TLAST : INTEGER; C_S_DATA_HAS_FIFO : INTEGER; C_S_DATA_HAS_TUSER : INTEGER; C_S_DATA_TDATA_WIDTH : INTEGER; C_S_DATA_TUSER_WIDTH : INTEGER; C_M_DATA_HAS_TREADY : INTEGER; C_M_DATA_HAS_TUSER : INTEGER; C_M_DATA_TDATA_WIDTH : INTEGER; C_M_DATA_TUSER_WIDTH : INTEGER; C_HAS_CONFIG_CHANNEL : INTEGER; C_CONFIG_SYNC_MODE : INTEGER; C_CONFIG_PACKET_SIZE : INTEGER; C_CONFIG_TDATA_WIDTH : INTEGER; C_RELOAD_TDATA_WIDTH : INTEGER ); PORT ( aresetn : IN STD_LOGIC; aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_data_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_config_tlast : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_reload_tvalid : IN STD_LOGIC; s_axis_reload_tready : OUT STD_LOGIC; s_axis_reload_tlast : IN STD_LOGIC; s_axis_reload_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tdata : OUT STD_LOGIC_VECTOR(95 DOWNTO 0); event_s_data_tlast_missing : OUT STD_LOGIC; event_s_data_tlast_unexpected : OUT STD_LOGIC; event_s_data_chanid_incorrect : OUT STD_LOGIC; event_s_config_tlast_missing : OUT STD_LOGIC; event_s_config_tlast_unexpected : OUT STD_LOGIC; event_s_reload_tlast_missing : OUT STD_LOGIC; event_s_reload_tlast_unexpected : OUT STD_LOGIC ); END COMPONENT fir_compiler_v7_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF fir_lp_54kHz_arch: ARCHITECTURE IS "fir_compiler_v7_1,Vivado 2014.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF fir_lp_54kHz_arch : ARCHITECTURE IS "fir_lp_54kHz,fir_compiler_v7_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF fir_lp_54kHz_arch: ARCHITECTURE IS "fir_lp_54kHz,fir_compiler_v7_1,{x_ipProduct=Vivado 2014.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fir_compiler,x_ipVersion=7.1,x_ipCoreRevision=3,x_ipLanguage=VHDL,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_COMPONENT_NAME=fir_lp_54kHz,C_COEF_FILE=fir_lp_54kHz.mif,C_COEF_FILE_LINES=1024,C_FILTER_TYPE=1,C_INTERP_RATE=1,C_DECIM_RATE=16,C_ZERO_PACKING_FACTOR=1,C_SYMMETRY=1,C_NUM_FILTS=1,C_NUM_TAPS=2048,C_NUM_CHANNELS=1,C_CHANNEL_PATTERN=fixed,C_ROUND_MODE=0,C_COEF_RELOAD=0,C_NUM_RELOAD_SLOTS=1,C_COL_MODE=1,C_COL_PIPE_LEN=4,C_COL_CONFIG=60_4,C_OPTIMIZATION=2046,C_DATA_PATH_WIDTHS=16_16,C_DATA_IP_PATH_WIDTHS=16_16,C_DATA_PX_PATH_WIDTHS=16_16,C_DATA_WIDTH=16,C_COEF_PATH_WIDTHS=19_19,C_COEF_WIDTH=19,C_DATA_PATH_SRC=0_1,C_COEF_PATH_SRC=0_0,C_DATA_PATH_SIGN=0_0,C_COEF_PATH_SIGN=1_1,C_ACCUM_PATH_WIDTHS=45_45,C_OUTPUT_WIDTH=45,C_OUTPUT_PATH_WIDTHS=45_45,C_ACCUM_OP_PATH_WIDTHS=45_45,C_EXT_MULT_CNFG=none,C_DATA_PATH_PSAMP_SRC=0,C_OP_PATH_PSAMP_SRC=0,C_NUM_MADDS=64,C_OPT_MADDS=none,C_OVERSAMPLING_RATE=1,C_INPUT_RATE=1,C_OUTPUT_RATE=16,C_DATA_MEMTYPE=0,C_COEF_MEMTYPE=2,C_IPBUFF_MEMTYPE=0,C_OPBUFF_MEMTYPE=0,C_DATAPATH_MEMTYPE=2,C_MEM_ARRANGEMENT=1,C_DATA_MEM_PACKING=0,C_COEF_MEM_PACKING=0,C_FILTS_PACKED=0,C_LATENCY=78,C_HAS_ARESETn=0,C_HAS_ACLKEN=0,C_DATA_HAS_TLAST=0,C_S_DATA_HAS_FIFO=1,C_S_DATA_HAS_TUSER=0,C_S_DATA_TDATA_WIDTH=32,C_S_DATA_TUSER_WIDTH=1,C_M_DATA_HAS_TREADY=0,C_M_DATA_HAS_TUSER=0,C_M_DATA_TDATA_WIDTH=96,C_M_DATA_TUSER_WIDTH=1,C_HAS_CONFIG_CHANNEL=0,C_CONFIG_SYNC_MODE=0,C_CONFIG_PACKET_SIZE=0,C_CONFIG_TDATA_WIDTH=1,C_RELOAD_TDATA_WIDTH=1}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; BEGIN U0 : fir_compiler_v7_1 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_COMPONENT_NAME => "fir_lp_54kHz", C_COEF_FILE => "fir_lp_54kHz.mif", C_COEF_FILE_LINES => 1024, C_FILTER_TYPE => 1, C_INTERP_RATE => 1, C_DECIM_RATE => 16, C_ZERO_PACKING_FACTOR => 1, C_SYMMETRY => 1, C_NUM_FILTS => 1, C_NUM_TAPS => 2048, C_NUM_CHANNELS => 1, C_CHANNEL_PATTERN => "fixed", C_ROUND_MODE => 0, C_COEF_RELOAD => 0, C_NUM_RELOAD_SLOTS => 1, C_COL_MODE => 1, C_COL_PIPE_LEN => 4, C_COL_CONFIG => "60,4", C_OPTIMIZATION => 2046, C_DATA_PATH_WIDTHS => "16,16", C_DATA_IP_PATH_WIDTHS => "16,16", C_DATA_PX_PATH_WIDTHS => "16,16", C_DATA_WIDTH => 16, C_COEF_PATH_WIDTHS => "19,19", C_COEF_WIDTH => 19, C_DATA_PATH_SRC => "0,1", C_COEF_PATH_SRC => "0,0", C_DATA_PATH_SIGN => "0,0", C_COEF_PATH_SIGN => "1,1", C_ACCUM_PATH_WIDTHS => "45,45", C_OUTPUT_WIDTH => 45, C_OUTPUT_PATH_WIDTHS => "45,45", C_ACCUM_OP_PATH_WIDTHS => "45,45", C_EXT_MULT_CNFG => "none", C_DATA_PATH_PSAMP_SRC => "0", C_OP_PATH_PSAMP_SRC => "0", C_NUM_MADDS => 64, C_OPT_MADDS => "none", C_OVERSAMPLING_RATE => 1, C_INPUT_RATE => 1, C_OUTPUT_RATE => 16, C_DATA_MEMTYPE => 0, C_COEF_MEMTYPE => 2, C_IPBUFF_MEMTYPE => 0, C_OPBUFF_MEMTYPE => 0, C_DATAPATH_MEMTYPE => 2, C_MEM_ARRANGEMENT => 1, C_DATA_MEM_PACKING => 0, C_COEF_MEM_PACKING => 0, C_FILTS_PACKED => 0, C_LATENCY => 78, C_HAS_ARESETn => 0, C_HAS_ACLKEN => 0, C_DATA_HAS_TLAST => 0, C_S_DATA_HAS_FIFO => 1, C_S_DATA_HAS_TUSER => 0, C_S_DATA_TDATA_WIDTH => 32, C_S_DATA_TUSER_WIDTH => 1, C_M_DATA_HAS_TREADY => 0, C_M_DATA_HAS_TUSER => 0, C_M_DATA_TDATA_WIDTH => 96, C_M_DATA_TUSER_WIDTH => 1, C_HAS_CONFIG_CHANNEL => 0, C_CONFIG_SYNC_MODE => 0, C_CONFIG_PACKET_SIZE => 0, C_CONFIG_TDATA_WIDTH => 1, C_RELOAD_TDATA_WIDTH => 1 ) PORT MAP ( aresetn => '1', aclk => aclk, aclken => '1', s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => '0', s_axis_data_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_data_tdata => s_axis_data_tdata, s_axis_config_tvalid => '0', s_axis_config_tlast => '0', s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_reload_tvalid => '0', s_axis_reload_tlast => '0', s_axis_reload_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => '1', m_axis_data_tdata => m_axis_data_tdata ); END fir_lp_54kHz_arch;
gpl-2.0
096ae8a007d594aee6cdef73433ceeaa
0.653812
3.058985
false
true
false
false
keith-epidev/VHDL-lib
top/lab_2/part_4/ip/dds/demo_tb/tb_dds.vhd
1
7,612
-------------------------------------------------------------------------------- -- (c) Copyright 2010 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Description: -- This is an example testbench for the DDS Compiler IP core. -- The testbench has been generated by Vivado to accompany the IP core -- instance you have generated. -- -- This testbench is for demonstration purposes only. See note below for -- instructions on how to use it with your core. -- -- See the DDS Compiler product guide for further information -- about this core. -- -------------------------------------------------------------------------------- -- Using this testbench -- -- This testbench instantiates your generated DDS Compiler core -- instance named "dds". -- -- Use Vivado's Run Simulation flow to run this testbench. See the Vivado -- documentation for details. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; entity tb_dds is end tb_dds; architecture tb of tb_dds is ----------------------------------------------------------------------- -- Timing constants ----------------------------------------------------------------------- constant CLOCK_PERIOD : time := 100 ns; constant T_HOLD : time := 10 ns; constant T_STROBE : time := CLOCK_PERIOD - (1 ns); ----------------------------------------------------------------------- -- DUT input signals ----------------------------------------------------------------------- -- General inputs signal aclk : std_logic := '0'; -- the master clock -- Data master channel signals signal m_axis_data_tvalid : std_logic := '0'; -- payload is valid signal m_axis_data_tdata : std_logic_vector(7 downto 0) := (others => '0'); -- data payload ----------------------------------------------------------------------- -- Aliases for AXI channel TDATA and TUSER fields -- These are a convenience for viewing data in a simulator waveform viewer. -- If using ModelSim or Questa, add "-voptargs=+acc=n" to the vsim command -- to prevent the simulator optimizing away these signals. ----------------------------------------------------------------------- -- Data master channel alias signals signal m_axis_data_tdata_sine : std_logic_vector(7 downto 0) := (others => '0'); signal end_of_simulation : boolean := false; begin ----------------------------------------------------------------------- -- Instantiate the DUT ----------------------------------------------------------------------- dut : entity work.dds port map ( aclk => aclk ,m_axis_data_tvalid => m_axis_data_tvalid ,m_axis_data_tdata => m_axis_data_tdata ); ----------------------------------------------------------------------- -- Generate clock ----------------------------------------------------------------------- clock_gen : process begin aclk <= '0'; if (end_of_simulation) then wait; else wait for CLOCK_PERIOD; loop aclk <= '0'; wait for CLOCK_PERIOD/2; aclk <= '1'; wait for CLOCK_PERIOD/2; end loop; end if; end process clock_gen; ----------------------------------------------------------------------- -- Generate inputs ----------------------------------------------------------------------- stimuli : process begin -- Drive inputs T_HOLD time after rising edge of clock wait until rising_edge(aclk); wait for T_HOLD; -- Run for long enough to produce 5 periods of outputs wait for CLOCK_PERIOD * 2560; -- End of test end_of_simulation <= true; report "Not a real failure. Simulation finished successfully. Test completed successfully" severity failure; wait; end process stimuli; ----------------------------------------------------------------------- -- Check outputs ----------------------------------------------------------------------- check_outputs : process variable check_ok : boolean := true; begin -- Check outputs T_STROBE time after rising edge of clock wait until rising_edge(aclk); wait for T_STROBE; -- Do not check the output payload values, as this requires the behavioral model -- which would make this demonstration testbench unwieldy. -- Instead, check the protocol of the data master channel: -- check that the payload is valid (not X) when TVALID is high if m_axis_data_tvalid = '1' then if is_x(m_axis_data_tdata) then report "ERROR: m_axis_data_tdata is invalid when m_axis_data_tvalid is high" severity error; check_ok := false; end if; end if; assert check_ok report "ERROR: terminating test with failures." severity failure; end process check_outputs; ----------------------------------------------------------------------- -- Assign TDATA fields to aliases, for easy simulator waveform viewing ----------------------------------------------------------------------- -- Data master channel alias signals: update these only when they are valid m_axis_data_tdata_sine <= m_axis_data_tdata(7 downto 0) when m_axis_data_tvalid = '1'; end tb;
gpl-2.0
01a6cedb3ecc60842091be9b2f43d5c7
0.554125
5.13977
false
false
false
false
mcoughli/root_of_trust
experiments/secure_filesystem/secure_filesystem_hls/solution1/syn/vhdl/filesystem_encrypbkb.vhd
1
4,531
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity filesystem_encrypbkb_ram is generic( mem_type : string := "block"; dwidth : integer := 128; awidth : integer := 5; mem_size : integer := 32 ); port ( addr0 : in std_logic_vector(awidth-1 downto 0); ce0 : in std_logic; d0 : in std_logic_vector(dwidth-1 downto 0); we0 : in std_logic; q0 : out std_logic_vector(dwidth-1 downto 0); addr1 : in std_logic_vector(awidth-1 downto 0); ce1 : in std_logic; d1 : in std_logic_vector(dwidth-1 downto 0); we1 : in std_logic; q1 : out std_logic_vector(dwidth-1 downto 0); clk : in std_logic ); end entity; architecture rtl of filesystem_encrypbkb_ram is signal addr0_tmp : std_logic_vector(awidth-1 downto 0); signal addr1_tmp : std_logic_vector(awidth-1 downto 0); type mem_array is array (0 to mem_size-1) of std_logic_vector (dwidth-1 downto 0); shared variable ram : mem_array; attribute syn_ramstyle : string; attribute syn_ramstyle of ram : variable is "block_ram"; attribute ram_style : string; attribute ram_style of ram : variable is mem_type; attribute EQUIVALENT_REGISTER_REMOVAL : string; begin memory_access_guard_0: process (addr0) begin addr0_tmp <= addr0; --synthesis translate_off if (CONV_INTEGER(addr0) > mem_size-1) then addr0_tmp <= (others => '0'); else addr0_tmp <= addr0; end if; --synthesis translate_on end process; p_memory_access_0: process (clk) begin if (clk'event and clk = '1') then if (ce0 = '1') then if (we0 = '1') then ram(CONV_INTEGER(addr0_tmp)) := d0; end if; q0 <= ram(CONV_INTEGER(addr0_tmp)); end if; end if; end process; memory_access_guard_1: process (addr1) begin addr1_tmp <= addr1; --synthesis translate_off if (CONV_INTEGER(addr1) > mem_size-1) then addr1_tmp <= (others => '0'); else addr1_tmp <= addr1; end if; --synthesis translate_on end process; p_memory_access_1: process (clk) begin if (clk'event and clk = '1') then if (ce1 = '1') then if (we1 = '1') then ram(CONV_INTEGER(addr1_tmp)) := d1; end if; q1 <= ram(CONV_INTEGER(addr1_tmp)); end if; end if; end process; end rtl; Library IEEE; use IEEE.std_logic_1164.all; entity filesystem_encrypbkb is generic ( DataWidth : INTEGER := 128; AddressRange : INTEGER := 32; AddressWidth : INTEGER := 5); port ( reset : IN STD_LOGIC; clk : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); q0 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); address1 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce1 : IN STD_LOGIC; we1 : IN STD_LOGIC; d1 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); q1 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0)); end entity; architecture arch of filesystem_encrypbkb is component filesystem_encrypbkb_ram is port ( clk : IN STD_LOGIC; addr0 : IN STD_LOGIC_VECTOR; ce0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR; we0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR; addr1 : IN STD_LOGIC_VECTOR; ce1 : IN STD_LOGIC; d1 : IN STD_LOGIC_VECTOR; we1 : IN STD_LOGIC; q1 : OUT STD_LOGIC_VECTOR); end component; begin filesystem_encrypbkb_ram_U : component filesystem_encrypbkb_ram port map ( clk => clk, addr0 => address0, ce0 => ce0, d0 => d0, we0 => we0, q0 => q0, addr1 => address1, ce1 => ce1, d1 => d1, we1 => we1, q1 => q1); end architecture;
gpl-3.0
ecf031a06314b42404e1c47aa313bcf0
0.53763
3.474693
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xbip_dsp48_wrapper_v3_0/hdl/xbip_dsp48e_wrapper_v3_0.vhd
8
23,337
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block CXIwY9Jj2WtCHFDj4/G2fROojeq+vDw9MYbsOx7+3jTRdtstmr5xWGDhidfmB1RLE4PvA2hRI4kI FF9Wt64ToA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Jg5qt7X3NV4ZKI39qyX06DWEDK21SOPeVml7zqcCwEqABrAV/qvfne+NI7+9JKGuy7XeVUZ3SgQj EGdSK+8W+h5YZEvAqKEOJ3eMbEaNU9GqUwz9Ne/6T1EwrcZX4tf6AezMLoazZsDPQkV8dv0n6dna WzcFWvACfEDy8OsZD1k= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block woQw6d2JCvUzoheFY2BYf/AMLvfJpMzqWQ2ygnEezT3BOrnvF5b5EYt8MMOs4AcuRKrhV9Xb5e1W /1/VxhJ8mL9owE2oGbPgU1ZFEhko6UXiKoe0Ih4Yuduug110WTQbPCDQffv/wPRv+fZWy2WRreus UhOPrzbrkBGjRZtUH6PpLdPmOIggEd8P3GCYJbp34E9egRC2gLvid0ja3tXiUdhBHvf6WVJIZtvk v9gCFzFbOWOv17qcnteDJNuzKIx52SVpnjGYaUoHKu9/Y09hltS8MpXJGYMqp9J/vmfDa/Oh2v13 wgANngOEr6t9ScW7iV9usabWcghuICs5NkHKNw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block d3AedFF2nB2DCT1eGzr7VRiEJ/C7RLeIijD2mWtjklU56yp/ofBta7rz433HAdpSKPxYSoHvHWnN gmuRj2qsPmTTQGcJhnW+WTS+hlWj8RjGiTRw+8mcobDqoAVs2VQzdPYFKinHj1O6VjG4sZb4CdVH Y6JEF664gK5/Z+Kj/gw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Vq5vuY9f0pu3FiwwYPBmlcI3Wko5cwjsmoLHQhbqjsU+QzjaAGCDUKzyS//7dCypb38xoNVjre27 LNXP6xzRzWq6t0MAt+lQab6gL5ysHZjVHzIcoQ7Wz68gsT7WEprgKfX6mz9dD8rl1+asI2qsKjbq 1eYSRrVYEWIuiG2naF2k3C+Xvah9x9d/hTE2rrQg8VlTdVS8r+o1u8/VBGoKAnGZylb70Utf3L4F KUHEEjL+hJSBvB0yAnSGVJmD52W5d+uqsysa5xdnUe7jEfuj56zJLpu8lT3yq75RAYKiwaO4+lNx z+1uL1Alpi5AYWnYwGHqkL54Gf+dFqmpo7Zr9Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15536) `protect data_block SsH/cWsAqxSvOSGsjLaVVoLQkKDg6Oj0255nnyhAgTgibMVYXGZ8JFU83qJivCpI5rtl7Ts/JhZu AP36cGvblqkJkmk1/gNUqiZlnIhZEvDu2DGVzZdkZ12RxUfGefih30yiAxgMt9UoAJX2h9lRq2LW llFNRX3/0P+bfIIFiBPqpd1KMQLOqc2YQ4qxeRwI2tveOL8l5h4KUoH/H8iyFsQ0hZ6MEcQ+jn8d kXYQc1R0Q9RLNc+PObIJGvD0+Gcys0eE4NdN2exmtOPXqnwotlX3A24INX/Wu7ArJnAh4w7L5hnw 0wMnJ4nGZSJ8vH6/7GNd/gGyGhfGh1an7//eVjTSxxqZj6dFuFCdEeLn6doySU62W0YEmFBi6H8G 2N19ctBQhX7wt+OIUKtTuIpaOWH4ABUj2vaTAbqgXcl6ae5hW6fDPuB8gp1VOkNnxSwJ6MxVvXcl /HjEqCSSk/5xvrIzPjTvZPrx3Ygdyxib9KE63TVUsFKtk9M/RDBi1YCbDiqGTern6eOo6FF1F32J K5oHNNGYmwuWrDPtxYpyySsf8navKosQk19iZ9LOmYvshZs5ZyJv6clkmQy8qKvMZ5DBHbyzY+eQ CaMIvBivOMntpekxS0RXpb8ysFPDJSN4SUNuAsoTOVPb+qwGhCdT5lkDI41sQLAwqc7crUfDQeuk j8Z7lahDh0DcCI+Bcfo9/KzBA8PwuPSMkVRnyy+3c5NdvFZZtsZjQtAfrmd7Pk3u+m64Rb9qIzo+ 8wpjprk1sU40jYBtJ9yVZUZBMpwnCef0B/M1bFv5b+Mv7Ou2mw4Tf+4VfwMSPE7ZcCbKCM1miTby N6pVKP521Ww/Kg6iC8rf1bkwMOkw8GcNbrpIgjPbYlGMuWYtFcbuUXkvEKckBQ6P4qP/zRoB4/Wh hT3Wtydl23yF+IwmDUr+Pm1i4PIFZyhjnq/hwOHfmvT2ISvU4NITlsIdamnpgCoqWKvAsa/6JFMC a/DxCXMOlR1TX9rxZber0j9ylGBmv/ZCf5XfHxegyq7aoQWH3hr6fFQgkgqSp2RB4xO4FWLyo5V/ ewGBWfmLm3hfA2ixJGvczyI6/ZocISYtm1+px/CwSjrI+WUyaVnu6BoKLEqOPgNh0FsMlHs4uGsw LsKz7sayKvtHbWMpHcpX7To42lzLxQjDpRZhJ9BXAa0luhbaYatwuSm4JzNMnNcId/H6Q9u+wexw Y9gMaoT2nzsqytKyHkSQnJrfMO3ai2SgL96F4XeE1us9mwZT5nxvrS8MTto3rKTBghkMAt9RuwOT G3nF557END9Ly4iKBdNAaLU1TjeNCN55riXfqGjZi++yp1UCEx8xhAAySrxKl4bA5iXLDAZOkfkT +mktDJ+dWzZ6fhIjeD3z/ksidt+m0mesqsR+CsqwIzbFYv0QKb8PBcVbYXpwy3TB5OoiDSwpS5Ok uHO7mB5kD4CtwZv6eSe4VaiZgHZ36FLMen38S+oTDThZgu4upGnp9GPTTwbTyiB9q4VVywjMaiZR SWQbeY3JRkOUj64uWDTj2lANvcq/o53icWYfKyZ3MaJXy+/nEqSqgsbhYBZ2qTb2gdnN22V1IOkh WB9aOJ+zJgkm++Exi8aa+ea+tOtZ8QgmDY5CHpIJJfx2xJt+ErDwnJ3NtQ3oPiAK/wgIVHNIO2TH 4QrfHk4CPEQ0VqWJRGiYmLctke3yV0v4Uf6o9Fes0tZolZpaJSXQDoD1YbIB2eRfGefQK1kleYB7 dfFMsUZJPjpWliQJrNKmhqwmOmTonRGWLgyNoApdRVCXRXhB9vxbdbAQoZSbMcLxITSbUu/SckqA 15NBZi5xHGihZGeEafCmbHZarzOG8w4nmgi6tGRXnyIiw8wdbDYn3yZOOTSDDpZv6zm9pwPlZAg+ j8viutoUm/RIIEvkYoyhFXkZjak7c7dT9C/jfKkJ+L17nMjueYyKcb9cJYN0u4id4/TaaIEgiKdW 31P+9niGw0AIsZy3ECentZhEI+BxiUAPiEzp9WA9vtKPETIYond+hc8Aknn+sX64zauJlXT/i3TW 5d20CxASRRE7u9tV3NwVunjoDveG6GryNkdntFLBW5DIlnv55p6dr85wGuhoWP3pu9D4uLmQdbVl o8AMUGc9sVmrtJmL6G8hLPoh6MdoUXTZ6D4igy/e9VO7uLodG99X2iMR3qF6e7gAM0AVqMcPo5G1 ceMgvPbsc2AO348KS8WtrgjKD7dwC2F+w92PP327GneYG08cGHz23wx+9qLhpf7RTp0nLJEeJety X/1QMVvtuijwkFsh7FpgQzr7drBlPrb5gIQE9jfMg0kNm/y9VDe0jWKRzXowuGoOJBMBRzZy+V2p HAspmbrTApoaGBPMEPULSQF9tAJc3v1m5WKPjapgukYjxQDUp+VwlTDVLtI1mXeez+W6H6rBMS4r 8gjRXS9Cv05WduYEXRb3KpPtqB0iagfhXgFNgzeH9jWK85EmQ00oz83zXEgAHQSq2zbGE6DdQqA0 68V7JNfCSrC5kkOS0GlHP8G9RfsXfcL0HiVmixLo6SCBXJShqd11taYrv8L+XRpOdmsMLU7O8Tw4 Hj/VYMeaIkajdrkiqaG9enqG/L4rj2Ue4Vm09L4Tt99mISe82vs4oBFol5vhHztegRXoqBoy/+PT OeCv4NEWn4PpymGiJ0awaIM7xB1Kh636UUvyMfulYbDN+3clhDPcm3kemKwX9Ukf2fre27wyI5vx SPjFNxTa/QryXyxIyaieOT5IZETHO6/9MVgev7DHonvf24nTDY0aDNaLs2lD/ZHgf5RyZkJ4czap 3OveZ6ApMMsCY6Yod77ezxtdq2inxD28ly/HpBL3mzVeXljzHbyF2DtrAyr71QvCpDuIcWWzMl9m ZJTTdLjUBCDrk4EYcGyD46xFzabgyh+hASY1Hd8CPVa+HJCWhFYTkGZek+aauRVQDffEB71b0m2W lz6LO8mMZad3nsUujmf9TupSGptu/XFwIuzdQkppjsNDdCnH0dPmtSPZVMETT37VXPh2lwRd5dq9 QGbvqpl0bga1mxRPpcObBew5PxNACGxxY651hn57i5OdUbLlSkLO1qKDMOn0dLA4DzHvOwBnH+Dp yZhUZB+5CBDgt0LbvAMXA9EAJk9s70WfKpMh25TUEhlzhVMSHJGYxuKuhHxHkKZFEHqsgYTsQ0Ix gwwqyu2GHXqwlh5VVk56VgKfbpq3jUxji7RbRrYtTmjtE7512FcEaMUinutycY1USGj9GqD7Jv/n xDArzWC18i0GuboXvqyIox7EjeJgfqeoxkHAOhstempK5a6q/egz0jLxJxUFledTD1yeHOcAxgF4 pVrwHKegBfh66PBz0RYg3CcrJpfeu3jy8KvMJZGP7FU+HjQABfT4YgHuWSo2e7IHlOsnfssTGiuG EpS4yFurXvy9bnWFO2uAxS4KIuzDtWYLBs0FR9+IKQuPOxWJd0krGnteaUrhOXQTlXmMRYjuQ72j 9bZlFSPKqEYDGtSFSMJI39Kk3qoopwAo8EL1bcb1fS8HoqgewPsoueE55+dnOwvx6CKJJG+mJ40A ihYKl7PTgru+JHL2c7RCxBHZTNFjw7h3JmkBUIYCgwm255pUNk4HjWKYLTGBY/BIjhzZTkiByimI V3WcLfdjqK7OFmb3OvzyQ10e6rMnJTKcWiYhQX5dPe+dE0SC0r4QrTIJwlSMPstmn9HAG3005ez9 Av3O7bPWkm1eX/o5cv3RBwTy4dxvZkLCg0pgcdH6GSGgCL5TRwig3GSzHyTeSqeWODcIo2jvbTQN qvCcQ3aLk0am/l8MEeok/IFS/6w0AnwaEgvJhiJk1pP47LJU1nijpd77USXSGgxBI3v+DxHgC+tX jE5j8rrc9gOy6BYWn67b5Qwuui5CPCV1dWNgoXSCMDTJcSBFd6Bt5CjFAX74XOALFX8y+ihyd4tg WlovcbIs3gvpyaLp9htD5YSQFUWo+uzbXBtvSctnKIOeTOlQzqtzcCe06aeOs1UVogckSAJznYvK thgxE4leldXaQdzRUQaIqafWzVSic05Z2d8SUsx+ZG2GHPwhNKwcKGWtsRmSOuK424IM2WrzmBaO JGwzpR9wABmWvDdcnjQhNYxo/Btangwu3QvLzJeagvQuXlrK6uYRQQtjiymTuKnXQ7tskwgzyDOQ cq59/6R5ere/RB4TLv6aGbippVXhYVmL4N5i/yuYFzvxM97kVp7yAbbsNL558180yF1+oZNVnVUW Wr4xnYGf22o+iaFaSCFVHQLob2Snge6DbqnrzhLHg5exN/PZTS7/i8QI3SEl1FsG+bMIsaUDmWdO VzxI3cM6ElvQr9Bc4+kSnNZA7CqRD5p9BZxPHe+7/iQVgs/cH2n245qdnsn054VyrGfEP5grVTIT EhBhgb/U9RU7XdhJgs4YRrXxQWqXm0Iz/eqnAfr1M7M5F1oYAUayJHodHIZR4wpRbi9Sf2iTogpS dT4FH4EJyPS5gsrZRcWJYaytyV2slYwgrL1bVfWAHnpJ5xaaitT8dtQAAZqN6TfIDR0xEA7Epn8G r96ojRyynOjHOBaTY/3lecyOcLEzgomeyEOjuD7ymY+eQLHRbSFIhrFJ582UT0TCF3HNWJdlkKRz x9iMAlGWO81hGsb3TMvqaoPRkgJ8N2mWJIsTCTzJIeNj0yTxXiGiwechAgPLGPmES/RWD9A3YL3u ytlxehkHHaaJQ2gYfkFmuLXJ4s8e/gCS6cEr3CDMa58B+/aRKTB736BLbYtWRcZHmhxxMxAgtgd0 8Se3Fk+bbxMWthuiq6koZ/aDdiE7oqRYmfQ8FbTodNFD1VYtdq3vkbL9G/NeNS7DDajgOcIOPpd1 eX5tm6MOo69MnONCQ/ybiox6ji8v4+Hjxi/jY6r+SztcHaPl+MurdCOyOAiVC3boSOc54YnAIBs/ XAa+NIoOasaq1C2SMICHMoI+R98rXJU3ijXnL/3B80WkFJjgHX8SPoK944K9fphWWbB0jGO8bt84 m5eJENkudXa2zm+15WuNycyI/yZJgMQbLOrbEGgC8l9WwH+BNOYDJ8mfvTnfxl5JbD3nPQlKBpAf UTr94Cc5U7o+9d8YsVRB2AIgumY98gShtDl7z9Gv1GkEwFOFQv8g/+CIioRGR3eWgBb49GhCWmz8 vMH4J9SICfISvd8cuso5jWviGkMU0afD/f9Sp4rEQyVm63fOInkvbsqaFIAGLQ5aHBhiB7x0hWxj 8ZOzq3DxT3TrXzfV4f+6+p+7By+EOQqJzNoRW43NVIz4y3C6EtP44eIQDyU0PgTVDhO0FuKsRcoB aoXl9X9fhjXVjwoVsy1EeuC4xfpZnn/9bmMQoGxrSD72VJGAbuxDz9FPibx6rn0u02lyG5UvqZuV R63Nb/Ln1RJYPogN0S9qnViFDdtX1dRzlfW9p3r/53NPCTOv5ZpJwaxRYixYBFQWX0x4JKirviV/ TlT01dtYyRdhybNf7xT/JO65Idy9buiCnpk6HPDY1Z5ox1pO4B4dGGKEFdO7yWMm0l/f8ulVtFHy b6ZidR8GpR4vbJ5+ssa/CnmWA6UsiHjdieDL9e+j0ioOBsjfYlJs+1q0f1eDnSzbhfT5kg/rukqZ FYaVvXOg3YMgpaUHw3p24RWmTxWI9SRLPsRII0ITcZ0T1dRygaMwJc/u/hJ09czZWR0ssKjV2Kzj lv20AjBJFy8LKwuvm+eVYDwn78JzzVOtoQgnIwgpW2g2k0QNMaSunbDASp0aUj2nKqn4DGYCmDat K+D1qG7p/F7zCMS9Iz9y8nRtcS7UNVpSDBvE/uhWAa/WsHr2lpJz4X+xQJgaW6kmlRObH3ZicBfa x8xYRW+9COPyZs3TzNafC99v8metOmw56QWQ0m5qWYJxu+sbuasLpyFVZjSIWab7B7iClFUPYLjy pWmCWvWEqhCLpZ6d1zuqqc4owMfruPl8cu842bEI8dezTXSNIQFLycy6WfNPfrBYmdSXW/lnTvus DORe3WngItC6EiIk9irZ4G8GxYYBYOqYeUCs5r9ySyaUrOwrdnOtpFToJsMXHOZbJ084zd6qGZiP o5tvkvcqM4meecYdFIoF5vFKAr4lerNyLOdaYIelHZ0q5+ZvooQdVp8/B7dH8SYeUxKeX41WOlgx RstaorZW8GDNg7wZejKL8Kxm9puPAMoWP0F9/1fZx3pzpV67kaYCzpBxiGdkaqPahOuv/DRqqBtF ckEVQBrsefY5PPbWr1x6AizZhgihXAlBqoNt+YqQHvfFCiLiKTi419/JaiFfrf6Tjy5AKikhYn+i /Cdx3fzcVH0VaAfaE9VnVBWmEpMmuDIlveFrAwBHRV52C2Exl6BXKtxCGxvikDY/OM3FWQ5KCPUb TkyEM3jmuF21f62QFZwOUQLb9xi+q0gpDlqldXDN5DynG1LlO3t/kPRLZ/0HqlKQqB/+WPj8mbFR kzszsQY71PATPqH93Qa8slPDwxnhRURDNlQhL7/YbO/hyAIzBnycMSXEEr+M7SVup392vea2MWby qvXxLc+35/MIrYiftN3kpCOM6DvSUlwPF95prA+rApjsRyzrjSWY+J20YHXm/TBGAOCEaxXcLqdl 1op+V4u9zkn2jRhuv6+gmQGUtbh6Fs3CEx2AfmR4y0/1si8QhJgA+Femd3Y5Z/MqU1/6eN+r45zL TmNPGvWBR03KTtReMXhmg0Yrxmg9NKwxiEvxpXl9p1BRxU6vmdO3n0HyBGI7xup+orzBUsY/xyCI JTxIiqP3bgpzo9UYiw6ntde9Xqs4Y+gWiniNkPer83EbiMTb4DaVTHhYQ8SsG7q24od2jfjz7aiW +AkThnX1fLT9+SAbuypASos/+TvnKUUihrv5i0/uNRFwc0qE9LvXE2TR+OaCEqJtv3E/bHUdSMyU hhuF8X1U6WyKVUxIPsRd3MjHx1xl9VrWWEAmqh9q0P5N+Ts9ahDLKs6zauywxV7iRzUwMgA+bfLe 54/NvJfq9zPj9HK9Hoj16pygzbWmwKuAUotemdzKs/rPNAFpj0cKyp7y1gEBYWhOaHZLd///NmOZ FUVOlW98P5oNeWuLNPz1DYHfayOBCRxAwLUHNlWs5dulMQ7pLs7lbN25PDgJGwtpwlfBQk6wbVog n/OloQ3Pp6iH0flhv7Zh4wvCXUfEfHWvh0J0z4WELbaoqg68ChoLxu5OTfK3agrstfOVWgqPXQtj uZM4cC/SSowyjcPHkMBX4m8zicI9qA/AAt6WRVsM0OqBNdlTGkAA+WO5nKYbc06PqHSkqvQbHq7K s/zvIBeIvMfxukcujvKr369VSqQ7B0pe3GbEhMgjyz1d6WFI9iB4fDTti9FEMvco0HVEYG9Qzgy6 sKGxDLVuRcB01/+q+4MYWC9tgbLjOUr/igoYOnJVI0t+jMZK3qedfqlTjuugD5xL/NEkXDH5YvpL hysD6AHY/x1jUV4NLbmNR6Wge6tQpg3L4w4aOxyZQ/GFV8aqdd+KyKGyGjPJ3mEzyi/bCR6vmntn pZUw4lkGR2z8ahNEYddJbJWzezmC9Y/MTr3dXZ0ILxnmMm338/UYA5KtV5CrKOMzQtIDVm6oxT/L b3UMNonfDNYV/XdCDRGKw6+Qs3nF3smZVpUr1Sk6TXZVjaZm/XoW58Ils7ZIFy6J5Hiz2KJ7mnrE K9tOZsoyiMQMqsDraNxFqE/mHouQ9czGh5fQIVg/EyU0k14Vrdg+VfHjwTK5Phj6hxcz5XB7ZCw8 xUbck4ETdJ/CE6uBvlLo3JBIDUOL93JilTqQcW5SoiVJrn8y0ifvBBdvl5dLvdbdMtZPsmTrXNly QsQILMSX0HRAXh4sddfVnN0Yb81asP8CEnpyQhEBOnr/PzNfa1JPeEzc6fvgPMhLMBwNIBq/WHnP j7Y/2SufpKjrJdI4Eb0NhEbaQVoIwKhXXvtjbBTv3yFI6hyMbOF1F/L88rUZk5Cuw3wUIV6aLaNt 97poVuqPuv+3vuuCzzh91/C14giMm2pH5c70DqicJIqo6IxBUs0eOR2DpZfr59Gxy2YfVb7GS1d0 FRVoA+Yz3YWaWZlPuBbcz03Eh4uCkptmYMNM6Gm02Dt/55yJjuYAvyM28nAaVTVhzjARixew3N1J 8lmEGKicohIQbVnvFwZ4WpO/LUO/umq+1qxqRRt6B6Xe31YdIRx6q6HX0/VaCCWrz+Sw2CeikNn+ lm5j0COL3sXzL0cVp7lsjy3z5D4T30JZcfWngs5ORNOz0JO3V8JqSKatthvD42WbIspeZ87UiaU5 cV0Mpx8rhf+FjoYgyR/W91JbCyj3JC+dU1tG5uzxtA3h/iDLEv/GRZw7eIAv3U8ronqQ/jH7nlM8 2wnUJ4JuVE3PW7QbfhhuM6cNTpNddR2dKMfB/iiarbJRUpaD22+eZ9Av22FePeZ7hrOz3Vx88juC o1E6WnRD2euFYR0jyenL12B4jD1Q00MoEJC1KYRWIpFPzuqcT9lbLHLSpArvqQEs+gMHRJoW6Sck wc5eK01PAIx3W9jeMdW8A58zBZRvYhEczj6YERjxuNdIU31M8T7HCEFqE8m0qfDCVBCUYPzjdNhA 7fvra9i6yddv5YNAMYb/cinYP8Gm1hJefmrG9RgUaB12+8TwqQe/fv9CoILVD4LlJr2PzP7QeAI8 woPKuquO9DGF62JETcEa586OloguOUxG7HUFlqeDFsovWx6978rlr3i3KwfERhWeDI3CndbwhdrP o8+EkkbXI3uOq9AW6qNugfXclYHuApTBx2eMkASPTe1KUNlaAqOuMmx8VdyvUOLoXTxhIi8k1ev/ 7NMtQaMu557PtQmKJyF0PdqZE52R+p5CPuh5xSWOeQKpuSB64xWuLOCPvaJ3L3lJGeRawn4Ouo62 1Dr1PXkf2B6EMGEbVPOqr4lzazt5Dkxru/CbapUu3XZQzyMUNe7GoE+4mE8GA9oAVXKuiq43jPXP tG4CBuxyd5uzZKSLl1Keo69GZz4pDwIj/qt/Nb9yMMMdDmdtKe8DKrQTyB21bi1yzd34c6pv3ud8 CzYrACQSJBq8CjEncXL/rnEoJW2j5AiP8Vkx23Q9dqHB743XBooXKg+SLAcxC1joIurUkKoiWCOu /ZnVbthfRskrVIjaZlGI4jKmz5enM8HSuzm7nGW6flubk7lQQADZRSYbuL0MLd+fk4M23xn08F1+ GWo/+mykesv08hgpm5X6MYWt93Iq+IYNR5CbELuFG842VbUnS615AryR9dp2ehFt1kXjZ1m5jXb8 /xJw6sWWSijI0KKx7CvNWGUchnJrVV4nMrFKKnPY/UdRUos/YKrPa9l/rTmDRgNciC1+CxAioWKa oylKjyWaGebhGzbvys9ViAiFNh8ih+/86UI6U6u8Jgi8HYukqG4q3+eSkl0vgLGWnqlcGKs5rKPN tDEwJvV/ZTbZ5EkfQgL/OtT8DAzKAv1pliKScm/f/2UuoPotQNvwhWAAVtfxuSsq4EP5MPAU9TeD Erc6rcoXYCOjxOTtN3uyfmaa35/TeS77bPr6vgA6xkbH9oas7298EHwn87aMKJCcQoa2hnO8/MTU TjJfckNW/Kl0drX2IC6DNf5Z0WlxuR+hjnUUKXJZ/5EIuAKwIRIbdcsf8ZzeVsnXSc4Mr6g6KynS MInOrsOJcYAVKvjTmhjwPcz80zrmfV73y9fHopj0BK0WLZEVGpSbC9V+8bbh3Z3xxeNSTjDtA2v4 cYqnXjdzT6396d4G9lha/x5Hq7+SICtkX+8IfBejJxoEEVDKogl/lT1ekPdSd2UjUtEWaxI7GQqi HXGwx8uc9JJkASyefGkQg2dkHsE5YyWVrSgl4gtDcHMzJeo/HtBQr5+Hl9vpzvh+on+edQyVxhh2 MXsLbJSGUZpBXhnx9aiejFDFzIFZD0gZNiXi60FzOjyQN4rY3y8UAAEQZf69heypuw+kBW+c/4e3 DVvWvLK/duirhY9wN7kOQ9olHWpJmkG3uaQMnxWOaZTzA7h+S4sNJgo9Gh36ZRnDqis9db3yroWs emQUVBXk6h2tHB/hpt2y71cLR8KoJbjvhem/CGX5qh9U4FDL2AbtRfjrW4PAXxnVpzblfAoSjFxh i44S0RlDDkrWQslWaUXdAqWxJYFxHr00y//IWEYAPMNOxY/ZZg9/EDD9Q6WY8N/Eg2XkogthNb3h vxTylpNtC5CcV0Owj3Hc8cjQpYGoVmRYBgrPApePkC7EBrT5FCYHPOdT2KTWeiJZmDtZCAFpLqaM XU4tqFWl8KdRKlOdNbCAAPcH7iQEyL7h/vsS2q64NXoKqE6AKVM+ibDsv9joF6q5iHbtmv2hQ2cG kP38jkQLqVuBhFWbcDKOzedOWiH/hLO/T675EatX8Tyip6QgzcFYu7UHJYiEp19rHIqLQhhKgopn 2MHfWwLcqnysS2U17NZOHEZtP98bNKZvs7SuoTOvFpQwirixdFzIhSRbdaZfpyfDqRkyoubBdYoP vEyDXC+6fnATmKJ4MLfGThJXAuV1eP5Z7up/h9kiH6YymqX8jTH92GmP9BrvAD76j3f+lRaz4K0i ikKZG9PoQ8jT46cAKOme4bKDqN836SEcGVEUcwYjzMgRb+qQ3G1ygx7fbHDCVjRSrYFDiFJsNXW3 BqYMMV55ARbsJxoF/gsg0UreMM/U+SVf6LMYaQGso3N9nRq7K4GTVMYA4fvO2oLdRO5wEyai40Ol UM+JO6NfLMkZwovTdv4jfUbEsq2nMKroYOjPiI9whu29Cz2y6+BiiiOig4RZv8oQxpS2pStmWkjX HYuBLUVYuybOLaVKxdCnbNLS5ostAvXjGo7eDiskwPbpzfxg0jgeLIunyvpixmQziBh1SxuZzd0b LQr7w82+BQbXF1+xQhOHGmNYakhJPlmYTU+1lLzyLapmxvN/ZCM03rMU6wgIbV2dYJ+27fChudw+ 8fI22e3sO7sU/K6rjXqLxf3+G0ufHmJo7mvkAMrPJXwYHPhHmmtwMsyQzr3vky1QWasa5zcIrlB1 WPEiK5Ch8QcqoIpq/F/qilFZ5N9GEnDsZ1dWnhoNY8PtUwuRYws4Msp6f3FyHKmLbuF7RGbM0643 QEnfzY3MaN31SFZ+6IL+i+SrGZxVPy2FdD0NlTGdXeGDNbmMRHSYqKUIXi1Us9EarIkH2Yl84out RKD1oPRKqJC9WZlxgxR2U261A2g3E7XlSRhI8LAjtqqFujoHcTUjP4SMXaulW9xBswzkskhce75T Zh8iDKZc6EW/Qe7kLE+p5SvCQaud2o3afxKQKrLcZNgG9n5cl9B4jRnHKooA7u2QIuNKaBd0uUpI yWh78IeuCcJfKzywQNmPec+JP/Hmh5pE2HHp54sr2IADJiFtr3DPsl+ChHH7j0yLnHbgP9B6iIvm iO+t//HwMx4BXxuoxm+J+obypg4NasEvFb9iSPCcoprvn9B2b0a0pyQ92NpbtoQj+Fyo0whQsBP/ ECNLmvyt/HiN067PKypWUFzbSOszoVpRbqXEiHxIEXMJy14Pp6LJMOGJkVsF0hfJZFB0Dn/UbvOC zjytrwFCzvvVy5hT0BFWnWlx49ZYsX7rdEWu4EcuSQ76dgYl8WEwDsPSX3by7k2IDH9z+RuV9MWX Yqnx9R+1vVi0sKpaB5M4YgylThXw0ld3v/cJH+kNUOtXrC+yFYhowUKKw3aigNkoq6NctQtlqYjQ 0MQnfoCxlxgcO4GaFZ9Qu1qjCcPt1nJ+wDVlL7b/GtA9CMIcvfgjlNuFBqUZxiSMG7+tHVjznvJg 5UvR/I2s4F29/VRFEnH5nEn7MHf5Xw+qNcG0AHTyqw6SvlUiMQafbd2wpXqBABXAKYghVRkdIgMR ISKwRop3H2s5pAezls/yar0UWqDoMaRq4dps+PFRCqGd2iPbJybY0hYkBHDg7ZTywQ0xQnVIYIsX 9utZOriYttmjKYRQy/lW5VrkvGbTA9l6otPbTSAdQ6AM4orHnAXB2dkJJ+/KzVtUE3nMrLFyP6Us rIOlxREz9cBJ29XWmsuMybdKt2h/wmwi9LzFnE5y1rP5rnJXZ0UXgCk739wdEdsFcdFLGUvWU/GT iUCNNOnyz7sG2zKxC3v3YOY8zqqkE5vgCO7ltkVsV6/UbeYhMEk2+5wu3g/8Yt26Al9tKP2i1VZf pTPrqCDhoy+B8/uuLtrC7PRT2QtjWkW7F+om1o70jGOQl+DqztN7F0N3oP0BxZtzMERkZ+Cv17eH ci4vhQ5ApaLDQDOwlSUTUuMJfVn5QlNuFBqcJPDiPQ00h0XK1LoapfjQPibtuQ/YY3daOdzdmS2n Ov1JAFXUjq8qrjFs1NZ9NWTMx6lq4XWV/WJGihtA4ybrlXXnQgV0mVSFrmRK1bX466FuWa5ByKq7 q4VsbgBhbFpFNPZMOo9jy4jJZYNutL3mnP+px3m6ny6Gh0w99D5ybq/OtRLuVoy2uknCEjIGaZdH FqHTYWqoXAqVolEEkKvVbNyzq8eB6hI8ywBEQoVMdOaWSz7of1K7OFvZINSyUWSmK/DKl8bkuFLc soQzT22ph4I9s42k1qcSbsczb1FG6H2k1F25GlK5G5rJmlAoKsJP9EyTj/uq5mxGl+pvOMWGUTzd knzheOZkFeVghCe2HnU4bVs4aVwfcR4fnb5/U2WoL6vO/lOm9WRLRD2DF7YMOKrZbY29hGy5v3bL UxpGPBdX9XrOvV5h6vFasiy7O1zTh/K641y5elfqO8Nml4dXzpGs1CtJSZiAE2MXw3ycsXMwbAR8 WVvKfFbMHjiUXi0bM3R73jZwqW5neuhUWqGsjoZSBXLk0NkPDJFoPyKJFUJ6l61Z5Nq0ZL7Qp0Vv E18S8J+ugddt/ekrfjBVD4jtgVRlaU7KCmemBdS6aFCVd8nbMN41jJJBaDMGcBvkeEG59qvk5i5U 8wF6Q8eSYRlGoe/SxgkZf5JKLTh3GSDzoYI6si39A4CVz/eyQvd/g92+L6agoYRWUSf/pIhnU8R6 qY6M1XR8xnPliRWPxsaemXjb91POlRzCyVnsKMr2YiS79uncEFn2KdyBrcnmSOatSGaAce1hQp+4 haWqN540BOFhosUmOJ7AbFhHfpiDlPVbKipQ2K9YmTGxQel89K2bgv0jBpG5X619d82pL2COzLeq Srnu83PTXsCCBoWL63BCxR7hKIYx5jvBJOTF5HwAlbnGwKGeATFAxZmPOuhalcZ7KbpsQEE14K0a sYXML20DCuTreuRBSl6kcw/MSaJxPo6v8oOKYxizVjJXg2vhyY9b+MHpWm1o0FwuwXLKF8GeiYmi x1ss5mdcj+uiwlsdNTwkpNAdCHFKHd8pQlh0d0ne+c+rV5bBcWRm/SOcbjFvHGLWpa/fJzwuHk0R ZN+Rv6pjpbmG6Has1ijcUSVD3S52uiS44SPz23LxiBCLhBhIfRyXBCurwpz+JObcou1J4XGvSpOF 9vaW6OYS5tYd7Q3JGgEDEhCCmTtNV1iLkoPHXGcQRo3kuQQalEssrwPyWQGoV/ETSuKHp9rzovR4 e7HqB9MOm5udVn9oyr9bv6G7129HTlbPLxq0MVfS1UvxkxLv6bULWtGgb6RGgLUIxabh5lHCzPQt AwC3eyvbmSVNsXcai+8rjQxDy2KuUVmucJRRw2DnZvSI+zIHi5P1BRwnjtYfnX+t9TXBgMcY2ThY 3Ntw0o6oJpYX28Z3k/91Cui+wyqBH98+vxQahhUCixB0G6PPIQDzJ6qRYNP9y/urtA9paKgsTDNy gesAWX3ofszYKbnhZUjbg/QamaYypV90XVjs4BP63+bxGVY+Rbd6k17bv2hCp2FDXegxaLfeEAII r+dPHQTwlJWjo2Wdrw9VzuJtr277f7G3IuArV0ctv2mUB09PRN2ZQQGVhmN2DNxkbcjsdjMDfWnJ ApKcFMkef/bvx1HlzAhBWgFFpgdX/f4pApjQ9gr5bwgiKyGvYDRwEOzvi6nXzHrmXwg5GXFYpJAA 17f4TgPSrTzFfeao+tRKNDplAPj2jwhswMdDcUYJXKTxWYA0F1v5Wnuo2zXe7NTTtwVFESAT7pPV KZvzpUjzNkWewYjBCuDz8mDq5qKc3yoZ2fxIihzKj2QPQDw04aOp5LBTSkRWNa5+AsxjDihB+pbf E6fdzBaihgUZTpnwKoTB7dXDxP5RZ60W8IKMPeePJDH4BYZ9Z4Tki4mDxY353x3RLLqZ3Hj6XHSF Jp6mXIk9Z3f4j+IvAOnkEO4tFf6j+mS9pqe093ah4V6Crik/ksrTXoOjzqUU5foqXuKENyZqI/ye DxeFv5mxufAkv5kn8/4GMs/TVfUBwG0owgor1xoPMrKNZym2Xg1JrZa36ciGD0nfAkau0HiXBoc/ /oS4eiqm3sVddWIjOEXqncMN+K2airXdA0vzKgPQulj6EXqjVZ8VWv6Siohg9I9si7fE0PyfpTdA drV82AJeTJXosJDpwzgMtZJQ5f9v9f2Ku3CHEILflZF14BiDk3CuEzrAg5j2ds09RPoGC4n482Hh dBdNJnY1K7NhTP8CH02c/g5JcIJOb+5ILEefCDjctrwmeorCKpwZvGbdzYmeQ8FUHsQ3+m+HH5AG 7RbIRvTPv5iYyDBuVBDnOr0E76NjsVXQSRjVRH/Z4lVxpUArSMrup3s3J6rFuW20EZ/XrURVuRAH Z18lQPAj/JFe61+CTbqORPdHoW4A9z3z/RVD0aw5L6q8gbdbcsySsYRDOU8h7Nc3zBC/PiDhBS29 h7+zfaoTng0GJqlc4dn7yvvzoSxVkpo4+N2eaFF5SlsELmpasX2/Ah986a3y4zb+d/1A9TTc5GAZ RWLwBV7F8wDnXuenBmi/Lj7SLSoOhTZ7le90TukW3B9WQi7stBNiDQVc6gLgpLJe8BlpuO/Zs7PP 3mAW7M2QcgHxk3YA1EuQJJ0EgCPtJH10kXeM5JWth2CtskX9Fw4wmYxJU5dLZ2sRNoDVDw5eWOBO cewqOovYu6A9WOslKtS5fl+vMwG0Rq4dz+di1os08AB9h/DWeKSGpShTa2rHy84YvoG8BVhWFLO2 DLcxziv8FYFwAh5G0xzXTVm71OdtNg3xi0QqjbotXEOp4aBRCQ0JZDasCKpUK8+fsyjh11TR3ru8 xKE8aFGM/m2UJWmAL4dpJDS8CMbRehEmvkXvasbQdzAevkHtbZ5y6d5bxDqTFgOJpLS/0+/TmOlT 9efCJ/6at8QmCBnWH9iRKz9tNYesO+ch5jBRIuwmLqUYzB8mn/jmtYDB5yGYAfgP/FvtLrWwgwsi ea/7LbpBH3fgdBahwC2g2pRtIjK7lNUgu/YETXdyOqZr2IdJZD26qFm9OS7sTtp3qdvABxes0FRJ ptRUDN5rqheMXcxImurGExy/tepJ4XOrsKu/9L5gdAU6S1d0i/hXFhz1tBhxvRruh/NG4+XGzhJG pOFl1/v6HxpRViglxr5aGneJbkiFwpHKIlVBVHhI62KjAo+Krc4TTUzuBvKj3WRMUrh9evlVPKo9 hnlE+yUTfAR510iNOEHBKUyq3yw0VjLe8eT+phFaoRTob9BdP1rsywnOeqHS9eRVuhFggLbwtO6B Ps72vx7n3b7gPO3EzDW1WnmI6xmrs5NSZxYG6eVgehBBLMaUpk75Myqy370AOatbQ6vnV7D6DoFb Ib75HgP/cKi6Y5sq9fqfR4SjM43niwxO/W5KUDwVX+D3dlhN6fqi1Qoi7/3R0+3I789luoCPh3Fp H+dR8VuRsoMIU4fD8ThlXpVhGfCWTMRrvztjAP98mHaJkSs1rMhCorJQQgEK3BIwjTSlRcK0TTen gQe7jk2E6+o0GVCLMkz+BaCsBGRI7pH4r5OOMx5Ec7/M6O/TDGkmjawYdPl9x0Tx3SOAb9Js2P8d Ll8dmB6apLTmoETdompr+arW5SMZNOJJZEVjFQEOgbZdAmVLlGWrVmxlELjvGEVwyCQdXIyqw75G tDSnOSGL1RXRQURN2dTMwbMY7l3aCx3RPc9hjObye5mr+Jqz4Yob6hi5xUrQYcO3qx1fBKetRxvy D7RRF/Tla4HSlynzyphdYrmN1BG3AeQqKtQtb0C78tCu/nKpa2SEXDZ57R6u7jAWzJ11ncTFdmog U+buoa7lFMVWxM3Ovr4TiAoHoPE38Fpe2cQe8AshqMLabgVGJWbzZTS/qUNuJ8HAGqDFW2ZiR134 zhL0WnJ+4rkZnvq4yQ/NZ8c+R9sJlERtiPdUTgOhS+A9SS9DHtdoaghbnKUoVNOUo5NJtbINS6Qu wVmULPPjCPXSOSbd2pXiwZxQHefBtmQhDqmKYlhd/UqYFPEFLzwVh0jM9aDkZ/M5hybn7dlRGrCz YK2xeNNDZ/mrJRZNzSfsWNDByGmdOZcQsqnU51oxnRF6owHljPmvOXGPs/hoOA0j7c7wqdQTxLrO +e6ftmXQ4jCPG0lQChRR7JZl2/XZlARfrltHFBbdpLRlsOxKP5ie8UmEfFMPlKGv2y0zEqAmhwTv wR3R2emvMTn/aY5mcia07j/IgDA92RvKYO7LiEMAmHR5RLTShl2QdWyUm1ThDLxvtBzUM5Ghgb9o TGbECYESpx8WHz418oIcxiYBqCJARGOce50g6rw65JXbNtB68iUSJVHVKIaP+IVg8nA5yfTlefG4 B4KSJYJ0TQ9sCv0trHJ3RBA7v4jmogakhHS5VCBzTFOWdlmXwJ8umMFEl1S2UktxBHr9nBQ+C3VN lwCKbCKgr3xseHbW+jXOsgKgYwo5Wh8DCtfnF8c8O48dH9NDu8E884LSTe6Dqr3wKIChrnpi1UiI kr37oej8CY7164D0FBU+bpG6ocoxiFw+0X02Zz62Zo8HtkYyBFB87FP0gF3a7RmrFOIF6tg6+/1/ 1SXAys5VfMXrZ680bWNChPUAK8gUVYfhHzqRpdkO74OWPbEzphFThsEaEXXfpyYI8YhrzFKks6ml LHMsWbf5GsUnnZzpajs7KAOLPa/od142o954rRp/1ROSplrveuCOqAOu7/MNUVsGZdNcOGCd9IBo qEuVF5Ln7pyHLf7mraK6i/4LqU1+7rvVWFOvc12JBk548+bFQI39JW/yvGkG3LdlNr07inyTXsI9 QPhlxk+pqOn5ekdU1Gs50IP//8VoWqs8jYVnSPI3V5fLwtQ99V6dKQdHxA0unTkwSoaD3mX6hjXg PD/Qn6X8FPOx5612r9/iCZyfvIDQ72T2TefKAKhb2JVbomeuAZZDfvuZJhn9wKck1N4EqT7IQSs3 XaJytDhIpwgKktNz7gNlGscc5orM7jmyjL1ZFLELMZW6BL/Cqvtf8n5XoIVUeUvi/blg3FVz96CL tJQ8xroKnJfgUzGXOqhqNEaxgjfNMH1258nwPftXVmPBZ7iUEFpgzjIDup5KCd9hTikiZwO9S2ue EP/Q7a6exbCjLklu4+YTGFneVfSDWTxI8SwOvI+oioxmfHHUT/dBs1iOv4r9ZAsLZ8SBMCTbCvwS t1Jxf2zH5+SzIIROtgBtzeIsOFlSuwnhSCvO0ZnJo7PCj24n3KO5TxTdgX5h/q+54d3qpVjCzZUU OZNjG3nDKU8Rs532CZkjV7ia7WzpTqXzzm8TLw5AoXt26ZsYIVmQ3wdDdzb9l4X0/3XZMLDhbE7q gosKo+gi265blPH6q6F0yz9GwtgbagQ0/uuovLLEoZ2Jr8LsI6dfOWsKt+qbkCpDMGGFiaJaS3Rd 92KoIoGavbUDKAPBXW4r+8+CKyH5pCBgRgi1RC6ZuoAHQKsXm0FCVlnAKB8sMwd83faUkvdnIT1L c6dr21a0vOLb6hHuEYdG4UF/ptZvNUwOReQkRWMXpHoHYPbvw/Fl3QJm7be8Wi97zuP0BoTz8pIP KyihwS32TgUjITT+63sOR8O47MmBTJL0L9vDhgDfbBtTjtZ3xvt/CArjoEVTtSYhL0dr/XJ+ZB9J u+LgDXSLAMD16h4PZQ9rtdNTkL8obyQ7/9Sj/5qQwapsqYAdKWKQ75sc65ydquvyjmMKMzKUTdJy n1JivXm7T1S7kQ0SAplKgBHoED0jwOUFVr31K+7pUNOd+f0s1UEGwQNsjCahkcEIHI3uSNR9kNbN uMq0HBOPI+OwVO2NYKpStqUUs/M9Yrv9bZnuEHe2YGBsN2FP+fVW/pmfmqrUBQBW0hKHRRTQ4BR9 c6CK+F6hzLUZha25WcaPnSxm4RavE36+uI5Nr5BLmINGcqzBkcAH7c6/CiYAwJ4FbiuVNYydv+SZ uSFHR0W1qtxIPN3epRNRSErIYJ/kU5vb9eFjCATA2dyWzJ2iVsSk78BTzeYu0sGG+RF5+ZT/tAt1 /pyZtRpkIWbHLC6HbElfaLFlvTjRdQZz1aRvD+zIwq1NugiNod4JdI2TTdec1TcX/E55lbSzwTJb O9RbvjbdUXON6aFQovpwGPjUj6WiLTrGDYKC/8gNuMK4bmhc9ef5Zwn0n+8c/Qut0KAh0OzOgvh5 w7oeBTpjwHGL9FotR3HjOEcKcrChGRb2dwFxJz5pkj+64oL7xgyZ4PNsCbxFoei0L1RZ5Yw6tnhb FNRmjyi0gb2vU/HARVTYdwzNA+SEZh2AT4KiMzmttTQEHrCENUfuKgHF5kHMZ1AHV6lhUCQAu9sB g+tHLKMalqe+O0bTfUdT82CYrU+x+qt0N+b0HOqDrlsSEEYD21R45ACx+5rrTegWIXsnLlYP9wk3 QY7GRhRuRNo4w7VXug3/rodJL9QtAJRK4MzaYAzAQMOCRtTpHx2Zw5MXgWCDDAJJdoW5FJKaTmfx hymodmDX84LSIPpHQNMajc1pJRxmqL6HI1FjnEI7Ra12z0xBsaiu9FepBS78P/KqAsaZ86wc+9fe J6LnGtU3vZYEMFdxDWH9N7PGmTynXeVm+tZEjleja4rSVybAsEHhptd/sPUb87IBEtsra444FMkQ TVBU5jTo+Dx+XgSe8BnQz5Ke6GuL8dSWuClNvywjYTDWT7Af7IS/CLM+6Vh2ATWMvOuUq3PzeP4B J4+6zH8IFCnRSUsBamq/ZjSLPtgEZ71eA6/xILFWapDDnHOOKmUz+R/nNZW3kUrW0ISh43dg2C+B zq6Ado3BreeRPaMabGnAup1i+5TLa7VKSd8CUTzanZjh2Zj4MNLNEKz1FeHOYLXmXWBtlg2Sy8pj u9ZWiNJjDzpBPLKjh1K1EgXkPM3ynUco2P+7xTT0aWfduiyzrI2bZbWK4pbVqeUUjFqzuesxDuRl /NuPX2nl4pHH0mO56SLG28c4vCHEXHs0QUnaHl2rRwuhAVaLriNCdc40yAyBT3sFVLCj2WqToE3Y uOrqH+189fnoyKpmNxZmeBt0m1eO+O18GzxVLJlPMFLuN2E8K8JnCT/mKlh2kxvvdZtlVQ9TxZDs /ILZXPSQy05E3O98ZABWZdzJRKys2yH8wGWNZtogeqzDsgtSTJrEbX79O0W/qVdTBiAF8lik2aiA B5zOTw80DhPaq+eBgOueRDpLlTd2tqynjmNoyxysej8F+B9dGqKpBb9mrTiNwDDdzK9+THz90J49 Qa0nsNoKBDfbfg+A7jaArhdH3T4dYLdSfiNIQUx5UkR2BIM77NFZCnRh8NiNKAY46ko5YxXKUQjo zUsz3PQswjBxG9C/+8V7Ve3Jjj/XoUMSNprNrxLbrQ0ctBVIzA3TmrETBKqEa5gs1mhhtqwHH+UH WTlk3oaDrPr8g3Ci8Md0gzRBUt5U3GzCV2V+xSi5DzRv6aUZYMmFeSra3vI813OSU6gGMvlY+vuH rij+i7BkqBr+X0/EYojxMn+CqIrkylbXGOW63ApL4O92AfwHlGutFyPVGZfsX1TOEVW4Qvmtp5oq CBqAlC9ev0Bdvedm3V6Vv2efXbhwHxMXbOxDCDHlrNmpDgtkPLHtzhlxy4H9zWhPA9HMBzkJo2AQ UahpmB0PhHTUj0SWRow09HAvnJ8kusF3ogbcgEqVVghPKCwPRCCgLX0O+Ga/7VUBsr6IqsjllIAt gHLYchFBdR6X0IDilu9njepkcqvkeXZdQoOyIwabe5d6em1lwttXi1HKI7LtUSWSiFvYL/1WewTz WvEx85OQeV9m3cbGKE0XCHL2GknnaFB/smz+9/TNp5rcyfwOw1UBkdMHdQ2ED7flEAUQjJEyDxdw 3ANKpx5+/d6AabHzbdj6AxmVOqi5tB5weCS/XXoM9nyZ+gWRkoos/RS7BQjLec+9oRBhF4yrfctS ltFIoEdOvH7qBkk3YtmFH/8Cg+0gH9HQDe4gYmmSgqhD/6+O/lryMMAilAxQ4wemKZ8gtml98Uqh W4XVHowbKWDoj79bG26Gq6Ib8ga+YaJxcUUSEYQ11PgDVF8vs1BQG8v8MD9i78U1PjEYT6o7PSE5 4ejK6yrNAmANrgp7ySfL3GsWDOi02KoXBm+q3s29CG+8OksY9lcOYdGMT3YJG58ruXVvVCVT5ZJP 2iFRy69MtRYf4tz/F/yaYz4nKyMhFSaed3RLiAwFj/NSYTh6vXXg9E7JDdMthdf8uzl79ssMDrhJ Nj8ptYaKQlNvkbs0CJsuOiZiHtqKfpXAAIcbKMohEHz3qwDWQqc8jhbjUDfO7wEgmXkeCTtGPyVi UweMJwFNtQJ7Wr8hu34+MILGaDDeqDfci3zW1qr/ygqLiFk0x7yH7f24cSgwfJo4L+y4ZPTJAWcz JC4n8mRczFvq/eJWyoanzFhX4379wA3tnYPTapCb/JE= `protect end_protected
gpl-2.0
c0199919a7314b4379b4080115cf739f
0.942109
1.853467
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/vm2/vmsMultCore.vhd
3
85,630
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block qelseV9d9bvHFTy6uG8Zr39y9uQxWlI4JPFDoIaZTsOSmuoHUMlfxtFbaz+in8/5Scrsi0DrHBRn LkmnIzgBqg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kX+rrFLwhozg1satUIQSPSrPDSOrawuFzyf8cDK2aRnz+nfy9rSTbCGWDjg0hoH4RBAgh7nAGZJv 3fOzdp3fB0BOjI5yR5dmsnKboOLvYMYN9HnrEcHHojQtDHqmp3xtFTOKGx0+XQHqa4OwBSOa39Gk ttHGg9GGFyvcexgWpD8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GgbpjC9JZfbNDjqQddfymGpuPmqUYexIsynBwQYI8BxA11wZnEZhfbfOYKhxMYuZhDI+4kU8HAfw OS8f3OE5XzpHBgwi04LhRji3bfjjc0UGQgD6nbXRU8IJwgyLG2+L3YgtZyRj3iUWQudTU025yIl/ w/D2amtnp26a39pdv+JDxb5P62KZ2QmuPLFS49iLTzhyXc6A1UVcuQi6+/KeK4kwq7WI9gzHj+K2 0CU/pJTaZhbO8/HCz//o5jQKEKAtOt/5mJJNJWNb6C+2iKvWgg60+i66+/M2hBBNsEFKB0IFyFqX 4xZvZsvXY9Ibz5XlItdoi8orKLWLN62+kJg4ow== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jGf+oBAdHY3Ic7TX3YH5dPR3KFjRPDo4rNWLmrocaNy1FRZr06bL3K1MdqX0cY0hy4/CaYtm9L5r O012ySqM6vsnbH6J+RVeFNLfRpEimyU85GamecyG0zpZwjxSffR7T7kk4p50HiTlldYwxnfJgRkD vMOxhPf/j0exM9ajTz4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block efi2QMOx4uEHWRasjm/nyel3NIfbyVaS49xTM4bl2LzqJlH0OcLTSFNi+J4xKo5nrE6h+o1SVExX 04DmeyRrCGZKiZANccjEp9codC0by1sZB9jiWk3Z7YUcgGxR0lBPuDY8CG/NaotP8d79lKagCgSO oQYwh8oxHeUgKbTXWj1j/rSYHUXWYBwad/V3ChtzdMN2cBlTcz2/OLvbnbtQCv2YFyLsLkiyRWJP JsXSQ+2EOZfb5iEPEKiyyZdW4GZWDjuQYnRFczZLZo0KG20TCdwUIeHoxz+kesev6it6DghQiNkw moY1YwXLDpfM4JYnNas4xJvsboNzHwsSvtzChQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 61648) `protect data_block FPO/tscapARTGOtQbudxY6wktqbeTbcIaL51gj6gPG+dzPD/+c0PyeOBySGa8zFEa9AZNT17vS7L tod52sDKDjExgREwiXaoFn+iXrs/ZGM++6H/XYeIwef9jvCnmHmqvRE7y9p8GGPNWM7ttmPFbkKf GVeE+9Ll5wE3jxRxsQEnK4bOTFZxd2v0l42c95qSQDYHUJrqja9glr5MdjCas+9nU9ruXhw/4bEr SaHRqZxEGpNDHJnxaRu2BgTjPa27wzEnyJyyo/XYC6B7BIWCC09ycnEdduSTbMEDFsdC+gmlaR/b taP/AQ3n1/0S3Wt41CSTDWupX5OoDuE4f8KC+TPCwK1lLQQciI0pdudaDZtXhIQ5iC1prymdtYDE UqpUfyswcoZ3mzKEnIX6nctD4LfzhVP3kHKBgAlE4oy0F56AV+CCW0Gjsr1qcWReRJMzVH0ZPgJp nkL5BeLkyy+qny/C7CldOm3Aojho+yYj1nbQYrP7esYGlqGiegFU0ETUbOnlC7ud3ft4S5ugTccW 2H1qJCgxlSM7TNhu3NL+DpW7Hk8+siiNgUZDRnLld0wk1kRMGi2+5wepZr+WLisixfGJziwnjovZ sTRld2hSvcuDZY8B/y427ayzlaRUar0PNydBCuCh9zgMl31o7qpRvdil59vu3b8Pawm0igJoPsC6 7OLSSDMTwox+uT62Z/BI1hdcON3VY39fZCp+uaIZ71BOJ1VsAhuulu2ZlOE/zHbEjs1nSDVQeo6J 3d0WgS+7e5tlvfYcFE7Cwx3m6ZrjrsH/etBtgWf4dhoIB5seR1URoNPP6i7FV7ll+5GherAHXncD GJq/sRR0DirbHULwrpVFaH7UH/7I5LGTbtml8raQShbAPVOasmahjm6diouMiPyl1HVae1wfmaZv TZ2CQRlnp1Bh752jWy3QhzaFfNp4kXR6B4tnKKFY95lEWO7nJ0oflMsBVkj7UG7XtOAut/W6S/oE dTOCGl9HknZRLLKJmMQTec5Z50R8qe0BAaGP+Z4jtyWp1mF9utJ0F/n28BA3PNfGPik1q2GIKPS0 jOop9zPHjXVwQa28wTxc6Eg90A2Y3Yq8hfEsHZsg6aQgbzzNkxqC2TLi6OV6RfbGdPOUXm34AzLv mUKuwALX6Qs7VFdUPdrqsPM9C1kaJPywVbYbXLLhyUIdWGGUWIj1Y8PRaHWWDipoJm7+G+LlPus5 HopLKwf22lrk6B8F92iuWBxxAg8rgEJH3tG7DEwbeKY0o08rF2iswanhM9J1SnIAxfGOiDqTSL3t Qq2iJGqRkOmCS8Ht91639RfrCv7cW1RSKqTIlOEKfE3Ahln9GCNA/R3etxP2rugxYsnkLCaHFSl3 zJLI3/5Kgor/BnE9qwh9HsKWrBNf7ZkAqgC0GT3ZcZo+Lq2NiT5DgZqvRzhvKbh7R9Hi4IAQ/4bN jHfsLNTeqSl9oQwv7FVqdVV7nOS7vX+5P+EEBZUu0GUT3WqMp6Af5lBsD6YENYAwjU/C5wvJJeIp EDXFFNHeTYwClLovgZ5a7OqFCSKCFV/yXPwCyBbE8pysqtV137hwd30yShNaI1I0PAPZbxL6jhOh jKL7RdeR47SsHf7/rQeItEVpezcwbdhZq84Ij/T+b+X9GtdBzI08TWqIJuXrhxl0Qwd1t03gLWFa Q/xBzcnZRjwd45lrv+6q5C3BlWUErexstt65dtCkTJ0S6M9jwcf3CXMOBrGnix/QW4k/8YY/GRtt qxifq/rB2eNFeBhtXtrESBHjKNt77xCfJn2NuyplL1fzTXB6Lv/4Q9VE6Sc/7ezkJqJdZR55M9cl 7bNV8m9LQinHLKZ+HNoICFJTBSzZqQ0VUjqwiCbzBUc+UNPKUxtOFu/xxkk8hSOakqnQogFgmgxu sTooeqcSvFeF0TIGG3HCpxAUjn10KPnAlqbfnp4RGh5nU9cBgyQKBV9v6VQF3lY+Xfp9toqsa5NX 5ycM9DYrDWSTCHTR6qbr+dnnlsERvSYW3xSQ9vEgOabPDge5DV82SJF55d394FOX1zXVUxSVAPLr EMwWUw4wEGyo+0XmLxY/E/k1iDqqXg6Lgm3XA8TLw9JVqx0N+yVIneXC1C0lXZSU6wPmLcMjomDZ +JN3ZfYsbIoC2HL7jQ0EiV0wmb9HvtH0ZFWJ9rl6c6sV8IXQhgOA27ll8e3OiOWwxILQdEVYvCdh cc5IKcRzmT9ieJeVO9zDEfFMdBdZOMFGAWrj5yxfnZSwt7H8JoilWwz2db8sIVGB/2YCSFDZntSm M3FQzSHmLjoVBjMsSOZwRCagWnrTsoilgy5r1DmTLMhlzzSkdZHdNh0KKwsA31UHBTYW5gYNa8a8 CuzWWWcyhH3sNYcS9FiEwSMOrTJsXBdJNPztk8jOzPQjb5LYtCq2YJLvshtlCUTVAZpzNVygj6Ix J56cxqqYWTKHfUmDiKOsOwWR3weRu/za7cfhc+B8VNzLSh+2yA++7uCjs3YjNNN/VvJsoX3V+Pb9 mE3IxikkS/FZdTcgmVnWkjsK61oW21vq2JBhejwIKfxirw4TO1NwxkkxDabiqA4w4J8JoqMMpN3s i0r0szdhHE4kDL0rWNu22cTAFIeaNjZGJoSYHBboiIotV4z522fBbhxhs/0Or13PQillsjONmkwf HZhCk5WCIoh/A4qtpfnyoeQloNkQQ8Orbk/dUaqeoWVE8tHrJM9Fk/SBkweqZmITwuMZ4UOeIa8U YZG2TfmtBuINl3DecP1WGE9Lgygh2iPmUxC+QFaPSGvgCYnZ7cBOusdfj1eMzKcQvBtE1HSXBK+8 1UUvJav0hwsFZHaRIVbaSuf2RV//LPsP+scv4+syerbNL13eEzv5K8BNVXbVxkRLqf2CUFRe9BMw UuiZHL6rhgrLzuIarPn33NWd7n4uKMGz+SfjfAfiqEsxPo5j1Y9x4mkl7ONZk1vzhosswb2SP+r8 sMqq/fQt0fwpk1IJmht6X9RyRy92y610KJKNH9TRdf16vkaKhqC13jE0VvVCea9seL3eevwsz/67 VtzHJrGpm7P4v/bDDWFa5Jza7WlCWH2dFFrkwRrMB4dvN+9xIN37I8O6B441RlDJ7iUxs50UvvLh Hts5crmaaTyD9jpJZBQgZ6kp2XEcpOWIWx7tbFTDJLhWSB2EbaM41CE/15jpV5IR+Khc0PqphOaF DZ0j85pfmsqoEF8I0S4dYIsmBK4t6rGHyZ8PE6QfCEmj9KBKsLGXMYUc362vJ1SngWNWj5Do5qmd +05+6RClUruLnv5HsNHVlXuvvv+iD0YIfG2it1tzwJQAxT6dtRI+FJzRSzozbyM0jUiwTFXx3ne9 Xk/yZUKfEAkBXdqG7s2NWwDja1fyTWZXwJoJRwfCeNsh+6lCy56mR67YXCDYHG5y0FlkkBpa350W IYOv1giNh8irTTYH/ZdHk5rIqBdtuKuNG48Cjd1hxx/vZ4+w2Ab2Dksgdz7vYVtIh2aCFjgv+LV2 4jYLjBogrn1vEvvHfQ2S+k01YIbFF8vDvCcT7ROutqETAHwhYZikFrybtRaVbGr/xpn/w3gUWP2Z UhTtUSq3OO0mS1So2LQzsbIj+YkKlFzzIHHf53iUL4fEioKLjiLQJEBcdi+VpdYBRykhD9u5c3PP a3q/5MnG4qf2p/8KZCZzrlYgMiK9HDqV31BdQaL38QXuXJ/+lYRSDyb3C4Pfub6PchOq7gVK9kKR Jo5crgeLngDZ/tUwmcPVOsW88+Y9bDXAvhgo+EnFhA92GkNnZsnDARR89bOeusMqMDBRQcBcAv4M qHenNybdyf6yBzFEkztnyHf/GUPp+Vwl/d3O7nO4CNTUya/oq+yqmVUv0Z2zE6CBScSAhQTyx43W E6AOfVIyGqQ0i+H0yzD/2WF4tQ7Nk2bcsfIzhWrJuqBIzSYCh7johtETd6mRAMjL0+LMgQsmebPc iqOAbAxuj/YFsJhBBZVGF5ibRrM2li1tsBXDhROJnSufzKldgr3YZ066vi4zp41E4WfnRNnSlbsg GSt0BfVlVcCUqbQhkI/VC6sI9uMVZbWaNqObhXwa+r91XTikWgd2HaoaeTv8FT4iur1ZWdWJwcLY sPZCCQsyrfMxVszkOrOajlPh85EpgIi7KdmUgoimW4OWTcN+wHlkdLPL2YqG3nM0OxrARhDc5lJA 3x4BCpzeaxsbn/At7M2S/d3UnssjHVFDGmI4Kvoo+wijnMZPJT76cRdOBkST918fyLPlGLeNXpYN A/JK2w1ef2Kyna+/VXnvnQlYR0748yrVdW9t7fYAPJe2uGs6o8c7brxMAnoBQFrbRvSkTxLDGNAK b96Lf+C0sYE4s/rxr23ZoHU4pk5iB2tO3ct3Mq4+lyADc0m6px6wPYCSr75zA/4jYQH9KlAFP/rn bXAwla+C/T90Cl9TRCI5L1ZOq7zgZcS8Wh0j0OHESEwSmMn8NXrP6oe3BvmTT+mlAwPsGB6TecPK 7LdAwIf8/eWcR0ak8Zq3dziMpGVPMqu2iuPCZQ1JOcTt1O5WpFQul9tpA/PdlRH8KpRrnYtDXQH/ twDeyvgPaFOAdydz1QcKx6SQu8LxhNr7Ld2ccCWSqo1z0u/Mqq/kvkS63fZgQYMMLjSbOtDunXsJ gNB7qn5gZLDpN4bxjIrglzuYvVulQzgrxB/Z+6aG9dylYAdx5acnAfEk29UjYJBVT4pGGNzbLoAY 8OqEf9GbBoxYGkHwT/EczbAtf9C8TfEWI0rOrBRRhNm2ETlZtuPgF8vSSiN2Q3GPCZi+ahRC9ujg Jt4ZxGRFB+kjUeQdR3bQE9lXJMGkRzVxbRuWeYkcpvOYnc19dsjbslqUmVHssUII3/qRR13XsRus vpCY8LMPCooXkU88vURjhDs0skNLClqTfggU94TOniZVnKbo0cVI21TXDF7Rs6sN+8yFnryXHGb5 YxrRzUBdsBfUdj0KRZylAYXzoiQGLZMntvg26yvUmRaA3G2U9MmHcmQKHZ9UR1p/TpUC+HzUhQIm fSutlu0Rk8OUJBJ5BPwnbm/8LnPD1nyhlBGyXNNgJkk2SnIkjnbqXjHnEVdRLFyc56FXUmMr3clB mvUcep1JTnu21++gDVPrvU/Ph5z3BN/e8sKtBmW4oxI+NZU/j2midJ/N/mEh+6RDjZfE09miR0bp SsOlrYGwW1rdWosmqx3EjiXqM7TyCmv9gxLbFO9+oOWhSDM3KzXlp/kST5haKUDWhx2zPHcneUAW NtT8oPNbbLn8wMnctc+5bghkyV7kGI+1ekj9ekmnE0/AYkNQFQkWBDSFrKeupxfHJU9nvu2JMvNg Lydcp7nUe0Gbnie8Fy9bRvad81IjDN4E37V5tgI1f6cazwd+xIDREfSSwWnvIkrOS/DDIZElMwRg KWQHky9CoVypnAkN22+3RfWOGR8Fz6nXcH3GTRw1sR81QdDaTjpvuVx6lfWYPRRB1Bw4oJUKQ1l5 gzoqqxQHNrPEdAfSWIPLMofdHRUc/DS/NvSoULTFU6ucSDptRM+RMoqRwcWxjtJMYzX3fn458Ajk P1BF2j2WNlLshWdMVJwy9G0Gn0LTvlpWXREYlBqxvo0HyJJK1mESPRHIKg/7v3OR766nW5Gt8fno bfvk3mp3bqj//Vj/xsL/2MBZv1hEoT1N+Y+IupUohtaDb25Y7h2cwAPfX92bcYmtnlCitGBhCuOE KVCxj9ilczznI8yEwbTKxOIyPVwybpzu8MDqoIz0AhLXOUaw3j/refQ9VdpLNyEPJ0Tr8vTf9gEi htB/QQJUQIDab0MFnVDuDXFtCgCQ97YgAGb1LSZYw1EAkNY/r1jpDT+t0zWmFkwyhhC+OtF2yMDh Rc9DkKZ0WopcUaRZG+NFTBWG2NF6cyJA/j1VgN2ok2kP+JjzuoUGUjbax+tV841jLhQnOHneKuog wOs9ZaIQZ1q6SRHg8nHLbc2EuzBbqvmBonMkTw0Frl/Snra76gE1O8C7R+6um1aVx6Wh6uaZ1Nsh cQTgYx4oixRyNoHmedbzmSAOQ+Wy2bkEyoOY4452Uyc6LLGB9iLFcRLKKaSY34Hv3btWOFlBjMa3 Z+8+qrZvD2jTEfv+M0KKcKkZuESNMPkSyQUGsl/ZwG3NlWhtNUWtNF0vmFpMQIfEQfUbd5p1IYnu JlEz8kFpq3MDSaUB4RsYtZ42dHS7796U8GkpT0gNgtP74VBwCPyfBXe8cS7vdTEGtc58xQdCf7qm 9AA8ii9X69duSiszKQ+7yonAcmdaqKGrlis+XN+WSpwUI6Sid3jBS3/6pqkArwFANPa7yxSLU9w1 tUPdZ6/lxpiMzHPz+Hz2jH+sOGIN5NNEf086px2vBFbhsLwZZemLQHYAeycosK33T8ZhL3FFuvBt /hHy9IwlW/0XqSFEnQFIK/jaXO5jQ0cOmXW2ftT3TEmIi8guBx66uthKb2vTOJonNU5XM6G/ZA7X wghbJZa7p9D9NuzGZOkdFaJQY9TkcH9uyAixiAAgXtbKH0CQca6YZ8Rq62+DJuLbYBii4+kGxgsc RXlAjRFZWvzoxbenDs8neqEJNfBAF71wNoU4ZzN5jf7zJFnkOOlg36N3hD0UmLToQbVLTg20fkbj IPB2g1I8JXS9C3FukXWAG9B7PLJl6ephPAmUOP0JQOJDCgn2mxy6cuGkCuTIQrRhedUWV8+yB271 NMOGBLvFnZAZekevGdNx71ePZU/PtiPZWOJospxkDUPEn0aqoEcCbudVXf09HyoZjqXWxUB8dzls jxE45QmY6VlsV7Uu6mv4krUbnCK9p6Yv0v1XPrs3yrxifuo4Aoiik+MsKiKJ0NNn9slKZAZXQSV2 DjNxW1dcyCzp6RPBXHrt9cHztfvTaIBIYovFxoavZkWByqt62kq5U85EzyIlU506A49FfVTSPaaD mqR/R+Rrjf5wkxf/9a9WHfLiCvkOIHFL6hncggfbvUxeKaLH1mxerYzz4fc/2i7Y6VaMIYzxXcCS 63yDZqhfTW4iZGmn9+iqq1GrBbT+xRk6e0fMf37dsLlIqPXpaHBlGECqr2cyqYZex88HDyEuZgIX lG5iSvYUVoO59g0dsC1eU/gmJuS90uRGTKPpUmClDJbnnEMZfybtSevTigI2rrps23s4Zjt+JHvW OL9A8+cB8WKdhFWaDe9o78bEU4ytdUHMnCIF0W/3ItDIz4KRF4njabxNLI3ZY/OXCCvWFEm1X1GX jO0GENgqhgFIawBUiSlL/Hulb7AJyVo0aA39qdyfZ4mM5qqqY51JSYDAjaCiBRYb/i8lr0CiZRoM qt9Lrcvg4Bods1KPtmTaNJYvlNZ2E7vxTKuKHI9fI6g1corwlw+8fnWrm1Tz/n7ik1gWoEK1ffWn Fg3JYGp2XWMJmvDxrQYYprNjmZ2kZacT2+Z5y74HdRLPJx6e6xbkSLHoMmPOf8MjLfZuIRMX9J97 oVjAVbX1/dXut7LN8JmZqULPN9Nxxm0LGRVZsul+lWlqlOHqG9COXd0I62IvLo67B8WPNn0JonyV n6Ypd9k49x+xmy38QNPlPmhF8kmid4fbPpf7NjrJWWIwnYHka4CozlVnfKxwIOJC+bhWm5OPWFzf e719+W/5RX6RjtbfAtgG6004AampEmHhpDl9XhnNLsEAR+eTk/Mv207dqKMtOzLXqiFdOrmz9kv2 C3p350eSUaFoufibsGS6TuhrdqPYPxgkrebRi+1YXP1DwoC1B+OJbzY2Cl76K7FGQWF9rpWXnI2A wspov5A/xg040dUrQ6oRBavSXXgIi15yYqnAs75jf3Z+gTmlvQ5NqoYYyRIl9UyAWo7FzE8W8V6G E9CiAfJSf56nCAgz2anjlWQWy31kMZ6P/pwsKS06yl6NRWzunLTObbk4DNkdhLYMWF6Vu/b/P5gx aWvTUbhCvSoZIXPLqbxVBLBXWlBrDPZ/80H89WbPaQkQEdrJar2LgjH74XyrFMk7jT+mRKrzdrfv HuPnMgScUe8TQka3Edl+WmfLs//MtzMwJp/hOzyGVsqYI5zOhCMqBrtJo3OYrJS/qwIrT4Xt/Vk3 N1estttx/ApjsnQDBhK1ZRa27SiuaA0Mo1ybangO739QKgPIJRJNGrZUyecmte/VjFmi1r1gxRWA N3T1b/ebgdyOh4RbnaGfVn5/NX+cbn2rtfpzE1oTIL124WjySbX21zUBitPHLN6JbUQCWoxuYwPz tWo/3At8cs6xO7fPnPCRggr30GtQQIc2ah84gAkEUnpNoFbFSrszJAHMSSO3gjkXzw0wfEFwHbRx vrXlf6RiKBzH8EOJnDJZz9lwAhnTX8GBrf/cD736c8vq2qcAI9Exm5klvWFClkYe9YVXv/ToG9oT PECRzm7bNYQ2rqAgT0IrjqdgKOZFieUwRpEQr2MJpgUnO2QOEbkXDe94c6CP9OduDGhR08pJ+zW9 m91b/CsuzMoz4560clzwfPHHRoSMLRUH00PmgjJ2og36CkDaz1kb8ajF1ZcB4vZwWUGWZHlM+/Fh yMN4RGAPp4QaXj3LL3bCEymaHC+SlFSY4V9LAeNQGAMbQhus1zYZjMP55Tlz533IG5TFiADDqWjv 1bR0ZcaRJKhVGj0BPpf4HCksDG43o9nBFKUpSF7kpCSdmDHeYFQtvMA/nKbqfWF/OmG5YSDoTV4p qVx52ieCMKfiiSbuAb2esdOZcVwZzJPv8x0CuW2bgjzvM5xfLRZQfRDeSAD0O8WFYaV0YaMbneBb F+k44rshKk4ypqNYDVrz2l8J7/qUcN03S+wvBe9jM8Rq3s5YGsjyycOV68u4k8C2Rl//2XQYKtCA 0rT6tzDE4GvUFEJrzdlVQ7aQ+8UxLOqWCzV7dq9nDp4I+0Xb+7C1xtxHhL5nf7jJoDN618eo4Zj4 ryVBr17qpaK2T4Tz0ZgcSGDk3TwrkoQXf3lqo3B1NHRfB19KuG9F29Zr/u3etn1G5DFOfc0PMEM9 +7azoyH6f9d/aex76HgwupIAfuOgLNmJSTLKQZ9FfL7rGTEKr2MgB1BsP9mZpi63dBAl1dDyph0w h0BMXI3BXSgz+X08XfqaNpt8R4PZUIgtao1TBBEeoEGOL9ISv7934F43Exzp6iS56lYcyG0cqvmO oboVS8lQRHplVig/WHFOfifOdNOGmZOEI8wx8mpJ80ki2Q4pMXOBWktdgU76tvuxZ9PwDNs1xF4q /UHYWTlfEnVkOtqzjBs0L0qE4iowIBr3q9RhjzxBGCdxXU2QKwy0p7FgIiRWc+H2poyKLqgU+h8c FkGKWgDKgDFMDNfsUpBV+zJ7VpMrJbQcPkpw/7mMj3YAxIdbk3GQO4nJRJaH42ED7rgxP1eowRYu LbGTpLra7PVqInIGbHQV9ww7ItHvM9o7gGYmmOfbW5WLjWbDcJAjOl3S5FXND5QB8vXM+INau7vP n2v5rFB0mRGzaIM88NG19kZpWuIBF1YKZaC/X3FGs18YRKPWjtjUsQgBCiCkHklmT9g/MAcHxMCn cUrHqN9zrE3sMPO4IS1HmgTXS24P2Hmh96VMtpvRHdv0YHmkixzlGGyqv52iBX4vKu8VriKW+NLF 2EnQhdnIbw61Nm2s1VmSxaYQvG2tBMX3g4u30KjDZ1TxFOLNVX59h8OR4VlNcEVaifqEBR19P0PZ LEIAGfgnCKhTQjSgaWpksE27aesGYkhueaLoSK+FFdAlQ5PbQB9Q1mCl9ps44MSqQLQkTH+/07pn /MaNntIIhra7cvkU4Jm+HjdK8/dje16bHqJks/2zNkkp6oQb330nFdSvqCO2IB5tfadq9pCOf/cW vl/Ui6F6kGWLYQGO2IJB7OLqcA/62raPL0OidxEUBPYPldh7PjzzCHzT4bNMobpcSQh5n406+Y0E HHBQK3AJaVAUlskKbfGrbtD7G0DKHuwd3OBy2e8Tcp/mAC9gZwiBeWpEA4z5BpQGO20lYsMk4uNS Ti835AccYTWF5Zxft9rlvVvWeJyV7W+PhYy5flffPbFFZ/8bzJizxrd5rIQUCduz9edj9Y729K7C dl7nNDvEltYmxr1fiKHI+Yp47XLdWp/QiGDba1jBhukuKW1WAzukyEWD4DT/87HZTfsBlgePIy4d YkYFaqagQ0j1OgW2VELVKU9wkwnPyCanVPTMo+1JqnA0XJVteyZedFUUbzVyvScR7nAhOyabToaL ujUpfrxJTA9Ze27S402BD7MQgpYqucjjclI6v2BhH9jMGZBZqJZ0uWIj3btZvmyBF8MQakuHIz0N Of+xKz3eJEf9fnF6X7oNmqegOFh1eYSCmCDGJh/AGDXSeiZKQvqnHpPZp9XqvOIXYmttFl4eoWeN 4njQKb41W+IE8Fxz5WYmWL8h25K6RVvVzGAeZEadYa+Z4Ofs9WGiizTRM9MzdqLaWWVW4SSf5kHc 2Sk9uQR2VGF92baHJEHuG/Go/dWZlEmPzGDuDTms+YQF+XScbF537giIC2J/qLQrmVlvmxSI2yY5 v4AkCbD5ykgQwWO4h/IyPI9ACgRWBS11dShl/X4TAZos9aKw5YSl08ti1+hkEWHWnetDBo3KDuTI TOo/KdNQF2YJQR6e7i/PT37/7+odMuHGpKkad5jDALNzEAtLzOADSxfR4hxjuD/D1xHUYRTY5dJN KRGvPyVjYdCNQs36SbK3rYpTXVoO3hVN4mpqYo0PqDrUHWA2JRVQyrK6mj6ETNwZFy7jMLXrVUYj 14o8mzIPf+YwMW8zq5eq6rWrbv78noezBE8EraJcemHLTGrRdlFFfWQ9I9LgEKD7OHW4IBbrstPd lVGH00YjZ8215hpZGkAYyY3bLOtPzCZ53Dt/68tRcJ0bCZF+x0BJ/uZd/00IX44v/REEfG6vKRRP QRsHLZ8KaOXBWo+uGYqi2HMFDkbJ3o2hGOnJvZuo022hV9oG7/NNyopIxwJa9Zy9xmDkKbXe/UpD SX7GmlEQZDzFB6OpO/Aim8GG2H6tb8fEtIV8BPemUFRCgwYonXgEvuN1rrlWECY1zXu5uQDH8p8c xlxrID2oUfiBiC09IEpXst6sDNwdxsLJL2Y01FDpyAr3ZZR7D/TdCOcvzoWb2uy+SevGY1N7Dp0g gst6D/grVJMXuq57Cey9IpWCGfl+lIYqb5zmYSNjNQ6ixRyjMvATVFv2Ece0QgkDTLgDzb1TPvTh 0+/lXpHSvZM7GDN/P8sMZEv0W+kXOx3xfBGOg26fAXF2pNz1gqXhkTZdg10uI2HeLq7/DMPhQm7I uVzD0AeQ3ITevu5iylhyFmGxGFQWs/4EHh4qAx+y6MgyG1Uo/zSjthL103IwsZ87Qho1zTixZO43 b68h8PAL1V6El0iFHJwAD9POFN+ohKyn1NqPfIBDypz5DhzffUDgRax/3dTAVvX8E8Rksl1yoC1d qxhLu+R45902oKKluNxYDnkCcJQKFgvKigxUndfuv8sC3HSVmnomhxjdWzdFxJtjoreU9apLohqQ y0x8nRlRfUmgyQtMS9ZGvebiiQV5Uf+l3ZeTBb/QkJK4pxTTMsyz3wdnzdJOdnf/YZESfglayy8C WJ5PPSsAzgeCFftRA2lCLCilfotPmOaw5wK6VaTJ4egL18tOKhqapsfFrLHxIQN/1GrGuxwuqARj RLB8IbuWqTjoLK2z9lG4Vy8esAUBNvqb2X1xBhkvmVj7ZzOyWO/+nweLZ7KTcOug4l2gqn3/CUnW X6h4uNCMzaOxXFI0UBomK1klh4rDHgq3BhHm9lUGj+Xfnxmn/Cfo3pqsJt99f2jYxyGdPNTzuRnW MAFmJnDkLzSE+Xywg1ct8ylJM+JRacADsLyWpsu/LnWex63KLaznlY/3G/oW4OxtF0f/TcdbLurC Q65jGB/04iGdFf+Ool1BbSQjzD2VgHzJ+Ew+apvcL6NzwfJFBQjmjnJ6j+k18kfeTHwzBl2RN/XT IWg4vq/kDDAjP/JHB+EoLf0SKZ5sts9pXF/D/y62NnFwEXpDdLezJ5BaQiCkc1yQCZwFB/io2eTW mEKvs8P8uUa3ccv87JU0z/8+WhdJB6eqz8rXWVWXRWtA65ivsGGgjnOVCT1LjBMFtOpUbdKlcNkf 5P/yna3/m2h7a+ldtw4zNtzBap3w0G9uZA1dG79VDiWVPTV11n9kOuYS+MCnsDRdcTrjbBMcMqoI gNG5qJLYKgAd6X82ggsWPh+MYPkTi8PDTsxFMgi6kyQ0/f11ND9niUN3Uui/PqiAuWTpEloohQ/t jybxPle0Q0mhChBX9gKrd8iVACSfgx036sUKFm8YcLIuIKFdhZo7SqNLijNPlLVJGqZhwHCG26jm o+ni/vZPdbbX9ArdVXG5bK4BmtMLbgWCMFLS4/iVh1bIFLu1X594hrgzxf6ZoCWl4PE66DDvDQxK KzPoe3ccZZv0MQr06PhtyB0xTLzuaTx+bDhLXyoxbeJWoy0pKuUQiJ1SkSvWGG9VR8LpGXPLRLSy Lyel520EOBtI9tP4bT9813CPtUYjrmYvVacMfKRMxUytnzvnicanZkHuZsjLQNbmRo3rAbQU7wTR 9BZ5tFAgql4u2IZrv7mx02iM/9DkS4TKZ/SQFE3EOwwoLr/QmA08sONp19Qx2Mxlv7mPfFDo3QJy VbOLAiCxmtbLXIpRT2eir0DJbeyuNPdsTtPKTzUI/UUjtQ+yDgmzICo1VxfgVSXb9VDfp92wpDeN jjlMrc5Cq8y90W1gepTGuvrtmT764Toes7a60PtsUFVaZMICXjyjgSpfd1ZQP3e22nI352pv4+51 5CXmWVDsv/WvED44c4X+TXwUVD1ThsB7eiM43Lnvh4IwaZLpYBXqfSGuUUL+HHdkgu+PdWgcRXuK 8oB+innhEoKn8VkPvIQd36fdPss6mUMmc3Ylkbwhcp2m1H6tycIupBbNBHLJFFaiQNMFict+RYbW 48od5Sr1b9gnFXYpIz2LtKrgSv1jQtGmnPeJCc+CwqVV09JKRRZocfCVk5neP3Of6D79Fw4KXl1G u9U5Ck4l4kp8X/kFzdfLY+4HY15yavfin2vHYIpG2f0v3PDq+lAr3Hedbe+RQt0EG1/hTf71F7eU FcrePe00L/Fq4+DrSiLEHlX9p1PYjNUi7W3pvXycx+nYt6oth2qD71JOLDNk6qs0qh5fFZeXwpMj d0BlT+bSQEGbsqzapAIc6Wf6Nj2vQkgvUx0vox3/6mBJFv0zqDQZiSsNkg26yk70FzkjqWigQBiQ JD1UgZ7F95CLzc1PcJd0Q58zoZG6Y51I+bn6R8xOmNlpOQnJ0PKj+Xet68fsp//H49NSsTP1XjVP IbNW5Njl40k981BYbVlAEzed7dldCVoRpTFad7TdYfJCJqESSsEHxGUkaFLQJDAfIutNhmVdOMl0 giQz1GMzk4sSEGsDs6Ch0gz8uVqAfZPJ1jJMSrs4aTNCuQocQC6kN2NVp5wh4xyuTvRO0SQXEhRX iTUmkQFdCeyvBp+Eq+uwvBbt1NVn4xC14IUNzW+n41xJbbdCEXZAwk62PGEN6FiqKoyPYreNGbNi XSwTIQrbP1a1etfzf5IiVFFWoJOKyaqDd2fEs88VLMAOKNstD+wDj0sTFsbEMIyNoeP4XXcskl+B 36xv5BI3PHcnG1Vo+JPcJrWbCbd3Gbcu2rqgbBMuJ/u2BbqboN0RNCrcIB1HQ+NqVU3s9W0pJM+j ZFyAOzLVsnfqRUb9j8cPFbgYeZkJJuXyZqvvIoxFel4E8p+xGzw9g1oZ6Zyhl1r8BtmeCKJpqD9Q fd20lomXneB4lr22tTI5fiFRAVn3QPt3SCOgMtxQ5VOPwC6CxSyp85nFlNACS0Nj8xzCxD2Hb9Hk axuGq0TkVsF3mw6W4p/LjcoNNU0pvD3KrIsNyReK3ccmIx/xcwY1zKCX5WbBM7KEo7vCeOANx1CP HdEEY7oJvWxRNEtedn+6nntaZNAlzbgMZNJFhf3iWU7tzCkcuGa3Sz8CWOOxETJkPc+tGth0Koqk JGdb432bmzT10h9iMXagD1ey1mvuBJLg5IVhIwPwYgTl1KCnXw/56J1U4wU2F4SYj6KliAY67W+q 81eHplBK5d+WW0GGaL4FYKMIM9Nin/D5j56TCyWRlWlgf+6teynBfVglnAthVOolY33j6js2+2jv pu2KR3IrkjwWWFpbJtWx1ZomjtgjZxAhUvGxNWegTCv8UUPVny+2sXfgaWGbYzwYIYUY9g/ea6ZD tGP2gCSH06n/4CIXz/itbGdPPEN5SDCNvqfeqM+37CZdyJuTaNxf8hR3cNGfKFEKTyz7Qulf3kMi L+v+2+coO/1EY2GN8Ebn4Yltgpq20VEY/IS2aFwOD60GMTD/1dHV/TsjIC3PgLWqGId+dn3576AA XDaUn1BOtipM/zacAoirngMO28lcVOTbQE62EvCW6ufhoK8990FFEEh7mbvnhmJ+2MU5GvshoLvQ 7pjPBedmaWlvTovPafwxQ8RZtkQaORpznjR3LT5uoBfo1DKbQwhWunbu4SVtQtWWYOrbiGJO3Qqv CQhY+JNTpzcEps7OXvqdUTu5JfA9j8JnfnKETd6rWozWLvK6QbfkO7K8gO5yEW+D5b3e1m4Hr+9x MKtzVbvSl9r+5izXtEn+7o1t8SxjXZCZOj/gW/wiPQXD/7eC6PAHa4bcF7C0xny8uRgwpGCX5jG/ l7Y+qwkIER0JZY4enI8ACe1M87YNf8+MvWq+bbk18LxRCoUAmYSRz+XwbMlB2zr1topQV0HbWtdH Paywrb67jovmmTneyiRbojU9OR29v+diRU19T0h6DEq881aYDd5UVH+zf1kIWZwZfIKzlG6atpKC NTdDDhOliAqJ0kMbMek2e6vO13fP8y70ClUuOh64SN/EeL4O5Wu7Mz0vdVOeaoPNNImnl3ZkDR1Q 03ye8nMLhBCiHARyKkTYQaR7maVCRycoO/T0q2YO03p6O1/7L8IuGF/0yU9gJyi8Zaiby2HJU/NU KJe16s672YoaE2P1UFKMafRKKoRNhjNkSwY2kDvp6xClNq/APzlpogdenzxI54ns0siQCQ0zPamb 8e5IINE5NYGf5c3f26cTN31OHcBydFbPR0IOMCwK77cw1miuYzQoaxc+0wvWh5JPLGtX2QwPCcb8 1ODgGQmdPJDk0LlPkCezPjbDlRBPkYYT9OFMmVBzUoLdy8/saueu2Hp7wRI5pULr1Xh4HEMv7v+8 DS/Sro91X4mVT2+kNXLUgBxfU2/Wpa9qHyCHM8odA9bNo0Y4WnbuqveBVrWOBi3rTQ63nyyAi++F 8iTvZnsZ/nRwMr2oBNhaTfQk0x7AwpRjK7NSkazHGIuQhNSbKI9zCvHLuZ6OHoIW0Vyfcpt6/z4n jd9uZTk2Uuo3Smtkhn3CZT40DWsptNBzfcLGl0cQIH/mZ/xwaW+g1H28gHTpIjaODTAviUxI/ckf 04qsSh806IluDMi7X9mQarYfo5cO0ZaxrOBVJrBWweycTrwpTtPItcDQmpaisgeTefw+6P35CWzl WoOhXgLEiRxxtw5+RRMFZuYA53+75HlqWCswX4VsHjV9hrQ4iO+O/gHWD2vJFuimnlQgBUU0nY87 5svvtqpDgdaA6Gx/QYFyc2MzFCFRYISK2z7QOgvPLHqiCa/DoAnDM3lMcmrtCWD+v+H/gUl18vxb TwLKnjzasyis7+xt503kJdYxIIg7C6MDRfzI5Q6vSWwDIA/H0/CdTwN95lLyZD00v96T+tU1ECzF U+dWmRdggCQ3PDEWq3izz7rVWWmvxte207tDvQJkrvbAdSuYWj1SYwQtlAivSA0cBdjRorPT8Wsl x6DxjlghRRGl3X+lu2k6RGiaa1nbx9MlL78P+crgHIL/Xn3U0Tz+R4I8sbZknW8HwYRpfz/XCmMe hDvpiDUu7TETRv7qGPJIGUZF0TPeKyfXLbIjv9ThCn+TydgL8Q7zIWawEAxM7ONv9uczEoywSKN2 X6X6LyF4dOr/bDwvIA+rJZEf6pNDO34r0jA0BvJG0/xf7lZaxUzavoUr41ToK5EPzJ6ODOpaDzhv JtzHA7NsVbJS4+85nK2pBS5QNkjlrVSGOgGxhnimpKcX9cHldgW5UHgjtE+q9+uHfhHvoUrDFPHM og37+qRAkipsLXBBbIgBzMfILg3X+5Ws0cIemzkZYnjlDgptvbGQYmTbRaWD2tVvtJCLJv8nn5aA 5QNI9NR1CqTnNa+HPR3GCEMQW/y2kgJfIMYmc4ZB6pjzSa28SLf3jFnSFeEHSDViBda3zitvW/Bs luhSJrtXPA5L7S9BdTf+/32Oda4/9TUAYFu6XjX4MbBf3H9bP742Bq0cASDIJPZoiFTswvSunEPP 3YOf8IgVpo2jR16ggcq/mlQU9N9ShH8pZ6BTmdU/rs+IHiP//Yab1MXVNoR1RGc8SVchUeawRspu KqQlAfSmOCZJY91cZ0UfcvvGNMsAWyoTQoLvx73in631TTxT9SC9OdD/P+Q2Pt6LmwuU3I083Kll TYT4PucyfbPTI0Lhwq6fkFbaqWqetVmYwphMIuLZ8bxO6+VvT27Zvuf6U0XeEDI/qHjswMMIAQxG PHZP2I4iMHZQdWbU46RddV1xYpUoB3n0hPqSMdDpwwIv8XMbVKB2z2UPtwj9N01p6uvxPcJWVvzC SHs+7gr32UmnfuFTdLypeI7r85mHOV8cRNyqFUbb0woNqbQ8zHhjmWC1chsKGN5s3jH08euZzu/j HWNXZ7GoKRzMc+gHDz6MiiXlIvwAI+2q5SV2B4JhyPdZOY6WNoF0JxmUCNQGiceoVEhRjzEGZMyV WQUnvOWnnD1jL9W0Ex+z67bk0yNSmpxRgNCYJHiTTfPQf5pNk8UJDK/2FgKwODFbdAEhVfMfpPVM +P3oz2W2S9/gfzKstAgq0raewq00TS5Kr7mjRyZMOMXc1ekE27ucT6HqcXP4suZq8BkUcgbP8HbB 2N+jApPhrg6Xz8VzFs+yRrFy1A5RRaPstbbkDYWMGtnlI3SksonaSE67ZAFtum39ISmGqv6y2Apr lTWsyP3IJ9CYcTAURS8WGGUmUtJn8cTkAVNCk6MB0mpY57TVAi/xuDQhkSAsnhk5uVgoLwjsMzqX VWG2y26fnntk0O01JlxC4ZSQ5XhwW6tZRZPnex5IAPF/tz1bS8oWzP6Uw6VNmvlItMCWkCxFrfag vqQCdH+n49ORzr1zUnvetHlXjD21Qu8lL82a4HEZ94pRN+YTslZUuOTYCwL93nqtFTSRw3mqF1T6 o0R46B6hQK4P4u/WDrgFAuslhZRZ32rZbOGFEI4hfJkZYb3tgdg4fNTdpFF2AF4fITCX3ZydKbwe KUhl6ouexVhHwOsRCu9mhDsHyDMMtpVfO4iaJOxTcf0841H8wRG58QTSihFDaAKArmUWQtUzmwFk 1LiscG3jP+LtqKCnEWMxFCVX3KvvCMeQdh3zB3qnKWIiGi40hNjSa/hBDWswymiwey5y2niKp4pw 1Dg4OaVsoLC2geKzXWcvEq9zVwqYVm7N1IJEVRq0PVrgWqOvRT3gvJZnJHeCA0WBisEbJVBdY43J 2SW+XKAbQ/IMfrpJ+X5xHghfHbCwVmHSL1y2XFOrIMy2Pgwb6t2RMqS+wdtOpb9IS9vDxDgmfaM1 AT06p9EMdzpJ2s2MMl5tDa662Mxf8pYe1QdQEDc3WHy5N1mL2D/bqhhALNafA6aqlgTD3gOa5uIa bNLt6houbNqtHPZMY/8FSQoCa5FBMkUYQQMn0tQxm5NyC7HIOkcS7s66z3asHEpG5NA+puYGTKHN uqHCUBuG05esXV6724yBe4s6hooCL6MgSctn1cxsc+ZLfXxS4pVTb9JNra64oh37Qr050oznDH8Y AbMd78MFykUs8D5ZA1yaQAJnQsVfXMaQWenr3mx1n5SKUoHTvxo/b0IKA/jMvQCyAKQPBEpfq5U1 Hxzt/+y398q2LJwF3ttmDgjX4f4qhUkrHYceY5j9j757x6hEiJotrLZA0Daq4zd2SJj4mzGUc5kc pS/lrNsHtVbz006OBTWs2rj621zy8SStL/bu/gcELWIwZNiOpWrkYgkPuaQI2bKCXDccn4BfztAk ksAhYFAV2qIP1mWR6/YUipFCoDCSyoQElsWaUmbDf8yaUfkB0ewxgTVH6F3mmQ/WfD9bSJw8vbuA 2PvFcwPwoSqwZh8wCP6PmLI/hNjL8m3OZlCBv91uXXrFt7xUsB9zPZmQmIol8BiaX9eeUKAH6Mc9 eatI5vJaKCA/dUZWw8QUAU+yy94BuLZbVD+01nOAQ28AaF8on262615lz6VOYXElP41OgfXrHcgi 0Q5/SdNKYklRdIvLp/Sr1BfpW/4Tjx0KqT7eyLOMu+Xih8gNB0W39sgl/fWsEl1e9wthJ2Tm7WLA 5JgXc2P1ns+1Jt1HM7rPsaggv4cb3P7gPDuH3Uk5wyCBvwsi6B+qvoAlH5lS/Amfu1vk85nPY/Bp bSE34ngE6ux3RNXW5dIw6jM4DIXa+Idd9sS8qk3i/XO7V791jthwOxVhmQZYV1SCo2fgkAkvqitw 5+chhlAMmlPQsFv8eH+grEgbc79NdMBjImIfZy8wkZ6kdof2wCpapf1K/1wgqaDLzSlqtQIhLLe0 nj4q3tFvbcwJetts/zibcQsVZATvhTG38+2JZvh/K2GXRRdxXlnKGinSZdqqPslJgZtQL6yo4Yf1 Cg1d9maIr/GIL6hvnS7MzRmg9r3D8Q1xISUh7SZzzCRzFX4md2v3DnUNYoCfcHTQlQoDIN4kh171 IzaWQtL2OAbXa905KAgjhkpsLKblGKXNdYiLo7uVp8/hDPROSR0hOdCXf5DBpNYg5WWbcFQPMhPA Yl6agpdjUua8vBwpJJA/MaStwp/fL2VBBULhX7DagwL1wjvX0NjAeQe0FP8/rDEv6PsBPY1WjEv2 Co8S+2qslqphHnDLV74EJcwEUqyhAv0JvjNBF4rHcVFMyOASU2zwgGYkagXtQtyH9euCrNcUOA+o AB7MciXhgvvnWQ4kXYeA+c5IAEVRJYR3CmQknABR5clsrRHFcrFCP4S194oYOOwNnnXJDpkGlz// o8cSRQdxGS0scF/pzJl4TjfcRLvxnH+XRD90BUt+LGdE2l/wAm1EZRjfVKtO9COw5TUIRo1UI25A IdUbZ5svLxgkPolkg9skVlsluWI8BlbN6dzDFm4q/NmLTFEjXwmYjI3Z5fpUxRwuWFEOYodL91fE uvUKnH9WuKq9ZeoRu2ESoSTtQa4lZ/UpbGODWu7tp2Hba4g6Cq4ZmXmLFt3vh65QQvaKgxhWtMR8 U+YvyLPFxBUkQg43Ny94jLpIuiByUyh8NKrNmr8nS3JQSj4FozZbfYtzCJ9nwwR1F3oW4y9Dqxv7 +odPFMpAV5TxSqF0+A+97McV7A8M5sDYF6BwiFTyT4RqOE1BVgrbaO8bGpcVNef6HtVEXskhiVyC FTcgDU+oMfiNTuwRI6Jmsfhp3toPPXpR56fgjevd+kakzY1hxNTQxjtQqYdkRZ8y2TPCT3Pl+opF vGC/RW/hYsRzLzdbiVBRsE6XX8RUUK1jfDF5/mtUdN9n49yMF9tuGcmLQAzpcBkJYItnUiDqUdlz 0239Hs0MMxkjvropH2LT/bwvSZE6K9rMaX8TybODc2auL49w6dHFSyZwpdk1JNbpHsssvnsSsS8x GnZXDASlqh61xXLVINV7hDaWm/yig0zZLexvvH/MAwNgOCB5+g9U0EdjYQ62bvvWn2zLW/zakP1y JwHU9tAi0oqr3GpIPTY4Hh88ilFJlzS08UcKhT5QU3XLX1ieclvj7aKRNqWlIcumzoFqiocPWHkv 03A2Kww6z+ZwBX26ElFNlKXIWDVj7sdpGDqr3ShKCm8DVDZcDkduIrSZ9IteZTmw3Cuo6Lihs9Fz Yq4hqg0bjtLXfbaVPuN8TfKNRvIgqP3Ndy2gQKQD0HAlUgImwyYnIUN9X2UzMO7xpG4d6bgiruRd PHiP32ny+v0h7qoYGjdYHOiXPYt05Cjk1YuvZL2BBAEuj8CrdoYfrZrsY9akDuXigb86DqTWy39j j4OVsRxOXRIeqDy0D23NnHkK1PkZxkpWW0gB/qfzoTkGrCTCsQpU5PACQkRrEQd1XuzGpVMBqhBA r9nOb1O2XOEwFWKAFbp4R6E00GU7PhHVJrp5sx06vQZipzpV9AML1dsfcIvoeLjQhyCRtJ0FnKvp p0jq5haagfdwsjjtpqSEkndNPBPecjy6vhuxaqirSjWQNJAjJUf9gyiuFuEbheaxgyOSTzSnsm81 5A7jIZnMdOq/TZ7RKDttgqSiB/cOulcSby1ksiN85PJtY7MD2MO9HFCGZeQZfJQltOpNsI8gIenA gHuLXD+foHquBh9QGO6jngB6GIB5XeStvcA3Ph8FMIZEqIIZxqvhLBgvPzHKESPCFidb2iAdh3Ki tqBPZjMQleS5dcpxlmYD1ErOI2E3ZrZwOZlzbhVtZNjCcYd6r7DwXQuVms/t67mEil5vWjF9odwL CEfnI4RBhMXVV459cRR7bMj+Agwn4ZRnZgRLesV9hOVMRTJGvvXKtn1AxJ6gFPOJ5DNEO9MM/nUv mSreiX/IqXGPraGKqMsipUGo+L8NMlDgiNexitBQLmXiM+xgz7medfXk1P+5oJsS3K4ZeiSvAK6g 4lchc8jGJtWxQVzUpu+aR0xpna8DMIqoNVK30VP2KhyFbm0HZ/OxMfFOLjM9lLcV7cm4fCafzUd9 oyFaj65pg/G4KD+Z+DiYqdoDHJcNt5sxPd3JhWuUL2ewD89sqP6muhgxfvMUEsoBPhsqEqqs1Mn/ 6sEYo3aOgfgiLK2Ym+0TwM5TqsslYHClrlk1LucESYfAqyafPvTt8PSMwqAUt8VA38as5D5UIfQC lqe2DH/URkjWmD/7TDzDrnqQeau2GC3HmOTLmOIjIMZ2BKoz6d8SunbS3eqhRJUfcysD94he+eM2 JP0mxr11r4thvdVtc4ktYqvEXwz8snZXnWAGxV9NhKkFqHR8y7W89zF3fBHwX8xPkOu5uWcIVS/s kc+/avNdn+D16asvBHCJtxtz7Pv2CYaAXhJKKOyYaaFhJys2/WVN5tx0iYhkpFeBbUCIaUZkSW8J Pc19Y9bn6XN/avqO8gKBk5x46OthqWKrxFh2brrFf/w0KWQmGWbPAVPFYlZpi9PrVpGZYBoBK79A TD5QHeYSesKyDRzv66JMPCMx6+PSPzJUsljJji06PVyISHNxS9B0SQjKkNrIazBfkSyApmblhje6 iZqhVpbhq1N8UnDSNCnSP8AsGkt5PdL6jFRITTthiiYBUl5Y4nRe7DoRHFXfOUklPbGeGtf4d8Q3 ewC357Aj7G8a/+gEmDYpPPi7rJJ3HgaVGQUNWipuHenGnz7/yVu8whx/zJ935VIORV0RppIKg9Nh guhvSrWfkb6Atl88HMDSJHqkDvN13qdkXNJ3SURCMlI40zqnzkOyk2kQ49M+v3FGXq1oQEOt9Sv7 PqpUuldLdpCheu8RbRmuXVj1gkicn8pTW4g5+BVoS9FJn4nO2S/K0GGtlH3YB4cc2eKNVzwashbz 1GwzlHlPYBl64RyMKkQB8FxVRPQ6ZtiX+riUn/CEfatU/UWUBJhzaF7ib4UVyqhmi/ApFcNlNdWW CrcqCFz2SAOsmPEUaKVeAUSxgZ10uLhjjTWAemMKzHFUvVWBz1BHIn3sixx9ehZoPLCBUERarg89 j/qg/OEMu7q4hRH8Q2/HYDeau+XKj0V2r9mpEMcNK1Dp5j4p/alyI8LamEJl5EMeeEmi2m8BfEgf 4dQtmsmAevzgL+BL7smmWLZIymu9ZijAIh7joXiOrquWEcWI/RUD51dNZPA4CEkF2KOPlzX/UIvV TPcYe92Kv510iZHZPl4lp1e9jhuwN1PW/nHzYbebdCFJsb+Hi2FuhaqTwlof4E/Xkr1bhRmqwobC 8hVqq5l3BLJGPaZirNYmuMsdrn1IoX9MzD9HqIbhQfI8LLWB9paR5sSG2wBnC3pG8KClHBsJx1ri vy298H2de13xNWCKr3NW3gO5l7U/xLavyGX0gUNRG+9dIg26wPsZvqkGBBFACaK6cXNxiAclB1LR ce64sqwJ1LjEgcAofLFUqXgwVzl76NuyYBGZJwiakiknFOdZa8JagsP5iGSUBLAsDQIQ4jaFA+gq 3NC1B9lnGrZffzYmW1C7WofDprS6P8lEDoI/zWtUm6KCWXcTDxqtPW2h8nnFp4Vjt372hN8651GQ 9kaqiOSmFfR1prcE9TkI7mP9wZiMuQWPrPnbNwxA5jUvW/jS5TvcEjABQpfVOtt2ZKx1mBjvNr8u 9FVI3WWgyLs8yv8aklasD/7oCcMU2rEq0fDrdvIC5xlDfZ0wD6d+cQ7dg4axwMxjBTqtaopEWJ2G 1TGEkqWeBv7tzQ+WxUEpZpsLY8UDRqi8d1zD0PAQDaA6z/sD5MS/4cF5z/Lxr6AyzA4taexYr9w9 qXeZ6TCMY6ivrrc3Ww9YeI9TKTEwpcixpDc90A3iIXZ8JXCBMR2vwIYivi+5A4DPz5DaB8uLAZ+O m3/Qqpi9xyrHOsTiyHPhtE0IjvA2GH0EkHEeCFxuOLWfbnjuUa4J644C24Nt1GUTonpu2m4DJynZ XU8Pn5nmGOfNu4kNd26ngukIo/58LpEdaKwt7/0LUqklJAB0jtcH9QJDmc/0vePtF45gzEc3jLPG QWOMMNqIDNMYIe+QK45aVA1PWyCIhohzoynB8bEUOgy6OboR+wUW7eeydv+G7c8QcM5H12Oskv8G opyP8ne0smsUkyjtHSyu+hQlvSuUzFm2Oo69PzqGPg0/57fJdkAq+HnDwz7FrUOhwaM2K7sxVmEL zPZiqYYG4E7vddtebp/0Sryf1Ou7lwN4l7IK3P60zzaj4lFdt1IvSAA13prw2v40ryfgnmKvYZK1 lD4vLW2mg7paDtFa40xFICPMj+8bmDgsuPk85veRjyNlRTvcLRO7hnaW/6kSYW2gwZ06ZoKROX/Y wE2GiCc+khbFUUlxGC7+ipA8pdRnVc3HFdd1fAUfge9R0Jemi9wLzAYqG8V7zuESyotZvWdIMCrC c46RqE1u0sd9vTKzn0lx/9TY+dNVwHEyRkUHB7KZbYhgDSdcNeBvH5hIdNDcVjxWVUCKVP0Mge3r gHT9FXZITGu5Kgj42+9SFUwNq84qc8rCLJueCGADGzFpbdlAk3+thG9o1mD1dPk1xGtqmpgLK/k1 ocX7gC/s+98kACd2vQ1C15BcadTo+5lu4FO0bdelJ4vlhe9LUW2EWoKaPjlVydeWCKp62TBK9MB7 5b/LdRTaxo5VTz1orDsHa9+ta1jrfOgUdsN7+MnQ43AFKqY0LdcAaMBPIzS5Rara6O3wo7HjGC2j FrKJ76K95N+n4JeiqmufRGZ5EpreIyXPlQhb8+cYcXnQi3zPzu3A70WOCB8iXc3FFyJHbAl/i9Yh +wB+8nnw+X8vAQ7FGIUBIXLU3BGks7JXmH2yNS6p7B14iVNTGT8LE5oV2WKyQyYwJT5BDWBz8c2K G8hNQubsDjqFmb0mJpM5jTchlcpL+gDAUOy1qftPpt1P9PVw1UeNvuzKGD+a5WzQ5nGRwfasqNNh EYbpXRIeIB529QhpPdJQZPk5hEDDJfqCZrhglgD9yf+4v/ajXYUwyRJe2dZo3BxjIHeN5+/cjozZ tOkptjF0IABy481rqpq/eBcIxWzQ/TXwf73n167WQwfuP2YkGumg7jc0oPl/ERlCAa7zEhOGReT+ JkG8tpvyyVOWm5Yq5/pUYLkD2ZameqITCj1113QiDGR0y0FTCuQBiysIiYP+IkjZkuB18erSzmtr axFNsmizyXloEYfdzM2wq0DD4V3Fa7BQBo4X9eoe5ZIVax+M9soWj3ufCPWyZjw4rM7a/Ii1pTw+ InQvMXmsLi0AxkkWYxYAji4aX2iH6Z4V19kBrEqpHyPsF3n6fs9KTPJeC1/ZvOfLqsGTojoCEFya bftBVbLzPkGB7BfFhDnjdKvLN9s60K4ct47pIHBx+RvXLzgkKT05Dc+W87yAVNyigGCfTf51BIUi uOsSHcqPNf8SunDnZgTIZ/v6AkmNOuYaOFD4XXAbO86ZQ5ELGlgU+ixuu9fls/jG5caJmVA8GUXb awI2LdzjlDIR2izm/Y9NPlhyZeMGAYxMuIvsJg+DpXkuyArDgi+1uYBpwpQX5oQ2QKpcxSDWLA1z SUUNY7vQuVVSWPcU4BC4D8OCPCVA/GEip7oOfxvNWb+HKtoQVAI8ElzxJyrOMebBuc5Z9GtrLbKb hfFtSrZjiFhWd9hxfSWUtFKJ5g0Mb2aJhuAxSihttz8N82Oibc3dP8BF1BlFKtIYcYQcp7tY5SeO Wn4G5G/t43a2tacuVukATIjIhAF/9bCX3Tih4zu6tuPCQ+Zc9HqI/CTn5RINZ7UynEeKZVkMoppi 4rRMuH3w2n/muIJJpyNHPHBAtkIfzsGumy/mAbZ0EyRFmT05jPlJkJjGfPwS6AddySKY464duaEX uXLHmiwVXvr0lC+vdT/lNFKWKTcq5l4B1HQM4WfK1wTbCTloCz35yJYU6aXhtwSeW8RvC3TbjFLQ MaSbh9l9kww8KhSwJeWXx4lPdWabBXS/uiRr1W3R82AxKRbfCeG1f8nVvmHxmPW6tBKovrpOnYx8 AsoobXU2mvtMu/Sy8MM9FZ5FwFyItHa7D9S975DjhiBJoBRnYrD7Dgd5I16uu/zVvzIq2DVTDm0K uuWKxcu3cPl/IeLYNAYvlVhWxJboLIDouS1XL9Oqc56BI7NLYykfRMV+pNvsTKLGvDLIugBFzgY/ 6VrHu63SLr7m/0iaMVG3xHcTV4ZCyQQkUN/k3HyIATjQ+6S7nXSQLAX/ePeBcewEcCU2ZZAKfPCp qmVl/Eb/HhfWi3K7JWLauI0ZBTv4l03xBZmOIUSYf4h4cJLqhgkJsggZvMdaiLiT4pB0jkFKhRUS R3/IVQ+3MDWacG4bUiBxug4WokzRZ0CWDPRqT8hOUgB6EcE2nACaJuVu09NLbILNvJxIFDACn7cU rzZ8OgiohFYscMwYrDW9l0LJ27/0yJokQjTcvqr5mNEob1TiWAOFbm7xmhO+IgOxs2o8hkg1YiHe iALP1fMrw78BqV4cb+YG59EtPT+syrW+GGz91yQhxR9RYkiqTXzCafkxRaO2FE4oAQCAk3nMzMfz X+6/l79nfJXpynCQXNXM0Z6+uJ3wLuySfnIsKqXw76jpDnpAJBPUz1jmOHFFWQ5gkFvJUGM35G6p QbwF7Qulul/qZYyCR6ETB/LzSyFnyAbCrw3GG0SJyBHMeAmuRkY3f+4yj6AO20hoV2RXqlfRYjM4 lJh2zW+YX1IedJ0W80E8P2pDI8jt0IhiRrlso4qt2rrslAoAaL7Rt8mwdMu96xEcpRbE7050iXjS PVuYVT/jz6WMKsIj94SVJJ5V5mSCp8DdmiflQKR8yzqp1iDuVNisRRjBRsHyjMMgCEvjcHOS+0Nd y4DukXRN7XW7NtXHmrjsH96XzzEoX4JKmwjrA9i1+4E6RuVJimNsZIUjhwZ67x7G1C4exVL94EK5 AdFAE/zldiEeYOlNYmYaiTi0wiAZ5geBPATF70JUKGQ64clxQM3QhrRO7NW8UdWjf+K2puw8jpiJ wVaoP9uIx7oPlkJ1cFjmydHmaL9woqhDbgTcpIZW8oDJzrP6SD3D4/TlQWyYjXuASV0rKjUnM2zE SKU7cMIITWGbE5eOgqiHqjKsOp4KfnA1CikYqkf/wqoAjAq4EelgBAH2ds8Whfz4IEeYED2G+4nC qfIJ/gGUwCCCutD7YnitoaJKVYXTKsXpCh6slwoSfLgKrE8qXtXpHY8uFlEyjd2BcMzNbFKCJFHw nM4LdGoC5YTi+lbci3vJGxUbJq2A93AXyJ7jWMHy4Hl6lb5fIQ6BYGCKRT5iOownpiBx3WogrmvQ ZgvAhlq9TKTxUhAOM9XjVnC68mgcbubMatBLC21dPq1rnwHRYgdNf9Oo/vkCbqrYHS+0e0xKYbz/ NXlEl3tuTB/OpNccgI0KCTAdgzSUXrAGvKM+S3JnSkDtHfBNFXx1T1rq5PShAHTww5FHLjpoPkW2 /UhgP90jKHy4wGQv5eNw3oJrUow6tb27qjSaIcCdCYbzeb1xndET+uavH89CPkPtm+zHsHrQUYwv GCpYEU4zt1C4IDIiPd7ZMh+Nsd5Kch8pdHZPnzAVBVf/AcocIrGBLY90BDKnYv/ww2OFGSpoBTDT O/eDN04G+VAwUf6ybx/UGuUmHeODZ4SqYUmk+sQ4tzTcsAnEllmoIFHxF6fkgHkhrxjJr9DToU3G LoJn23MxqqZgDpDWsTLZMQt27LeeYYNwCE/rTAPdvmuIKUbXZ9aZEK2DmNZKlzcXEMN8kR9i3zA8 dsX7ynI2yfS5ivq3bNkN/K27az6ZwHYTa/BtE2WiIQpgOL6TRM8CGSxEj4QjqDD/s7fO4TqsJHEs Em5Uoafk9Z4huZdAbBqINryugeFF+jXo69E9F8actpJFZR2xjy5pAF/dUT/voz5l4N5OCNDPXgk9 F9zJ9SFFyjjkD2aLUWoi61nwQ70t8tUL2Iq5UVXiW2LjLSgsiZDUup1i8ZYwFvGZtbP+uOhh5db4 i+7fh1BsCES/9sM8CvOWYF5bKVbnobzxP8POxzxB15RtY7J+3MvDhXGms/6viJ2ZqH5jX9l74KGb v2gl3U6f1vrsRJxpR8b9Va943/yd3FYMfMi1OHhq5ZGHzbe1N/9ebTyhJa2+b28vVW+YYAdVppzg V730KpnIA4y8I1EWL8nzb2F7Shyi8kLVrclEtnjOB2FVrXp7kl8Ty6uXB9mQwvjz1bWrbGH78FgA GgwzHo3mkqVSRMd2L3euTOx7cln8rVYaDkglERLxlMl+SXWfAL9V2qzJBDIkEFsnogbUpa6GyBAq tFvjbjLLeGCK4G4NIjs/iqwHp9RcWu2E4P/WhC/iy+R8GkvftIkHEI0GmjPDeOH9F9zTxerTDsFt 8ZueUXRpF/jnGKU5E9143W7PvhGMmgtGGOja00ZkHSst5nSN3a6ACwQu2a0gH34kGf6XgeXjU+ko 3AuSjhvUxn0ua1Tm9vbxgIOG5e8h86ylQdqPr8M1A8KIaVXxCJ0K6stcroJ/JyyAkCvcKk1MiNxG 1hkt/dnhTT+xNnte+c+i3gJCujD+Yszv5zIfEI05jg3u+pu16dIPW9qEUKMJ9mAF1fKDbMbdwm5y NHXpYCMi7G8GqyMoj99f+jMwtgRA5p4WfU3RXXsznYitD+eH7SJz48vhKyRzCQUBEia/ZjWKO4Ay h30xY0nTeoM/dAYCzak6sDKtf23WAJpt/VUxRDrQWDSr7fJWJe6RJvTVzXNB0QwtuMbRSoQhuY/D PiyCTAN6R+pCTB2IboMZUaxA70gXRqh2V+PI3HmHk/1m5FCK1nmDJFmpUO3fFYLJ56SmzKOVwdOa 9xbd3/+0QmbNFoeMyDzHhev0A8GM5AeqdhV23tSy2IwCZtqDiveb9MTSX0vbiBAEt6I4Y+1zf+ed y5hguh1Izfgavdp7gyp2+GTj6/pRbfTLllKc5k8ukHKmHoDt6t2Ii+i00kSOl+vYCbUc6rsZliEA GwfbCnPoEloT2ULXSE3VLo48BrMZFScUzt/dXUJMV9naDmPbScxX07GQtt3NDwUO/Aj3+Gmcfjrj bdYhLsYimhlPZGK2D2szMzJ6qHpQYbFk8eojjX81b7RXnV9iA89UAUt+G48o+xRuszvQ+WPChdTz +UUBwOqH2kKZ75syjKSRreF2mzTbromIB2nrXKwmwQXdOdVo31eI633+he3Rs2DCAIQWwtbghzjl LlGYXLYbkT2yFR8pHQb/P5COaOfQ2VYmGvALWZSckxcn8bzgg62UyEpNeUiTmgqEVFGsgE1oDVxs 5pvK8tUfpnVGTnJlqQwDbS/lACc7mrF3YY/CBy53+i4a0OzpwMX99RlWDb7xIISXrxG+77sCrxCX R3+XQkKvqipG9+hN0RrGoZDF43O+fwiJKTXSoOlahNhtNN/+Yv0FhfCQSuBJNFDOiQdcU98TJtqe 6tV5kovcmDXgMatNpxvcxzsGhWEzWJUApHxtTgoUlPhrsNUfI0bTz6DH2Jj/LwswMliCs4ySJkao fXZ8KK4VwLW3EAqDRVduY8Eexfi2Jn9brjfl3sTZLO3LmVKPNIgzFIhPXA42zCSOXHBm8QlRrzy7 SbdI+XpLagLTOcITICGxfXetBwU6zakh7jzKPzC99qmkUymwGfxxZlWBa3BgdCOcDwyH/WEIUs3o MK/5UXbTG11Rd+GLpJ+X1a0FEbyEDYEzhDrxq3aniEaI7ydQR0QVuPjpa8r6w5xjii2M0FeqEJ1I TDvcmCeEGAhNjdLXb2kIL/WDidRcBrthSWugfBPZZiNFLyzdSr4tnIbEywSu7BRuYJShySawgKwe zhEIPbcdajSAQXeO4769STGalBQ+kgKO4No8GQ4Iql57Skqtdb/ZMFX8vog0/Kwg5vyV1AokKHGO Vx8Gh5kLM3l6mft4gdxz1Gd5kscnsX/fMhgkJbJSzrUioc491NdYS3+uJFgUzUyV9IHqTsmfJkUG Qq185+1nHDCdxBpVG+VW9z2BTV3EvhQsYbkjwBXqwZVUgseorwDMoH8eBaHSWehcLKZFf9QHB9HW 8B6X6Z91Q58Tk6NOsiR5meyAvjhOhf4S1nS7ePGNiN7lWLBgBV8O1kgAxBE4FxBgWmT6s8/qmpy4 APP+ldqB+E5Aq3ayrYpopzkytfKPqYn0RWknzodlJEAaiaZV5ET6x8uyGrRb6cdA1lYXZIki56ey oNi+YMuGJNfhf6OxuetskbM9u1SOOmQn/PAP3j1vs10ZWogz+5/9DHAmK2zT82TsQYsU6Jq7EScG jglW5CUBorrbbt4pGmHYkZetmTskgWFqyCwto/M4Ny08AEJ8vBAhm28S8/85wOTf87+ZqwW1/7ZQ efz2TceVMHhyHqZqHz+S37IdBA8g3JtduaRftTOckts5pgQoHRwWuW0CBX+wdPa4x7kfR1AzdMfD vuXAdmDZmD2zMkusg9tF0pkt7yFEMe6ZhqqR44s2pSRdoAvRZ8gri2bFSpZ05bkoIbIcYF45JGx6 0rmu+VYSKXOO06jCv+yp42Ql9zaOcjMcqOtT3sEkLZVN+n1+nlovEusMSmGW1Nojv60rRSkoLnUz 4OaVtJgpBr91OVk7vTpUXOoQNGHMFffORCZWZ3r0Jp0vhm6+7q/PhgdYJUb3wYs5Jy6FJJVrzD5G vggoaVN3mye1iSqtSk+pBU2eNITcwrq+Kfepvc+K3K3NM12pzryQkrQX4opYghARkAVa8FRJVgEI xTY1U9e7SGdQ+YtK9Tn+NqnKBYGWH//XEzWaZJ65juAtDGTS2clP1K9kW4sKRZfNK0ToKYVdm2rF tlrQRsmaRD4DtRf/4O8VlE/AzfQQUQDpV2/z+AnVO8GyL/IGDFGb/uxUDren9qrS4uR/ZcpXZkf1 WzMoX5Gn+OsRWQSObQps8Bej6WqFfluJvxxra3yMMDzqOsNo9N1MYnAA90msyRThXdXkWbSUzJyz KCw8JbmwTjVTu0JDZ79E6ND/S14HH72KM+LsfHCKWsun7zQH2ohxS4xbxi0IOKQfNgbUiKG6vqN/ H84uxoqgJxqt/bBjNtbECeBnfr+lk1jEkKbE/0dfXXkh0CgpvnJV//E/TRskiVvSetGHTEihZQHG 3NstxJSYcWG7XIs7gb2xKHP9g+RLZuZfGC8WgaYpf1Yfa36ZeG+4Kdo/BzzPUc/hPFRGFISM0YbI n2XgksVWuZa5FeW81XJQkUa6RvmnzevOUR0K8WF4h5tn40S5bSeqOwawZFPLzfE1qgZnc/3qvxO9 waXurNpC7VwZqrNabTpCClseFKJgJ9wsHSPgwmsznVneC4gqox34S7/5RuwOWsAuaur4EJKTx7oC ZEjV5hOWDILF6EvBDMJoQBBuDioBgaN3Ij4AqWkkjSfhb781ceRbsb+F5kZxbGL4cDTh4/C8OBj2 eUL6zFwPPAV7gKOtUWufCfrE/76ImupNBJ4oPzHD7ePNtCgZo3b98sq4SpMHzWy5j0c21ld9zg8s H98IaCWOux9+nklWnHEb1Ke3u883n7gy7x991mRZuC1/LSM0+QpdyAxEtoHCPpe0gbaoVrP9uAjH AUoZQxn1WvwcTJfZsZ2jd6VGGsFfVC76727zHH0O3hsKcUfPF4QP1KTxhr1mI09NJwJfIFbtoFYs ul0zeihXXlz9AjqAxzrvSM4apDmmOxS/Q7nzltK1PI3qyWNgsTSil9FqvdRpsuqlBmpPDNbCoadE VDYu4m2hOk1r7d0lb2x9srmhrWl/KQR3Cyhl6tp/1LouG0Q3eZQNTAmzNoDw/xJstpP93F68PPs5 i8nwmsWsUe6qhINhH/8HLKvUTfcwMEVUpOA22GqndJ/RVXnlIIBj4TYcwAre94c87BzAPOIsqcHI xgA5+DP3AGPaDYlqyZCB79UIg/hjeXfbbTHPfWzhTjUE28Mz80PccXUOaHKOs2KzXLNJGNIgkE/i ljDI7Oq30sxavAFUYWLgXxce2IDX9npdfu73F4uC7Opt2zJwlx+yCWfwvs2ZddIaOb2/RGpC3+EX jHGxG3umiiaJLrM88XakL5SxhGRRLn6zix20P1scIRpRPu7vNYy9ITehL1QWyTQHuGpNIhZ3ne3T FdWe6BUIpkamXtc+TLZn6ItmSdH51ogTrwg6MSlPQaL7UYN5Z9z3HY0xWjgGi+fcM9fK3XBUv4OM 39Y8qA6BwpSzpHygLe5hp1lkDUt2IKUD/Z/t87OFbj2uia47dwM4hd36fnqjUe6fNAUEYq31GyQ0 fmXwOOjtIpAtUYRN+E9SMmI62Js98DPrqBQ0Z5deffjBchBRVZgYAWfLYI5qmwajT4XlrHD+jYAj jbEb30sDOLxmLfo+q3RpEY6SggurPuocB6ioNZEyXal4VC7J/Nx+wrfVHoYC93bPrsX4TrlmxvOA NpeffeZ4AVmp75xWV4+KtV1us/v7vcofeMaiTY7X+GHOnjIYnDX3k2vJLrrflFA1iorg6+493h3G gdkMiEi9zadkEf7zl2vna2YE/NAXdARpNXZQALaLwrnS6fe3kvroQEHQzFFqV4VYJbEWY5dsYN7U 7wOw4mpNc/Yj25eQnOcFYV1Yz0ncJJoEyXKP4whLb90KsGCY6pIVx2sI5gFaE/yPIe8a9y+XkIwo 9XxEiGPKv/Oxj49JsXHzXxri8YQnY27BempshWRLJZBE54b8FqSY9ESrvBSWIZNDu7roaZNHaP0J 2LWj7Nsd4Rg7n1Iyu4c+CH+WDF2XvJ32b7o/fcyXESirmRjMlTlPJfFFwfFXpUS8KDVmoakLnwwv 4aSu3e4WmQNIShvqxLhOxTD+E9OS4FrU1gaowsnMeuxqQL2hYR49JlViP3lMPNmlCypVT5IwSEVk JGsMmnh5ZrUdqVBaNIU1ZNRIoRwJcarIyC0MV78zMa2crFFYnfYKO9PH+MFLKT/4hxihWiaJVWjj H9zBfMibPT7z6rPwKGMDhBXsBx1h6USBA4Kv9aBYT/qqjV2wiltZ4BlW01mwhm+ZS9Mfb5JB6Gd1 BCZn2JGFqAdRnW72J2e5k3muVW7ShCn7LIabFO7mGj/bWmAt5+4SPEXnSwJDFb9SPRKO/1WnP3J/ oK0VIwnKYKovvArM/Lo8ZOcLr2scMLlcYmrtLeSAjw6rUFIjnRUqjfX/YcRDuyTaPtPvEC/HD+BO URGJ9o1whNsyn8nyL83hg2MJChXPCa2Z4AGcXj7RaaVwnLYuIT+1PrDkVGh6vU6HF83WCJVlspLn ghh7Zy6TMwQHQ34VH2r3E3J/hbJDj06lSAHNO1RpKOQG49bFtt2+qge12PYAZg99mLL+wuq7eEBS 8Q+23c42w4IUjvUV7H1s6eNu1ruedLhQ/eHmr2ukPCf8/k/A8TGcpTNcK/B7vb224twZk8UQ8vHh A5GsXH4ks9Y1QOmuXS6OR2VWQW536TDY6100JfVAOudIYjCNRqvTMwbEDABsbcnYpxqvSr1S+YPW Q37NBimPdzo83XTDy2haaLw/NGEoML2BeSqyWCy5+DfL+Js3B1iY+J3vZWq4SIM6SmTtKApNLffv 9h21eYG96STVuH9VW2+1BA5Cy45Kew5sZIOqtKjoEBNthXQDXYgcVho/7qDicT2vGRj92CaM+wvd ww48yC7nx9G7srBJn/n3PJ9JkBqrWebbHcXNUdpOy45Q2oKnrOP+X9+piEpq+0GJTdPhkdmbrpG0 h4AxjICPp05kCZstj3q783G3gH7YQqcDIv8GTFwIcHd6M8g3yi/nLcn0Nt8702GCWNPKZEB2Zx+W PjmZuYoS0FY/V73SBsW4mq7wqESozf8eg7OFjeMjLG//vdf3nYu8zfXYH9fb4O+4CrAAD0i+FJrZ bC4eQgWEi9aBVzZhNRP0bClrw0ZLV0VArTKibOAMpWALPKV9f1Qwr1Bng2NY0o3ySqkzVrszilGO dU6B/9tL8Sgn6hQcFGQBbUJod9tsHYYYXoGFGU0lIfccFOQDHgbFwrri6UUznDbFnKEVWjPD2Pwn HRxY7WnpxYXlx7KzVSTAQepO/qGwflver6gavsicFUEjiD1oKYgOT2z1vFCeQHlz+8FmUOPMhB8g VGflMd8aNykypBCGy2y0qLfT5J2Jl8LeMK3Txt4WX+F9k7DXQFHwgwF8q2QpJYkjI6zLA9PHgnKD 8aNHRJGojJZlxLOwEMjWsi78Vv9LogjiOiiNnMzHZdmB/fJfjSVjD6gd4V0gC/BeKxzPq2FGrLD5 i66einlgXdDPMidH2MPABRuQYyvLK7XtQTz0GNIoyoFnLiKQjm8w8YLIVHPh/Tsen4RL5N3LTuhQ DtwUsUXyPpEkUAjMRl+ZIwZ/6zz0W/1F1yUN99a00LwwKUvMg+LR4sZtVcY0kExMhgwJasV1Ok1k 4w1duUeDB871hoCquyAhQz76ycleqkEgR5ssHyM9J1x5AtEwgSYP5YxXXquVXDVBCzlzZEv4PVCm NSqKygb4xBCtMaF2FTQEzG7+6iQpLpjscQ2Dj95AslzmmXi9CxMvQUJSStFWbQ1hoHZl3GIZ/upY v+iuB2Xwfkbna9ELH/m0cCx+XEd7CXawNbp06Oo3twjeC79JAabRCshjO6IYsJdLuWyv3kjeOaMs J8e+ONiYRGjJiEyP8MrUlPJFLA/hgGGiOTlYcvjHOn7pSRx8/2dzmz+qjCtEQUIrFB7zBsXOCr9s I+8KyqceIEAjbBEtaWN/KgN5Yzn3/P2W+q4qG9g+tH60rLks/UkIivlv8h7/wmo2lN18yo0sonPk SzGUfx95HctLk6olFLOUvuh12jrosVT04vn0Fke47VY9eN6O2s7crLKYuXSAfE9drrG4ejYMeNJm 3VuvNl8rhGjHD9Kuv/zZgUO8BinVDYj3wi4Vy08Hi6MMUYsIdrFe8HG438ICaCEnAk/U6L7icYnJ 9fgmYDDqgOsqq3hSrpvVEK0Mch9deMuUsImzPWRJsVsUAHRsurnPY2s5rpSdPRsZLWZe0VPCRqsP zvV/RxZIKvngdExsePrrp4DH4FcQYaHO3WHu3XhVJx6eMByJ+6WOJ9LPrjSYqSivNvIxlf5S69FA AtWvySgT5dk8vvgTYx9j+En9rKbj9kcUi94Jo617mN/w8K/35/WU5DKB0cVs+nX4lbNvkHKMcpKy gN27e6cuY7zLCt4VaPAQPyKr75LoJDlsYIrskgUvGCArwB5vcqmbDQxIB61ndBWK5PZv+jd0t6ti CCjQ7GgZsLHp3deOXIgogVSM2OEoEpm6RqkQ2cvRw+2pFEfhWALg57PETYFYEJURLQLeg60LIijU vL/XWUPNmriqK5I5ljYMZ6Xn2MbX3QohIXuGJ64NG/ogehooX3azICRDx81pd2FHmIVtD7jwk49s hpezxC0OF3JT82aZ1llPWk3EMjErnakFrXatZQjHhs3piLv1tWI7EwYA3mkmVgRVybNxu2SW/3p8 8MYxADkCUt7ArOFtcVck1t69qVZkaGByn5YgeuD5ECYbRorEGNXaD9f2uukVw91IacVRl+ufNf7p lAsNBgenPyolMgChq/FgrIw8TUSgSQL57phi67eSkfgNOka2sT3s4PUMi5Tvn7eGRCNFcCbiM/uG /KEyTT+CUdc4ylwURQpd0cC3MP24LdoCVLYY1q7vULVtiTvmYFfQxBJTRoE/OHED5Dt5kat4+L31 U4BYFEz+93Bf8dTEshPGQdCBo9v52wS6z0tlTT4kzohksak7ZWur+cyP7L9127b7HznqoVNFA9fs CZx+JbcU/tZMjvl5t/GZ9rO/rO0i/a+Aq8K4Agf+4nfCW9C5/2MK1+r61QLWmJ0FGWrRnlFxxPrA imph0TPlZbisDNzde/BfxAfO7fAeHEe18hYAa7w+QAVNbbUGbIQV4D0Fhcncr1a3gzAzAP7SiujK Jj8kIrPSYdFTdb7l1rsZ0sy661vA3iS88upWK9vHDpzr8v0938QCC+QOKa460PNbIUAXdYqiuspJ HLIsLA66X98geLeTOR4PgNyVaLvQAfbzzb2LeTIfH3JZWRQcKYYNeQ6jM8U27QS9MyxVY6tJXISB 7p6PSr5/jNGMvwiBBL0/7y1zITfA6bywI2wOogL16uT2gOPm7X4gyQGuBq3mBZkecHSO7mCfTQ4v cjQ2lkR8Q95636Rf4rjuHtqwVYGGfbIJ5DOnR6BJxIlwSLmzhGto3RZSunZiHHgIyvgh0K7a0M7y ehVnMKNPi0XfjA7HNVrnVx8Me3RIx/8o6YgUfmxlx8Bz9X25/B2l6C/XBXUQgHKBpbJqvgWF1nMx fUvXdpr5H2ubNfVqaVQHzTfRQZQt7lH9AQ+n2YrF4a65+IaGAbQLfGEGE0EOFFc9bm4LkU9l9+8r SCfOyZAdrISbeGf94K5q7aURYTVkQpF9CPQwaWuz4zRwxFgOeshV2T1MXpoHqNduQZqYXFAyLsEo w3IeTmuIdnj870vMzRX+BuS8yTdW5S4BI+sOdSKoNmGnTQuoU9MG1ZZRWvh+GXMWN4gbm/beavS0 awM2L1A6DMI+diY7LiwBsoY2rFnVIZrRTEJ04jyAQLE5jFHf4KL3bEzUodrEHihszGPduQ29pGVc GryPz+nDqcq5nfezopZq2qzSfFZ21J8FDKenjNesxbb/wQszMNUvU11HI7NOPwljYmlD7nfLOZaN VnrUN2IjECU/7uWmsNXBY3sh/k7moc76vDSaPDuRTbjc4+7eCjKmNhW701o6Aq0A3SSjwCU3NSAB +dqIkAeUTLw7CGbgsYSExMkBTRl5UxIDh1odlWb/Y4sznFDsA3OZS8e/VV1DFFPss+7j7Z5PnWnm KJfe6uay+awciOPiZA49SCF2Awl58MJ8jUXsj75+wK0mysh5MeXu+mF1QJ93/antjmKvO4Bjd2Ar YAlvuIy4mmjOoNrRxDd4bUKGMTTIH8JQaIEwSoHzZDSrHDZFRuOmHYnW/uHVCDmrRcCVrXMyTgUL FfsiQCbJm0Z7m2AYRTev84kRWKw+N4zXDApJ5VNlVA3oowt+Dq2FrQPP7ipXtr+7n9Bj9m+Pch7g Okl5/oc+0r35olN2c/beUgQd0Vmy4PrQ0mvQzygoJtpvmGLauSn6qy5+py8qM6wXUQszlEYCGq9p dIjzFaWL/mYZcjPSZUNjqvHguPe2+hamKbCYmRa1mK+AloKa9IGXkopTXQk2L9WQ69ZuEVp9fHed 8UeFHY8puMk2ACGwE6IqY9wCKfUgQRb2HtZVlR90o/J3UUEQI9wWLixPqdwQQhKSNruTbvdQj9Ei sAMMKSX6V1hwoMgwHhiiwQyM2b2mdoYriZQUL5SvTF22GGyWh+LPoq+qmBS+QljtURRmBcUBxZbb xQiDjViW6K2dcU51fQH+ntiZ8R6+HXJEW56U9Gs5vT61KHUZ+AFeVMS9q0kQD70CVorqJFxp+vCF StAqQxXYLt8+RvSqJJwuyIGb09pJdc6WfRuHyjfknQwd5/isCtHpf5Ll7zLjg2tMdgEBx6Kk55yL 1a9k03hef3NKHs51qGgVw/4M3hPd3hlBGDhLqTAcOB0HoLtK9gAnrWGY2LUnDoydTnVZgRmp2t+2 kK1u9Vq5IQ5gLtE48xN9eQbo1LAomMhdqzf/GWhtQxwyLROKLPCuuuMaDWYWLjuISp24MCsVUyRd himY1wZ+zezFxj021qXoEyVBty86nGha0+np5uybjiCApaceTKGJpmyH8N+CjnB+82KqH0Y+441c S2zH8d7+jdVMxnOsBab45FeVwpkqIAclvKiWXFYDeznbVIwfx9w5Mn2j55xNNSBoFcBb1F6nX1Tc Bg0cyQCEps2aupv4f/bRePzdPKzHiCDoyAWOA6a8f19GOWd4EC+NPngT5zfMKF9IcqRMr98fj9N1 g/1SPXhxlJcP7rfiCckDnqB55bMEDNHGUaFpVzebK8Ym6l0xK3m4/BoNim1k2fGh4aOLcX2BycX8 xdtZQMOUv+5Kz2ejh6Y6jMJZefCFmXMihqUWI3MoBuIoIriJPSlHOldwgsQeKrp7+ebehDP/spaS dHGyhfIizJHuut73Cfwea7I34axOfunfMBkYC6OUBxK+jJIsENf3D4m9Y1hZsQhWzG8yKaZ+V7jl UFnb42W70fMT3Efxpk1TMI1t8GL8SEfu6zU6R4jiYN3XBDnxcapWBDWVLGdGhKvEs4lOpLa39vaQ XE7kL0Gm0HsldMEJVBOHpJL9QlfegGm94gazv332uP0vsQVabxQcWx9S1fG496osGHjGn0E7f8e/ K50AKQH5ONJ27qfywpHwgBl1g8mDoHZ+nnvtpS//SfxEREL8OmM4/83psIJsBREOsrds/r7M2bX6 R7lnEWw0s3HSl3zBc3yZrb9gL40zoL2utuBEbOvefDV0IfaX1lYbzQhcjztFUWCVLJjKinbm5Sv0 KxNgdKLLFK+e1PPzVlwsk9UgFPNMwiO4SJmFzJAfZpoyC3DtJQeA+UcWfggJDnBppZgV3NaNdhAF KYpNTTlqYl+MyRjWC5Q738lqDhTmpekezECLpAFNorpFRX0X2da3/nLvI989jNB9MX2GCAh85U6K NdjQKA/OzjIcDY/Yd2qO/NYKVYQq2qypZpBS/xPAUvrqpoUXINYaDH8YGHtf2EDLhBGAHeYXzFFJ 8Xotqmuh8exYJRfEPJOQyGaA/MOI85hZE/+O+974X3C8oR+f2zOgVlkOi/5ZgesGTilJecODWbPi jBK3VSQbVnNjI53qqy/Wfz0ZeHU+Gt8wtIc1jvlgoiVwYgnfpmASquu7nSNkfRt1iILvoMwEJxgs gjofAfR0adzlRstdV9dJWlLGZ93Qyt79cLJ4EaTpq8gkTKCQb+/VO/rOESimB2aZZJWQrpotbt5w sEydFuwTsw8QI0V1HNTD4AdnLhJSt89h+jO0D0U0LO8bxHo/vHnFrTi0RZFQibW87fHdhUev81+h /q2sQoYHI/r1mUbfba6+W3NyV91tEk8UwL7gOk+qk6CNBKC431EaWNlW7S5gZI5ELQhzamV5hcCB 4M/QslYE/NyNOobgicnZtvUehmLUoBmjYoTm50h/5m3rTw+alTYZxsOrDBQONxvI8pWb8LnoY6Qb jSaIvWEIS5EpSclspMSOnsoe+SwsML/igSIM0bbrOicXlk/2yBBkkmh5w3njmU+0v3UJ7zDiy9HD alsuO/R83Xkj9QCmMz7Vxb69dBGHL3WoSYu8BSWLlxJzVikRPUYDU5HihiTi0JAYYmtS6QhViMCx erWzZDSFFj+GtVTIOC6QXu/VrDy5TK1xY9YggPZWUH0DWBWcfP1Lj7Y5wz/POVbLIlvX0Dpdy27C z990Q7BZwaOvd3pk7BAJsCoZp9X7D1XouSYcWoJACzH6p8pVl1zdbeJupxq+iE9AmpEeqJ1097TK k5/r6TkWrNr8ls5LH+dyl4gdRNDYoWtjjSoDNL9+nk6LWi8zj7Ht2UGB+J/QrLIFzUw6CIQCWmCZ PA6Lx/ck/I/CMrjC89piSQ/sQefCYlW/vJhAnAphjbqm/eeRSK4t/smvSp+8suRcQ4L4B1tOwb13 0rI2zTSEEBzPC4IZVbsNBRp16uH5hhRzraLxoDy/0Q4qJlDJ/VM48qGWT9cJaB5pMrW2WfKz/HFJ JjgnK11MHLt7tPLPtU6ArBQRRF6dPcToyWvx/c/j/CdhZMhqt0+/iU8hLDY5Wno9Bk+4rgA2LjR8 qHluKaoEOR0NQvAQGDcEWNVFMSQ33dCvuqnIxjVANnjsWnzbyp+BWL5PtpYm0hmGz8LaFO4Ftrs+ hWJ0CFsNQBe5OesV5AtRu8u40KyCkgbhX2X+c6DiibggB1Fn2ewaw+5NvSuPK64PSk/cU9kFElB9 HKup344pB/xHJqgEPjjl9IZp+9+zB5l8fa3NurjeJe/87gXQsm02fGb5qeK8NzU7rKBVXZCrAzrH KYBtYbZITCMoc2Y5k52MgSWOgLKW4j1VCjs8jBUjWqFm8qnn3k4zgEVNtt4IafCDjMBSzL3mHOLv SgP1q8dKXbv6dy4ZC7i00+xRRE4yk1ZKivdNojHKgtqfpvvvkqxT/nrwOvBt5JLK1JSBENuDumQ0 YrSzP5xbWtsHVJSVDD96wuHtkjmNZBRH0vbXx1RwCe+ktSfpGrvKv4eTDVR78OMKdpnzLSNUERT9 cWxBj/2/5FVJ+a7WKKJIadP38ycPE9tEomOxMZgh7DwvUw9wOU06Q4vSj8fg10WNywzlGc03iuSd oHy0pgTa5g01eUu9UR+sBBCnp1L+FXI8KRynbpVm15D6Yxpxk5UEEGmO/HOWZN4Qn4HA55Ru4cKu rYEGbY4zTYU7gkckbi+civS/Hd3oQM5382f5crkwClH30D70q55v7iu0lQK/t/uLDsQHMg83vEeP W1z8c7JT0+5YSFS8xj7eIjXf7pZW0fky2KHj59teerJq7cOh35+W9QKslAg8YAzHEQ2KxqRGlUCr SqspJP3KSR7TTCVr2LIYr/1KlalLqEW6aEuLwdvarwddXlkKyXpZrQMnd/b/MxXCqI3lCMTXdUO5 VPswQEwiCDwn9r3GseqjAsdsJwhOnIKWCBVRnkkujaaszgSfLlsdoMUWeMeFfBqkq0MoTaOPK8jF C9UNrGauR+IPOaK0n5KjOjcFOOgQuVOTLwB+t4hHUcTAVlReqP/UnuYWz+cWQyRgiNIlsGoI1GJT cVC7fCcXQUz4g1D6LqQrsqAJJ98SgGJGd97LuMmF6jaK29u4Xn5IpHRcAde5mT3U8YOWWQJp1goU cjKN1+oiLNXPSvB26N1fDsQJdTRB88r+WOeMz/ZI3AbyHPeHXU+dvnSIHI69VC6LMVrYLZzMFhf1 bCKqPmtq3P2cZI4M5eqdP0+6fpCkP1vafi24m4mEm+y7MXJTVM19/JVG7Fj87T6FM1+j4vwjJ7Jw 6PhMVl1oYquIWgbB/cMLi/sVbtAuXRBy5pns+6A7ytMQlRzyRnbmoorGlKgEFZo1jmaRH1t75Ynx Qe+gMeR7D32nBSgC7hbovW1s6OL+Vr5/NMy9ZM6LQ9Pdsd/y6Rd+jNpNrGYW0BPIWJZipOU+HxW8 Bz+HMRz7jpFwIOCKXyj1sF1Dd2Wt0s1qiv/jVfl/i46wXeVWkow6bzyB4ZWBv/LDpkFEaYXP6LHl qd8held7CrLYQcv4R4Vy+kJvoIhdtQz/2KZr/Z5/3Ag+u1kpmic4nHCqbO35ToXcnX9SxOxofe9B 1IF0JpFTWGXk5yG9oBmfuhr6LWp4KhVD+gdcb8el1R8fatITE+Ei9AO/26c0jz/Mns4GY7LK52AH myINXj5YA0UmpuveyIbQ7i6I88OrAbxfPsCYrymA9SmzP4GTm1UXd1Y1nx9Iqbi4CwayXTB0h68W aIUGS6CIUwqjff2N0iA0G6tcawDvf3ECLYDzg1q0TT+c93RYK53TcrNYoCf0foKISZiqHKcXSaOY mvkv9YWXpCJkDZHK1msq0Ek3n5qPU7i+Jp/sdlTV0tXWm1nncivR5+90u9KLtD0Cuk1mbBG+k0RC aAhyPjWp7duuGdWP3avgr7CHOwND7XZxnbKnsTXMuy9WIkUZVeHO0Fm3+sYPNAo3Xpanihp0sjA/ BH6xZ+dnBRN40TmY7WCFLVy2HMUl+BFkXPVgEbZPf0TQnOtzJjBMUgS5X9TRYOgCew99k8P6hHUB yH99Gy2MZ+6O7sAW46POIb+Haa4i4YrGus75YgUSImSj65rlxoqWOR6Hw6UHRj+1sKeZMdcDON/O Q2g32Y7afKZ9MY7JGHUJ/mhYOowQ3hWtbH1zbgykNBT/h9mL3Q2661QRLYEWs9AEDxcVmEfuPy+B 27GAZ5bz7XgWwIf2A457Y0/cJiNKSS22MTfVIDchwH57oBxexqliaw0BpizrS72ve8mCwy4Qg7ee svflv8cMPf1KOLYq08lph+lc3676+5UU0uNT3mUQWT2eGo2jkofaRdtTEAMEXdSEQDRg19d/Avpq qW/1e9piCrPgy06zT6HpiPp4dvzMbkL++Zy1nNdSy3RXD54Zz5BzXNZ7lbFMy5JNbTGqg/vHLJeU Y72SEe0kqBFyAyLgWYcQ/hH/yB6O587r4VIxBE6bZ2hxqWiibneTBfQpTnbZBlpsk1tEQmLgHjqT 6ahnWKoVHQ0LT2A+rnFB788lj8WTByCAWUWt/R0WSfwpNW9jWPwEVKGMyxyQQMPM6+S1ynHhTa8n 3YzbPqJ8EplKhxLbgOe3vmMJ9qyRXAehttFxDverELcRE0C07Qcz2PSziucIMaKEcpkEv9fAok/w FErOM70yrDWJNrCBr5JYN+pwVGTFsXBHkhx1L9gFZAi+IA4+0i8imYDEyF2GOiO3TzONPr2GqUKu DvNsLKXqgSMq+XAc2YXNsfVYG8LCrm1n9hiFftr94GGaHRIhKZ0L185dkKgWEMgD74KNBaKlPkwM PyXV9Xp/sJk3ZzxND/3xCTbLDtPNJwLe+JbnPx/xh+Oaksu7JRkldAd+ie3W2+pfiZObkIO1Hf3x 379MChqheh3KrT94OIdUY4yTUGUHLS1bATq5u8j7UYjM+vJ806nsn9d99SdDjqU3c/2rX2zq+ziY QuyRfNC/9B+iEfdkanoFDf7l4lPn/znkl65zYiY9x8v0NcGx+FX7GY3Oy6t/KhIF6CzbWXLy4r5V 4pQWp5hrRre9U6MC2XgxmYkb11K9yaOkd6XXpBdzCwupPWAGCp2mfqD8B+oaH8c1Sp1r1m+FTCaf tHy/OtOWDIzsA9FsECB+trEtv6w7YnsVbOM5JQccZOs4tMqqd8gmBcApDd2tFoVYp9XUPqdhB9aK OuDm8O0lrWFnjBOPhbzFbqb9nGR0fVVf7iijNhF9v76E9Pj52ok67BRPnfzDk3cs2yBSNRcs7FDW o61vcI2FUOoFOoxd5Pu75PBokH50+UulW0/xG2IdgkQEzx/gE9Podi1BDd7M7GQV55nZu0ZZcT12 rm2Bcyq76A1tlVsHdMhF3hQQP2N0E8bGpAZWELyGZhLvNG5E4Gdowtejm/q4cZXzGuNVBPn67W2C HngEcizkDThtmK8f/HXOBo79m5mV+PQz8/o2/X16IFSAclkZPdTMdU2i+YvxwnbKPfzyh3FtNp+5 QXlmcHqkXmYU1HTjQkHS7nVSksxNAXiMkzDIoScoLxUeOcR19gXkGlohm1J/hyeV5CzewKlh7tZg +GBKwN9T6oUuuP/HmsiCPFWnR2PuJIblqB+swqZwZLQTZD2jbOnfIanYcRzuSYgzxl+ODDKP8X71 8G6BXwUjJheq7mk4izgs67Pra/+9wDScoWGYLwcKrUY8X+/BqjWfqKMz5cUs52lWzGxYVdvaKh9g nSEXGG2q0d4x5y+Y0TEh5IHK/tFZYNuVd81hDT80BHuXAjiE3SDvkVUJX53Iwv1uqAf+UY2OsztI gNGJoPIaNnEZnGFx17/Jsh7STVpEYXjFyoJitsKLRrD4Z0HvU20gXn9gNCCIXsqKriQ87pGXPmv5 nvdfZNvtMdHtSjhRbwpLWyNGkKpzJuGHiU/0NXJkEmdvEq+r6BKDLvnpoQuOz2+tDH8ld+7NNOr6 j+qnYlIirTCWUJ86N9QLCr2E9TC/rBRxISNMdpOVjQjhrBqTxjGDJFOcNiL5OPrL+p9rPU+NduSx 6oMW60FgyBtRDJKF0R5K/3VWXv9ZO4AQFdQRWQqP1pjiHLxt29b90nLrLvwQZpS5HFeizGrwcxo+ 6HygVz1nMTvNLRY17dxeqZMkknlwMEfBNVkL0gspWitfZ/B7D7R1Nu+aEliBiOhyHUg3VfNIotAm HdJhTd1hgF/tBvfEi6tESQOpo2GrPtH8IuAyXRxmqWaVnWlJFi8DORWUJJgjT4GCRZmU+guc8UUu N9oaH/h/PAruIg7Nx8bbkJJh3jQC6x6BroS5AU5GruprQRIVti4VwhqgyEFV+ciQCtGlcB9n+9Gm wxEnfla3LWcHZrzniRuYKkOEMRdOiKNok5ofHBlf+Hy89OaEhov4hb97pS2Tq5l9LshLRuxOUnwC j7mTF4iXmZVphRfX7wmZEL2+hY7AwsyaguUpyXbn+KZW8jxuwyENbqjXepZFeD7snTJMGBZsY06v Hn9lEQPmaRKEhicCMKadkc21TjWzgNNOP4F6QvPrp0+i8Y8hV37GJK3qcGi8VKVUtc73qiGlekGa H4KcE7p9tcIHx5jvvEpWKyfynwQYsuLTRfHQazqYia6K60Z56PuyYIQ+vCEfLBcie877OrdadMwU FswcZSGikDHACKCfu+lNZpqPTFtz3c3zh1a5y3HfWbbeV2H7n3VPGW+JBgwTdPH9aSI5c+4R+jYB zV5+DXB5qXPJOncPrpciBL0PD6XFZDUgImEG9teA13pZddD0UbQGLHniAR0nDgXfgqOFsTIX5vEl +kORE5bv6qWjr8zj5RmHga+AMsaRh072N7MOB5of7Umq2SisEDP+qWN+MHY0Wm7j3zSsgxiK5mes /JrAg4lkINH6tuaDR1HJqbD7potLzUGD/+HW8UZRSY4RVPvwblNYu9iqb7zM15puNfmtSjfvHYAL yIOwKBuHj5oDsCSbxjjyQ5bLmkD74JCl/3IfyD/nlU0yJGySgqfyyBbwaP+RKRvjpYw0Ua4QzA9X xz9KL00bs/lhzvQ3RtBFNmMS/0OP6GtM0scFlcYpYTncD81RjJxQzIZDPVsePJaD9cAtkPcAuMGb FTuN4/fzPcQPNCmDpdQkeSx6zOwu5eLuE1SN6LyFg+ZP77hEBUPofhtSXp01zkdjJWWtZ6VuxynE oD6yLj5jM3RpKcngviuYR8HYNZs/MGIaahNEVNcqciu1epuXwObJn+2Sc75QSQln0xEgPR9aje1J lSI2F81bN25XP2Rk9iaPnxypGNgZzGd23ISB5WC/3uvMk4qArXnt7scXgdt0+Ki5q6NK3QIQKOdB zjtw0nWaroATcGdRfI/ugqxYhLig7HOwMdxBV5bSFQ0Gwth/39l+lzKQ6NWLymF+OaNkqNcKTsu2 ZeVFdcecnQJBuV8L1i82KHYZPtDkbbNdaCUfNlITMmxcj7GDf9udE98+Xgcuqnl7v1IBvPY4pmJI 0Fu0vB9rEzGNJwPz9eMkSAoO2JjafL1Np6Wtrjvf54SNwSyuRo4eVfMfpdmYiDpvdQFv6ypUDcZR 26ZqLCDyYQrSk0NLIzVpq0tEnk4M+jbm9k0FweeQA9hX0ewKwEw9ZPW25LbX4hLsnWb9xMUrArGl 82noTOJezknWjTU7tYEueWHZN3Z9HY7ZINx9lUq/2gsazlzA2gjs1+52ZkSVPuH9wS2RZEgVl1rP 0kzU7wYW+ZaaRbOJPu74xRWRyLu1d7wHng3Pd4OqDdmRNn9/s8vblR/O9FsHjIa1KEpF7BnoMHUj zBych/5Bb7U6Go6ktGuBl5Yiak8MEFM/n7qZprGCz/AtVGKgElTSuKZ4tpYpKSYivlxNDjPaw+4Z bDrmTTp6L3BZxio5PwFdfGeoY239sH58HuVrhQNLp2NWwIvve9sTWwiT9SOMb57uG9rlDffnTfdx U/psbmiZB+zhRDyi00ouVXpNBzmJGjB4H4u/qayXuW0JkiBeV7FnPUJSRpNi80qFeKmSxkcka/OS +5+DN8kXU9l8K6Umtab7hTDdP7PEjIRuDj27gLXKseX4hqxIX8kMYm+3rSNhzN30HT84Tm3savwe wdQIOdXXshJ0DSMe7SO2z/9B8x5McJ+EzbizLdonuW36rmDfnSxC+/sHcN+vrGFInuCS+d+iZFKX j7RzuVMODORx8Ebsda8A7Zr+pppdPK81EQicl3/LK9zKbQJKDVLQHYjuB6bmP1szZooFmZbg1hTr vDXo3faWW30c5tAc6mPjkrc+xT5bZK5I04wGIodv8X4W8JFw/hdKLYMASvquJFosrk/12JvWTP+g y0PFwBAq1ZANTk8508v0pnasVw9EC1U8exrJpo8RzdlQan6yisU9amyWGDz0sqsGLd2PQqUIFvDm zRQ4PXQth2GFwzadK2Ex9oefRPbmHOsk+8Cy+lqB3sRr/r2uQH0lqx2W0qkQGY9uhWzwE+3wh8SP xjNrgOhswTze8bMZ1QTBQqN1pxI1QWMxmCIHXV8mCkPg70Ya6h5905JG8/ZWxD9h5+Z3nxaQKoji /GRXZd6+3pij6ooAHBcDVnOxOuLZLb8dkwy1uLm09eg9/RvmySgMyk1acse1HHpjZFWvCDih9CTw ogcyDJwe6fVFDxBRZ1Znld/DbCETJzi6YdyaeZjy7W9u5hPQNaOG3eb/p+hF8C39ceut1pZSywyf uFbstuiF/2CK8JQMF7LcBUDDrnMuWbkIF4Lg79vbS10h+HbhXxiJTD+mrj5ZdnemA+K5hUYlm68R shVXkFK/dHAo1ZSp1AECqQEM3N115Y9Ogcq6r9wOsk/18BRSJiElZtFBriN0P4K/rjEqpCujoU3U sUpoa1eRBY23bNZDNawXauqWLdP8ykFL+AREnD5zBAtyQdCNUpNpA/34O9afngo24U9K0M98Ae14 txLAcCbwOHcU0kviNM0dHMpSljEGKiABe31iP14jgr7zkg36Qrx6TQmVpYMNx2E5L6LMuQiUUQQb J4kUCoX6OoPenXLGqUKLTrMpz4mW+FyTHHOI94Wp9yC2zHdHSCh4XFWtLNpvoz+3ugt2KWp9WUm3 7dP3z5unjhux57DUDBu8Q/7oGGfB7UXVtr1uow6AiV6p1fyaY1nNiH4g9LyTR5cxisMo7/Guh0bO TxuTwzyM74G30IZRkCh8nG7AUKUOd5CCKHYal/SLUFpCB6AyVvVxYH+pPZotyCcnFxiI0jIaiZSU rZX1Ve4E3yemshuR9etFBcbKa9eFPpZmgTYnDqYrH1uTBYypncUca8J2V6d8+PZGVihU2n4q3FFC jPTa/5O/oE3C7UHHvTJfvnfTAS61JbEzMQ15VOOw07PFH9zKQWmO1PS7U4lKNOun90xSuwfHpwVh hBl/D/eTTyO97AWK9ermeAWCh/cXYOxq1vQdv3YkkeqG4VM8pQxVFv4zsMF2yUDwzOPttaNfOxjD cDXXIZFrHQIeaxDDPdW0qYtBSBajj6aqUSrGo3hnlxVWStWimPKZyNeeNSphrF3SdXyjB1AZRQiY JNtdbdTRmyBu/t2NdLqGasA+ifUafk3RSBr324V+baAaYdcQcnS74JtfR/gYNj29zkefWMTjsYQx QHy+7rUyrKV0sJjE9EwynB98cQ2Xmpqmm2OJ4dK2rQy60rR9LHHq63MXpauV3a2i5EbRlOMNZVrC ab8OIOl+4Rh26nEYoQAW7cXt3nV3hFkR4nq/cO5CyBKalB57d8SZt/a8/CM2UfwE61Il18Qmk6mR 1NsBfoYEqiw1UI80fKUCP7AH1WI/IkUcC3DleiA9uxi3ghQUhKIGygBxptHOrVGbbtHdlyh05IdA OrEAJOxmfLMFmiCXqXQz6BzfeP/KUF02bpE/keVnTjJo6yRuyWtBmKp8VMuG14JVNkART5xdEQ75 gvBJd5zoaVjYwHIYI7V9SyiEZp4ILB9R0lFFGw5HUgl9H+Jh/GjXdAbDz3pQVBXm+hvE0KEabf6Q 0OctQ47tFxgKnkPtY/ffraJMsS9P6Vsrl05fyfCQk5Z4q9C+5TWf1WmJo1qYeQmDMzvkcIroxFjD T7SfIRWj1sFZ7tuFWHY5PKuZw6kYXK2EtFRM3/vj9/Cmq2fgE19VkmoYqx8tC4G6j6AxAKqz2tyn e4/U/ImXe3r9dwAgPMew7tasurhTrZ3ZZJPckQzdybKNufhDXtUjFRF/Xvuh7/Cchx4vHf42GU9E 3u9du1vMvPUvM35O0J6LNxMsvS4EReWFLw8LMMR01r41wbpYu7CxzlR8DXMxwCh1aFDV3ELLjolJ RJw0esdHqg9+XDJ7bj4cMjdurPWBCHqpTCQeL3hVn6pS0PtSj/N1x+HZdVJjmI0wOHYmtH1i3IxT PrxUr/WTKiD/nIHqyTBylD9Pv1CYSJ0tr9rS2G58tKfyHYHjVxCTCnvt+OX2hU2U3a0wtQwgDYPs CWUctixld4x5H/DKBpG0X1NjvsTtBY6px6V6Hxmnf0pB7SP0YgIRc3/0zmFabYQ3XwMsmyZzgy3Z IBofdvmeQmt4VAn5yck+d5RtBGtFAUoYas1nnrOBskXTLcUJbBXOs0ugTpKSAJvdFVoa3Toq4Ay2 o9nx6qvNevUa7CfdKLf5OB/UdYhm6uso5OTXkdqGD+/7rcqf1kgizTeeRYR2VEIA0lZwTsPkmnNk 8gbGODcfbNm22oK38Vt8wtC/uzO2finlTOVetAjVmuq4/mZCiBYOia1leH7JtnAbtcaycclIsv5U XiOyid8PDZETroKl/O4OMYL/OTSz8YrpTJumONaEc6FFp1VxQzLDNamcSCeA7ZQ2Tb5pSKIhZDRl oJtBoYBWm32uf1WfCYdp8TknSirK2RutogE9bhpxu08Br1ADYMdFnCLh4Xx5Xul9enF7oMvMtfuB CmmAHexJvHV9kONGQ/BkBN5Mv6xjWlptR6idBTjCIOyx0VcDuTrsNAXjsl6Z8UQoGqmpU8YKXEy3 DiCWmfYTY90yhVbK1Rmx7QGwStAyCXNdE6Cl9po7H0sbN498wf+my40En2z2iHGHeu3Go7a4AHav lfR63gI/cF+SSihf+ZcT52S258mz7JL5jRQFe1PAkPOtRB1o15uK8Rx/Vj/CFuUK3vQmdawJZlG9 UJgcrBOSVo2tlXnRqKeivFkO0zy+6OsxMO1pKWkZAlGhv2zM97y00YlZKUUaENbuYC2KRRMk0XYq t0I7bEhlvqNvRohxL85nrvLbMAYfo/36nEKaTCkokRdhASflf90lDtyqK2XV+8YtQ6/ggc5T+PQM XMNNu/tEPDioj3WOdsgHIzZpEDNj2Wn9yVE2H4fA+QHmzryQPW3CSORpfSqgCQpif8re2ED4H8e1 SfDSazQ4yFdvvm5FQpxZFefH3FHg5rWoPyZRfro/Q4i4tvG5aUvj5QR48poBOsP28Mo/+wT7IUG6 MUxSi8UUSbs47kyLERd07duSFTloOdbCSBghkdZB2XLt7IO2Evo0A/2FLhldgSXW4F0VItEHzXua Ia+fHnvKRm8owYhkbfNdgyrnuvp2J+t99bDFFrGGVTOGb027e2TO6Sgds2F7d2Qt9gKwi+imS+43 MzZaBiuL4u3KmyUyhbWXPPCL2R2EnIECFOBL5Gv24sgmBqj5qbgu21ktxZ013W752OzeXyKGaxO6 Rbnu7kJj1ptB1Yb/TrEAvJ8qk3dYSHsz9/t2kEL7WabIxv17Ocg2yhztK66HyfXK6SvW/O7nw8Ol fw0ufS1D3BzBlfx29Ne3/woG1gxCcZ8cIjfIrsNS/cL+HMU+o4/P5Mwa5JOs0LeiE5fdq4nmyI7q OsxnwxcQNUAHnYozHY9SkBiRIvvOTkTSJoa+6yurgdnbKkHyTKfO5ca+2yJEybCwmohHFaGCkDR2 4Wcrn3xh/SLARcIGzHDHbq2UjLXlh2bPLzzV9jOWSdVGfziYGNHOGS+MlkYlBRNSuBzkBByy5jbW hgpcbpkz4/M0XGIRKs6RVCESny4CMbEmJegl9Kk2X4L/BThOv7H/PlQU+d6k3rbpfGYA2VC41tbk p2kOnlCr1p6iAMcZrUZeJ9dmtUy9RNaxG2njp+qZc7OLud+KjeHjYSoJuVbaJ0wXB0AV9wghb9EV yZJ5R+iTUYSPOhir7d542cRkhZxlk5aLxjVhrsh+LyzyHVVeuaPc9D3hz4A46OXzOlgA/cMF0hW7 58zItZNaFp/BlwDAK0aJxUd0AwLJuzGavd1r0/t1+ACz0vYxgVcyHvO39tWjweQttVSzAVFGV/fV CeJzTxm6WvnqpLdU9FCWn7yKnhs6I45SA3WuIaYfipJ6cSzxEZi60LMxrtYk36X/AQoyK+Hoqi+F wFXdvRMBqLNUoUU8NIsWH37ryE5W2hgVrJe0/8SebQ38yRi3/AVQBJQnJta1pVGqeuTzs2dX/zN4 v+5G41N82NoWFsQiOFeNCcu9O+cGvq2PDu3rfFeH7biPIPoQKmumnK2rBNuS/nCUH/WlfAtVEHYi Kt1EoRuUTucf2FFkn216ApYCziTVfCjatrHGm2tcTiHFXyA+QN0t8tU7ATr+Y3f6RfugMl5IMyVq okXpyykO1Z3z1aXl36gf5kyOKBIKDrQxDudC8q53xFjEchFrwxL2MByES3a412Conha7g7qWUUfT a/9To0kwxya7LLhBoiAzkheeTqPB6BtirHuyjHZDcoPm+bbXSYNTpHboXaA3/H+UKRKC3qv+UNjn xlDW7SqrNMtBwY0WMxKnk4RhJ6BVokX+83afa2lQ0GXr09kWQ9KKCF/2pYMJfssHXFkDC3IkI8+i 1tW7S/oqCSP8VSnUZbfhPBa6wc9jsiomlhqim9ULSNKNaiWfOAgMfTfU6ywHoz71n1U/y9Uy8Vi1 TevBSbr2ALC+rhkpHjKqHegZhsFXOxDAALPUQkYzM3Qa/280Q9Dotfoiu7I/yti9m2fplc+2Pepn PIk2ON4egXc1jkNCceOktfrTlnYSJq33LJqktJ2dTpnyTLYCeeIU3vXP4yhPZ/92aGqZj2/OSRDJ Ner1LPnwAEsar5ti3Bn6SvkpZDHHojLIUkoZxmp78nRz/oq19vvKHoeV35N0evKEsxA8vEQgH+IM BhKm6mH/Qig+/DabxHfDNYP51jKUhGIDhroglhO3aoEsid45RfrDGec5C0ZCEk/NPPR6EbsSL3yN tOMNmb9kx1vsGXWUfKBQYFJgcwBztMGkeC6n9YyFy5QUOXT+uxhHVL1SzD6iUaqPQ7/P9NUCHKfV hy3DVVBWZwzuzy4Jm+6XKgRpf3DFgEwxLQKZolr6wXnd/AGxA696EPspe8TOP3N0Zt8W8DLN9LBS TInj52GsIF9tz3fYvz7W/WKfCsK0SqEQq1F+zeSqEbmjqgdYLRs7l2oiO71/SPcGQkBwcDYDcd+z GNYTuLCzsamTmcML6MXWSXnwP4zq2qXj8grgMzQZhsCYEKaNMFqP0k7c5gsf3LBn2IwFAUdZGzhu zh46O/SFZg3JWGBULLLQAyAY1EsXomP0U+iHIB6gbjjn6CLT7ObgmhKE1ptWegAtSVmmA3giGOCU nElKoz26bVd89183gqKGq5zt8HPSJxCHkcMFo83Z0E9Pb80BMHFAM8zt44CMrui5S25UFQ190KvT v9KYJ/OXXcLNDbPnYMZhs/UWKE1TtERNvI/ZzDmsmT7w6vBcVwo3Nxlu2u1JYbP7ztkf0b1sYnwx deMXLoYNZrMDOORpMQa6TCKuwon3gf91Gh5GB0bdaG/xllzF7bhbL5pr9mEpqANEHUf+X3rZEt+Q cqoigyTrQiQXDCuFcyihVKVuPcYgf/a4MfgOOi3ZIPk9ze6i9FiiDp6EhYmsVC8CDmrgyVt7eJdI k1evLMEzbcb513RaoOgcAvXJG7VDEHIcjr3K+1jOnzfHmMoJdDJiBm3znrLbVi5KQ0VFaIijiQgj egwBD7Zr3uzhvCx6vrUiOYL7YvLb4TIbEfvrlwCAqT/ZZ7ErDZzKjbzTJoNoaOubHihgauLIKOG1 9cMtOrMuwsRZAvoYja2q3e+RoafyDSCiX4orIHE992yeI7nGvS/xwloGF2bXtc+n40qfBsJ2hUYY QnN910cBwmYmSDgQEg8qZmKfESm0ydinxTfd5aqSM91a0BqfypKISLlkGbaz/Lgjv0H2ZJFRdcBN 6xAyHRFgvF7HQlMWR/iux269zGuC2ngPhj8KoSvNIJITFWBbI1jXoXMAncDskFEiWOTOxJygCOuX 5fSssAbvdru5VkEfSzAC5HE6VBarvmR45T/JBdnYozqArBJiTEyc7dpMr14EdrtGkdbx18K9zHAg PtvDMbs2CfRldfTjv1uH6BP62pyTxfazymFAqdL2zb78u/IbyKZm0YmTlCaHnhO3hpQxtfWyyiSE iGEwjknZCG4k+yiUw6X+/+0EnmwDyrq/f5+aNouIn2uITl+pOI7O6gpVZdT/ZpAbyRs8UkI/8vKp PVtOyXvJ5l2zKXV9zM3Pz2+E0ApU6cxoyIKjn5FyNAKZDbNyw2gaoaUVvmZzBcQPm8dPYcusuNc2 AVtJTupD167Cn+VWgiDa/x8KzmD+UEQgQ+nQ6pxfkEZOsgC0WaT5IazYOIQF0WuULhJfS/Te7yZK hqoliFhyMmTteGHj/7GaMqnGkaw1pIBVa5ktBYECnpmfA0pdJ0bTCsFH6Ngw0khB15R4iB1Zi+PR kr1qmh6QfB8vQH9p+aD5Cq7Q0sixLgLE2tcnVRHf9uDj7puRXONYkT8NSxH8dEpi3Hk0iNNG0QU8 +8yPLGj7x8tMwcDNqggXX/FtzdzuJUVeg3voFWlhrEhe7eHmDVSNG6ahfdkfFc7udtTCRPA86vGr vxetYyVsnLpPB7qQPE8DP2TNyBwJ8TkQOUeyf+k4+AWN7giTNR5eS64zEUs/oknWNOnt1cFJ77Je Bl0qYrZr54nXCfjvXV1akFEKx961AQ6xqxObaDlFhgk96sbDZfQ2kCtYc5nJR9deaaj+JQ5ppDiO MmEFfGshuy4ZFjQrdvsc/XHA5tN2DO7jbhYprPyrAXu7Ti9l5seLpm7n98R775S3/1mAxC9E3M0G eb9J6sdiyM0ZV1B6NfCAwaVzbKBsqx8rPRsrD5Mj+uJ+9FCv5rp8z9g4flg/0xaTw7lPiUXEUS5m /vT5o3LH3VnnMDy6CC9y+2ef5uRLDMiAHi/F1Tc75OmbUoHlD4975BOsSehXaQjJeH26t7fC+3o+ 4tVP9QxQ6kXQKKRqtGLx3mn/BzotYrqjyr/rjNg43OQidCJNam+2OuKeTjdYT/UzSsUUCX+b8pyI a5xXd787jFXKaL/tc7eILIN60NjqrBDiy/WLm1rG0CT4qnQNy619lfz6c1b3PCRII4hGmHrpWreu 28HgGhVKFMaX/VjDeQNvP5RAiBs3spbCeIcc8rrxTB/YHnQNYEI7WneeMDHk779zNkWMZJ2TJ3kH 8r1B+bJdHJ2J1Eb/Nec2yeAyLf3k7pGDr8vmZWEOGGT36i7Qj4PuN6I2nMlUYDNPBrW/Kto78Sfe Jw3oGN7/NHEbF/W4QYCs0osVtZviIPDl9cXv+0roYL/+iAJFzZccwS7GKh7ncet3dfQj5h/YcfXB 0wKwz8x8iafu1Z1kSeRFRa8dJ66zMybyWH5q3x3vUhLcBzMGEpOmBCgV5YIgnouXIziracVAbxRs nRI3nByBz+TrBqQL4m1qcjN/Ryif2bgY5krtDd0wMZ2e7C6GkmXC7Fn4gqZfCKnV8gp+wX+7QyBm 6gwBRgCMCJ8pzhVK6PT0pHuJTA7Kr/eX9T7FMWgmkiZctgnF0hcRsWkzG9Ji7XKMcTlnzYYqNMyI UjEjQgzhQVPGsxomwsQ8ZbOJVZB2/gqeqamhtGKoSdQC9aXXjPibcLW8NWDiWHVsFZ8lej56ATiR 83aOhVlw0wWSF5xErmn3to9rVhTmQ4Ea6JG4zn7Q3/FqRC+T8+6GRSKH8YCgdSsosJCLsYsRsM2v Irmdoi6coWDcVGpJfCFOY6ufYUSVpapHW3HEBLbVaLVJoYzoaSRBt4aUKd7Uyhsqvv/gTIA2/hiT ZRjgkOf8CV3Xa9SgvCh8Ee1EGmCKexbOJ8fabjUX59VbJSqzJvTNXlThkdnk8seonsFq4f78z2dK EDqEb2inyvQeZN0ly770IOoWsJGvtv3JZGo1Rhuy7r1BX7z0hFC5IB81tJkf26tmwBaeXtjs+hno Cvb018zadKVAXSU8eDHjHRe9DeGUeXtVqeEU3acjzPcNny6OD3NIK6ECrd8MV17HwUoszcyXTHXE A/k/cd58v5cpEc4LGl5LqAP3xRG0stHSUvSECWCko8Gnvx37rX7LAmOv9Wtzey0em7pOoIs10RRu cbxOck5EWJow9Nff+uCa9A4lWEmlUkIRSQlZip+EnHg+WdKujKtRhYKOeOUCO4gCtWIXGTU+01ov q1wtF6FZR4/ZUTU1+VhpxqrhoVVzPaXFFpLAempXmeaDET4xObmjf8fEpPncwqiZ0ajz0tkn/Ksf JPxnGHorvj+tR0xdH2Z5Qs3jn4OM7jfRlfMTXFyasWfZ/2pGE/8fcb/E6JMvwevbKyR3MW8BwZ7i ukglvs5/FFyNMF5N+seg3t8rd7vmrlNu2bEnF89cq2qDXisjCL09WFiTB+7mFrL+9zLSXJ4AczNW wlATZyfY937ObDJuPcmgRF3h2NICp9h3rNcVOoW095CPZWEz2qpNPjw4Y4BaAihNWvfceQKz7NUy mc63XpjCcyHr2vDog9j/8OL9HwzC+TY7htPfIyN/saQUMDSKJ7rc87QFdoqkfVUXfBJyl71+w+fv pYubjA/YoR5vgprXijObwco28539Psy1B8XmBzzdwpVwqEuHb0uS9qL3SUSDkTvz7aadk0UobBHh dlzvI2yH8yxGJY/wG79QuZaqyP8PfoTVNFpvxlFCxpXC0oVbrzU0IRimQlZg7Nh9N4PFjQSP8Uhl F+gqZQSUCQApw24Q40MC05e4zzHOzFklhJppW+ItMh4CrKmCLhM8hiuKRRMeLqQRUCA5DVwxKKix 94LD1RFghLas+GlTQfLmbf2iu77RAqvLVA47O/QZvCHys9mGdUu5CdnIMehsLTamJcHIwndSB62C 11tzrxswo0qU7y7P2OrefIG4xxvCH2Et8SF1sPG5RhmaM0qEez1n6yP/aWFMdoSDU3j3o9GvdUdR 1rKg55sYT5jAj85qjA6R6S3K8V3/bLyG0gnaHv3iYJT0x5sACe5dW5JNIMWslVpbd+IUtVci6frS QYMXW5D8e9Jvcimxk7e1KKZbdZ/Uq26FrJpsGS37U82ALmSRLO4Qa3noNj2JEwEFoA1x4QjLO2Ea qih5BgANbGSfv1c9fNbnog+qnZleC+2oM6oYylSc1wXomGI3P8x04kOp/Swmr5bZwYX60wLrk0// 1boJA177N4m/0dcKeGrbaKd3tBRykydQQoLjvse0uBlDi+1JpcoWVtqYR/SnzyoVldIwyrIHuxAL Qvg56gb/2ANs73tJ10qG6OPLyZYI86E78hMDUFHe4DwcX8nqV22P3lHu/xpu4dv7npcxoJjbyc16 JMb2hgSV+oBhCf7lIIbvzAYbepWUhtv7mz/znCGrw3f/EnMJpl2WBk63g6OTvIMaxfAVChip5rE2 6a9wdmneCJaCO23tiToNnHk0cS3mSbDmx5V9qBrvNdtz6hRfaRmSsuQinlt80/Bk4aQmPn643pQK LYPDaE0bycdPPVBgEebc15505XXocQClT9BlqC2aY/bTM0IH6bJ9bmOAmYy10P7FqyZI8bqBnwuu v78PYWLiKYzEGANAc9G9F7EwhcF17wKRDWKYRM5fLMgFze/zefRCGsO2dYI3rw5WToJY+fSIvmn8 Rmvg3QzUFqpqpnyjA611gLEthPCyFBaqjfApfNH6c88jVP/2JRTUdp67+T3OAw5zy0RT/cXJRJp6 kn7rEoa2UvcUVVpuw0yAL87YptiEcygWeRdOiklJSCndAysg+WAaDqqEpQgssWdNPc+iKEEJ7o5r etSSUslKACxMSwEyT5h5zXyWcjgM0LG37JX98V0sfhEIES9JkS7rmSi2xkocyaQc2yEeqN3/Hl4O nlXcZCRUD4yFDRV6n7hTk1pO87kVIGrY/wp+5/igsY5R3uy986C4all7hkOXa2TJ6Qu18tMaDKaP zUr6RWO0W+UmdRK4gToZMf7vu1Tv6/cR89moVN589Vh2kZM19LxeOi6HnHhJyWxepwKSw++VMSDw yGx0EM2n+lSQVuhjwJe1WZ9Rpw9l54iu3b7c4bn8XZL1bN6utF/SGgk6mXH2HiCC6p/O14G7KLWr Ctrzrc3RomjHtiXIjpQ7JvottwE8/ug9YOkou0TXi5o8PMLt/O8hRmFpJ/jwMQbkYBsrQ1lDGaNq OPevigz6wWgaRrl1oXTHxq4V7sdfiJj13TXNtUyraWZ3d/B6RyLBAakC/fNoauCD7k2nIfFf98IK Wo+lsolpt/SrZmu2YC26qeKDV44OhfFK7zhWs7yjmMbXmTgkdtjZif9Y38enhUNwtAqIexcARPN8 k/vRCXamuCh9JTFFBg6M6hkROTrEi1dZccytu/CN4QY7Fagh2cjRQ7740mH6gvpaanxT3z+5Q6e7 OokfzbChs7v7521xFbZwj/3gRe03jE+9n+0rTo8nz+XE3tR2CilUieRqOiYk7bmLep5xh/L8kfFD d4/LYPsCQPslOUOcepV3X5CPMVB+3k6kVJjnf7r17i2uf/n18RgGh7i6FR6iTyhEm/eKJ7TQiRXY c5vTNYNZ+wdulDGGxWOW9s9mXfbJbHNOXjIhuvpAR9pJiqlzVvz4/SOgY+u4MCIKmvsskmSKdt1r tziQJCuI/yLI4YyNZIERjjFs92X0jWbbFR0pRfLSo/zV5+Qtk6+d61Q8gz01uxUbC00Pf5USwQvG vxJ0ImZxrQbSZ3EKqincubBSMNDX/F1z/wmJ7mcwTK1FCBO2vbg4qgaQHuPb4YFZM6JJoX+UKVV5 SxKqNq7vuolleg6Ij8NYbokujhCUO0zDsvWdbW02Gb53TSDwDCWF0mkHfkmwf/xIj8T2y0fVhGzc v9aX8swXp6mhn2PXvSXlVQOy+uY6mUrbZxGStl2zPOIlMCnCwIIYM00GjIZ2kGvK3wy8eX4aXI/c Cyvgs6aBpYvgv9SHkLfmxrJboSfZO1h/Yz2UdP5lQ59fsD2v2IWZ2wOCExbgd6swvg7qkIRbEpwe 9T4P0UeWHVjIgwHLlkmGCq/rFtZod7cPhWNbsCAwdIcFjgliZf9XkVIM9KDglk2mOPJb55d69tyv mv9KQY+hIkL1Sksa9APz7/T5PYnGbdh3EsQmweTNk4PhoqPpkNGHaMTTAXGjBV7dtObzQhAIahTT 5kt9TTQKKcyX4cc/Gvww/v1xoV4bdlwFaNGmH+DcECQllSrRWFYz0N7F/31HUYEWoPWZg0s/sFp+ 7h7FUQcDseYHfgMCj24Hij7yqrEsvlE79iVZR/xwJ+egbpPe7zdkJuiugxAgIMhvJ36qSRbLYyNJ wRSXGh9tuoxSATGG8d7FRmzCdDpbl9G406qIiPkWY7B9ucnLF/XoIoemtsk1wce39r1An2nGnJIc PaFz+RGW94VC/vDxdsFDV2BMf5f4nq3tCwEuIrDKB4Lhf/4p9WBa5p/3vyC//kj4HcEmsnVJLAwW FdwTmWpGAqfLH5g7TA+ywqJlMpbJYLWwsk0hkJS1NyKWHZtRqaZhOhLHGhfJaJFu88d+qVRqsfm8 TEuLvgYgaga0yo2Jp746hPeQ1ju6qwljqsZ0uxHtF8P33urYZxNAo4mMbfNmSlLD9dg6lzNs7ZFo j93bnoJY8LGhEJNyiNTknLbE+tbGojyHWNE8fCWuyFYJZj2+uTo5uhcZwf9rpkWgc1XAWelmM4RV tVLTnVnaZ5kVmXIsOEUjpJk1rBhfKNndY/AtCdazAV6xk8Hj5Bpw+mEJ6hn1HzdDFOIJtohEn2Bd HAmi0LHrhuIUNCbVYLSzTd4pFQ4XTE53uGZv0xBWBnlz+CtlabJwiU5R/lwYgGEUbmnz1UEg1XgM zo7wN0RaLSGDKWpSR2kkpFO+BgpX4/v8Dkwyo5xwnj4A2uqbyxV2uQkzhS1Z+xE5EshWd49aOkRO dMPYuudhIuXgG9UD39aBe+gqSX1K9ARbHyMoMHUbneZpM9bjhIZZjDXbqtxa/e+S/GKmvPzKdpYR 0YYVq4gsRflaxxkzTvi0ik6nSYaiWeR+lSQ3NWS+Z6KqsJ7x/2xuf30PeJIqImnod9HXzhyxEvrU sBYAs3NVzqTkhIUoq3nkeeJyruqOdO0sb55l/2eeAKzFWBUOLgpP84lXqMFspY7wQghQ4kGk5L3u dTLxsPjGAJNZjMMCLEvEE2+CoXciyGjiw20CxBrJoNmDAWyaZw0ZYyNF33G5XDrNPX/bIl4RJwUu 4lr5VOdqLZeYP7x8QHEqKHSqvxiuqLqtTCvfJozkHvkm+wN1Qeu/+ipn33zM+jgzFGmKCvNh7sOa YqLOr34puNKbseTL372oNKay1y5nkukVTz6ydEQ6paku1v5jnXTAgRsHRINPQKbF2RTZOqcrK83H M+JUPaFpJjPy2h67OSVslobRRBw4JbpghW6W04PCGMUuMqZH/JPmGRq3CrJ0BmzCO2rr+vYGcRDa SlLSW7Q9i5cPIlWmV/90FoJaF6sYrwgVRADiB5EP1x56TjcoWpOGIAsupFPk3Ey5sHk4m1r3Hgjx q+a8fV8EGF7EfzQrMc6k8Q7F8UpGNjHjIMSrd8wmDFBSZWUq6eXqGEkyRY5loyi0I3gNcp8Ut37d UWWcD/XhDogV1rgXm9Jp1onYjIWwQqdOsZUy+MqeWrmbGdT2UP3sj+8YwexirIpgnmxRnudW5J4N BOHtjMUdtuG4bPk04JPenKmf258PigjAIr2oy8YKUVm7QBQRoh2fxa7bGTWQaaTGPy2gD/QgTCpb 8tkgGCK87EOV4jdVbSwTHS0YWsH56ELlZ674c429rTS0Ss+A1y2/+Jw81qGRN4MTIoxCgeU5DwLo H4FG1LTJmbVYmNEdKV6IPNdy0m41OqR43DRKrgVH0ufzTaLBzO24PTiJZuqiP4I0fHpP2VO51gIf Jw8jpZYF6yAY3s/NG1Bgig5eJSF3Sl/WGh9ZIghDbU/szKCtK+AQtilV7gldvIUSpLMj62xngI1M 1W+GRWBQAZldGcEhe1+bzRZmsVQZg8aY7ap/WQzG0l0Z9N4d70N/uotrk2X5ku09LyM4B6jgbmPx zjkUqJrLQccnne4PO62Qbm5SA2eByk3YgCWopXQQKYosqhhlSmFm+L7UbE3Z0+GAYEC9GJztA+F7 /nqbfoylJs8Hve++UhfetZLHOD8UWnqdaYvpeJjCSiD0h+Z7Wayl96UK5hN3pntUuTBHmZlyesVw 7XLwNWOBy5ShNtkYsJyKISIgT3Hi5z/ylYdctHbWLqaGKNaRDhkTcZzs5/bCLq9rUNv5XFEOBuIG J6SPn545YET7ahADL5EmaAZDBxihsv1KU2+e8sYRAYZ5MLbDM73xsgMHHidy1SaBu+nXkEXxM69T CHNW0FrqV+BonNhcBA9YGoPWRME13jzPfrDMMMfEGOv5FyTtdg3K4eJm3UWEE5Kx7jmje67Mqnym /kT9lJy71yl9mVBZRU1wEeNW90phwVwckBz05x/Bi+nVW1XBaaXm4NYS+EQSZG2fA1/tQwwWo5xu jqLYaAjnWEG490TuftjSrCXW6u2QHC8ItUMCqEoBlgRgA8LMgfOgeUWVi+Bo6yyGKMWSa4tyBVxI G2R4yVEilmM7M+vmZnsajxJO6jMGQeVKCKJ/UIVasmnfvTQr39qzS0bno+n3+rU+odkefGiL0MYN e3kmHCLZSbzvi3V1F1YAjZ1Xlqy0+x59vMUUsbyNEwc8velreAUVFChRZ0Xpt4PYlSmudw/ilWQT GVKsApAnURgIXmh1xPwW4mLT9KNEVZe+6ndATyHjrysZR9D0gYd0DiKabKWpAnLlcueSPUr9t4q5 z4m0qQmGQ2EUVb+o9EnsS85a3FLraVsHRmgn+KNEFaA/pL4QHYqIsvhSpF71etC40Z1wVSt6d5L8 F3h3IPHRZKYfRqQSlZhyPSDTSt8nDiY+YMuUBXEXPRvitTSF6vbyJ6i+Bto/HePWUa+3Ug+R6aI0 AXzUzCgCF7yYRl+OyG7uKjUe17Hrsrj4OLzxYTLtKC/HUmpTBewiQiFIQ1UAuDsWuWTdtxXmtR/4 Mruckzj0m/6MmMK+VqAopO3vwF8qrEpiz8cheGTw5CqISRWWaI3ffXbf5oBHfqk7SJ+cNoYADCn2 trenVWoBX0CLmonl0pT+vJSwxlem+SxhsptTdnjq7kbCO7WhOOyKaST15kiRnMqJ/cfjeQI+6a+P M9qZhsGNUZMGgjKm88St9mr+tC6ZxLUFu+az/nuanpSgcMGva07mZUQLe5c9iHA/8GrvF0CVM5ji 1iIleceQPzZj8s/92vx55n8pcUWn++68uIALIyk8+5ChNsJ006PRAT4raKMd+mBq+yOBpkFkVHGU gjjNbUcqNCVyN5g7uiRTIDPXbXhg+21vAKdbmUS06ytHINrtEboP+GQT8VpzbuZKN65KbmG7HuIx UXCbkarn/HTiPTF+OGaBCRlQ9LejVRrocRp/QzE27NzZEtALyyP4re3qatjN6rOXD8P6k04LALW7 Hsf6eVaCTDBoNt2I7BG2P7YUDHwfpcJdKwwTWMdh5zeGNpXdjOoD1Xt9pvpphZY5xtGfM2C4cBd3 bec6O0h0LpznFZdnWwHhUao+0bVK0ExUSlWGTehHZCMa/U/HXJFIPhnIemsaWMJq0Yx28AL5ulqW R0nzo+KSO9zZkXgCtaQPC2ECymSskqtCyg9vu7q8th5yde9aWlDKOvYjtXeI3EgKSggpuTKRgBpj 4joo610n/yTYK0omGlN/J1vR103G0xqmtPOslSt9klDIlN5oVmYsZzMXy5ywlyG+miCoT0HufYgh q2ryizBwc8a2sphEVVAElHTcWF7kB21l19IhOa2ReBUJkAt3lu7wCh/wH/cbbCbsxNm7pXjPAocw tk6oGEFqwcOCdQX8Cs8h9IKfsLsKn+fyu+egVpYeJybopFn0dxxJ+5I0LVLeVVDa7n/xrMwHbVkW m5LBOnGrF8XoaHg+cYClTPh7h8LhTam31NUWaAR5tQ3mrQfAtjfwBzfIF0sPH0A1Bn6JdQticrz7 /3M1aPwkxM5I/765DUTJPgbvkdNmlPSHEhS43l6Jg1vKb2uZ+ewhmi9pjhrOTboLGU5NVKXuMzNZ vRW0KMTyExIo2sZ8ZOks/9E4uSwGfWGJvGROUS8QsN87NgrIrikXNrMCjKHZ/Vpp3T3iVhUg72aO muSacIbXSObSNJq1fRAEQI5BJV1X/VNa0uHjnSsCz4iFvt9d8/RAgzBRkP5pj8XbRqCYIhpVbIAS 3w32bcV6B/EEWYgVQPwJE1SCeQEUJoT6DdPDX0lxdIGqO8n63Cvjn6jxnw3yAMPwKvdJINOk7fqf Q5LX5ejFV/ZKJJtot0AZ+BB72AdIbWIuVU/DQujHn4Apq8FA4OY5Qk7wlI9EnQx9bKIQyvx8MNjB AQTb+9tM2GDMzkWtBgr33L9m+US7kFuu5tXnNtCYCCYDN5Fhfq73k+q+q7MFAMF44Cli2aGdTIkA l11wPavo3VZmSe+S3y44aVzarZdZlmQk6G0vqdFD+ME/ql0bHM3cw1C/EjDDWl7KfAmKALC9ZOjr K1kvjPm/CSclIQwk549t+mo/Nl0GErUauqZw3kDQVsje9cgEiLUaIYTLyxIWnwsIK/gVFX66isYs k3Lo73lAcTnQ8Gdcg1mx20sEWQuoy7t1Jy396xPYx/He5e26n9wQKWsYnrDZJNdKym7SXvfwuP4c a/i5CZKlPyRYaOHC5kBeF50CyKrUkLjpBhmGBb6eeEB96SymYulJz68Rpras36ZllcM75SoC9aIn kM69b3WD/BJY6sYzEaI0LEFafQFH27ZMfaEUm6NAwPcDfEveGthAeeU57vgYh+e0JWJEX5BLiy5j k8SlB8GYLvWAxqDY7wBdFvxILQqnNK7XdWqbD1GO5IquaQUiak4lMZ/KOu05XBsq4Lia4hiYWlKL UFIF+FrqKtd4PfN1Kn0tKfTy5He8VfX6MCHEOm2zvHBF7uVaKXGeLtP9ohh4f811YcPdoLlQbGyt 5L3pOXYavzt2XnfsPIxhAvcSZuD4CorLJscC6a50WMSpRnzYyAQ+jtuaAqzi3KCeslbPJwdN2qyu N35Q0RYNsTqnWuBbSr4+jo3ZWRsrh6jkXuWoVUA7v9VE+xLd5+eAcQFaK1Bbc/BTXCpr0Fn40QZ4 cfKc2P5BxiffvCwolrqgFcawj4z5WMCpOdtQtNRBkvwmxjAu1fUpm3PEBxi7wmPoxJ4tmnfDV4By uF4LkTR9POWuMbfBOm1SqdHAJ1R1+dDfejhfD1Q/1bzlVFjY42oUZZihmau2lgApd9xvgzhVIf6J jdmqoaMPVPdrt9yASVmfCafIcqOqVG6oYqTlTPvUG+eOB7iUak1CM+JeMkI+b2yWRFnRh6iq93VD 90Kuz01B91K7sOtDxMciJB/gLc3oOyYaCi0WkKViBsFhpB4dkX8anzUQ4bsAYDadWHBIctUTajJf Ew+0a9qbgp6v+gy3C48yGCoYFjK/kK3uy9jVXNAy6UeM0r62IgN7KSc1RJdsEKsgSuHaGBxeIHjR I2RkZjapewYNkzZxuKkdtFcIcDQhmlbv87q2k1dHBeCuqvlpGxC4hCDPL3Lw9qN6TwVbe+fHX7dE uN6DpdULpC+d1VO8kNO0itut4L1uGBSZascwZJLIydWWW6CwHIj1NkuM/IyOZdaBEdr+WQ7M2TzF awMsdv8/X1TOHlx0SCJC7FTJlWjxGBNPKXAJQh+BpVZjzk0FFQ6ZO0fSqRDg1idXKja8HmEl6Fc0 SqvTVygUiHALk/PtcJOpwZfLj6+zzUsnvca6mQcvZGtihgEmcVAupwF4+wDu5Gm+6j8lzQr8kXpx q4AGGnT+JFMgkpYeuG5NG5UFj2fgG8v/Liu/WWVL4EHPaa0ys3r0y8lRM4KSEHHWj7Mxktt2ChKK z18CuYL1Eb7ydfqZOUNVuQrvkeLrNBPwlB//W3MrQ04muOiq9H4mLXhfhHBoBoZ1c5iWj5tyZbSX 5m7LBR+boYD3I/NrD5Wi8+OotpIBbSCJPt+Us46I5nI7Vl8iN973F62BqAnw+5gry501OtfeY/SB XEqPEoPiWirFm2cmtI1I7s83wj1kmukQOCh3yx0ZVBGzQzHkJppUfd4dBFlpm6P/QpmRJ6ChJgU/ T9uUi3iO51f2CDIw057+uuswSDu//JsDhZlhKl8Fniga0qdp5W7+RRqaO/L4YUxqkCkTyL646R9p rMdVZMTirGyCsDTZ6i3ThqeHgTy8W4s+sOoXqk6gsNgr8IO0h8ID33Pp2ZAgHZ5814rs0Eif0qUh zhjaEtGpnkefyLr6kLPXZ6Ptxyad8Zxy76RcAqQ/uXxiyhkk9VanjIWmRGU1KHbPg53KSFAXxV+D XZoYU1UNKRfk4rt4QIMYLLobyvgWqU7iPdPhIqsOsP+dSDCNPtBYullwvJeRaH4lLdU42Azv/MKc pEv+Ad+IPAo2kl2njm+78Vt7Y3087tm1wRqhuffyfmzIs1eh2qZiSxRPi0LbJkAcZCbj0Zp5nA9t JIdpIKqSlJLB8qvJYujPS5b7i71w2ufgTRo/hrCAzNS48daRtOVDLpQvSg01W6K82pZkTo2HX+QE PpFn8b5GcF1ve0pIi+BFI2TPu+WkpJvOGNv1Svi+BdGJqb//38eOkZJtnNkZqx9iTLOB/qbARhLK AcYFbri1JwZYOrxF53BbZR2k5b8/SZrX480J6VALEoamKJEJXyiPhNlPbLIbFlnLw1vU4CT1OyMc IrHYr7dQ0cu04RbxnL0s/B8rUcSB07YUG3ervtDpdy1bQuyG57vTSSSFGyoHQ/dsRCCGIbfw2JUy u6r7M+ABH3alfbJ/cNTvnGBqbFlx4WNw68v1NBIfIk6tU1ejvv/LfOSa0Zsx1mOIEB93ZlCg21pM YzGxUtvI68w8CapfxyJgBf7pP91JRZVVTbaPiOhwlKrcIefuggyQrzb9GXxufbj+PZJxhWdbIUEI aB7+UXOK/R7eCSje7Bv7ekuk3IK8IhIBQ7owbA1DmChuaveBz3C1s8LwxaN5xqZaOp60vKbwNzcN rwT95kjb1lCADjnaviTftAFypilMrAu9cyjCsxomjTk0PTKK2GMXP4CAZxBxMNHLrIpVVj4npFMi MbJq72tb4m89UWZejk/gXo6ygsaDghFw7BbzxQkFsoEsp5UZB8Z9LO9mJvq1S6ODuXRI5Yo3UU1l 3v8Pb7wlxoZ/X97BmnOoKctEhMdh8riAOfoq7Nk2YKJ7oa7Svela0zX2EySOvrX+BzLXK9MLEkui /juePCaCeVbnP82jGDF89xqmeiTGhBIxqpV1Q4S8nDja3B0BJARQOF1Hgqwo4w3KQw3ezc7TB/ui hD+84TOhKk/Ey8PSr53ao51rV1MeLHzQJyS0bz477hCe9WUdSNG8RN1yLnKjmVqWvODVYdSMdFSX 3ne1JSrgxHdYzgsDMK+mifuTcKu95CdTT6XeVX1x7hpyZKmdmckFpM4KkPb9BiaKN2hyxuHXk8HT aMALrPV96gJ0IX8C2INB0Hz/eiqn3aw+tb0od4JrksHpCyP7uvVO1uAcLk6a01Dk6SrnHRx6/JDD X5nKzWAI8/pqSkCaY5HxpId0Z5IMa7YoE0jH1p2amm9n+nJSlz6/xMeS+9wDCewjo+wSCPEQ/sTA wJ8KgkFW27Zk3aE/Nq0zTF3wlu9ZY7eDwmccNnV7PXXqbSXfUl6AAkEKGtOviiwPzR77VohS/X9/ gXtNgXbClBU7rftwp3yuzt9vraPltQSzlxMLcO5PipT92GJkj7YEeT4N8JEIZ48jOjTjG3Z1QwXW dLKQ7zYB6i20zKrFrxpu7F8t4hfUZbJgFG06Ph5B8Yn6XVoYs4nosfBkv07RqUn6KAGbfvWmxum/ ybqEz0Guqx2LUWy9C43G4bQBkrqM1HcCys5iUqj3QOHsF9IWCWRzRZylUYigZVfE3yJRmQF4E4Zz Gk5+dEouwhYXXcNJDxUZamwAi9nLlQTVHuBEDTuNsaewe8H5NUywuIj95ktAfYhbBXihklXzDJeC pGi+PJFOjyn4PrFp+7tc139ThN0DxHI5BDFTJuUpSt9kUKCw+j+fUhR9kolIWNxWKbZbyO/9GwiA fg6FeUSEOcbBCphc2qaYJ+EUB9uFPadQSiZ0JicLnBZZn1rRuiwuhwXIpK8Nb3UrSahayV9g9Pex USDMjrOKeDlEgqWZxOMEOw+nz77ukarUKb6gjJkMc/FTgx+B9mbNB9Vc5wlOfmWCuXQlWTwHYdZi HTPzr+JS3qQ+yYLtzO82JlPsakZCGvF7YWMz/dk3MRS602uuaNKML4sTmI0nSI5LnSiEhJZzytGV xMZtHJhdMkAU5NwlPBzDf4iWYhPLmJovFUX3OJfcck1cv96zd7p4nsjQp/1F0KLNeThPn6YEotLS IAmqPvk8N775uFymp4OlXAYcphayZyoBdudO2nmv14IRIMLcADqJyPdOY1yua5HOJP5P9Ji8SisL dwPTskHgbQXO9REzAo2Ump6x3tyuJAwLcNjY0SKKNNq+feFsZ/oIwIp7k3Ltko7PXwNbyZwR/iwr HO/+Myt9+Wb8zqejKL4VAV8DtWhRwW5WbfyIAUKHJktRWlWLqiqL5IoVTuHQiDnqFU8WdPlN8BlY WiMHT6hbnyaiHZnbA/J0+CEmhBLPJkn/SEbNw5RPnd92yXAPfCirh1WHPPw6OqO+hkg+crTbXLeg FX3oF1gF+B1TaIrEFLkVsaCVKHdQS56s60qtyi2FO64A4/Yvh3FCbDJlHI+hgW2s1lh5vy4QSeQ5 gaN+aI/TUYo7RTZG1dMbYkOrEEq50rBJzUNoFN/HtdSST/YUjdUOIaph7Q70vfLnt7UV6kA77cd5 WdtaHyBUHE4DUX6zWWdgUGLbV7Sh7zJYv6MS/rFwaWckCaBecchEH1GbAL2YHaNXDfinHV/OzwZa TuPpYITd6JB6jYlWRzdDHn6yw5TvpHObQwB5YwRlhhfkIiq1l5cA7LujXJlqO2NBdtkF8kBdTIlx Y9Wb3Fvc1LRLBp7ScB1+GcO+t6Lun8UglfAU+UuqBSy+hHJ/cvZQyJGn83tuiTHjLvzW1SrEpAqS mD7mcdKSUfkduVJGYU1MmK/LE2RUT1Hj7ghFPlw+W9TIkXX7h59waOGn0RKap+3sNex5IrzXLGo0 +u6GbB3uX7by/K4znbIb5cFm3cu+skCPykcY0wkqx3ZdnwyDbVH3+1DoWazX+HUTrjlrDkLozTu+ EDUa+iVT13Kq+LDWILjSqnqTcACQOnikkwFjIFBzVghJpmD4oXnT/kSNfSFLsJZOjDOHLc2TfwSC nZWQatQ1IriSASU9DnTfZAgIAcpIyI6/3NAyyeqeNeGFr1UC1M9d6vpMchfzBc7n9FizIvypEOdo iMrmtqPOOway6tdaOdf/QW3lKe5HcbjLu+zAqrKZ7dv8ohsIJAx5YT+If6Fgmne/nV3UtV7qWPxw qYOWxM0NfNplo7jX0JMQN4XlRhhlmVsrWEwwHthMtlbUioC6pmYWmrpKaFHn5P0Tandc6dHfpzrN gJ8m/UcSZWPil3/f7anjBEwfq4fZDl7ptJW09JKNyrYXqjoQUH9M/nWKpkoVvbhceCZNwkI46Cnb fAqXNbdX+0wAX+oaFKBPr9QjCYXnnaOUQb7v6KsZYh7WGeAV0daaOsuuOECVm0IJyG7zK990+zND cQCelMivtury0BbyJCPRfj7jegnhENcVZXfq0/rSZztyluumzi5RLCNHJ9qq8P4r/sAobNSmuKn6 CO2U/ptbKNFDHRhM0Dk1g8R3tEMearw9jKcdwpqs7IFXrDmrejNmqAMZqnMNNLjr2PKBzuMQXw01 EjBQ6sYBj2WZdf/pVEEySqV3g+dUnco+wJTaOhPWtaw/KmccMgDslQEI2+lvjbRUHsVZqgR50HEX zwyLYEd4DnbBXSxS/zv0ibXAAb0uLeDB6pUq157YyA8wpyUwlKmUeHoKGcDnJaFRRa8OqeOkQ5df Wo/TBHxUvMyZGiQ+WHiy0ky+ErwGUrW8iKc/yMQI0ZAFXM9jYP+2L241puVlEw2TgyOtFLJiaEJb cDv0FJJunUIStiSTtbA/dhBPZvuT5+cvAHANcXZdNvF3YOl8Vo/SK8mv3uFfDSa1KVZ3eIXafWHP z1gyKXmurxJxgzgpIdt/9D7FkIqa697dGfQy0zgInvOq4zAg4OCAkVUO2uFuc2AwHgSdVfnNNLUO LjnwpIudSH+bAzrgZfT77vmA1ofR3UzFab1S/bENrvAl+T+lpRFYC0N7RKA+1gxcpaiEcJAKfKfO E/Ki4O03QVK5xVr408gBxjhKJNs8CRPocncis0RobK/3ZozvOVmhNsLeMoeLXw3w5A7CkrHJ9SsM exndG1bjoskSImhlf/nBXoldfmqQDm0ZPrZemTOFhQG88AIBXFJ68ddsUPmcECazASWAtVhJQCJe 2JMUiYnZzkwPpimgXcN6Qlkx9o7WyhoV7eOmTZQJQ5Wgj1Elsp1FBtwaUEsjvBfkWfryY9c9+Huc OMuDggPCWfKrYKvYiHU8yUr2EvL2rnxgOz4IRGKiM9TCDqnQdelcvpepGGxx/MgHgyPFA7tAzd8I u26l+NcUGM1jdh6sNCBrfacFvBpPNGIxuOhi3e0Nz8IFBV6LKE8SUewCYZJgUYPscrOGDj8KdLTj qZlOxInwSRQSccwX1Zk6I7u7kTws8FajSVeOFHl42W+LjhHtuw5smSUW2L3nb1lQHRSK+K1spN8Z rKzDWLkqtIBeJzqkYWcQKMoNrRfDqP9oWsX86hxt241jup97c1apJ8KHHFRzowAAADWTjVGaPBut bHYF6FJDj5Loi9mLj988Pj0rgunJn0iFLxaDoo7OgsxyT8SmvYKBxQJc1T/6h4e9jCEj9gtaUdWO pyzSPMmmEEB9wd7HRG/gT3IteQnmSizvBUnc1f4vAW8zpPyc1lwR43ao6vwufXApK9M/jE2CuC2X wY/JeuG52rgjfZeQcIq7UnBQRfKuZ717eJnEX86s9LSWB2ntyyo1bO5tjUqfWxjCnOHVnHI9daZW M1d+RcfYRFuOSCMKExEK7Ub6z7Im/8bsnm0x3fv+S+oF0TwIelJKBowObvj6QJAjx6GAERh9pTt3 N2YhPLIt8UUCYOQq568OpV50JlVjk6Xz0vSD3Pf2TUVShasMj8jnsjG2IuW8TZp8ZB5+K5r3YJJG IdTAH0e1o86EiyTQ/IyqU3NnGHVF6jbTw7bARVIDhLJPHmp1gfDio6wB7WK5dL1mw7G696aiTMTh K78mKNtfu+VsqUlDFoHr4G+R6aw9VNgX+IIdFFj8H7+GMUx08kOtpJVDUeGf3da25hgW7rUEs5pz oAcdLnYDnMLvaZHa38SFH3w3GMiUk2hAQ2ZMoVR+PrD13WraVHA8bn3SQtEzzGDXFevIm5cOn9tS qvXejslUz/bWN6e5M1ftkUHDg9fOHM16+37rv1Vxy0HTsi60DV2vr2J+0pS3nyBy3v17t8KIMXVG MljuvxBb0oB3kB8Md6yF54HI9v3t3ETo9HVin2otnnCyf6UWI/tjNm7I+JcByoW5JAlJh0WguABk nyq8YSJTFAdWlAZfuLMfOoy7xTHZZkRjFqZ64ewwH34R6uAS6NfmkT0XEa/NfeRuYEQbe6YKBsaU r46DhO3tGbpjrUwF/RMsDE8/tzLkhuASidq8Y0NXPoMvKDnA5lXVYtgEeeQiFL68sAkcEFpE4a06 682Z4m/8fFVYLGKFRO4W6RnyAx49iaxVtgi8lxdKiOfs2Mp+prtuGoi0uvZ5hMxokWFWv7hhbTPW +6KVd2WxIXLqyevb4Ji2jIuY0QJ2QqTXJ4HpKPdPqtkQRJLZfaSq6x4vXTWRvwWjOiT/Z+08mwyP Rax4eEfPydVsqyNxsZk9TmoAyIAZF7ABc1lkQpPCUSh8YJGIeZayrd5M+eLfRfrMXVWNxtre+5q0 NJdTOse1FNQTLTzOwMTrQXC5ES+dUvctbFGqOxQR5dv/N0bgCgFEjIQHbJy9yGBS3RFsvJSyH1Qi 5o7JOVxOCEd7iadxHtaoGui48yDPj0IAAAriO+n92VLS2Kuf10vpCYGE/0HE8D3iaXdYXBPbxam6 WWdum3ENiI0364Vtu41Z4tPJRNYvLkVY60csb716hB/eBIYNj6kfjWlFkl9OVYudiv0Pn52EjdLr KOY9k3gm22G1ci+j0QJUMiHKxv4GnFNT8FiCSe+34fiaWKaPoPuUlR09cpshuEP7VHhNvgMx+iVu e/ef0gKw+12QLjA+pmLCXW89iK07zh8o2zSNZWuEbQXdq43oatDtfN3X4D8X2+xciaMV4CToRyQG VnmrcmMqO7FR1EQ1uVpFqK0lxRe06yp6YiNEO/UPaxCdT5XTM6Hea2Ibvwvzf00olGa3+gHU4AJS Q5CexpQeK6SLcrqbl2JJ4fjhBb2qVSK3JtYuHJx7gxpR7+aJPtTaHXqAYvu36Mzpx39FSK6H5y9R 8+9S1/zPGrQx7g9LaB6aRxFw6rsM185Dr/NIl8MYpH4GCWkGtH+mOa/9ztint3Ngi6ivAoOMaHzP zPFaKbvKN2Wh4UZ9TLXMqv1NvBz2dusENZDDWQlcSREaRO1WFUeCPADavJsOHmvK9vnzoL74246k 2bo3qYZvCaCeZus3URETcmY1JHFZ36hRPcCSXp691DLIxSN7eV4QtzdKfB4uhI/Lajv4oAGE8HD3 of0AGa1/j4cPCj8GHZx/MczJDJI+DMuVYuKLme+4to9ajxHaTOpDPCc+Ot5ajhWT6IEnilI6O8Ou FoBmbjoe40CFOVILuGYgLV4K14EtadDUrCnouDSaFybL5tCcJPeqTrTxi2t+7T1mBTOc1ymeQWZd jh/+3Uvf2NkeWndHNfpKxBXjgwtYpC0rFzGQ5kNnSFly8O6DraqKko2yrZ55i5v809Dq6PzFAaWW uQJu6AUjVA76Qu0NDG8k0Ly6UqaddtKbkkgExBD5FhSlVwcmYNO02OyKSwRP8/6edTEepTk5ApGF b4hYZ/mR1voGJt8h9M0tzFoVI1x1GjT9INYi9LjObyoFe/k76aQkb53wulCrk1Dij1chTgEt/XIP V+913srXqWLP584sMv8C/YCEO0zRjZLJcu/AkPdXEg04S8lFe3sF3RQeEJI6RAH03gFxbgYFCYY7 DVLk1Ns39K7slK2X2KabToUZwI3+0k3D1VRxR5NflGKcM8+YnZRJAG8BPSmKZ2VANCkXIbpLm33s OcArTe2HPVJmWxBHXtJvNDh9Y60V48ZZ1dBPHPYRcVftxSYpGTWcqmYcK8TdfhzF80hSGgwHZZ3v iLP0VtQ3EgmZZyhdYFWkgCpB8TVV7K26fiZ8qy0C+vY7N7nPJfXOv44YXvaaoltZPOO/lxtUx2it 0tcMCGAh2kFLNdxmRDSsQ/Jvn2yhbw7+eS6JnPx9/x4x/bs/ROXkcoYYs3aAfoHLm+hstYZgbzxy aDeJkl147ML4fsSdZy8gyKFr9t/O3asX337Ki9wxfF38PiMIdaPlT4reMeG9UlEK/tyQ3CNlXhj0 Wm++7XUZMjgn8aeCdnTD8g/3NREeZ9mtW9XQiFFgrS2kNJiBZuDtCuqtt4zEqJRfkExq+XNxKHJH gh7HMl/08x6M1qSFoX1mVq7IsKLsTjRO1p1R3/B9qiy75jDUejr9yRHqmebjqZ2H71JD/VWFecUG MigZrIR2YUTbaRTv9dQwXKng5aUNw30xav/3sJAcwho5Ayqo+PSN7JlXI38IMEC7ms2kqP+vmeJ9 SCEguAvLUrVUljqqb+8ySLSTBHX5RMLYU5f+T1wRw6SCgsYciKvYHQs+tdMwjJlif6Muo4iJrmFt CMwATQAAXYSoOULX43UqzpDsOAPHPd/oVTgslejxZCZkQPWqzpvzun+vKeFTdKaLEOj6vnl2qIqb y1S0n3Jx1OydxkMzwUmXLMYcaKeIej+Yf05V/wbJ4x7WAMai/LIpA1hSFV0Ci8bVkn3n/vgkZPwz u04/P79/lFbHEx71CIQzRxE11WIyXXKQXarzvK4+jEXo8szzvinyemkT7QHUCiuglzGhxALRCfqT XvwQGmyc0w6UMG5w2RsQYv7zxHIlhQyvyGYDREAZ+8XZqwOGdhkJ7HHHYoRecku6iIciLblykEsQ NDerBlCo3Qtre+yxSEgK8+r0P65+W4sFTIThwj67vf6O4XA3ogjQMJUIN9x958OyRxwVgF/Xarwq Y58rErgds2+lVbP4P+hvkK735ag9lmQ+IcZO8y84YtbImpNFh16yE27t56TnMLQERYzOulprOSq8 Fft+3it0cZYN5whaX7MmQeKKPvIXN+4ojrhMLjwgDrOMrpQjg6/yZXdyc9DbiQbgLLjpAbtfRRGO Ova7hEb0NSJBZBVyKzaf9iHWoJFGVNEEwdrhIdCz5BuxkuGe0948wS/IYzLmq6ny1Y6qyUIBzBSe CEarL7YTVJUmMfnQn9EvOw+D2px2eZ8gRW4SviMebLKVwcwF2xcoQkkTCcIz+OiDt3BUy/H7NIav Z0CvqfQH/Q7xNINKei8HyfQlFk+tPJ5gQDMZ3KAifVpGAQwqQgJw0Br1ni9hDIfnvdgn0ydT0kf8 Ol1dh8IpW9hs+KIjpR67N6q3Sr/0SaDaWmZqRPVXKUo7LdGLV0VqyfhR/J8WHEXzbbrINs8LQKt2 CqiljFo7/dIGRZllFoJ1843SaZKkt+ffZv2vZo6nghmUGXvUvAdfMzb87Bv/k2LKcA/6PuqmXSJI zxOVnmuEtrY1xIxyPqxsfwMvnkdOyQ32KXfeQuK5YxlecYIh0l58zxqDGyJJMVQLjYSXU76Ap/UJ I2hcyUbaU6Y6j1b3wYse1lwV4DJ7IGYyBTXGnQm3DAkDShIQ9l8SKk9of6lqfEZW+5BaPWQrANM3 hx+PCmb/kTGBFJhGDXa7XDM58yos/fbltUKQBIwSWy53Kt1lf1XrnXM+2HORUTIf2e/dxp4sIlw1 pRitggIbaq1XnGCW5wLTleBUT0QcNx3Cvt/5h+BpiP7ttPwLVvObU8P9LmA3tlxi8N2VivDlCH1S tAXbd2sqT37I24WmPnHD4vUzo7qO/bPUO+Mi+0UAKFIMsyy1udPpQMTHid3IkgHgMQXFalkpZDIk mmfgIoH1Q3wxuvvyjnmrI/AQSVAU3ADpy6mrhXCxFlCh3Ox2pCvimimTN8qkTydnILdBECGX4fnV Ng4uI8unSka+abV65xAonB2wZh6DihPC7TXr290mzYz8DsPBW24fSQO0O9Qf9tdWocP+NwOxK5Gv XEdgs4TtBmdar4kuIU6FgFZa+D+/iYNXyE6PRQTif8Vf0mMvV1WSgqmwhL1RYSczzsmGM/cRL+LB DAvC0kURlC1/xlDHuNgr9Iu7XZzv7Msp6rbDA8UpRdWgsDhVGCmV/yqh8Z+KYXLhylzHoT/4BkJW lvHSO1U+iHl4hXSlNCYrBCZRY7wR9ZbFinIrDDDqWf+6XNElMF8I2mlcVjJSCkqJsDQin1ghWq+4 P8yPdTGxlgnmhjKckqh06kLFHkUiMDgBTI5zRE5PZL0ChvQDU9/frYtmHBkTEO+XLa0WtTTlO8RO vtifFsPzdDb/414LRI8N4DpJ8NHgyr+DGxVG55YCCh9ppDwywQhVBkDa7QYs7VOdz5/hvMxJ1r3i bV3djTdFSIpHXNhVN+gyrPajP1/iZxWOfFRDhj1+N4lJEU1ICZUF5T1Qn+5xQdeINc10M3P0I1/s 6aR6gTtlF2diBrHc7pWrSzDF5/TddnimFrvbApDs+07Z4n/xmXC6XFiou0PXsyQXOCB5ViPW7IPK t1Sufb+aboGic1FWgTYwwFaioZARmR+rTv1k0q70R8A+xqSY2uBpsByGs9IwPG1TpU2GTvWrh36t XSaJMXLcdtiFhisfTdzeiWlYvNS5jiGKmb9sQ75AHC7e03fXhNdOOmxex3/Van2FPIxJI9sj4l7v KBFBdlyRUhRLmyI8Csuby3jMbizONrtKFlU40924RzJiHUoc+e7DpsG7tncN75GPw6U3jIS1sKRm ibQI6SCiciDPtFsWbm7s/RjEidbFhHC6EW11et0VOWSeQQvwQJmbWgas7cd8G+SVC1Ui5AvVWSrc SJ6QEcsBsOzq/In6WCznG82hlZuSKCDBZx0ZLM6fHqBd/Xh7pRTWnThLbV36OyMStvuthx10Wvsc TIphBdrGUCDCsYFuF30FmvbGOMMD2K/7XaWT/cbeaqv6sAVnyd+Lft/1idfXiYCDUssB1GP+kESJ /KqelHlTb8qMxVPVmsPy2y6mBeIp08vWiWEfAlmLiaY2ynkx3SFv7tDdloCmChcBBd/UfMzSziiv RxlNXSKGPk2XInRZfL22/h0ik25HllFFhjds3x8L3P15u56ubV8zLlgkiWRYvI7pwXxwcSSQu7mj HQSzeEFYuEifbEg/2ycaxuBHZPwkpGJVHYbMXa5E1D6q5+6U/NNP52vEQCaJ1jwDN2j5i38wjeBz 5w6ladc0lJSHRheh6sGtapQooWKjc5oOJ78UzNydDgdK+WomLilqLDouzGypluEGq6w7eSaPWJIY 9P2puPUBL5AYPVQjNUUNrOFoIgoH/C93LaPlLX8Z3PZlwhZ6nCuzPzMB4WPi5S6wlRzrI5Y/blZu jqKhvY9IG6wxhp8KVVR7KmSTJvgLPzsYFHayoDPXRNzwsuJrytI+9FxgXYuVzaiuZsEfQfReIlbI 5r1uE3mdf8tArMBUNKGIc+DZP4sMxRmGbRTRjFjF/QepCtfCusH2U/QZv0N9vGuCf4QCgyEzJE2a ojL5uMf2bKrMxbDpLTSA2NEK6Zw5q2jeKoD6SsPkigJ3Z/l8XRR7PRuB2xu+6wYEsFePeaZQRfdT 7fFvCHJkho6ygaRSkVRVNaQL9GgJ732PpEL4pmt9NL+j5QI1DV2Nq4vOOv++FlKRJw47OzW+2Ay2 qUoZkX2mMr+VHF01Ws/aQZqjEgQAljlSmJoUxYh/T6AWFpTB+P5tNzqi7rEnwKV5EuHuZ0A7Jkj5 0IuR3Ez78Z4oZDtasDmsG5I5rhbNnFW/H7ivauwJftsT5a4itZFS7Iv9zlavK0RzGWT6sgMDrnBv +Vk2M45uGOY2sK8F7b/y5Omab44ICbPZBlURWW9hkfGGhjJa9QjzkLIGUoMF6Sn5K40RM27ROhyP c58r7YTbHGJ52DDOnADqRkzsC5sVrN4/8emupy5YghGVVm6pJGZVbrbnM4MK3yel+Jahz6C+KR/G 0wcdnKf1CUpMgHPnp5G+R4BCfsAMWdQiOlT+2CKRFEZfUANnnDsK+SMr3TSqn0Rb7voyBEyVUtKj NnqN0UCP+ZA4F9krHNvkZGb9ZrEsPNzV/rcb6M/0FFNCJoZlbmPO36JfklF5tp9gWD/ndPGlqp2X K+CbIuaszAldUNVfRiuc6fwx4ajAbvkgE6YvK8KEPjlZZbyAl2Z6t9x1qDHmf49ENeU8q2xwMi1k 0d9aOX967BJJAbT22te2OvGuVT3x2S9Uhz1ykcz8KTs078hWu0G6u/351WE8QmuxgIBanpvdr1zg bmq/U4v5E3OQs88gkCJkT7OvlOIqWbUtSTOTI9gptgaC52LYo1NXCjZ22e/fs7sf7+uv0i3MiO8Z oXMbUQ735bCbrM6YG1kYlN5UDTXxvqitvYRYCsu3fQ6ofDV+XUZvYZHyK14TcKDsQMzSkmtnW6kq 1oIvrbi899Ub4YqM0RXvskEVhKZ+GAfK1/iYB7faV+7vinW5hHO11HIVCT+i1HwzEWa6T9NJft6G vWkCcGYP6b1CLx7ke0XIXXVlvstd1c63rwBa0OsQ06DJCjQKeyKGFX+fdCz3MWdOTzcDj5S2I1MT 95e1apH/AH4rdTvnBcv3RI2mTkUe6j6pVZQK8kLWUUZ/MEY+tw00eaNivGbr/2Xq/ENAI2peewWB nBujq7foqjyQ6JT1y0V3keIPHtMiCJLrzw/FXOq7eMX5a16r1L2UofDbTss0Db/f8zwPirSkYHPH wulTpNNgpbj8CYgMGkmIQyynTrVKjyYyFiH4I0ldVr9NrPm0MGWpoDfVMTfnx4+bdzdkYD7/kDkP v/dC/24TB8EX6mZGV4DilO2HblbnF1N4KfGUsGcugiTI3XJBeXy6mnk4P2r7e5lsSmxnlGoORb+Z sZSbeLzZaN2qD4EqyDMbCP5NEJUhox1nDYdYPOZlsIo0D7+hiZtJDbCORbd8g8oo1egELgIpNIu4 DBRYg0fKMuGTdK6YELbZM3mD2ABvI+6CTKKmuaHJ66Svn9qQDO7BgezjdxUt6bkR0X67PO29TK4g Q23I5v3ReYtMff2c6DyMYvgMk8T2tvCH8Bg6OCsQ5IvCas5RkGgapoPNet5Y4dCu6yJiXOPIdlN5 +8k+K8yNG19LbztMVYfRobQYqYAw/AhUWYOGGgkQTPIxsvgXyyJqLrbt4endV91rULOQRBORLWEC rrW/byJs3NgTtLtK3u0Oeym19HaOFDwDLnkI+kVBB+ITKCq0kuJBR/F0IW5Ft8h06yQMNCOxi6Ko lUtll/RSBzVtRTd96MHvf4m2XoHPTLf6/5D2QHGLGpHMw4uIW+2yPt1T0xDFI3R7zxRG4O4vhJNr JA5IVmB0lOhr3Cr9jGiEb9A2UTa3AW8pHtrRxeaPPNKc1QoBbkh/IKJlvFYnZ1G9VHdp99edrRV2 9WFGQrX6HfM8yGbCWYw+mtRUwFBGmPHPdDrmyHVbAv2Sttyq5UZBcfj05T3ghQDkjsmy7eislfMu nxDst+ukzL5t1OqvTlVHmdjLt7IOtdJcn+yTJ6EoAxl7a/OwVBdwXKS1W6x2YYc39eg/PNkLYrl/ 22662sgR8XjrGZRGDKEi+QUjiNXQaQcgExyS0Vl6XZeiKEzzehgYGgoJt6vPWKPKe5Nv/lrUXL80 q6c5o48DnJwVud3fhLSeWId6ep2ja2P3KImsZF4dclO8QqJtOjseGIz9Fmr8uIgIUkijOJghX/Vc wvJTS8814SxGIXR9AsG9dZl6j5ET+pzGwW0q2JhXW9SnpC82LS3OrZIHtZoRhBbeaMCObFn05/U+ al6HJglBL+9m4V9zh5iLbWOw0pvNpAInW6Mls+LEYocw1dTGErW6TqdadcEixpBRryA/VaPyRZIL 4jIQ+qhCEd7OZyPjR7oG1HJ8328VpUadNLFA0QXlGFRE8px+F15rrmwDLUyRG3vO/2QYDN4LffA1 kSvjH2AkYUx3dU6ULFEnQrPDjEjnNvffwGhrNS93UPQq+IloXmEkXgoaxXE4yF5jpIU9fPxqNiSR GgTLN+6FISA4V8qZOnIWDAe4gG1Spf7Pne/HrzdqVZLU9fyJu1Ka4sHcDRafpTG2PuiDhDOtw3dI 01m1qxIPABNZfFvYe38or9yJc/yGC7W3WgzP8e+g8UOi6tBR4AldyMjUUTABauKGr/cNscfpkIr4 O9TJDA47uQaVlhiV05Sv2g7Y2I1RvKah07UmczJugyaIhtRpimNJXfA/bxTZKh9iqwrx/nKzAfRD gpfBR97QJUqfRnv8bVOphfn2kQNm7in1/0FStNbKne0atQRJN0+r1noWhze9jGF1nuEluAGRtgnj NItXdNUdVxlnw5TIhPGIvu9cb2DSzkutcOTW+GHbldSfzmk25FwZO4ud7yeflaQ06BbXkm6Vvcfd 5F9Pu2FCMypApukIbiYypTztabMMOCNOD3LYCZvJD3FHdqvmakpnhrGdciRhlaXTVVn2yGCAkVH4 aPVp1BUsEdQHHPBuLHDmjs84caZMo8z0gdKtZvMW1WTSHfRuB7QNI1pC6wsP2Nw0Dw098v06YpXs tVM/HuyGxFiTJVa+rLlrgqjfoyn293FqzI+CmQ1g6vepCoNDRk1SYp5BrWs5hz7Z5u29h8Y0eHsV OPxWFbkJcCpnbqIe3XEwVN+cg4KfsIElcnSC4+gOc/gwjjfaM9MrJ5B07QRE/QbkiANZ8QOXSuCk GsB5Ilfk/VKz8jy3iRifxZyTnOTheuUVw0mC4vt83tC8rkS1cLa+7e5b5VyHeq/y8PRuLaF6voIW FNF4H36xVnsKCrFmaNLfnBUCeCiPtvIldYzWTf61afF9I+WAXHg8Hf8BgyKvtZhYl02anxRPgab6 +c4egFvJJLQmpYTF0txzPL1ar40AxITT8tQ6KcCynC4oFz+FwMiXnc1TF5BiU1xBiwJFUiUBP1ub KwFxAEDCQOG9sw5GeLUW1ykx9GjthZYUtuREyjggkcHivj+65B+VZtC+l7BO3/cxHhzcUXk/oynk 69QvgzY69FRhhsI/ZtZkT8mz1Y/bRP5rE5pCyfrl8iJjqBh1/lnzdJmiVEFRf91iUM/4RFUDqWDR QOH2ldlrE7KwmTWRpgW+Zuh5r2o3uXBZQR2CuNIOxPDPbuE4XmxI3qGN26lWMZ/ox6FY+LG7UudT fneKw1Yyqzl2Ng7qK/FeFKnncm29zCy0ohDA+zqq2T7SiYEjBOXeZ77ivIhH3bFNRSlrV980Pv5L tlqAdpr+h6JVLXBNSbLXgbAl0HStNmBGP2EcI7TzMx6tdQ6j6p2oM31H4ZH9UKHSIbw4wVYRrKZB m22dgyk2GYRciuUD1uQFNO9irzCixXbG5cdl3u82mLUJ8uqJtf0m+4O3Td4myvGJNxWxQrNvh+89 hy02/5PG2K4+pwVQpU0fnn7vwrrN4Dl0GbpTsodPl2ptDcCHJhXRUKuUNISvZHtRXp8kSkCG+8Os AfQzmKfg7VFZneB5hbp7TIZQjlEHy/bHuNvfzaL8hc+Bu64jo8/Qpv8WQIAT3Kor9bSbnWiWYRSZ FpUG0DeEnS3/+ksFu9HGM9Ow38nb4cI4PtbV1/mbSq6qC8gTqabn9UjVu5XlIzT3ggpIN6D+zBKz IJCdNcwyFuAxGgxPeI1k9bswq+NVcUaFJPQPByAAKw4aHRWPGIpBeonCIENCNsKG5WcWxjF08Td1 w2UKPMcBP4pPeYE+RASCmbAC/88nDD7CGmzZNyR2C1Bu9iFe3/q4ypVzi1FojlybkGlGti7+5lQ3 1KTIkNWvzPTKvYWj/VQxkNBGD7TmormXmSdQiVXSqAdSbkp1jMJAJG771sUJtXg8QOfRJzspubtf 0KPcyqS/7hMpN7Sgkgn0H94WqAktguUGum73d9W58Pq1JyXxxKuRnUvYKx+D+rra+FCqaDJF1Ure Dswxy1xla3/juWmAVr/XTBA2JxWey7fQIrcwhX0edKU8iyYXzdQYTXDekjbowRj02fU9c/f4q4P+ DRye4B7lftchp+ZYyP90mDJeQ1Fx1jI2dDN0l2ZCui6Wb+rtzWLhLguPplXTwL7w5QBNmdyXdk4n 4mzK/5SFJnKVxhK41cm2Y6yEIkEL7vbwYRJu/Q5aKGTeuDaOvobEtOkfbDZD3gf8N+rIby3Bw8en mhy4TKaSH2AR3RKAS7k+yuyLtsiruAASDFyEGbXMwjbatG8qTbNVpvdQIgeB0Zb2PExwZQ8p+tvQ z56y5qf3iCD8uZCsAOElJGtOJ9aZsSKQO03drIB2Qk8ndHeFr8XaQlWFJKk6YjVQaWwcLbrEQJN/ ULJAwL23iQg767wPSU2dB/eJRjIgfpwHwuGRAoC3YbIUTw/NiftfYBc83R0YPlpmtDjPrk8OeXt3 rn072CgBPCwIUjkjXPyPtm89WTL6YWi7FkkT8DoYV6VxLcWgyL8P8h4ob08DqtEIhrinylXCOOmS mBBrj4rJ0tXGSdPT+PCNO8v6nTme2F+XUZuMjkqNU+izifeU98mRbDSUKz49cvAUSP7DCJGmh/rf RJInNZm43PewihH/FlzDx4XQy39ZaAdjvcTKYMhgP6p7Q4Qz4tTiHwT15/75zvSjEsZEdtzP4cJY 1Klpie832uZiPxf4bv9y49EUEiK3N3MCS/7k7pzEbxc6B+6GJ7D2sHhhfZDk+4OyAE7maIwB67XL GftoQ5wlolvi4addS/4WZ0kRYEe9HDBG2K/bqJXmJJ2TxFR/1k63/boPzBqygOJqNX7Xr7rFDWgn 0uF0WGyHsjYOsm1Vr95EISpaTSX2Zo89qCplG69OSd13jt0Am2vzI/JJjoLNpDdd+FmYQ1SvsHAp rpVSA5cw9PPzzC25ew3h7jMk0tbydIOYQLsHjm6xLwF2n/9v2tDQ59oPfCocQQgL0/hpX5V65qyf PkLDCFxtriGhch0QtDA7HrUZajJgnVyyu9TFt/7lGioZ+K+jc3f2NuB1VSOf7Fyk/KJ1G5Ov0MBL WIFfpygUgsbevCLyl4ucS3wDpxjMqOEgKj0zSDXsv0m4GrtkN7x4Czzrl9L36PDOWiUu3hW39ggT 9i7fOh4iEfUsmkfhwBBiEWc6hAadvVMdbn36fwdL+Y/WENjzWmIJKT5c4cOFAPvhXAFvDl5hky1M eJtlXwVCJczqHBP7bBpklQa136mxReUQd4kqiyBu6I/1BXvE0jh2q1OKy11xtY3ScDeAPj6GnQS1 BJeH1FjL+4oo14Uol664z99PytZCszIyEVUQ+0yh/51RLoOcdvT0uvGozQ8zZrzXb1MsFgSN4sU/ /sCdPIfEbxDkD1n7ksmxeVHcPHl2tCTTneq4oTQJam6d6flFUiO2t9kqo8AKxl+uI01eNybLIc6h fzzsfQqY/upD0AhP1Yvd/Df+KXdnMoe+rFwAoQol+1YRceR9Jl/dnxrr3croYYeXvIDliS8HasPM YJu8q2bAcN+Te6T7O0i28rdXHMD20SK07ODZpwb6RDB4TVhi2Yo4+cKvwQaSTkaIGR9GtHhVTR6N tDRew3S656ms8eMvCLV4Q8LyAyJZXna9on1C2FL/Geq+hsyUqhwYq0koTcHKX07Mw7jFJ1VWd366 9HVas1kZ8GRwg3dO7IAgqvd7csRVMwW8tbDj3uMfDSTWJAKWLeYqpI0tUBBdnwur3vUDKbCJlkNY hqqNMJdvcEuSGCMqVYLYbu2TFx0FA2eeFkl51J31QfbzSDfrfkLCbzGnmmbMUG4gCQFHyILld5ix d6qQxX0yGCX6GBfJPFR3IUWrPQgOSgDRqpPj/LLyZbzBRI/2WePuTeolAfgbkVCiSCCCljqst0UT xDCxcHOykf+zT3bVEaY/8EtlSX/gfbdG4PNUEA7PHZafTP89xxROxKOGZtOMV07TGfKjpYNhQKIu iQcf0n/72emDPJDgI13TUKIK1iG4uPCq189dLb8BpyOVFzmO4WbDIeV3N5CtGMxqTSk9UIx0paBi Z/BXa84olkEzQRYFGce/c8X51lLbOscF5MmgxFY4fuIOuGftEaP9AZtcaD63hZX2prm4tGVSFNjz ZcgHqG3P2xYFAKWHAp9ncsVGda6PxaF8zhLC2d63dS+wfwn93kiYxrXIt7JrMDdzvQDWOqiosMDS f5klDkQP9ZsdV4e1bJho7ZE5oV65HDm5o+4w8qCCCAzhZSorWRyrGvbqqMN36f7bGMqmsfalDIKN VrIP1znwbupWcltbBvTttL4vFdvTUtIkOwbvD9JPSIDZKgen3ziM71WhpqiWrPotqHAZjKthlJNW CXn6Y5v6JRVLDnMlYnZ54uArM8V5pKVNc5AmuJwaBDhIgE9tsT/tyTiROtLqzpzAwLPbCd7M5HGm DZ6PIq4Fj6fZToR7hBMj++U+8iKIrM61lyX0nw+DecOn3GFeiheG/1SoXL7wrJwfHWBFAKUXMap9 Ljvfp8VFt8RAWO2eESxemVULl1qUlEAuqa0pxCipxRc2dk89/SqkiYQqXB26fDsIK+/v/kSIqD/L uvKWMyFnhePEu9OxktPhz3tpPRN0sdyrPtvaE8rH6wnmv2vhurxRT60x6cqV1MB1KH4DXR+rCV0G N3JfuveYQEKtzTKyrvew+/KuRUkruOjioHmPv54NGO8KqplApjVZ8VNryye2TeX6bpemCWtyfYec iHFK5eRc/L9Y1Wt9nwN6l4PfChmhics1mRCoF9C3qPeZBnW27m9Hpcg9q0lAlwddkIzuyo90Xb+E GFRU4Yb/AibbYJtjiUUwKun33KkeE7VGGozwwE+htlCNuwAw8DyMd9LgDUP2+SjZL/gINq3CHr+s qk9pD73+myTAVD0rpErR41jZQdJJc7YSl5vjwzhIUlosyUpSHI/lO+YBKOS09/pWxz7QgjsqeGiL VJEPfUxzyrMbKP6gBdoICkPkFiKL9GlUnAFLHFkV3G2WtwSsbxXfp8AON2tfzpS00LENJvtH3Wo2 uW4cq088Ly7P1Gk+CNJG7q9vxofclweyuWWkHse5mfB/zsHEL5V1c7MzrURylE9Wy11vjY+M6ntM 0ViRdwWYbxTBt/S3y1BXgLPTcVqBE/85pfrIL6I6VQxn9oZQ/fsZK1xdvVeIy82DGnbgc1oHjTnS viDGRVbfIFL+Ohh+CMSgTS61LclpUZUYOPzq2k/q7zahGbpgACwws1iEqjJ5HQewwGPpI2M44aj8 hG54JtYXMJ6/xIcCo9tm7M5RaO533uZDsV/e7PP5CGwCMhdiOToZDPEkBhsjfHNSnbmUgkq8Uw7x 8vd82Q68xvMvITT9E9Pw4pTd3GEsz9lySyqgYwjMeKV4R3khgmVFnTXgLbTc+QxsvH4Am1qErWJH WnFiwj0+8/v3msNoqsI9sOwFsA5mVZcSKY3t6vpnw1CKEazK77m/8Mmzbnvf+N5olMosW5vSUV/b mq6DSiWTgyzbFbEQZTjrltX3IMOz8IP6ldMD77Ob1CjCXnpGJhXHp/s4LNJT2ZtA5y6OneSJczOr Y8CABUHe6UaebrXd+T/9SBiACZjnYKlH153s4upI+7bBt7I+mRBozDbuGU1DAe8INtk0Qd+I9YgW uL6e731E1OgI2Q2jORAbN8hUandxMuDcCuffRQ6pZ6ZgTAldxYLqYwD4cC2v0wuTqrobfMdIA0pn ZUlAQ2Udwn6GldNe+2W8QoqrRIs2bvCZTBJbYmfMF1hpBqFmGCAloy47AFkpybWLDV1VY/eTIx3J upGV5prIu6KmoHT0XcweoNYve3JMAQbAha4mjq1BnB6z083i0Lac4E5PyQgDX/f91OmiZkuydn4a QWNxbV348bh6salq7AFHIISpps6aI8x9xN1T24oV5mesrfS/a5QK+yKQkk+ZGJHxhlKzsdUw7r2X QkwKpK5JOM4XagMTV4SxXi1G424/QYJn4lwS4ydM4wNspWhmtZMWTZgq8XeF/MB7knI/ij2uJSZc Y9cl99rgFSDfXH2rRR5GA8P1L+KxclGIPLAKtERoPnSyMnBRzf9eGY2UwoGWJ5PMB3OcqNBjP0sr FXupCK4aHTfm/OowZMoC48rkcwsU5cWZkp7YVzsX2Jy2oLWpbvXGQLCuv5HWVpG0Hbl/yJPqVefw OHpCsbRG/uzYKNkZRqn79WSc0l7B78/mHQvDMmRQq0czzaW6vvo1RyzqE/RAoUV2Pfzn2EPsgMTP g+J4I8WeKYqL9OVyER9LnQ1XBQTsOtsDv1kQgshgpcDxPNTCU+ctPIVQuPd+/2zJnSAUKntjn5E/ ama3o/KvTg8+WxjoBUDYzWHzDmiUE38dp/3NmZWvapKq29fAIZ+IP/VtnBybTz7gk+xNT41dPvEP LIci2uq3hIxNFf1JrNg7Wb9FKxpayuHJvBx3uUW15sPqY+wRhvJQVeRV8R1k+Q44sOzd9hx3nRkK 6UagPLKOfgdPW6AsQT/HbfdClTCdCZajZlIqVTt99A1K8qSr7FGTgOvBMtE7ob5ntlMSSHhhSKB+ /aXPHsytBtaWXVQMmVHRS9DOBaLrSo4gLkVe2Mlh2clNSx8E2DiLmWs3Xm8jBgDgrupU7zB00T/B 3OB4hlYI366ZoCkf3vMqxNmHZiOFkFFPen+GMLsDm9n/v/9DFc1CsRn10pqUf0iaHE55jcK/+lv7 3UDeY4kVArCPKEF386LXAKb0vyIxI/nsKItzWJIWAn9ql/kSyLtIOCYDim/gVpF7V80SwKntoB5G hZkOFDirOwdtwtoclnt9bQqKtJFZ6yV8/4IkDbsZIoRcuSZDFKpzxy1sBDhhFaNW1BJCnJoXe3BA o8nFb8q2oVndZJwlL6j50QGBwErDaep4xUKCXltnryMrgt/uby+kE9xA+69pmpoLML2mz0Kbo8Zr MbIaP6pPSiWZfeXj0EOSZKrdJ76ZIRuLdMRJAEEsJDI6b4QY8LBByIMI6lCdZYVnAxewRE3rIu22 mZGhij+s4syONG9kuPf53rvf+o48+Ony3DbWorZt4HO5JsYyzXATpAm/PiSM5RVOC1N/uEwTlHMo 4o/zZD6nqcHfYjYCklZy9xl1ryX4AFUW8KDhDl5S/xG1OvkQkazKg/5GY7XaY1CLDqp7GXow/gVq PFsrP4vVH5BErmpx0GR+5myZbrXKJx24PLnVgCX50SySkE4oTPkHVNzHG47KmoIeWXEm4YedRkod iUI+/GLDe6Gs1IAPvUzcRWSim+EAAwiIVXMsv025QVCupGe+6ikZM+XQPSx+VhTdNecNBfBGr7C4 qTZv7nVh+DMJpMW9fjmp6LipJ18DE/wDbMohtxXtJQ== `protect end_protected
gpl-2.0
7f3764a20ad0158dcbdb458db593ea1f
0.951828
1.81239
false
false
false
false
UVVM/UVVM_All
bitvis_vip_axilite/src/transaction_pkg.vhd
1
7,274
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library work; use work.axilite_bfm_pkg.all; --================================================================================================= --================================================================================================= --================================================================================================= package transaction_pkg is --=============================================================================================== -- t_operation -- - Bitvis defined BFM operations --=============================================================================================== type t_operation is ( -- UVVM common NO_OPERATION, AWAIT_COMPLETION, AWAIT_ANY_COMPLETION, ENABLE_LOG_MSG, DISABLE_LOG_MSG, FLUSH_COMMAND_QUEUE, FETCH_RESULT, INSERT_DELAY, TERMINATE_CURRENT_COMMAND, -- VVC local WRITE, READ, CHECK); constant C_VVC_CMD_DATA_MAX_LENGTH : natural := 256; constant C_VVC_CMD_ADDR_MAX_LENGTH : natural := 32; constant C_VVC_CMD_BYTE_ENABLE_MAX_LENGTH : natural := C_VVC_CMD_DATA_MAX_LENGTH/8; constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300; --========================================================================================== -- -- Transaction info types, constants and global signal -- --========================================================================================== -- Transaction status type t_transaction_status is (INACTIVE, IN_PROGRESS, FAILED, SUCCEEDED); constant C_TRANSACTION_STATUS_DEFAULT : t_transaction_status := INACTIVE; -- VVC Meta type t_vvc_meta is record msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); cmd_idx : integer; end record; constant C_VVC_META_DEFAULT : t_vvc_meta := ( msg => (others => ' '), cmd_idx => -1 ); -- Base transaction type t_base_transaction is record operation : t_operation; vvc_meta : t_vvc_meta; transaction_status : t_transaction_status; end record; constant C_BASE_TRANSACTION_SET_DEFAULT : t_base_transaction := ( operation => NO_OPERATION, vvc_meta => C_VVC_META_DEFAULT, transaction_status => C_TRANSACTION_STATUS_DEFAULT ); type t_arw_transaction is record operation : t_operation; arwaddr : unsigned(C_VVC_CMD_ADDR_MAX_LENGTH-1 downto 0); vvc_meta : t_vvc_meta; transaction_status : t_transaction_status; end record t_arw_transaction; constant C_ARW_TRANSACTION_DEFAULT : t_arw_transaction := ( operation => NO_OPERATION, arwaddr => (others=>'0'), vvc_meta => C_VVC_META_DEFAULT, transaction_status => C_TRANSACTION_STATUS_DEFAULT ); type t_w_transaction is record operation : t_operation; wdata : std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); wstrb : std_logic_vector(C_VVC_CMD_BYTE_ENABLE_MAX_LENGTH-1 downto 0); vvc_meta : t_vvc_meta; transaction_status : t_transaction_status; end record t_w_transaction; constant C_W_TRANSACTION_DEFAULT : t_w_transaction := ( operation => NO_OPERATION, wdata => (others=>'0'), wstrb => (others=>'0'), vvc_meta => C_VVC_META_DEFAULT, transaction_status => C_TRANSACTION_STATUS_DEFAULT ); type t_b_transaction is record operation : t_operation; vvc_meta : t_vvc_meta; transaction_status : t_transaction_status; end record t_b_transaction; constant C_B_TRANSACTION_DEFAULT : t_b_transaction := ( operation => NO_OPERATION, vvc_meta => C_VVC_META_DEFAULT, transaction_status => C_TRANSACTION_STATUS_DEFAULT ); type t_r_transaction is record operation : t_operation; rdata : std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); vvc_meta : t_vvc_meta; transaction_status : t_transaction_status; end record t_r_transaction; constant C_R_TRANSACTION_DEFAULT : t_r_transaction := ( operation => NO_OPERATION, rdata => (others=>'0'), vvc_meta => C_VVC_META_DEFAULT, transaction_status => C_TRANSACTION_STATUS_DEFAULT ); -- Transaction group type t_transaction_group is record bt_wr : t_base_transaction; bt_rd : t_base_transaction; st_aw : t_arw_transaction; st_w : t_w_transaction; st_b : t_b_transaction; st_ar : t_arw_transaction; st_r : t_r_transaction; end record; constant C_TRANSACTION_GROUP_DEFAULT : t_transaction_group := ( bt_wr => C_BASE_TRANSACTION_SET_DEFAULT, bt_rd => C_BASE_TRANSACTION_SET_DEFAULT, st_aw => C_ARW_TRANSACTION_DEFAULT, st_w => C_W_TRANSACTION_DEFAULT, st_b => C_B_TRANSACTION_DEFAULT, st_ar => C_ARW_TRANSACTION_DEFAULT, st_r => C_R_TRANSACTION_DEFAULT ); -- Global transaction info trigger signal type t_axilite_transaction_trigger_array is array (natural range <>) of std_logic; signal global_axilite_vvc_transaction_trigger : t_axilite_transaction_trigger_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => '0'); -- Type is defined as array to coincide with channel based VVCs type t_axilite_transaction_group_array is array (natural range <>) of t_transaction_group; -- Shared transaction info variable shared variable shared_axilite_vvc_transaction_info : t_axilite_transaction_group_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_TRANSACTION_GROUP_DEFAULT); end package transaction_pkg;
mit
609ffc0b2847d072f995599ea39e86c5
0.526945
4.457108
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_mult/fix_mult/fix_mult_qq.vhd
3
11,709
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block bTfm0rdJbwhamGRuqUSulYJcl2muDk6dJtUuSHlMC9ajTQIqjdPANg8JwCJiE9R/YKHZ3WW4ovVP pG44lzVV9w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hMi1sF4ig7FqPybiteus6W9QhZVCOo7tHQy0zmgxr1wi5jG6XLrMfoIF1pIGtB9LvjW5LvhTVMim psN28cHtx3sC9NnjbjZ1EWB//ZNr/4keVYTW1vlzWCxA7GoiX2iWJHaeAQ1h3Z93lJW29hdj1wSd 6JvaXc4Z0YyPZaQ1dk8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QCacLUDAaxo12ntIGN4OlUKSs6jhT2JRsm871cL8G7IDLtINS5JrrT17Pfb8PnhkVV2mj4z1M/WK OPD3LUF++bSmdUuk11m78H0vCNmgOugXe1btuTHkKlhroJXDgrRG9pe5h5q3A4Oz7OrQSnWsYPDO a3mc7p0ps4RMK/mKt2QYGBWQMqQY5isBKWgDiTBzh1jecwUjqecMXOXOjiSvgS4cW1sMBhRr3w95 sbaxcJlkXPC+Mh+dhYMgCCe0327NFkUpRgEalvXhDCQWae8rsW6Vv+l2AD54fAAangCIG2rp/IU2 /WcIgBjjC+RPyLYMmjnFrMm3W+RaviANFR65MQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block i11mDN8lrWKDTVhYSK0csXsDeJI2EXOv3I3WJ+aJ7TMOYl0vll2s5HeF3GlfzpEEhowC5Y4Bf8fJ gWxlIPsMJKQbhjvfD70p7OANVA0AROKX3U1/BQmTZYbXX7Jg3MNv1kdAT5xg+rtFXlAjfAFlrcST P1CSRBV0YOoDSbHNdEE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FjZ1bWC/PqeN0Uo/JJ6ISG7Iw13wkMjcsl5deCTECG1G6w7Po+KaaiAxq76XE4SADJ/Oml/UL5Ip 16vLchzuYaFqanbBJ5cyd5muN4CeGcpcpuPmjA+wdft1Yp3txYoXZ3xqv3uxLGP7hEO0CxXIa4kE ZMxopzEzKzV6Z525HwIcz+JgTqUiYKSohcJN8CW54OPHDpDTqm7ZHWHPZIgJhje/ChMOPs1te/cL 1lfwCfgHM8zFuqKXCgc+DEZb2Ve6oYsadkwTsD2d2WRPCs7j8VXSzM19mU9qj7fwxoY8AF48/IY1 DiSGV0oZVeltp1WwWAgxrY5a175hiMA90zeVjw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6928) `protect data_block LoNpH4dHesrj4L1Tz4A6qYEOMZ8SsTeX83epC+y7vUnLpY7mHET5zyGRZcj3m5zbdbKWlEOpIbzX DzvuiTwnXueymE/91HNIBzrNzefJosnb7qOgPWhqia5w8WiPGTbNbN/geKoX6wUigKVI7WBz8/6b Q3ox/LmkV0zg9kwZ5g1MG2T2rZmGRe0yblYUSOJyrCO64EEb2Lg71TkF2TbZ+HfCQbVyfjPMyy/M uuhPAMxU07zLBdnf4k6MwXG5KKY2QUvUvRAKMFV79kOWb5xfJZwL8K0pPd6ngf5KrlYYvZN1Wer9 SuxQdoNxMsoaqfMnvOGYLklMsB/b51pAvRy4BUpsmctVDX5r6tL5N16OyKkX+TZv6Q2XkUIQVqwy E9dEbap+xvrYOAHuTJmZihvRMD/xBkMFSFjpuyRRw+cUoBrMEnqSMDQ2x3TQ8kyf1o7LzFokjoVd G9r/BloBtdVBY3r1Yb7tNQ4+mFyf2iSD+KCeDbxoeICJ3yvi3i0S80dxzSIXhTFlyi1dCa3tTLkb qZHbVFIkGQ/PyCOBOlsYj/fTWxQcrLBqnyH8MOzIkC/6826duyNfOGIw7SFFC4L8f4lp+efqBph6 g3JU0KERl4LVLhy6pVeGWaJ983dWlkIN3bpG9oBSYHd9CJ1RsvG67ua+PbpVu4t+ncKM0KjqeKED n+einXIsA0LXx5MjJH3aUzBOBLBAWFGz3e3NgdTSedYPhlctIc2t0PptAWu/etssCDYhtjTn5VPD eISH5yw4vKDrlgwCBhgXNykMPskkpzOnbgfc1reU0NDzq4e39D78tZsSLy/5ewsruCpKZr0xY9/P oAOOIIReTt30z+POyQ4x50RkkRfluS+lceQRsJ9dqHebk3SqxUiD8R6cFjgpPzH+nYAwAGnMZPmQ XflvAH57DK8lZGRUpylqJIDl0HNuqhwDydWBRvXVS+upkh7+pBIJ+35+QmMgHdqNLUgo220wj4l6 v0Zy0bzyFraGQiebRvTaOR+pneCR+qOZCxHmhUPmLwIX8TMS8x1DtowGCzsM5mQUyHur3gAZnb2O igiAFRPxBhe5M3lw/oNbJ2w6GMo6NzWQWHIPec71xYnsHY2PUz/AuugjcKs4uRCa8MVbGpKNKss6 iJmJjABPb9UFV/JMR8w6FV9r45GECN9kh7aRNfVXUd57Lf2N9AuMQ2q1oqCNjfuI9KT3nYCHuMBg txmrdIxZTA1ZAnGpb/AaB4QyFOfcGH1CaWOcvKJaaVAtcsD5rAhyPO1pTevzDDDFC2s/JrGlWmu0 6x7ZvH+4Za1nF8qkAWYqA/xB7awwUuK5jrW/C5p7Nz8eZtZ8OPzwVSiXy2oVHMn8AOx2c49WkVM3 qAjZ2w/Ap4w0PojpLUsuaG2c8JL5C7wTWU/3jbmqtXCKYr2m1iYWXIYtvC3QdwqK1pElE8BaL64m DwFrGQfZzwG+Z9Do46X4m3pzpoTH/IJN020TP1vTAUXwKxRjMr6Jik5zoE/Xq3AarS0LiuVoXR+O T8X4xVAfr8gHmyH5bxT3eaFwz3Wb09OG6Ulcd3EWxU7ZVOhVIX5yQtvx4WaZcAosr3e2km/v1S/h GAGX/4Qvsx4uizgkGkMB8MHijSx432aglTLWwLt87UnUxk/C5AaonEyjiYKYul3YjEG8jS8NAVcP d/Tma/qWB+xXHlc9n+FiUXoEWNKYzIajZ5twq0RsyeAov2334Ih9jawsYD/Kqeyxs9YCM4/mQe0A ZcmLJPTxZtAsF8hARdXwTsPDGualVmAHP0ukQuUI58k9nWJPIy0FmC8e5oI0KrBJWUK1eM9jRbR2 VqE1EZWIRUmMlVsmCYwUWrsBvtZ+Trk/RVPAx/BjKkcSaKN8CbdDeWYUa0DFK+Yr0vqPAqLHKB2X l1WKeO5l2OGE09LqHg3+2mn4nxMkc10h834+BFbGv4GNYHWP2CzHsJ/dGuX3jhFp1Yhl85psmcd0 Ju83xdbuayQAfvDINTBhtiJ5xap6MwG7jNqXNp2P023fjRZQUTJvb2EAFCWPV32lw4pWcC12wfJ2 d7BRn2w1PYhkWn6TY44YLJemkB5zBtg2GXXSbPL5+1u6EbAd1JQs4tkZEZJI7Kx+Tqg1UJpnNQ4Z qAukQxetq781Bbs+2ZJCGGWouLqmpIldcVpA2AyJ0YQjG8FzYfHZp0UOB40ou7c6hOa4u5S96LEd tHnYFuRdXvhkSLODfjolM5eFQQGyKIskxDU/MQIb96HwNOuTtt+IdOHJUZNzqvRgTp5tMN0YR//X kcQ90k9YgmDu1+omNfQqWNsigkUIBfiOcNo1ZUF6/X5ysUFoxRm2VMe4OCxs9rCcG/51vfOdjAbg 0sltPSREEyPJTpRK7oqKSOLHurEA81RABBiAzjclY2H/JwTdTPfZxGJE6t8dnTKZEbEjqfSuV0Cx f1dSnFf82U1psbnXxdpv3uRZ4uVYZAytsnjhs6K/PP08M/n61f9iAQ/BkzavTcKZDVD633T9PG2J kWydXvvznWy55nxCbS9KOwhmdlOqeZALdD3OG12HIus/APjP0wDgoNm7sf1c8tqsjJNK9kYxkbSO V3Phj+5iVINRvFgM6qlGLX1Hdpx2DZKaAs2zDwxdm8CFuR5p8EEvOno2PxPsv5aswuXzaCVcMfyn LDx9mKPPAfB0K5f2vqcOSULktfJ9M4giTSI+RPNnI/Q8NfNhAkDM1cJufk7TOmKjdefIapmzSwzT 6Y3DkAJT5BMzF5V5ZkiC7jPZaQlkIGcREHpKhmZdzX8gjGmL0kdTkmxzPFyxkuC/ivL5Fc0S2qNF xDvQQDcXfag67N2bzQth8Hge8kPI1A4n4vRylrW+Gm9iXX8jqyv46aEocWGrVQeCKhZ/rCV+pahz ouBlNOt2BbrZEAtPVqXSx3eEAWJtF+Th+VyFU9vUI5Ed9ri67AXuvz9d3eJIt67tyQJDPiaIk54J grWSb8JydRXZY0i5gTDl5Rpbj8r/LFs0OX1f77X0XNRKDLDaw3xMrWr9IBK92srPifr2TMQ0IeT4 y04X8jw4LDEu2E1mMDD12/svQn0G02H3TXwhi4l6Qk/pS/D14c15HOQoTKEY9n3zKYgxopY7kmTA veQVo3QrLw5YRn0hf4RQWaWqfwTlDPhB2LS2j0C6/3bLQ4fP15wyVeZMOpMr9TNy2+xPWyi/eH6B uNJ/KYI+f3AefKw3bqqRGOifqDw1AU/7QyliAbi//kOmbrTauU/Ye7Pd3w+PETxwK8+/9dJZS7Xq /shRMEW02MpAznLXirYVSHJV7TS2sp8PCLYlt1QkLfE/f8/8NjAJdADzjNCZe9Q56ZWPWZjZ8K7z W0E1NamCNivrI++Q0X9l8K9oH7tYONdqeOAAfFH3fvohVli3TGxWrR/IhpsGUnkJYxSFwEjZZ+1P H7/K0HpOhdBl3SCvfkZTDlRg9cbHdA2Ap1zLUnNpd8PhsmYoR4+7IoHaAg7zrRTRDwF0BncpsNhR EK4UOC9fZ90OOtnNHVKoLk38ADdqmGREe27Je1yuc4ObWrGOMqw3DGoC07CCRVe4yyEYXSBSprrR u6Ld0IOORZEVhoVtuK18ECVStFboUCAyB30dA5Ac3TtOk2DrUwomyn41We0+De3NYa7yazzNbPrC U7TrSckgH+XLFer3Twjg3uOzXYx2DZ6nih/Gn4b4olfFSl4dr/1U0EVWVmtjZp0c+EzBScrn9OwV BFtibki3VxnGK4tRUPF7j8CoyfzBBbDx2HQVt3xAbijbEmTvRFzcikRoQskqPfwmNl7MvfMbGzax l62wsHgX09lGJqbB+NRpL9TQJALO29Tbva1EVmQbOImyNUV+nvg3Lcu0jkaDfqI5DlLXfA5YajG3 7XN1oK7R09yZN8VfOfHDzAqdKTMKtdoroLC7kK9w/8DwBNmvvEcBMDdN5CHo3pFkiOZqg8RMp5Qs nv3VdknNErLNyvfsd8X/PT6HaXILgGa9n0qeSyb9hB8LbqMAEaujedL11GWpuvwbtkKQ24a0kub4 o4L/1owdSkF4jFoOSOEc+iB26s4B5vAHn7f3zM633DBd8k6F59MSNLXfwAvi19n770hXJknOCntJ Xeii0tp9Jutpittl1PctChupunDU4A0oKPiqbp7ZLGQZqY9kl09I89Q7R1h+ecZVVIPV+hxtefH4 Qs8ZssXeagdQJr3HfhV6AgU1G8m1jlORzni9KaZAkSiXekS76qWbCNdee4v/GuRs163pbkqZlNlx gydHbUQVx4m9w/Gg7AmxU+kTJLkP75HEeQZeL+Xh5+8lopL1qbtroj3SGxwzcsPCtWdKZb4Pkq1S aV3nApzxelWilBPnrc/JonmzZeU3YHsVSIoItdtZkfDORb7zUaNzDAFaQNf4t2n0dJMJKxyhf4WS MLR5Y8nebnV/Bht3kibDQhiNiVEVlr9wYhfJ9I9c1keDsHXxdNE8SX0fh+2gyzx7ygIKX+93pPZ3 pOzxBzbbucAfY+PCs9i4SVqKl0IuEWEOMgYosEXgEg5Gr45Yqs4N/RfMrAz7ZFY+lDEMjcBa8MPY lUa0xVU8rxcSgq0u5qEta1CQh47JUcD0pfeeLIfGsau6oZ2WVOub9qs5COZApZPrK4e8VkW8XmPk EHJgvUVy7aKuD4FEvuI9J0BhBwpSJme8KjweQihgMwwYgCRv/ZbN0x408xrdXi43n3B51rTcv2Wy mOkaOLLny6RymF0N+Ks3TSCiiduFBPJEjxShiIX+aCs2xkgfqWuSS4myZTEtf3WJu0JzcVYjkY5A A+raVRxHWCFE+LhkzzVQQYkR+VQfPKPWuKcJ/U6g7z3dE7X5G1Wc4w2VIXGPQlorzZHNXOZHwpTp sM+Er828Jvjel/YGPhuxdNfeTBhqqCq86y4HFGK1N0HI2BXj0BglGVN140L8SlLzn5d4v3jlOK1H lNfW5G3fziirMp88fSJAdC1qVsKt3xcON1HU9+YPyirf7jwnuKFJmAtbztmjrP5zv4eyDs2beEFN NY2q4UiGuh2HudV0qV137BCbVx/acyN39l2YEidHHTLiqyJjyQp278sBpnN74vWaxGTw7Cq6LdYc nhNFX07uiFr/9lWGcH0yLWpB/1dkr/OMJ45scGq6Fzk7KlWE3eBHurPlbZSrtfxglQxOHaMMCQ1U UhLdikLJCXDY6FGDL17QSIGZuzmyQ8PnRzV4Xp4h93KDX/TN3x/O5b5JCdmkaIPViBRfyRCpvS3i zkAsGP47cujdPaia9gqE2f+3jrVj9mvTCnr6RvaN13F4+wsm76vFUssVSCdbVEim1vPXz9YGxyG6 pJpxiqgA+wH9Jzh/nbTYFEiJFcmlwRj8F2PmTRtn7MYt/wDuG7fz34durrgva775sT9FsWku1GEa xAWbB9cSR8Gx0O5v9t4IRCtH3yg3NbGnJg4hwPxcWg3Dmt27iv3huGpsKkEj6Z9WNSff1UnNYmjB MFPXdpgsaklPiBkBkwfQB8zgyfRmmqle0VHyA4RLMAJxtC8KY4a5CxMieIYpEsbO/rDBRSiv862r jT7hvZTBZgjJ66yKhBGF391AG/Kp5Vl9b1Qj2BcZEyfDi5mMJ6ZFrvkBpbTTCrm6PV65p4GlM1t8 3NoxSPW/UxYDh50F2NC4T9XqLs+UYvmRjIrVRBDNHeVKaBRrR81iy6i9Rh5sjNpcwLJBB8mncUIO IiC9DEL8WBkPindtxECA3iM2qTfly+bQVvQ+K7kvjEjrOiU4YHIspAa7LBzIIslZsTDolTUS0T1+ AFygShPmvGv2p27ygjLUzZf91Uw02hti6hTQY4MASYyvcctr6yMbCjjlUzU4CcOv5dai9uM0elBT fqGnXqRN+4reNQfyITy1YrPToc4/I8b9ly5N89h6TtLI0iR2KpO/AwxXnapTOJQFlxe3Zi0cpHUR F/TwPSIPfCkihBdshKfcnqWYs8SKaIbkoZDIebnXOFGelOwrh4u67sNkxFYcB1eMH1D3xVz06SWo aLiRW3wiSwGfBrZqzpPGEV3d4kKR8cM2oBA9XEpc9cZ2kqdbdXSk1yh8eq1HBH2PkD5ktaDT8kqp TvDZRCZ1Z2fZ8qiO9dymwkliH7crNBnblkweepd7uqIQsD0pYxXCYMlZ1xSTDWxJk8FEO00eisf5 4Ru4TFln3NTZZyD6s6Z3FBWMNsdCKDmTiBqVkTVnd7gJh+4HEtkmmTPu7KKKQ2ETwj7eaHBfYmWk CsXVLOyt8N6uCKuQof/0A/6Z3yHqkVHnAudfG+ydcWVXm8QvtJvrsSgYIHsAGrozWnhj3KO56Kqh tO0c8niOACxPDdSD+iGmx0xVFkkEZzLkQpk7Y+I+KM5xXVq22+/CmdcOixysneZ0nwfnjrBUO/pm /dIpTcY9Z7IyCLiAmDnGpQEdW7oq+Betz4NVZzNJAzmoy9zwJqijSWG9DZkiJsn4UF9qsa6vdsgx ASD/w5G6rJMR0LAhCSy5QJeYITS7hC7WYMN0T2w6mkbNTHQDfEXqgBmETutkRTt+O8Sb3ydJ7CBD R3ea6GP3Bn0WqFFRjAQww6u5meCj3K6+z5wug6j6nCbsaH2uZjSUy5LeivxrwFSJ5LU280Ucp+SJ 860kBPpXkNb0S+uwFKgAqpaqMX3qoggK5XT6IaZqN1EYKsq3eUUsynjuX1ceGLZVmE6UMtBh5V2m Hy7KImAY2sLTIWr1knnGTf47cNEhWIKMSrA4VkQeq9IjZFsW6shGgACZlmkkEXA7cXUW0SF49Y33 U7iVujxP60HBwqVtHZwRaPkoZgeKqos/MUZuxKdiIjIz6GCOTBG+4ajRYx6qH3FJuidF4RQihtQu sg/icmyA3/rqP6KVuBXoq7QNVuhK9GVvclKg4Cy5huLlgzTd+DcnLcHn7JLn6NjwRYJlhOTw/q3w j+2wZz5TD6eKca+NEoUb9cF+oD4bI3CDpFz5+gRP2RTdFvGf2pmfGzAzmCLhwaC3rXONCWnHJYvT /C1qtD2NZ/xA4iPj3keyTPB0SHRP+UzCzSMuFwuSXgZ5F1c73GNXoY1dUsxD5xMmHlsVbpzK85+F K4ull5wn+D0hNmbHuhky4atRJq21+7KIgFkLBWvVa3WZKsETvUQlpNutPU3RWJ6jnLSjGrcsyZJx 1YP41dOwtjapFRd2fZ5U9DgyYPwAVMlqMA6+jQ3rgp/ccZSyIShB1dT3WXL6EVD+r5K0+0g/lznM S1xGxvdqTiK4wApvcAb3/Z+cARizZVaX/zFgznV818Py6JTIjZSR4LYEKt2fd37AToXvnu24OQVF UuXFVX1fJQamjXkPZL1U4xu5BKFtNY3Y/IpVUgDlCNjwDDlQmZlma/QwFWqEu1NdH+I1SpvsTQ1P DpPfrg81XM6g17j6S9P9DwcPGllmvA5rOglbox8DFBrM6Pls8Ebox1gHM0kXEovx4JbqUXySCWNI kmrLeCIZShT5Gvln7Ltl6D+LUmLIz44W4YyzSIvXur050uEJrOAQaRWo1SIB4ynpV7RFKAvEhI1w Tr3NctCa5emsxOi0m5X84CG0is410E48ou9/OrgJPRNnOtOgUWEZOMi3V5OjxLk0nj+Ayb2PNstd DgHuraYIIS0/yrdQL2H3o9vJg+s5vSySesfQlYG6y0CeIXB1YkwMK1NBVdUczVavxvkyZLNYpV0Z aIZMLbj2aXcgwN75PIPkW2ZqHax2JCz8BlRb+vdXn6sGbf5zGLzjvN+MBrkR+Pg+7F2mWP6geT+D yWCqBu3PS4zqV5mAdsqft0GvE8VApx/Sjv5RK2N5mYC5xy/YBwB6LwiLbG6KtzhI9HA8hTInz45l /aA10ayqOHA29BcMU71mx7NXt2XLBuqeuG6ZvnLqCeyVr2LSIU2ELpbIXFG47myvw9rydpsQRuPa 4pi/1PSu3JPDY+GLYHhKLZ839mnr7SHb4wiJdlCZgWEJYm3o/h7FUokuiTSK48IKVDi4GU9zVB7j d2Xy5j9PY8t32Au5pqOkO6zZHht64F4DUbJe1sip110aChv3r36N/XbQCQOcsFRZV9pVezilAPWP /cMJ9gbYhlECh7h85oTsBvHNWJDtmnnXBZbY5W+6zFcInB6h6CucmjA/qywrymbA04JPBA4yjj1B pSCQwxALwJPjvsUefrRsZJOM7wWM7vultayXu0Ak8UG7pg4bFUc8p8o+zbH4MqEWVAPmbIzpnykd Udb+O3qARav8Djomyo2xRNujTROl9bIWgfu1TDUT6N6c46AvddSnRWkyyhssJk5xOLhiZIMYG9MU OuyysRc9VtSB17Q1nhTgMTbh/m+a3POrGxWk427Ug/8F9K60E9ohHNX+ERWiTlx8yOmUQcVL8Qr2 WUa9WI9G+UKl+WUoTxg3FmJ6THu9m/KENFqMWT6Tef+wrD+sp/ka+KBEwtqdigtHanPh5ukn3/Os E7xLcDCVSBI9s8RSMQ/xhCkdImXy8aqBJq1PUV4UNTJ7Lj5GItu9eq3sL/TGwZe7GBP/FG6uZdeC yvcI05ZYFENFa40gDdj2JeXEAP7CjptJ0OINDDsNfopNInle1hd6UCIjGFBr1KbZF5zw4DK1GxjS j3E0TMTncQYyXJx8RCib1AJ/94xA7XIXaQdMh5ms0JbMX0eO1C0QqXASDd/c8xjmO0IWhb0VHmO6 /yzKp4rblsIv9Zor52UjrfuQ+cfpSsDKLVGXVtLc/C8KfaQwUva3HF7KS0Ns4QZiNYIHbzxVkdZb McFNfG3upxFsQ1N5T7ezc55QRY1VRyKczuG3vDPeNqcVB7ESHzUWOC9o5L9x8t1nycD4eOD5GCjC NaRBfheUHokC9FtcbG+8I/949pCm1bDIErYs4cH/Yu87ucBF9y5oqImzPhFEG4l4Oy+IiCMz0w30 GbnDdrOLosz3Fy9gcmy545x94WlL02AVY3tJdJMVcpoQBFCuI2Sm6IIE3hm1h/B03EjiVr+HwJnO yf+KLjge3jTt+4Z+M8K1xRzLJQkaju5w1EVH9Fwk9CLWCNmYyRdq1+rcOMJlefLJnC6c1Zmx5MM6 wLTosNEd89+dUJWIauZP3ILDZ4yJiwQgLRXjIc4U+FiZIN4qCpTfF91ziDAZaoxfaZBAuy8PW7hG /wU1YwIBOELqxodFyr3p4upOQT+7+SVg+KzSsK4HFUmLZQKx/HCaiuVXL94gXd+5cbAOSIUUdpFK wh4qHK5rCy6ns5ZusBYEtqrypnVbUPQs/k7iF+s7+Q== `protect end_protected
gpl-2.0
ccc50fb76a83cc2a72ec932926d4aa7e
0.930566
1.870149
false
false
false
false
amerryfellow/dlx
rwcache/rwcache.vhd
1
10,711
library IEEE; use IEEE.STD_LOGIC_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_misc.all; use work.RWCACHE_PKG.all; entity RWCACHE is generic ( regaddrsize : integer ); port ( CLK : in std_logic; RST : in std_logic; -- active high ENABLE_EX : in std_logic; READNOTWRITE_EX : in std_logic; ALU_OUT_REAL : in std_logic_vector(DATA_SIZE - 1 downto 0); RS2_DATA_EX : in std_logic_vector(DATA_SIZE - 1 downto 0); RS2_EX : in std_logic_vector(regaddrsize-1 downto 0); RD_MEM : in std_logic_vector(regaddrsize-1 downto 0); MEM_STALL : in std_logic; LATCHER : in std_logic; MEM_DATA : out std_logic_vector(DATA_SIZE - 1 downto 0); STALL : out std_logic; RAM_ISSUE : out std_logic; RAM_READNOTWRITE : out std_logic; RAM_ADDRESS : out std_logic_vector(DATA_SIZE - 1 downto 0); RAM_DATA : inout std_logic_vector(2*DATA_SIZE - 1 downto 0); RAM_READY : in std_logic ); end RWCACHE; architecture Behavioral of RWCACHE is signal CACHE,CACHE_REG : RWCACHE_TYPE; signal STATE_CURRENT : state_type; signal STATE_NEXT : state_type; signal INT_ISSUE_RAM_READ : std_logic; signal ENABLE : std_logic; signal READNOTWRITE : std_logic; signal INT_INOUT_DATA,int_address_data,address_to_mem : std_logic_vector(DATA_SIZE -1 downto 0); signal ADDRESS : std_logic_vector(DATA_SIZE -1 downto 0); signal IN_DATA : std_logic_vector(DATA_SIZE -1 downto 0); signal INT_MEM_DATA : std_logic_vector(DATA_SIZE -1 downto 0); signal INT_RAM_DATA : std_logic_vector(2*DATA_SIZE -1 downto 0) := (others => 'Z'); signal NOP_OUT : std_logic; signal INT_STALL : std_logic; signal rewrite : std_logic:='0'; signal INT_RAM_READNOTWRITE: std_logic; begin -- -- FSM Management -- state_update: process(CLK, RST, STATE_NEXT, LATCHER) variable RS2_MEM_EQ_RD_WB : std_logic; variable LATCHED_RS2_DATA_EX : std_logic_vector(DATA_SIZE-1 downto 0); variable LATCHED_ALU_OUT_REAL : std_logic_vector(DATA_SIZE-1 downto 0); begin if RST = '1' then STATE_CURRENT <= STATE_FLUSH_MEM; elsif clk'event and clk = '1' then CACHE_REG <= CACHE; STATE_CURRENT <= STATE_NEXT; if INT_STALL = '0' then ENABLE <= ENABLE_EX; READNOTWRITE <= READNOTWRITE_EX; else ENABLE <= '1'; end if; if LATCHER = '1' then LATCHED_RS2_DATA_EX := RS2_DATA_EX; LATCHED_ALU_OUT_REAL := ALU_OUT_REAL; end if; ADDRESS <= LATCHED_ALU_OUT_REAL; RS2_MEM_EQ_RD_WB := (not or_reduce( RS2_EX xor RD_MEM ) and ( not MEM_STALL )); if RS2_MEM_EQ_RD_WB = '1' then IN_DATA <= INT_MEM_DATA; else IN_DATA <= LATCHED_RS2_DATA_EX; end if; end if; end process; -- -- The MONSTER main: process(STATE_CURRENT, ADDRESS, IN_DATA, READNOTWRITE, RAM_READY, int_address_data, ENABLE, CACHE_REG) variable HIT : std_logic:='0'; variable int_mem : std_logic_vector(2*DATA_SIZE - 1 downto 0); variable currentLine : natural range 0 to 2**RWCACHE_COUNTERSIZE; variable count_miss : natural range 0 to RWCACHE_NUMLINES; variable index : natural range 0 to 2**RWCACHE_INDEXOFFSET - 1; variable lineIndex : natural range 0 to RWCACHE_NUMLINES; variable address_stall : std_logic_vector(DATA_SIZE - 1 downto 0); variable data_stall : std_logic_vector(DATA_SIZE - 1 downto 0); variable readnotwrite_stall : std_logic := '0'; variable test : integer; begin report "addr" & integer'image(conv_integer(unsigned(ADDRESS))) & " rnw " & std_logic'image(READNOTWRITE) & "inout" & integer'image(conv_integer(unsigned(IN_DATA))) & " indata " & integer'image(conv_integer(unsigned(IN_DATA))) & " enable " & std_logic'image(ENABLE) & " state " & integer'image(conv_integer(unsigned(STATE_CURRENT))) & " ram ready " & std_logic'image(RAM_READY); count_miss := 0; CACHE <= CACHE_REG; INT_INOUT_DATA <= (others => '0'); case (STATE_CURRENT) is when STATE_FLUSH_MEM => -- ADDRESS <= (others => '0'); INT_INOUT_DATA <= (others =>'0'); for i in 0 to RWCACHE_NUMSETS - 1 loop for j in 0 to RWCACHE_NUMLINES - 1 loop CACHE(i)(j).tag( RWCACHE_TAGSIZE - 1 downto 0 ) <= (others => '0'); CACHE(i)(j).valid <= '0'; -- dirty bit CACHE(i)(j).counter <= 0; for k in 0 to RWCACHE_WORDS - 1 loop CACHE(i)(j).words(k) <= (others => '1'); end loop; end loop; end loop; NOP_OUT <= '1'; HIT := '0'; INT_ISSUE_RAM_READ <= '0'; STATE_NEXT <= STATE_IDLE; when STATE_IDLE => STATE_NEXT <= STATE_COMPARE_TAGS; when STATE_WRITE_MISS => if(RAM_READY = '1') then STATE_NEXT <= STATE_MISS; INT_ISSUE_RAM_READ <= '1'; else STATE_NEXT <= STATE_WRITE_MISS; end if; -- MISS STATE -- Probe the RAM and wait until RAM_READY when STATE_MISS => -- I gots the data rewrite <= '0'; INT_ISSUE_RAM_READ <= '1'; INT_RAM_READNOTWRITE <= '1'; if (RAM_READY = '1' and rewrite='0') then -- Identify line to hold the new data currentLine := GET_REPLACEMENT_LINE(int_address_data, CACHE_REG); -- Identify word index inside the line -- report "----------------- Instr " & integer'image(conv_integer(unsigned(int_address_data))) & "-> Writing TAG " & integer'image(conv_integer(unsigned(int_address_data(DATA_SIZE-1 downto RWCACHE_TAGOFFSET)))) & " in set " & integer'image(GET_SET(int_address_data)) & " line " & integer'image(currentLine); -- Store TAG CACHE(GET_SET(int_address_data))(currentLine).tag <= int_address_data(DATA_SIZE - 1 downto RWCACHE_TAGOFFSET); -- Reset LFU counter CACHE(GET_SET(int_address_data))(currentLine).counter <= 0; -- Set valid bit CACHE(GET_SET(int_address_data))(currentLine).valid <= '1'; -- Fetch the line from memory data bus and write it into the cache data for i in 0 to RWCACHE_WORDS - 1 loop if( readnotwrite_stall = '0' and i = conv_integer(unsigned(int_address_data(RWCACHE_INDEXOFFSET - 1 downto 0)))) then CACHE(GET_SET(int_address_data))(currentLine).words(i) <= data_stall; else CACHE(GET_SET(int_address_data))(currentLine).words(i) <= RAM_DATA(((i+1)*DATA_SIZE - 1) downto i*DATA_SIZE); end if; end loop; -- Write the DATA_OUT if(readnotwrite_stall = '1' ) then if((conv_integer(unsigned(int_address_data(RWCACHE_INDEXOFFSET - 1 downto 0)))) = 0) then INT_INOUT_DATA <= RAM_DATA(DATA_SIZE - 1 downto 0); else INT_INOUT_DATA <= RAM_DATA(2*DATA_SIZE - 1 downto DATA_SIZE); end if; end if; STATE_NEXT <= STATE_COMPARE_TAGS; NOP_OUT <= '0'; end if; -- Fetch instruction and print it if HIT when STATE_COMPARE_TAGS => if(ENABLE = '1') then NOP_OUT <= '1'; INT_ISSUE_RAM_READ <= '0'; if(READNOTWRITE = '0') then INT_INOUT_DATA <= RS2_DATA_EX; report "@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ Writing " & integer'image(conv_integer(unsigned(IN_DATA))) & " into " & integer'image(conv_integer(unsigned(ADDRESS))); end if; -- Look in the CACHE for i in 0 to RWCACHE_NUMLINES - 1 loop -- Is it a HIT ? HIT := COMPARE_TAGS( ADDRESS(DATA_SIZE - 1 downto RWCACHE_TAGOFFSET), CACHE_REG(GET_SET(ADDRESS))(i).tag(RWCACHE_TAGSIZE - 1 downto 0) ); -- HIT! if (HIT = '1') then -- Is the entry valid? if(CACHE_REG(GET_SET(ADDRESS))(i).valid = '1') then lineIndex:= i; -- report string'("STATE: ") & integer'image(conv_integer(unsigned(STATE_CURRENT))) & string'(" || ADDRESS: ") & integer'image(conv_integer(unsigned(ADDRESS))) & string'(" || HIT: ") & integer'image(conv_integer(conv_integer(HIT))) & string'(" || i: ") & integer'image(i) & string'(" || offset: ") & integer'image(GET_SET(ADDRESS)) & string'(" || count_miss = ") & integer'image(count_miss); HIT := '0'; -- Reset HIT CACHE(GET_SET(ADDRESS))(lineIndex).counter <= CACHE_REG(GET_SET(ADDRESS))(lineIndex).counter + 1; if(READNOTWRITE = '1') then -- Print out the instruction INT_INOUT_DATA <= CACHE_REG( GET_SET(ADDRESS))(lineIndex).words( conv_integer(unsigned(ADDRESS(RWCACHE_INDEXOFFSET - 1 downto 0)) ) ); test := conv_integer(unsigned(CACHE_REG( GET_SET(ADDRESS))(lineIndex).words( conv_integer(unsigned(ADDRESS(RWCACHE_INDEXOFFSET - 1 downto 0)) ) ))); report "@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ Reading " & integer'image(test) & " into " & integer'image(conv_integer(unsigned(ADDRESS))); else CACHE( GET_SET(ADDRESS))(lineIndex).words( conv_integer(unsigned(ADDRESS(RWCACHE_INDEXOFFSET - 1 downto 0)) ) ) <= IN_DATA; end if; NOP_OUT <= '0'; -- Next state: the same STATE_NEXT <= STATE_COMPARE_TAGS; count_miss := 0; exit; -- The entry is not valid. Count as miss, save its index else count_miss := count_miss + 1; end if; -- Miss :( else count_miss := count_miss + 1; end if; end loop; -- Miss? if(count_miss = RWCACHE_NUMLINES) then int_address_data <= ADDRESS; readnotwrite_stall := READNOTWRITE; data_stall := IN_DATA; currentLine := GET_REPLACEMENT_LINE(ADDRESS, CACHE_REG); if(CACHE_REG(GET_SET(ADDRESS))(currentLine).valid = '1') then address_stall := CACHE_REG(GET_SET(ADDRESS))(currentLine).tag & ADDRESS(RWCACHE_TAGOFFSET-1 downto RWCACHE_SETOFFSET) & '0'; rewrite <= '1'; address_to_mem <= address_stall; -- report "ADDRESS TO MEM: "& integer'image(conv_integer(unsigned(address_stall))); INT_RAM_DATA <= CACHE_REG(GET_SET(address_stall))(currentLine).words(0) & CACHE_REG(GET_SET(address_stall))(currentLine).words(1); CACHE(GET_SET(ADDRESS))(currentLine).valid <= '0'; INT_RAM_READNOTWRITE <= '0'; STATE_NEXT <= STATE_WRITE_MISS; else STATE_NEXT <= STATE_MISS; end if; end if; -- Reset the counter count_miss := 0; else INT_ISSUE_RAM_READ <= '0'; INT_INOUT_DATA <= ALU_OUT_REAL; STATE_NEXT <= STATE_COMPARE_TAGS; end if; count_miss := 0; when OTHERS => null; end case; end process; INT_STALL <= NOP_OUT when ENABLE = '1' else '0'; STALL <= INT_STALL; RAM_ISSUE <= INT_ISSUE_RAM_READ or rewrite; RAM_READNOTWRITE <= INT_RAM_READNOTWRITE; RAM_ADDRESS <= address_to_mem when (rewrite = '1') else int_address_data when (INT_ISSUE_RAM_READ='1') else (others=> 'Z') ; RAM_DATA <= INT_RAM_DATA when rewrite = '1' else (others =>'Z'); INT_MEM_DATA <= INT_INOUT_DATA; MEM_DATA <= INT_MEM_DATA; end Behavioral;
gpl-3.0
2e11ad2570bfb557217aeed52aee46bd
0.615909
2.938546
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/r22_cnt_ctrl.vhd
2
13,545
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block deymMKMP6/MNssckhEeSVUqLUO5aT5oMKOJrbUKaZqbFkFGmEQO+bCNa583Fd5KUkBaW4Al8fOiL Cml3KitxZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JrmoiHvJ2oNclpn1HLTK45tF4Ij4v1+qghq4jg8zAmliInP21NCKndKZYPaYa3g17hUtE0JOq0LY tn3/+FJoW8JRRbx/PXckPxoMzPfJuKwM6isRdhfltWTYGMFbQ8ovkWTzxkXmNk9eh4ImntmDx6KE Z7O5a5u+dQxiUFmSSz8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HrSCAedBXFf2w1kCQKDtgFMAAX5p18PuGbYCX2Z30iHj6EUfNTqaatfjuRQu56Cg7Ci7NDkXRE+G X+4m8fTYhY1uUL4ov3r95mBu6gRjwr1zcfOr3U6WXgP5jyn4KhWl6RiuTR0wfagvFbMUOvPtnUql /7tGuMRicL/Sc56kMNuHVwkmoi0b+LtcC33YN2YSLNwQLbQkl17oDtbzNI//F9C19Gwa/sIWbBYa UICQehhXt1DvJiw0YUSJlHoz7tO19vazMnaKQ8Ux5vLs15L5QDz/0oPSncENf4XbAVqLwMsAnlBH /Vu31eON4CZF17D1Mv4raQ/9/ok9SDVNVWyu5A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block q8D+KxyTeU4X5d+7cYwsb0mM3x88OFneJTBcZlv/9xB2Tl0CCdMutFHH0aI3bxkP3ZtZZKDJm+o6 hd628GuzkxwOLvGJwYMVt8F2TPoQbIcmyL9BH7Pj45LImSxteWNX9uUKH40LBS7uJrMihtXhXGgW 91S8Y33DcX2KFm+ZokI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block p7r/jWWDgGn4QhYbqi0UjRPZuD4PS9FR06VQS83w6yOa2Q7Ejow4TIgvPb3Ik1VZbJmgXnlk/vQ5 KF6I2dwhmdDjMt7RGPkMZrFRuuqUcvWBT2aAze50xeU/N20r9/c7owIXE9GYyFIjhin4etbKG1F0 BqKZce/20zSPkJoSupQgZkdeJVkwJ/DzwsZ6o1We+qGwIIRXdrHPyOaJClIPJhvwBtnygsfgdLC5 9VWRqjHLVojlYmwg2PTF7Ufy1kbGHZFAjX6nmgWDJIFD1bPZ2DDUufGiNIkRFWBq6ugOYlorlIY+ 4SptNm7piuFeQ2NLbxwH8z8hG3nZCKqHoljAiQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8288) `protect data_block isPABz3O6jQSjslDiVP2b6wVHWFx8EzwvGUPaYicM9cseA9PTq4loM0MT9MKg59KvhM7YYHDTY5V que3HC6CKoGDY4OZuDiDC+FlHxg7CKC77d8lqZY3c3e/6qfFVRmwRm8Se1TXNPrWh5Zsrj7nx4p0 t6QoIbcZQQMwm6iILvKnYv13AOnLa9yQofFLA7bPCgta0/Y+c1fBgaLvBkRirrFYms+ktrKwwMmA knG2zotObsWlnPukjXCMADuaVDZFlG374DqK0aH9um0qRhqvynI/Oe7GU+4AnPacu2qaeJ/AMF90 3k5xJpyfEy4E1EF+9GshFwN+w5AOFOosQ6XC8675xsYOqiIT49IhraUG3/LahcPA+N5sUhbP8tdK yV6rT/T4LDY9MqkLTzCy7LK3EqP9OfaRTGJUIk9xmvQvuxKV3f7rA2W6dbQCQGr7Yqqett0R+rrc e3FyASF0QsZ0Wg14dUhL4X9cLx6wVCS+5BX0GSbgRQXZu/TE2yRZ9dn8R/jIeO0CnIsprfP1f590 5z1IIOQF93u/+XNGbqT80u9ic4u5vaeCQ6hVhhUEm+QHgIil6TO/FREP2K9flvWr0fGgcCBxVOtL Sj17wcCS9oKb8n+ey/VfReokEQyT2OtZ6siDLq68I/xE/++WHuiiQwGEA6us1hRr49LNS9zYxrBn Ui/y5Ax4eYjPDJZHZUVT1QjTajX3RmqCvWA6XkZGR79SgVbo6pgBSxThyPscGnem6qRjTe6CYtxs SfEL+jm7T8PFCE9pLM9BcZP9E3vjKr3fjK7WAQnYJEjBPk6aWRKVkuPxBuHhKTXMZ5WmYfrikqRy ryF24rHSbrDJqf+cf60stKEyQHKsdvDfgLVK6uXs0lm6T0h+4LdcvZdpDN2VMbM1F6Ve9np2FJkF 6yxxjrjWDoifRkeGNHv8fnIhhDPuKdewTTAqOTrlXGghn5DBu8Erf6Mj4A64MBp8osEVx3D4JlzG K/ePucIVRFSmSow+nf11qjzNmu0exFJztL5aHEiM2BqUFiZx40slpvDPV0d7Ssh8/yvs39EwZbPs jPaRCfYd6Aix6jz387nA97gJLw+GZFtR9+8VYC/aAYEfxcTyNBVB1ldUIZt944Z7/s0jmH5eSTAK Rx54wJU0IM2/yGhC16dzB6Jw3fK/oqQkyQE1QSxJiO/q8jZ00E0unxBjaXGRk13kfVRlyERdf3zo FsvsKDUcoZ8i9PCnOJZnPhAOiXX8046OdRzEsi7E54dfuGvRKKAjtx380Eb0nouRq+eFhwfYknHB Mb/VD0Lvarc6ZI3FqeLgZSm+ejE0nit+4QgZq/eqSZiES5A4L91hQSNTAT8CtxC3Zza4azDPMRWU HEXQQiQHI7BujgkwxQTorEUOIQeHIsl9l+AKCC3ExVwX/TnAh63p0oiKCi9ALHMyyPTS22bRFceZ mJzhhP5j3JPWTEqU++wJ8qYZrw9f4At34X4za9S6yQwZ0CYzsgUbMaXOLxYlIiIqJJQgfhrP5zw0 0B/0BcGhcmBtI6K8m+N0LMMOrMcOrmCprEFFzEoZRpsr5HL7GcZniUMQFYHfktS0i2vd4bJCGb+W XlRoWTKviBKmes10Lb8YWf8xVeC2N4EdBKscluYakLEnUI0FiF5Fng2aqzDhRSvTU7wwmC9DHmGI R88axcS6jBrxYvAvqlO5UUs9YHloSRhodoIbQYH0Ekp7xjxuZ+yBeM2NJ0HKp5JzLS6vDLBBkwwS upoo7BTfhBXltQ0HNrFKer3EeOTclxyddVhJhMX3v3V1ph3Rk/59XnmcoySydKK5Z+5/CZo9tABY xXiDmMIwza1EmqLdfIGljvZNNFR7wSK80BzKUHFLw280UYrhAZ2cnKptTrXbLX58LepE6udWqEjR o1n6u0xqm0ns/2xRcDOGc+LssBBhiHtLniiuI/GzLbFZpbmXYnYoJK7Yyt740Mf8OaLgvuNhmtVR LcMZCllRZTy9n53Ynu3fIYkkCdVGHejvjDhDTYYMc/AO68iP7qNyMotQatZFUiJZE5Ls1Ntg+3DL a17ZAZB85F6u9C0LIIKNoive4I8ghJ7jR7Ngp9bqsQz+DiOXIzYE4KwbCTO91bwhy0CKrMIx7SM0 Sm3N7jTG9cDE/OHEG2akU6fOQIxGYnOTEpjWBuAeqGd5G3JuduAfWz8TFKWAondQCLliYfMtDVcJ EKipOBzU1MSywe0ohwc7FaFbvmL/adL5iQqSGMN7GYUAtI6yfGurqVg2OgbOF2d25h4NV8piwwAG k+ZuYlV8DBahw+IZGtXepXnhFkGXB5SLi82PY0EQuA4nKa9Ww471xdMkdH5k6Bu81yqKGrK80oXr iV8fSW+zRwE+eu7+IdDkHLh3BVTYkswGvfYI4av7X0wGMyqGdnRWQ8QNi9/S7t85giyvRk0N3tfm zEoQW5aMXU1bfJmztI+jTPTgboMl4/Rky6rkFRk/wp42DaANtGuCyxe8hbGze/jfrnW9EqewkDd4 6f3bQxGdP2Rso1oIXyoAtRfA1hA6tWqGMvRfiY89mWdQc+of5/Ie8nqofnd+3nKYjXOxongidpj/ fEcle36NnOcbklhsw4+MQnrwbFJgG0TRmNTBPmoyr1lodO5G0pVxpoJF6R6vRqA+u2liPdnyBbvG s59sRLK7IHFZXF1IqTcqA65EpJFwCiaJqNBeyvMq+PvF1d7ydf0eFaQWtyvETmIBLbmCrtnC3OUm nthse4exEQ42viF4hnqlZj0OXKqd87RVyTPfuYI/e4OxgKf9HuBSa4NF3YP+yaXsblBe1AjJ8jq5 OH/AENQV3Bd8sUtejKU0z3sgQUiVgpMWajGxZj5KfDcsNo9C1UwmvVESJeCjGUMS/1++g92R4nB8 iIPI/4kNe4mAt4ElUxEpzv7/NXzdZGAL9btkWVtOSrOU2EgGIPgzhkXSikFQHtyfU/SpZZvzLDYL wA2QYX36/+RCN8jcwvqmpq+gLsFo6tvTCw56N/kzV5FyW3+5+y8P6tu/UCAKUvl3CF/MqkTpq6GX Df5BTHL/4kcGssZjGefEp08Bo0sOqydDe9XwU8yUmmYBluHxhBQBXjDKwy0ysxypzkBK+rcujwU5 hrE0MZgrNvzTg2vujGeaWX7/vA1oFy3wIn/02vx6oE0E1yDY6uA6S3B8Xiq47FnbNEjfSWUjgxCw mStXFxj2XAr8uXqYMju2sPZTzgXP+/TQz64N3CYj9IzH6xBOg34AC9uiYAUXa1GWbXz0WJmfl4xe uzZzJxNHrTTOpBETdo7WReOmrlKMoItXFuSm5QkD9BiS6pwsDNL1M1emrL7HXuqx/i9O0XEJYk/L 3Ozat/4fyyI1woref3ZbvqykjUeBRZjI9dQBOUwyF5StkjBJNkWWWr1qpUxOYjIXwvTv0RBkb8bH RPFB0mqIaD5xb2dkYnN6y2sVoSDkYHa/CflHBz7Yob/S5mzuOC9Ornf+XNxm48IqI/G3sBJJHr8x GB/vovpVsEKFiu0PM6dud7IZx/Rxu+ZJVGZa1u3Xg2HYeuKFzOimDH1gErRLAINUFAMrTN9i7ZqT oIaK3AZvRDWWMk3lGiK60X9QBd7Qjl0AQBYcQDEq+9Bj2iWSKV2f7ApKyycS+ZUpL2S8tXLy4xJ6 nkl/IErcPWKWeBRPzXmv2t58mgDteTYkEL1ZEFozFb6q0oSLZk9PRX0vWfjIog0jPkDdrAco1AvV APC2ssSUqKp4tO7QVSCOt/U/oJQhP2EorKN2tPYKW8rwNUhp+aTO/GOw12KlUrOPFLseEGW1ohZG fVV6F/56v67+FsQD3ink1BLrFY4/ex+lNGLvUCVBiuZwwuybg62lpPlDfE9F7jgafEUnSqIKOvxR 1yYzx13nKMNWRfDLQEdDRaRBmsoDa2+BJUBWzifZjVbAbuVk8M2BS0tD3mfBrJODMNpHYeMEy8He Q+1SI4EUzlnIq9V6yxvgoy3F43jYQ8jtFUjfBCIwcsbp6q/qxkUdFZhOq8wguecJIuijabxHlgBL 7mU9suSVHEshKEJV26rU30X0paARztM98/qrJSYZ51RUnuWo41CCQJmjABeS0nmAvdKyILAvcG8m 2YgB/8pylJ6uVJbB9aCYgMLCXO1wxtBwSVBnnwwBITSHSiI9W3NLGgkvDj9RKyZwV6qwJk68XZQ3 poHe31jUMgPAiH0WLfQECLEnqHAi4rDnyW6/TRtZG+jTm7EXE2GIMIQC3PmVqB4M7cH0RLcJ4ol3 jrKHqaqw0qBQv69h6Q+qR6TxGOdLI7xhR/8ELxZjYftRJXy/bEXid3+FvbAleS6wq2s3fwurDErB kECzUwVBvyfWhqGxsXuvYWuaG6aYpQ2nvtBE3ZoSvYJCvaaZfI1NK519yfg6tD5GHOCUpGIRI5Hl zRUCi72+Eb2/2OOxn8EE8OpkCLmfaO5QkdpIDlZxOt5p2JorVZXr7C62vezPjGP1K58RKtalgs2S Ww+VF3l/goyLkIaYRA9FBsS3hAGALgUFGoGNQ8a8iLrL+A3txyTJq37uBTyIuC1kQABZR7CF9Owz VAq+6YPeBiN2gXmHq5aI7srXFiLpUW7OmZdN5H6syRsnFHOa/EC7ygge0wZPMoqRSPrx2ACCNH16 tWgZ+ld18yf2uT2i327cyzm21d/BymjEaj0D/uj7CLWGnp2/doagcZF+ud2+Cn7+Z49dObx3OZAr jNn+ucuKL0ipk32O5PSRfGbrgSrEBM5icek0beVtP/HYBvA/cLBhQuRcK7KKJZF4hRx1KIr6ZwGq ri/ZwGLzBfhFzDIykF2ct/QAQ9+ymaEpah2cHrV6QSWqCFdUifl7V5T/oAUE9cS1WGYdhAyEd3KD a8DhYb2pO7p7s4MTSo8PPcFU6xjx8dgo6I7eZT7HW4Z6yLI2++C/ivTA7vECvIRIWxQy6F8SWGG3 Z7NYk4sh3Xn5UcGERw1s1uLOR3qzJvZHzwRyXh3de+XmRreMz9Y8ikGpuYxSBQfICLG1hos5ry16 zCyfdFdnRPKWaLbbEfFb+4qoBxWSHu1NU07USPiXZ1LQjArUawEJRGTY1seAAHPizlAJ7cItEiU+ CIm8TgmixmCyFtN/nqcSerQSfdTPUvhyO0aoFXi1At3NqJ2wzl1JzzzVnG/g8h085k4mY2Ee+/3c cqkL/b4lrX0Ik1nzRe8gvyr6k5HaUFM4hH67cRLIgP5GWm664Yjsql4MUhEKt/YldJbreQjGhsri a8M9tKBkvIbPXIHgJlLVVJKwT9TU0dlui7977tf5GYUFQKc8X0fMCdqSr90ivm/qjE+1RXfIgwMS jvfpqQjaWMZT44Y2qabUtU80LqMfCipQ/u6eL8KfRjQzNthN3P8bWaeATJt00l93wFVB6awOQAAH oOLdMzBWYMYT7cApETN6OcliXfRr2L5XYU4IeavOFBY93htji0wgyw7X4I3vcc3YKgyRkWJGzmBm 2MHBF/F5/v/hM1b/can4se3dhRuSdqSfIvE9S9poTWmMG57CVvw0uReWDPPQ6avWt3SZFaIWFKyG xha+Np/kYpw38OY3VNoMrxCdDyi2sSV4GvlZVF5QWaBPXxMpCvJ7bgm9FNOT8zzwi/35sGCEAicQ EA4wn3IXxA9AZh1gbyZsQP7qYlfOa4oXmqf0NLnQvjriRrfPUTY5+5D1UnM8c95mgWrFgVaG01kB UCBubIecruKAQAYtXPR6u58nGgxNvQdq/pTopuxf0VD/7rzepmOY5zrOEVMpi2T5d03k+KjK0IrI 2Lc6r1vwcL3Pupp2tWWsWmEbQSZt/gNkZMjAKiq8p6FVz9r6mc8od/UEx4infpGE4+Z09oyQ2Giv zMJojprTjx0tFVuEGde/wJG8mOZ+b81DUtwsZZVyW/ZDYzDs12i7ooXjR0uCR9oifLfZC2YruIiv IBC9CgHANnLlq9AeiRNP5VPobJqD83ms7kkWz44SpEODJzxL58OH5ePxiWD6UeF4NBO723uTuc/+ LJSFPlrl8xfDTkC698xwaBYdVDeg6sJmHcJ83LU2qWfbhPwm85Nwk+BRcalI93EOpD12sJ1vxDqL rQDZ57nrDOKgByuMg9HbM+fEczcmBtElwXndWMAlwASYoxsOYYrj2iUiRSi2Yu8bqWMYnteVVXYT Nuhxp18w3RHosST8C07iKs2dMO5fNSIIME2UBjjBXQOIJTMyuyEs1aIM4Lu8dJVK1HInMuMd6L7D fGCkWHWCqDJsqOhSNstiEqFANvjIDV7UdAVUKOrg+1WsgbFwp0jS82Wn/Kl65VD4taiYXkuGDLkQ KFBiSmcYaCk2LshUS6VbZDN5qWc20rEnnB8PN+2zEXQ3ykpw0JlGb9fX0lB1ZUkLKNuEILgbBkDM P+7q8ErLhYFAgNLbt+Pm2KxJRK15hyrK1edCSCpcfFQUfdhlGB0U6BxgRlgZxQo0LD+aFBfW2pBv jMCVQlAwcLgx5bLnMio0y+Q2dVc/YoFI1QviKbrjY4gldLnEq61l+SVb/qJRKOxvrTgcfxuCTHoB Y7Nr9pZeJnid1kkstp5e6D1y751LtuHFjCzijsj+YKQR2fM162WiSUMqw16bPLN01cOAD3rPHCW8 YR5dvEDukwfxU19YfknP6L0Bqp2ixFGc84W3T75PPRTX2yD8rSVM1Gb7i6T7a3YFXo8bQIxo3THV vZyognTIXcHayct4JcsIX8xAFq1wZIm0ygdYuLtO+6tB/osiTUIlbh2MKfaFZT7+1Xlkf4rvls94 iT/gVtkAxqS0eqMCw1KVtxGh6wz302dakn6zmq2NdoItprnn4UOKTYQcsBX47DjtlYWcncI44+1j jbaNay3K7r1Z36wfOkBCzU1RUpYZcQfpmQvkntOQSrMBNTlg2u+hLlenbx0Eae1DYhVtTmVfJUBz nWnqGo89RGDVnF/4uAmcIpWN4FcSrqgghmq2crWXCOO/hAGkO7PlcRUR8T6zmrAbLRU+SfDf46Ep gn/hgdOfIonzyFqbK14KnzcxxzylqK6dQXh8Rao5UVThopjLHsbBm1ez4Yd9/Pc13Jr7sEODGtWH FjrKBMtseWSOnXl8sjZEROnoGDBQw1CZrznjHcmmnMiDhI+2pnd4WIdGWIoiXXnm4UgjU8wUXLY6 myAnhiBGGuN6KpYYMROcAa748v4ViZKDfRHrHA6uDvearo9YRcSXLfU0uiBP6ALmia/LY0P7Nn3f 1yEguujKBgA6yVtIqXFGnqgz7jsV+F22MfB3omSL9qSvoDaXaGEHeK2suPEFOr37AL4zqmxO8fY4 f+YgFZPbvzqLhac7UmuG6R2VTVqQ8a3VFi4Nf0S/3lnM/C6Ni5PQrZ79kt0nUKMyZP0R9iL90CY2 RfguxZS88eiU8ii/EOuE1rJo6hyPaKmpVez9mAbvpOJscxHR5xcDA9noeSBqLlreYKIX+o3Cs/q3 FarH68V/lfVwstAdiLXMqcRSVTpnNy5DznNflZsoZeAjaJpol7yXiASraXe4AHF5kH6RkvNvV6rI GKlZby89gK8TBjS6SNvenYbyPJZHuQVm361Lwr/4u6Qh7vq0Hqmd5dcNg9mPg/G/N4nYQzltvUnC fBGUQzraGez68LfLjB7WFotxRL4CMiVi4Dm3LezqW6AgSybY9jtFGM1xQN0rn0wcxxgC7EXS1fad LgpXVveyfHhPoggazT8CWmsLZc89pW4KIUGrmOzx92i8LFSvdJ6sH+cfXooR163HtF0dJh+cPKV1 vPwacN9LYs20hot7z7VCotH3jkRjKjiwVrwHghCg9EyLoHA6bSCTS+S8fMHuHt3W2zV2QOW/K4my JMLr8bl+mEMCz/UGQ1CecUVR9Ls2ScbaLa3ToEhnE7QwuB9Pn45UVIhWLQaIJeLo07JcKJxk77K3 w4pD6fdvguyw9s4dj4KaWnO0riuetiKJFD8+A7sSiOhhcOtFVG1t0FcqMIhoBEWmOuJVw6LiXehT 4vJaHvvcrb+0zA0TtRFD0FHSK57n/Ntr/5MP94JoYso/NXmEM5ibz15rzsJV4FkKk050vQejFJwo cN6y3jlGO1DeadmktJRG0HbLEcjbyN1WiElSHQviLomgpearkGgz2PevWBHaDOCKb1NYwCefLDlP nGuZq5OWenWqdMuyNqyVjQizTaEiMmzNG0bRsRYb5xHlMabn/wtXAfGJXacTFahCQsbN6QEAgUBg N3WLidLLv2q1wfSbGo+blwOZceJAuEsvy9Bb/4pQ21m3Ak3S4QyWYigZCyepcZIwDCp4NIi0DKR1 u8MB/hp0hpSWnTrLF5YyRTbkPVi5Y+kJDkGxUN87LTSywjhs15auBIF3zb7gfw0TKN1yBVogKp0i F32xFsKeIHq9PnZsYRDXZEnx20ydfS5UCeR4d31P5Guf5/bj50oKnuOlm0n8d3UE+/m8SG38QHrv f6iqHz4Q5IFHdA9Rq4VRSFkwNLtJi7Ijbcvj1dm8rY/dU0FMPBI5m9/y+MECiRclfmM4t2ubENr0 b24NChdfmQELlEZyxeAw02V8h2eVM9TZwx3p56Vk0To+8cyEcYuokPDHRV/jscs/6OGNsTq7f1hH pUI9qqr7Xjh+lm3xmV5SMVh/8m/wrKiFxzU+Gq9iqR/q0iF4JXWq3wvP+qlzZhfNOmQ/ELfWP3Ym o2zWi4Q/I4N661OTMxDTQIKZwzCyj3PWLFPKTP5LSb9D5Im81bd5QsyRwWYe5opfl27zbQnwWOs2 x0JdIXdHeA+NJoFxQXKTFStb3RWIfbkuow8LkQDDg/4rrAmyt6jyfk3lmZAdXGey9ViZcmLcDxZQ 4yt7eL1czfRLI4aJCiiuPmowoFLLRNkcOTHe3e8S9J9526fMM6AJXNUm5YUzoEWr+MfrYuhjHqe8 snUuN9TlC0H8nxTw1KAjlFm0JTrabT05Xqd66C7ztdNyxQNCPYg1HBam6pYxGY+fkZ/kefYZF/ZJ AbGb1A/tC2gWTaLqWoDJm9N6bE5zUopzu1XmC0gb3zX+FV0qQKoTVKOy/6nPKwsfTdqOWhc3L6Eq V5Rj2KFKdwEdzustjU8p+HzAlSoJ2J27hupcZyuGbbLonETLsv888Xe9EdlMqLynLpDsDc+X3oP3 97gfu7IYKowHI7T4SULC7TZ05h3+dprF+adSONYTXso1CxXph12aAUmJgE+M4QDSj6bX/V4BBzcn yITT2M1ooFpkq/rzFeKflWwnXd91fDccpIDimCzFXKDk3SKD/xgV2Cg+6jRo8zb2ezXK9uMMLZkI Q2ovekIgDki11ixNEqlibUEk8ZlsqwMUXJmed8wWfIL9pTT6FVOG+w4+Y8BzW1EEIUmeUPdob2RK IEuy16VRM4HX28DBlKD4nDpYnYBoLsN2hTsRpGjLU8zQn1HyOqO3kdyWL+FMXaQQZ43R9PgFU9gG A2ugNFvw6gKBCDpaVNtxTCLYjpxkeHBfSth8PZtV2VD66UAgY33HeNFOFNxHCTS0U9xGN4G7BP29 zPucvIbUTVRf2Ft1Xhv5OdOEx9ni8Ea3AuOCyLwtVzRpXFwAr5KOsFfB0Xzw1ATli2W96PcVCk9Y RAb6bd+6h0+0jE9XhoeeJK28mCG9otmm0fpXHb7oZ2oOrYDOdT2Q3qfmUEa4J+nQ4VL8j2Wi3gV3 CzO4In/PDePW0/RPg6h2FEPVprlGVRGtg4ld7z9OUrv/jqNwd6Y0CTPLDvlm+WvONCj9JesbilDM p7o13OdoMViRzW4Mr4uGvOf+DnCaKlhLhAu8miS9iHw0PMy/pB/9+UBNA4iHV5IMbelmvGJQJMrL bBWB08yGdMLcfakinP5hdzUg/iv7CZt/hi0/UjkqYylDFKp/IaMiUJnDahBl1tXoU6w3A3wszb5X 6E+yxqbYjW06r6ky0bOi5WVl4Tuz0M9T1sQXxIcn7q3m76LK1QM0UbSYJYz+N5Mj31WIvK9WVzGn Pr6UcyruV/2qsmvW7eVx1JbBx6FNzDKMT+Pote/gB30cAvml9VAxcL6YgyTwl+aGHb+ATQs77cLE 7c/MABllvL5DcqK8spMDt0crkH1vrhQReO5BdMScnscOOTFLEM1D9Aj+9JVNJqPz8QFaTUMK63Wd 4Fcz+MnA0WAl/NBGp0C3/jFWqkUbrZOMp1IqWJgpU4jjqXbBiSHWJ2jdxd8gr5zBraMHb78m8eJ6 Ltj840u9v6N2bGQlg4QKCRjsNGlb5hviFxreQAed2tJI1tKqMV+YMFVqTDkkkJ4o1lMp5Sinv4ut eCqJob28BQyQu0YJDycJf6k8Fy/V5mXh0aCThCr3k1I9QnOpPgBUiL7mYhjGJItOPYKWT5McyqfH YSgl9sbR7Na7XrrgCcD78uV4Qnctvfl4Laky5/N334RPCrocU8LcHTLAiFMmrs4sikGK5uUNoDo3 JubMyMU8lJy3IMqIHYNEYUIaEzb0o4ChoNcP9MlTOv7EoigrXd5Nd231I5WbT1I0XXI24mrlOWjj 9lSuRF2RQArMcaGBm373rSnMNT61iSgIBh4IHKdAlDWc0ssGm7hlbalKfa0bCVozfXvqKtFFi/ZF mKgoZCZiVlIMK+HMIXmq6c4lBnie91iBP5Jh2q5tPA0nhT+ludtRWwsWAH4AbY+CS5dh9GoNvcz1 VMhjQcEZv014ByNb72i1SAKRfMNBSGUbVJwZfHdV9r/pTwFrjoOOZEDE0lBzwX+Wy7kb6xi6DFhI gYCZRc62/onUseEOC2HLMPWTspOa3/oNnue5N+0D7VxkaxGs8hns8KUA+ah5SZbhmR6UwblHves+ zZ3BxKdOZ1DJw2Saz0/IrJgbFj/3FdS+O8miwjUfpw3oB2sW01kYl9Trb1p3E145oL8VvDfeT6Xw aSi8vvOGhQTLabCQOTBBO4nFlOIL2xKFMCydiRGB4tkmALc/bS7jQsLmtPW/Ox4Yzql+c9Y/B2Ce T+27cLy02n2i6Qx6+XobDDwULTAk9tFc2qPzsM4GC5NbiPKSwoF+i2Mp4Bmgbm+9GRzDbrkhs++I y8tJYOPmk+e1iAcsQtdWxXlHdcrhOXY= `protect end_protected
gpl-2.0
6eaeb82aa4cf1ab8e3fda3f63536379f
0.933629
1.869565
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
DataTest/DataContentionTest/DC_CTL.vhd
1
2,331
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:52:59 03/25/2016 -- Design Name: -- Module Name: DC_CTL - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity DC_CTL is Port ( CLK : in STD_LOGIC; RA : in STD_LOGIC_VECTOR (3 downto 0); -- RB : in STD_LOGIC_VECTOR (3 downto 0); RA0 : in STD_LOGIC_VECTOR (3 downto 0); RA1 : in STD_LOGIC_VECTOR (3 downto 0); RA2 : in STD_LOGIC_VECTOR (3 downto 0); -- RB0 : in STD_LOGIC_VECTOR (3 downto 0); -- RB1 : in STD_LOGIC_VECTOR (3 downto 0); -- RB2 : in STD_LOGIC_VECTOR (3 downto 0); -- OPC : in STD_LOGIC_VECTOR (3 downto 0); OP1_SEL : out STD_LOGIC_VECTOR (1 downto 0)); -- OP2_SEL : out STD_LOGIC_VECTOR (1 downto 0)); end DC_CTL; architecture Combinational of DC_CTL is signal OP1 : STD_LOGIC_VECTOR (1 downto 0) := (OTHERS => '0'); begin OP1_SEL <= OP1; -- with OPC select OP1_SEL <= -- "00" when "0101" | "0110" | "0111" | "1000" | "1001" | "1010", -- OP1 when OTHERS; -- with OPC select OP2_SEL <= -- "00" when "0101" | "0110" | "0111" | "1000" | "1001" | "1010", -- OP2 when OTHERS; -- -- OP1 <= "00"; process(RA, RA0, RA1, RA2) begin -- if(CLK'event) then if (RA = RA0) then OP1 <= "01"; elsif (RA = RA1) then OP1 <= "10"; elsif (RA = RA2) then OP1 <= "11"; else OP1 <= "00"; end if; -- if (RB = RA0) then -- OP2 <= "01"; -- elsif (RB = RA1) then -- OP2 <= "10"; -- elsif (RB = RA2) then -- OP2 <= "11"; -- else -- OP2 <= "00"; -- end if; -- end if; -- OP1_SEL <= OP1; end process; end Combinational;
gpl-3.0
db587fa202de54c849ce87cf75a70812
0.520378
2.906484
false
false
false
false
UVVM/UVVM_All
bitvis_vip_spi/src/vvc_methods_pkg.vhd
1
93,969
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; library bitvis_vip_scoreboard; use bitvis_vip_scoreboard.generic_sb_support_pkg.all; use work.spi_bfm_pkg.all; use work.vvc_cmd_pkg.all; use work.td_vvc_framework_common_methods_pkg.all; use work.td_target_support_pkg.all; use work.transaction_pkg.all; --================================================================================================= --================================================================================================= --================================================================================================= package vvc_methods_pkg is --=============================================================================================== -- Types and constants for the SPI VVC --=============================================================================================== constant C_VVC_NAME : string := "SPI_VVC"; signal SPI_VVCT : t_vvc_target_record := set_vvc_target_defaults(C_VVC_NAME); alias THIS_VVCT : t_vvc_target_record is SPI_VVCT; alias t_bfm_config is t_spi_bfm_config; constant C_SPI_INTER_BFM_DELAY_DEFAULT : t_inter_bfm_delay := ( delay_type => NO_DELAY, delay_in_time => 0 ns, inter_bfm_delay_violation_severity => warning ); type t_vvc_config is record inter_bfm_delay : t_inter_bfm_delay; -- Minimum delay between BFM accesses from the VVC. If parameter delay_type is set to NO_DELAY, BFM accesses will be back to back, i.e. no delay. cmd_queue_count_max : natural; -- Maximum pending number in command queue before queue is full. Adding additional commands will result in an ERROR. cmd_queue_count_threshold : natural; -- An alert with severity 'cmd_queue_count_threshold_severity' will be issued if command queue exceeds this count. Used for early warning if command queue is almost full. Will be ignored if set to 0. cmd_queue_count_threshold_severity : t_alert_level; -- Severity of alert to be initiated if exceeding cmd_queue_count_threshold result_queue_count_max : natural; -- Maximum number of unfetched results before result_queue is full. result_queue_count_threshold_severity : t_alert_level; -- An alert with severity 'result_queue_count_threshold_severity' will be issued if command queue exceeds this count. Used for early warning if result queue is almost full. Will be ignored if set to 0. result_queue_count_threshold : natural; -- Severity of alert to be initiated if exceeding result_queue_count_threshold bfm_config : t_spi_bfm_config; -- Configuration for the BFM. See BFM quick reference msg_id_panel : t_msg_id_panel; -- VVC dedicated message ID panel parent_msg_id_panel : t_msg_id_panel; --UVVM: temporary fix for HVVC, remove in v3.0 end record; type t_vvc_config_array is array (natural range <>) of t_vvc_config; constant C_SPI_VVC_CONFIG_DEFAULT : t_vvc_config := ( inter_bfm_delay => C_SPI_INTER_BFM_DELAY_DEFAULT, cmd_queue_count_max => C_CMD_QUEUE_COUNT_MAX, cmd_queue_count_threshold_severity => C_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY, cmd_queue_count_threshold => C_CMD_QUEUE_COUNT_THRESHOLD, result_queue_count_max => C_RESULT_QUEUE_COUNT_MAX, result_queue_count_threshold_severity => C_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY, result_queue_count_threshold => C_RESULT_QUEUE_COUNT_THRESHOLD, bfm_config => C_SPI_BFM_CONFIG_DEFAULT, msg_id_panel => C_VVC_MSG_ID_PANEL_DEFAULT, parent_msg_id_panel => C_VVC_MSG_ID_PANEL_DEFAULT ); type t_vvc_status is record current_cmd_idx : natural; previous_cmd_idx : natural; pending_cmd_cnt : natural; end record; type t_vvc_status_array is array (natural range <>) of t_vvc_status; constant C_VVC_STATUS_DEFAULT : t_vvc_status := ( current_cmd_idx => 0, previous_cmd_idx => 0, pending_cmd_cnt => 0 ); -- Transaction information for the wave view during simulation type t_transaction_info is record operation : t_operation; msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); tx_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); rx_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); num_words : natural; word_length : natural; end record; type t_transaction_info_array is array (natural range <>) of t_transaction_info; constant C_TRANSACTION_INFO_DEFAULT : t_transaction_info := ( tx_data => (others => (others => '0')), rx_data => (others => (others => '0')), data_exp => (others => (others => '0')), num_words => 0, word_length => 0, operation => NO_OPERATION, msg => (others => ' ') ); shared variable shared_spi_vvc_config : t_vvc_config_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_SPI_VVC_CONFIG_DEFAULT); shared variable shared_spi_vvc_status : t_vvc_status_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_VVC_STATUS_DEFAULT); shared variable shared_spi_transaction_info : t_transaction_info_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_TRANSACTION_INFO_DEFAULT); -- Scoreboard package spi_sb_pkg is new bitvis_vip_scoreboard.generic_sb_pkg generic map (t_element => std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0), element_match => std_match, to_string_element => to_string); use spi_sb_pkg.all; shared variable SPI_VVC_SB : spi_sb_pkg.t_generic_sb; --========================================================================================== -- Methods dedicated to this VVC -- - These procedures are called from the testbench in order for the VVC to execute -- BFM calls towards the given interface. The VVC interpreter will queue these calls -- and then the VVC executor will fetch the commands from the queue and handle the -- actual BFM execution. -- For details on how the BFM procedures work, see the QuickRef. --========================================================================================== ---------------------------------------------------------- -- SPI_MASTER ---------------------------------------------------------- -- Single-word procedure spi_master_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant data_routing : in t_data_routing; constant msg : in string; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); procedure spi_master_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant msg : in string; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); -- Multi-word procedure spi_master_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant data_routing : in t_data_routing; constant msg : in string; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); procedure spi_master_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant msg : in string; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); -- Single-word procedure spi_master_transmit_and_check( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant data_exp : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); -- Multi-word procedure spi_master_transmit_and_check( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant data_exp : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); -- Single-word procedure spi_master_transmit_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant msg : in string; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); -- Multi-word procedure spi_master_transmit_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant msg : in string; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); procedure spi_master_receive_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_routing : in t_data_routing; constant msg : in string; constant num_words : in positive := 1; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); procedure spi_master_receive_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant msg : in string; constant num_words : in positive := 1; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); -- Single-word procedure spi_master_check_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_exp : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); -- Multi-word procedure spi_master_check_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_exp : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); ---------------------------------------------------------- -- SPI_SLAVE ---------------------------------------------------------- -- Single-word procedure spi_slave_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant data_routing : in t_data_routing; constant msg : in string; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); procedure spi_slave_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant msg : in string; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); -- Multi-word procedure spi_slave_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant data_routing : in t_data_routing; constant msg : in string; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); procedure spi_slave_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant msg : in string; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); -- Single-word procedure spi_slave_transmit_and_check( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant data_exp : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); -- Multi-word procedure spi_slave_transmit_and_check( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant data_exp : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); -- Single-word procedure spi_slave_transmit_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant msg : in string; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); -- Multi-word procedure spi_slave_transmit_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant msg : in string; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); procedure spi_slave_receive_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_routing : in t_data_routing; constant msg : in string; constant num_words : in positive := 1; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); procedure spi_slave_receive_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant msg : in string; constant num_words : in positive := 1; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); -- Single-word procedure spi_slave_check_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_exp : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); -- Multi-word procedure spi_slave_check_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_exp : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); --============================================================================== -- Transaction info methods --============================================================================== procedure set_global_vvc_transaction_info( signal vvc_transaction_info_trigger : inout std_logic; variable vvc_transaction_info_group : inout t_transaction_group; constant vvc_cmd : in t_vvc_cmd_record; constant vvc_config : in t_vvc_config; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT); procedure reset_vvc_transaction_info( variable vvc_transaction_info_group : inout t_transaction_group; constant vvc_cmd : in t_vvc_cmd_record); --============================================================================== -- VVC Activity --============================================================================== procedure update_vvc_activity_register( signal global_trigger_vvc_activity_register : inout std_logic; variable vvc_status : inout t_vvc_status; constant activity : in t_activity; constant entry_num_in_vvc_activity_register : in integer; constant last_cmd_idx_executed : in natural; constant command_queue_is_empty : in boolean; constant scope : in string := C_VVC_NAME); --============================================================================== -- VVC Scoreboard helper method --============================================================================== function pad_spi_sb( constant data : in std_logic_vector ) return std_logic_vector; end package vvc_methods_pkg; package body vvc_methods_pkg is --============================================================================== -- Methods dedicated to this VVC -- Notes: -- - shared_vvc_cmd is initialised to C_VVC_CMD_DEFAULT, and also reset to this after every command --============================================================================== ---------------------------------------------------------- -- SPI_MASTER ---------------------------------------------------------- -- Single-word procedure spi_master_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant data_routing : in t_data_routing; constant msg : in string; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data'length; variable v_num_words : natural := 1; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- normalize v_normalized_data(0) := normalize_and_check(data, shared_vvc_cmd.data(0), ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- Locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_TRANSMIT_AND_RECEIVE); shared_vvc_cmd.data(0)(v_word_length-1 downto 0) := v_normalized_data(0)(v_word_length-1 downto 0); shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.data_routing := data_routing; shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done; shared_vvc_cmd.action_between_words := RELEASE_LINE_BETWEEN_WORDS; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; procedure spi_master_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant msg : in string; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is begin spi_master_transmit_and_receive(VVCT, vvc_instance_idx, data, NA, msg, action_when_transfer_is_done, scope, parent_msg_id_panel); end procedure; -- Multi-word procedure spi_master_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant data_routing : in t_data_routing; constant msg : in string; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data(0)'length; variable v_num_words : natural := data'length; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- normalize v_normalized_data := normalize_and_check(data, shared_vvc_cmd.data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- Locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_TRANSMIT_AND_RECEIVE); shared_vvc_cmd.data := v_normalized_data; shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.data_routing := data_routing; shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done; shared_vvc_cmd.action_between_words := action_between_words; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; procedure spi_master_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant msg : in string; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is begin spi_master_transmit_and_receive(VVCT, vvc_instance_idx, data, NA, msg, action_when_transfer_is_done, action_between_words, scope, parent_msg_id_panel); end procedure; -- Single-word procedure spi_master_transmit_and_check( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant data_exp : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data'length; variable v_num_words : natural := 1; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_normalized_data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- normalize to t_slv_array v_normalized_data(0) := normalize_and_check(data, shared_vvc_cmd.data(0), ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); v_normalized_data_exp(0) := normalize_and_check(data_exp, shared_vvc_cmd.data_exp(0), ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- Locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_TRANSMIT_AND_CHECK); shared_vvc_cmd.data(0)(v_word_length-1 downto 0) := v_normalized_data(0)(v_word_length-1 downto 0); shared_vvc_cmd.data_exp(0)(v_word_length-1 downto 0) := v_normalized_data_exp(0)(v_word_length-1 downto 0); shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done; shared_vvc_cmd.alert_level := alert_level; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; -- Multi-word procedure spi_master_transmit_and_check( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant data_exp : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data(0)'length; variable v_num_words : natural := data'length; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_normalized_data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- normalize v_normalized_data := normalize_and_check(data, shared_vvc_cmd.data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); v_normalized_data_exp := normalize_and_check(data_exp, shared_vvc_cmd.data_exp, ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- Locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_TRANSMIT_AND_CHECK); shared_vvc_cmd.data := v_normalized_data; shared_vvc_cmd.data_exp := v_normalized_data_exp; shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done; shared_vvc_cmd.action_between_words := action_between_words; shared_vvc_cmd.alert_level := alert_level; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; -- Single-word procedure spi_master_transmit_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant msg : in string; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data'length; variable v_num_words : natural := 1; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- normalize to t_slv_array v_normalized_data(0) := normalize_and_check(data, shared_vvc_cmd.data(0), ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- Locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_TRANSMIT_ONLY); shared_vvc_cmd.data(0)(v_word_length-1 downto 0) := v_normalized_data(0)(v_word_length-1 downto 0); shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; -- Multi-word procedure spi_master_transmit_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant msg : in string; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data(0)'length; variable v_num_words : natural := data'length; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- normalize v_normalized_data := normalize_and_check(data, shared_vvc_cmd.data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- Locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_TRANSMIT_ONLY); shared_vvc_cmd.data := v_normalized_data; shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done; shared_vvc_cmd.action_between_words := action_between_words; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; -- Single-word procedure spi_master_receive_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_routing : in t_data_routing; constant msg : in string; constant num_words : in positive := 1; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- Locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_RECEIVE_ONLY); shared_vvc_cmd.data_routing := data_routing; shared_vvc_cmd.num_words := num_words; shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done; shared_vvc_cmd.action_between_words := action_between_words; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; procedure spi_master_receive_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant msg : in string; constant num_words : in positive := 1; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is begin spi_master_receive_only(VVCT, vvc_instance_idx, NA, msg, num_words, action_when_transfer_is_done, action_between_words, scope, parent_msg_id_panel); end procedure; -- Single-word procedure spi_master_check_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_exp : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data_exp'length; variable v_num_words : natural := 1; variable v_normalized_data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- normalize to t_slv_array v_normalized_data_exp(0) := normalize_and_check(data_exp, shared_vvc_cmd.data_exp(0), ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_CHECK_ONLY); shared_vvc_cmd.data_exp(0)(v_word_length-1 downto 0) := v_normalized_data_exp(0)(v_word_length-1 downto 0); shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done; shared_vvc_cmd.alert_level := alert_level; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; -- Multi-word procedure spi_master_check_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_exp : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data_exp(0)'length; variable v_num_words : natural := data_exp'length; variable v_normalized_data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- normalize v_normalized_data_exp := normalize_and_check(data_exp, shared_vvc_cmd.data_exp, ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_CHECK_ONLY); shared_vvc_cmd.data_exp := v_normalized_data_exp; shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done; shared_vvc_cmd.action_between_words := action_between_words; shared_vvc_cmd.alert_level := alert_level; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; ---------------------------------------------------------- -- SPI_SLAVE ---------------------------------------------------------- -- Single-word procedure spi_slave_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant data_routing : in t_data_routing; constant msg : in string; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data'length; variable v_num_words : natural := 1; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- normalize to t_slv_array v_normalized_data(0) := normalize_and_check(data, shared_vvc_cmd.data(0), ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SLAVE_TRANSMIT_AND_RECEIVE); shared_vvc_cmd.data(0)(v_word_length-1 downto 0) := v_normalized_data(0)(v_word_length-1 downto 0); shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.data_routing := data_routing; shared_vvc_cmd.when_to_start_transfer := when_to_start_transfer; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; procedure spi_slave_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant msg : in string; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is begin spi_slave_transmit_and_receive(VVCT, vvc_instance_idx, data, NA, msg, when_to_start_transfer, scope, parent_msg_id_panel); end procedure; -- Multi-word procedure spi_slave_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant data_routing : in t_data_routing; constant msg : in string; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data(0)'length; variable v_num_words : natural := data'length; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- normalize v_normalized_data := normalize_and_check(data, shared_vvc_cmd.data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SLAVE_TRANSMIT_AND_RECEIVE); shared_vvc_cmd.data := v_normalized_data; shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.data_routing := data_routing; shared_vvc_cmd.when_to_start_transfer := when_to_start_transfer; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; procedure spi_slave_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant msg : in string; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is begin spi_slave_transmit_and_receive(VVCT, vvc_instance_idx, data, NA, msg, when_to_start_transfer, scope, parent_msg_id_panel); end procedure; -- Single-word procedure spi_slave_transmit_and_check( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant data_exp : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data'length; variable v_num_words : natural := 1; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_normalized_data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- normalize to t_slv_array v_normalized_data(0) := normalize_and_check(data, shared_vvc_cmd.data(0), ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); v_normalized_data_exp(0) := normalize_and_check(data_exp, shared_vvc_cmd.data_exp(0), ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SLAVE_TRANSMIT_AND_CHECK); shared_vvc_cmd.data(0)(v_word_length-1 downto 0) := v_normalized_data(0)(v_word_length-1 downto 0); shared_vvc_cmd.data_exp(0)(v_word_length-1 downto 0) := v_normalized_data_exp(0)(v_word_length-1 downto 0); shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.when_to_start_transfer := when_to_start_transfer; shared_vvc_cmd.alert_level := alert_level; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; -- Multi-word procedure spi_slave_transmit_and_check( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant data_exp : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data(0)'length; variable v_num_words : natural := data'length; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_normalized_data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- normalize v_normalized_data := normalize_and_check(data, shared_vvc_cmd.data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); v_normalized_data_exp := normalize_and_check(data_exp, shared_vvc_cmd.data_exp, ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SLAVE_TRANSMIT_AND_CHECK); shared_vvc_cmd.data := v_normalized_data; shared_vvc_cmd.data_exp := v_normalized_data_exp; shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.when_to_start_transfer := when_to_start_transfer; shared_vvc_cmd.alert_level := alert_level; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; -- Single-word procedure spi_slave_transmit_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant msg : in string; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data'length; variable v_num_words : natural := 1; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- normalize to t_slv_array v_normalized_data(0) := normalize_and_check(data, shared_vvc_cmd.data(0), ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SLAVE_TRANSMIT_ONLY); shared_vvc_cmd.data(0)(v_word_length-1 downto 0) := v_normalized_data(0)(v_word_length-1 downto 0); shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.when_to_start_transfer := when_to_start_transfer; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; -- Multi-word procedure spi_slave_transmit_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant msg : in string; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data(0)'length; variable v_num_words : natural := data'length; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- normalize v_normalized_data := normalize_and_check(data, shared_vvc_cmd.data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SLAVE_TRANSMIT_ONLY); shared_vvc_cmd.data := v_normalized_data; shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.when_to_start_transfer := when_to_start_transfer; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; -- Single-word procedure spi_slave_receive_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_routing : in t_data_routing; constant msg : in string; constant num_words : in positive := 1; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SLAVE_RECEIVE_ONLY); shared_vvc_cmd.data_routing := data_routing; shared_vvc_cmd.num_words := num_words; shared_vvc_cmd.when_to_start_transfer := when_to_start_transfer; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; procedure spi_slave_receive_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant msg : in string; constant num_words : in positive := 1; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is begin spi_slave_receive_only(VVCT, vvc_instance_idx, NA, msg, num_words, when_to_start_transfer, scope, parent_msg_id_panel); end procedure; -- Single-word procedure spi_slave_check_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_exp : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data_exp'length; variable v_num_words : natural := 1; variable v_normalized_data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- normalize to t_slv_array v_normalized_data_exp(0) := normalize_and_check(data_exp, shared_vvc_cmd.data_exp(0), ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SLAVE_CHECK_ONLY); --shared_vvc_cmd.data_exp := v_normalized_data_exp; shared_vvc_cmd.data_exp(0)(v_word_length-1 downto 0) := v_normalized_data_exp(0)(v_word_length-1 downto 0); shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.when_to_start_transfer := when_to_start_transfer; shared_vvc_cmd.alert_level := alert_level; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; -- Multi-word procedure spi_slave_check_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_exp : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data_exp(0)'length; variable v_num_words : natural := data_exp'length; variable v_normalized_data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- normalize v_normalized_data_exp := normalize_and_check(data_exp, shared_vvc_cmd.data_exp, ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SLAVE_CHECK_ONLY); shared_vvc_cmd.data_exp := v_normalized_data_exp; shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.when_to_start_transfer := when_to_start_transfer; shared_vvc_cmd.alert_level := alert_level; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; --============================================================================== -- Transaction info methods --============================================================================== procedure set_global_vvc_transaction_info( signal vvc_transaction_info_trigger : inout std_logic; variable vvc_transaction_info_group : inout t_transaction_group; constant vvc_cmd : in t_vvc_cmd_record; constant vvc_config : in t_vvc_config; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT) is begin case vvc_cmd.operation is when MASTER_TRANSMIT_AND_RECEIVE | MASTER_TRANSMIT_AND_CHECK | MASTER_TRANSMIT_ONLY | MASTER_RECEIVE_ONLY | MASTER_CHECK_ONLY | SLAVE_TRANSMIT_AND_RECEIVE | SLAVE_TRANSMIT_AND_CHECK | SLAVE_TRANSMIT_ONLY | SLAVE_RECEIVE_ONLY | SLAVE_CHECK_ONLY => vvc_transaction_info_group.bt.operation := vvc_cmd.operation; vvc_transaction_info_group.bt.data := vvc_cmd.data; vvc_transaction_info_group.bt.data_exp := vvc_cmd.data_exp; vvc_transaction_info_group.bt.num_words := vvc_cmd.num_words; vvc_transaction_info_group.bt.word_length := vvc_cmd.word_length; vvc_transaction_info_group.bt.when_to_start_transfer := vvc_cmd.when_to_start_transfer; vvc_transaction_info_group.bt.action_when_transfer_is_done := vvc_cmd.action_when_transfer_is_done; vvc_transaction_info_group.bt.action_between_words := vvc_cmd.action_between_words; vvc_transaction_info_group.bt.vvc_meta.msg(1 to vvc_cmd.msg'length) := vvc_cmd.msg; vvc_transaction_info_group.bt.vvc_meta.cmd_idx := vvc_cmd.cmd_idx; vvc_transaction_info_group.bt.transaction_status := IN_PROGRESS; gen_pulse(vvc_transaction_info_trigger, 0 ns, "pulsing global vvc transaction info trigger", scope, ID_NEVER); when others => alert(TB_ERROR, "VVC operation not recognized"); end case; wait for 0 ns; end procedure set_global_vvc_transaction_info; procedure reset_vvc_transaction_info( variable vvc_transaction_info_group : inout t_transaction_group; constant vvc_cmd : in t_vvc_cmd_record) is begin case vvc_cmd.operation is when MASTER_TRANSMIT_AND_RECEIVE | MASTER_TRANSMIT_AND_CHECK | MASTER_TRANSMIT_ONLY | MASTER_RECEIVE_ONLY | MASTER_CHECK_ONLY | SLAVE_TRANSMIT_AND_RECEIVE | SLAVE_TRANSMIT_AND_CHECK | SLAVE_TRANSMIT_ONLY | SLAVE_RECEIVE_ONLY | SLAVE_CHECK_ONLY => vvc_transaction_info_group.bt := C_BASE_TRANSACTION_SET_DEFAULT; when others => null; end case; wait for 0 ns; end procedure reset_vvc_transaction_info; --============================================================================== -- VVC Activity --============================================================================== procedure update_vvc_activity_register( signal global_trigger_vvc_activity_register : inout std_logic; variable vvc_status : inout t_vvc_status; constant activity : in t_activity; constant entry_num_in_vvc_activity_register : in integer; constant last_cmd_idx_executed : in natural; constant command_queue_is_empty : in boolean; constant scope : in string := C_VVC_NAME) is variable v_activity : t_activity := activity; begin -- Update vvc_status after a command has finished (during same delta cycle the activity register is updated) if activity = INACTIVE then vvc_status.previous_cmd_idx := last_cmd_idx_executed; vvc_status.current_cmd_idx := 0; end if; if v_activity = INACTIVE and not(command_queue_is_empty) then v_activity := ACTIVE; end if; shared_vvc_activity_register.priv_report_vvc_activity(vvc_idx => entry_num_in_vvc_activity_register, activity => v_activity, last_cmd_idx_executed => last_cmd_idx_executed); if global_trigger_vvc_activity_register /= 'L' then wait until global_trigger_vvc_activity_register = 'L'; end if; gen_pulse(global_trigger_vvc_activity_register, 0 ns, "pulsing global trigger for vvc activity register", scope, ID_NEVER); end procedure; --============================================================================== -- VVC Scoreboard helper method --============================================================================== function pad_spi_sb( constant data : in std_logic_vector ) return std_logic_vector is begin return pad_sb_slv(data, C_VVC_CMD_DATA_MAX_LENGTH); end function pad_spi_sb; end package body vvc_methods_pkg;
mit
0e604ed9d351469cf01b6e35fc983d6c
0.543562
4.035602
false
false
false
false
UVVM/UVVM_All
uvvm_util/src/global_signals_and_shared_variables_pkg.vhd
1
3,133
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.types_pkg.all; use work.adaptations_pkg.all; use work.protected_types_pkg.all; package global_signals_and_shared_variables_pkg is -- Shared variables shared variable shared_initialised_util : boolean := false; shared variable shared_msg_id_panel : t_msg_id_panel := C_MSG_ID_PANEL_DEFAULT; shared variable shared_log_file_name_is_set : boolean := false; shared variable shared_alert_file_name_is_set : boolean := false; shared variable shared_warned_time_stamp_trunc : boolean := false; shared variable shared_alert_attention : t_alert_attention:= C_DEFAULT_ALERT_ATTENTION; shared variable shared_stop_limit : t_alert_counters := C_DEFAULT_STOP_LIMIT; shared variable shared_log_hdr_for_waveview : string(1 to C_LOG_HDR_FOR_WAVEVIEW_WIDTH); shared variable shared_current_log_hdr : t_current_log_hdr; shared variable shared_seed1 : positive; shared variable shared_seed2 : positive; shared variable shared_flag_array : t_sync_flag_record_array(1 to C_NUM_SYNC_FLAGS) := (others => C_SYNC_FLAG_DEFAULT); shared variable protected_semaphore : t_protected_semaphore; shared variable protected_broadcast_semaphore : t_protected_semaphore; shared variable protected_response_semaphore : t_protected_semaphore; shared variable shared_uvvm_status : t_uvvm_status := C_UVVM_STATUS_DEFAULT; shared variable protected_covergroup_status : t_protected_covergroup_status; -- Global signals signal global_trigger : std_logic := 'L'; signal global_barrier : std_logic := 'X'; end package global_signals_and_shared_variables_pkg;
mit
c18eccdc298e306e7af972d0b446872f
0.589531
4.704204
false
false
false
false
UVVM/uvvm_vvc_framework
xConstrRandFuncCov/src/CoveragePkg.vhd
2
210,095
-- -- File Name: CoveragePkg.vhd -- Design Unit Name: CoveragePkg -- Revision: STANDARD VERSION -- -- Maintainer: Jim Lewis email: [email protected] -- Contributor(s): -- Jim Lewis SynthWorks -- Matthias Alles Creonic. Inspired GetMinBinVal, GetMinPoint, GetCov -- Jerry Kaczynski Aldec. Inspired GetBin function -- Sebastian Dunst Inspired GetBinName function -- ... Aldec Worked on VendorCov functional coverage interface -- -- Package Defines -- Functional coverage modeling utilities and data structure -- -- Developed by/for: -- SynthWorks Design Inc. -- VHDL Training Classes -- 11898 SW 128th Ave. Tigard, Or 97223 -- http://www.SynthWorks.com -- -- Latest standard version available at: -- http://www.SynthWorks.com/downloads -- -- Revision History: For more details, see CoveragePkg_release_notes.pdf -- Date Version Description -- 06/2010: 0.1 Initial revision -- 09/2010 Release in SynthWorks' VHDL Testbenches and Verification classes -- 02/2011: 1.0 Changed CoverBinType to facilitage long term support of cross coverage -- 02/2011: 1.1 Added GetMinCov, GetMaxCov, CountCovHoles, GetCovHole -- 04/2011: 2.0 Added protected type based data structure: CovPType -- 06/2011: 2.1 Removed signal based coverage modeling -- 07/2011: 2.2 Added randomization with coverage goals (AtLeast), weight, and percentage thresholds -- 11/2011: 2.2a Changed constants ALL_RANGE, ZERO_BIN, and ONE_BIN to have a 1 index -- 12/2011: 2.2b Fixed minor inconsistencies on interface declarations. -- 01/2012: 2.3 Added Function GetBin from Jerry K. Made write for RangeArrayType visible -- 01/2012: 2.4 Added Merging of bins -- 04/2013: 2013.04 Thresholding, CovTarget, Merging off by default, -- 5/2013 2013.05 Release with updated RandomPkg. Minimal changes. -- 1/2014 2014.01 Merging of Cov Models, LastIndex -- 7/2014 2014.07 Bin Naming (for requirements tracking), WriteBin with Pass/Fail, GenBin[integer_vector] -- 12/2014 2014.07a Fix memory leak in deallocate. Removed initialied pointers which can lead to leaks. -- 01/2015 2015.01 Use AlertLogPkg to count assertions and filter log messages -- 06/2015 2015.06 AddCross[CovMatrix?Type], Mirroring for WriteBin -- 01/2016 2016.01 Fixes for pure functions. Added bounds checking on ICover -- 03/2016 2016.03 Added GetBinName(Index) to retrieve a bin's name -- 11/2016 2016.11 Added VendorCovApiPkg and calls to bind it in. -- 05/2017 2017.05 Updated WriteBin name printing -- ClearCov (deprecates SetCovZero) -- 04/2018 2018.04 Updated PercentCov calculation so AtLeast of <= 0 is correct -- String' Fix for GHDL -- Removed Deprecated procedure Increment - see TbUtilPkg as it moved there -- -- -- Development Notes: -- The coverage procedures are named ICover to avoid conflicts with -- future language changes which may add cover as a keyword -- Procedure WriteBin writes each CovBin on a separate line, as such -- it was inappropriate to overload either textio write or to_string -- In the notes VHDL-2008 notes refers to -- composites with unconstrained elements -- -- -- Copyright (c) 2010 - 2018 by SynthWorks Design Inc. All rights reserved. -- -- Verbatim copies of this source file may be used and -- distributed without restriction. -- -- This source file is free software; you can redistribute it -- and/or modify it under the terms of the ARTISTIC License -- as published by The Perl Foundation; either version 2.0 of -- the License, or (at your option) any later version. -- -- This source is distributed in the hope that it will be -- useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -- PURPOSE. See the Artistic License for details. -- -- You should have received a copy of the license with this source. -- If not download it from, -- http://www.perlfoundation.org/artistic_license_2_0 -- -- Credits: -- CovBinBaseType is inspired by a structure proposed in the -- paper "Functional Coverage - without SystemVerilog!" -- by Alan Fitch and Doug Smith. Presented at DVCon 2010 -- However the approach in their paper uses entities and -- architectures where this approach relies on functions -- and procedures, so the usage models differ greatly however. -- library ieee ; use ieee.std_logic_1164.all ; use ieee.numeric_std.all ; use ieee.math_real.all ; use std.textio.all ; -- comment out following 2 lines with VHDL-2008. Leave in for VHDL-2002 -- library ieee_proposed ; -- remove with VHDL-2008 -- use ieee_proposed.standard_additions.all ; -- remove with VHDL-2008 use work.TextUtilPkg.all ; use work.TranscriptPkg.all ; use work.AlertLogPkg.all ; use work.RandomBasePkg.all ; use work.RandomPkg.all ; use work.NamePkg.all ; use work.MessagePkg.all ; use work.OsvvmGlobalPkg.all ; use work.VendorCovApiPkg.all ; package CoveragePkg is -- CovPType allocates bins that are multiples of MIN_NUM_BINS constant MIN_NUM_BINS : integer := 2**7 ; -- power of 2 type RangeType is record min : integer ; max : integer ; end record ; type RangeArrayType is array (integer range <>) of RangeType ; constant ALL_RANGE : RangeArrayType := (1=>(Integer'left, Integer'right)) ; procedure write ( file f : text ; BinVal : RangeArrayType ) ; procedure write ( variable buf : inout line ; constant BinVal : in RangeArrayType) ; -- CovBinBaseType.action values. -- Note that coverage counting depends on these values constant COV_COUNT : integer := 1 ; constant COV_IGNORE : integer := 0 ; constant COV_ILLEGAL : integer := -1 ; -- type OsvvmOptionsType is (OPT_DEFAULT, FALSE, TRUE) ; alias CovOptionsType is work.OsvvmGlobalPkg.OsvvmOptionsType ; constant COV_OPT_INIT_PARM_DETECT : CovOptionsType := work.OsvvmGlobalPkg.OPT_INIT_PARM_DETECT ; -- For backward compatibility. Don't add to other packages. alias DISABLED is work.OsvvmGlobalPkg.DISABLED [return work.OsvvmGlobalPkg.OsvvmOptionsType ]; alias ENABLED is work.OsvvmGlobalPkg.ENABLED [return work.OsvvmGlobalPkg.OsvvmOptionsType ]; -- Deprecated -- Used for easy manual entry. Order: min, max, action -- Intentionally did not use a record to allow other input -- formats in the future with VHDL-2008 unconstrained arrays -- of unconstrained elements -- type CovBinManualType is array (natural range <>) of integer_vector(0 to 2) ; type CovBinBaseType is record BinVal : RangeArrayType(1 to 1) ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; end record ; type CovBinType is array (natural range <>) of CovBinBaseType ; constant ALL_BIN : CovBinType := (0 => ( BinVal => ALL_RANGE, Action => COV_COUNT, Count => 0, AtLeast => 1, Weight => 1 )) ; constant ALL_COUNT : CovBinType := (0 => ( BinVal => ALL_RANGE, Action => COV_COUNT, Count => 0, AtLeast => 1, Weight => 1 )) ; constant ALL_ILLEGAL : CovBinType := (0 => ( BinVal => ALL_RANGE, Action => COV_ILLEGAL, Count => 0, AtLeast => 0, Weight => 0 )) ; constant ALL_IGNORE : CovBinType := (0 => ( BinVal => ALL_RANGE, Action => COV_IGNORE, Count => 0, AtLeast => 0, Weight => 0 )) ; constant ZERO_BIN : CovBinType := (0 => ( BinVal => (1=>(0,0)), Action => COV_COUNT, Count => 0, AtLeast => 1, Weight => 1 )) ; constant ONE_BIN : CovBinType := (0 => ( BinVal => (1=>(1,1)), Action => COV_COUNT, Count => 0, AtLeast => 1, Weight => 1 )) ; constant NULL_BIN : CovBinType(work.RandomPkg.NULL_RANGE_TYPE) := (others => ( BinVal => ALL_RANGE, Action => integer'high, Count => 0, AtLeast => integer'high, Weight => integer'high )) ; type CountModeType is (COUNT_FIRST, COUNT_ALL) ; type IllegalModeType is (ILLEGAL_ON, ILLEGAL_FAILURE, ILLEGAL_OFF) ; type WeightModeType is (AT_LEAST, WEIGHT, REMAIN, REMAIN_EXP, REMAIN_SCALED, REMAIN_WEIGHT ) ; -- In VHDL-2008 CovMatrix?BaseType and CovMatrix?Type will be subsumed -- by CovBinBaseType and CovBinType with RangeArrayType as an unconstrained array. type CovMatrix2BaseType is record BinVal : RangeArrayType(1 to 2) ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; end record ; type CovMatrix2Type is array (natural range <>) of CovMatrix2BaseType ; type CovMatrix3BaseType is record BinVal : RangeArrayType(1 to 3) ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; end record ; type CovMatrix3Type is array (natural range <>) of CovMatrix3BaseType ; type CovMatrix4BaseType is record BinVal : RangeArrayType(1 to 4) ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; end record ; type CovMatrix4Type is array (natural range <>) of CovMatrix4BaseType ; type CovMatrix5BaseType is record BinVal : RangeArrayType(1 to 5) ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; end record ; type CovMatrix5Type is array (natural range <>) of CovMatrix5BaseType ; type CovMatrix6BaseType is record BinVal : RangeArrayType(1 to 6) ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; end record ; type CovMatrix6Type is array (natural range <>) of CovMatrix6BaseType ; type CovMatrix7BaseType is record BinVal : RangeArrayType(1 to 7) ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; end record ; type CovMatrix7Type is array (natural range <>) of CovMatrix7BaseType ; type CovMatrix8BaseType is record BinVal : RangeArrayType(1 to 8) ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; end record ; type CovMatrix8Type is array (natural range <>) of CovMatrix8BaseType ; type CovMatrix9BaseType is record BinVal : RangeArrayType(1 to 9) ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; end record ; type CovMatrix9Type is array (natural range <>) of CovMatrix9BaseType ; ------------------------------------------------------------ VendorCov -- VendorCov Conversion for Vendor supported functional coverage modeling function ToVendorCovBinVal (BinVal : RangeArrayType) return VendorCovRangeArrayType ; ------------------------------------------------------------ function ToMinPoint (A : RangeArrayType) return integer ; function ToMinPoint (A : RangeArrayType) return integer_vector ; -- BinVal to Minimum Point ------------------------------------------------------------ procedure ToRandPoint( -- BinVal to Random Point -- better as a function, however, inout not supported on functions ------------------------------------------------------------ variable RV : inout RandomPType ; constant BinVal : in RangeArrayType ; variable result : out integer ) ; ------------------------------------------------------------ procedure ToRandPoint( -- BinVal to Random Point ------------------------------------------------------------ variable RV : inout RandomPType ; constant BinVal : in RangeArrayType ; variable result : out integer_vector ) ; ------------------------------------------------------------------------------------------ -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX CovPType XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX CovPType XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX CovPType XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX ------------------------------------------------------------------------------------------ type CovPType is protected procedure FileOpenWriteBin (FileName : string; OpenKind : File_Open_Kind ) ; procedure FileCloseWriteBin ; procedure SetAlertLogID (A : AlertLogIDType) ; procedure SetAlertLogID(Name : string ; ParentID : AlertLogIDType := ALERTLOG_BASE_ID ; CreateHierarchy : Boolean := TRUE) ; impure function GetAlertLogID return AlertLogIDType ; -- procedure FileOpenWriteCovDb (FileName : string; OpenKind : File_Open_Kind ) ; -- procedure FileCloseWriteCovDb ; procedure SetIllegalMode (A : IllegalModeType) ; procedure SetWeightMode (A : WeightModeType; Scale : real := 1.0) ; procedure SetName (Name : String) ; impure function SetName (Name : String) return string ; impure function GetName return String ; impure function GetCovModelName return String ; procedure SetMessage (Message : String) ; procedure DeallocateName ; -- clear name procedure DeallocateMessage ; -- clear message procedure SetThresholding(A : boolean := TRUE ) ; -- 2.5 procedure SetCovThreshold (Percent : real) ; procedure SetCovTarget (Percent : real) ; -- 2.5 impure function GetCovTarget return real ; -- 2.5 procedure SetMerging(A : boolean := TRUE ) ; -- 2.5 procedure SetCountMode (A : CountModeType) ; procedure InitSeed (S : string ) ; impure function InitSeed (S : string ) return string ; procedure InitSeed (I : integer ) ; procedure SetSeed (RandomSeedIn : RandomSeedType ) ; impure function GetSeed return RandomSeedType ; ------------------------------------------------------------ procedure SetReportOptions ( WritePassFail : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteBinInfo : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteCount : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteAnyIllegal : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WritePrefix : string := OSVVM_STRING_INIT_PARM_DETECT ; PassName : string := OSVVM_STRING_INIT_PARM_DETECT ; FailName : string := OSVVM_STRING_INIT_PARM_DETECT ) ; procedure SetBinSize (NewNumBins : integer) ; ------------------------------------------------------------ procedure AddBins ( ------------------------------------------------------------ Name : String ; AtLeast : integer ; Weight : integer ; CovBin : CovBinType ) ; procedure AddBins ( Name : String ; AtLeast : integer ; CovBin : CovBinType ) ; procedure AddBins ( Name : String ; CovBin : CovBinType) ; procedure AddBins ( AtLeast : integer ; Weight : integer ; CovBin : CovBinType ) ; procedure AddBins ( AtLeast : integer ; CovBin : CovBinType ) ; procedure AddBins ( CovBin : CovBinType ) ; ------------------------------------------------------------ procedure AddCross( ------------------------------------------------------------ Name : string ; AtLeast : integer ; Weight : integer ; Bin1, Bin2 : CovBinType ; Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) ; ------------------------------------------------------------ procedure AddCross( Name : string ; AtLeast : integer ; Bin1, Bin2 : CovBinType ; Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) ; ------------------------------------------------------------ procedure AddCross( Name : string ; Bin1, Bin2 : CovBinType ; Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) ; ------------------------------------------------------------ procedure AddCross( AtLeast : integer ; Weight : integer ; Bin1, Bin2 : CovBinType ; Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) ; ------------------------------------------------------------ procedure AddCross( AtLeast : integer ; Bin1, Bin2 : CovBinType ; Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) ; ------------------------------------------------------------ procedure AddCross( Bin1, Bin2 : CovBinType ; Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) ; procedure Deallocate ; procedure ICoverLast ; procedure ICover( CovPoint : integer) ; procedure ICover( CovPoint : integer_vector) ; procedure ClearCov ; procedure SetCovZero ; impure function IsInitialized return boolean ; impure function GetNumBins return integer ; impure function GetMinIndex return integer ; impure function GetMinCov return real ; -- PercentCov impure function GetMinCount return integer ; -- Count impure function GetMaxIndex return integer ; impure function GetMaxCov return real ; -- PercentCov impure function GetMaxCount return integer ; -- Count impure function CountCovHoles ( PercentCov : real ) return integer ; impure function CountCovHoles return integer ; impure function IsCovered return boolean ; impure function IsCovered ( PercentCov : real ) return boolean ; impure function GetCov ( PercentCov : real ) return real ; impure function GetCov return real ; -- PercentCov of entire model/all bins impure function GetItemCount return integer ; impure function GetTotalCovGoal ( PercentCov : real ) return integer ; impure function GetTotalCovGoal return integer ; impure function GetLastIndex return integer ; -- Return BinVal impure function GetBinVal ( BinIndex : integer ) return RangeArrayType ; impure function GetLastBinVal return RangeArrayType ; impure function RandCovBinVal ( PercentCov : real ) return RangeArrayType ; impure function RandCovBinVal return RangeArrayType ; impure function GetMinBinVal return RangeArrayType ; impure function GetMaxBinVal return RangeArrayType ; impure function GetHoleBinVal ( ReqHoleNum : integer ; PercentCov : real ) return RangeArrayType ; impure function GetHoleBinVal ( PercentCov : real ) return RangeArrayType ; impure function GetHoleBinVal ( ReqHoleNum : integer := 1 ) return RangeArrayType ; -- Return Points impure function RandCovPoint return integer ; impure function RandCovPoint ( PercentCov : real ) return integer ; impure function RandCovPoint return integer_vector ; impure function RandCovPoint ( PercentCov : real ) return integer_vector ; impure function GetPoint ( BinIndex : integer ) return integer ; impure function GetPoint ( BinIndex : integer ) return integer_vector ; impure function GetMinPoint return integer ; impure function GetMinPoint return integer_vector ; impure function GetMaxPoint return integer ; impure function GetMaxPoint return integer_vector ; -- GetBin returns an internal value of the coverage data structure -- The return value may change as the package evolves -- Use it only for debugging. -- GetBinInfo is a for development only. impure function GetBinInfo ( BinIndex : integer ) return CovBinBaseType ; impure function GetBinValLength return integer ; impure function GetBin ( BinIndex : integer ) return CovBinBaseType ; impure function GetBin ( BinIndex : integer ) return CovMatrix2BaseType ; impure function GetBin ( BinIndex : integer ) return CovMatrix3BaseType ; impure function GetBin ( BinIndex : integer ) return CovMatrix4BaseType ; impure function GetBin ( BinIndex : integer ) return CovMatrix5BaseType ; impure function GetBin ( BinIndex : integer ) return CovMatrix6BaseType ; impure function GetBin ( BinIndex : integer ) return CovMatrix7BaseType ; impure function GetBin ( BinIndex : integer ) return CovMatrix8BaseType ; impure function GetBin ( BinIndex : integer ) return CovMatrix9BaseType ; impure function GetBinName ( BinIndex : integer; DefaultName : string := "" ) return string ; ------------------------------------------------------------ procedure WriteBin ( WritePassFail : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteBinInfo : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteCount : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteAnyIllegal : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WritePrefix : string := OSVVM_STRING_INIT_PARM_DETECT ; PassName : string := OSVVM_STRING_INIT_PARM_DETECT ; FailName : string := OSVVM_STRING_INIT_PARM_DETECT ) ; ------------------------------------------------------------ procedure WriteBin ( -- With LogLevel LogLevel : LogType ; WritePassFail : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteBinInfo : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteCount : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteAnyIllegal : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WritePrefix : string := OSVVM_STRING_INIT_PARM_DETECT ; PassName : string := OSVVM_STRING_INIT_PARM_DETECT ; FailName : string := OSVVM_STRING_INIT_PARM_DETECT ) ; ------------------------------------------------------------ procedure WriteBin ( FileName : string; OpenKind : File_Open_Kind := APPEND_MODE ; WritePassFail : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteBinInfo : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteCount : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteAnyIllegal : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WritePrefix : string := OSVVM_STRING_INIT_PARM_DETECT ; PassName : string := OSVVM_STRING_INIT_PARM_DETECT ; FailName : string := OSVVM_STRING_INIT_PARM_DETECT ) ; ------------------------------------------------------------ procedure WriteBin ( -- With LogLevel LogLevel : LogType ; FileName : string; OpenKind : File_Open_Kind := APPEND_MODE ; WritePassFail : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteBinInfo : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteCount : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteAnyIllegal : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WritePrefix : string := OSVVM_STRING_INIT_PARM_DETECT ; PassName : string := OSVVM_STRING_INIT_PARM_DETECT ; FailName : string := OSVVM_STRING_INIT_PARM_DETECT ) ; procedure WriteCovHoles ( LogLevel : LogType := ALWAYS ) ; procedure WriteCovHoles ( PercentCov : real ) ; procedure WriteCovHoles ( LogLevel : LogType ; PercentCov : real ) ; procedure WriteCovHoles ( FileName : string; OpenKind : File_Open_Kind := APPEND_MODE ) ; procedure WriteCovHoles ( LogLevel : LogType ; FileName : string; OpenKind : File_Open_Kind := APPEND_MODE ) ; procedure WriteCovHoles ( FileName : string; PercentCov : real ; OpenKind : File_Open_Kind := APPEND_MODE ) ; procedure WriteCovHoles ( LogLevel : LogType ; FileName : string; PercentCov : real ; OpenKind : File_Open_Kind := APPEND_MODE ) ; procedure DumpBin (LogLevel : LogType := DEBUG) ; -- Development only procedure ReadCovDb (FileName : string; Merge : boolean := FALSE) ; procedure WriteCovDb (FileName : string; OpenKind : File_Open_Kind := WRITE_MODE ) ; impure function GetErrorCount return integer ; -- These support usage of cross coverage constants -- Also support the older AddBins(GenCross(...)) methodology -- which has been replaced by AddCross procedure AddCross (CovBin : CovMatrix2Type ; Name : String := "") ; procedure AddCross (CovBin : CovMatrix3Type ; Name : String := "") ; procedure AddCross (CovBin : CovMatrix4Type ; Name : String := "") ; procedure AddCross (CovBin : CovMatrix5Type ; Name : String := "") ; procedure AddCross (CovBin : CovMatrix6Type ; Name : String := "") ; procedure AddCross (CovBin : CovMatrix7Type ; Name : String := "") ; procedure AddCross (CovBin : CovMatrix8Type ; Name : String := "") ; procedure AddCross (CovBin : CovMatrix9Type ; Name : String := "") ; ------------------------------------------------------------ -- Remaining are Deprecated -- -- Deprecated. Replaced by SetName with multi-line support procedure SetItemName (ItemNameIn : String) ; -- deprecated -- Deprecated. Consistency across packages impure function CovBinErrCnt return integer ; -- Deprecated. Due to name changes to promote greater consistency -- Maintained for backward compatibility. -- RandCovHole replaced by RandCovBinVal impure function RandCovHole ( PercentCov : real ) return RangeArrayType ; -- Deprecated impure function RandCovHole return RangeArrayType ; -- Deprecated -- GetCovHole replaced by GetHoleBinVal impure function GetCovHole ( ReqHoleNum : integer ; PercentCov : real ) return RangeArrayType ; impure function GetCovHole ( PercentCov : real ) return RangeArrayType ; impure function GetCovHole ( ReqHoleNum : integer := 1 ) return RangeArrayType ; -- Deprecated/ Subsumed by versions with PercentCov Parameter -- Maintained for backward compatibility only and -- may be removed in the future. impure function GetMinCov return integer ; impure function GetMaxCov return integer ; impure function CountCovHoles ( AtLeast : integer ) return integer ; impure function IsCovered ( AtLeast : integer ) return boolean ; impure function RandCovBinVal ( AtLeast : integer ) return RangeArrayType ; impure function RandCovHole ( AtLeast : integer ) return RangeArrayType ; -- Deprecated impure function RandCovPoint (AtLeast : integer ) return integer ; impure function RandCovPoint (AtLeast : integer ) return integer_vector ; impure function GetHoleBinVal ( ReqHoleNum : integer ; AtLeast : integer ) return RangeArrayType ; impure function GetCovHole ( ReqHoleNum : integer ; AtLeast : integer ) return RangeArrayType ; procedure WriteCovHoles ( AtLeast : integer ) ; procedure WriteCovHoles ( LogLevel : LogType ; AtLeast : integer ) ; procedure WriteCovHoles ( FileName : string; AtLeast : integer ; OpenKind : File_Open_Kind := APPEND_MODE ) ; procedure WriteCovHoles ( LogLevel : LogType ; FileName : string; AtLeast : integer ; OpenKind : File_Open_Kind := APPEND_MODE ) ; -- Replaced by a more appropriately named AddCross procedure AddBins (CovBin : CovMatrix2Type ; Name : String := "") ; procedure AddBins (CovBin : CovMatrix3Type ; Name : String := "") ; procedure AddBins (CovBin : CovMatrix4Type ; Name : String := "") ; procedure AddBins (CovBin : CovMatrix5Type ; Name : String := "") ; procedure AddBins (CovBin : CovMatrix6Type ; Name : String := "") ; procedure AddBins (CovBin : CovMatrix7Type ; Name : String := "") ; procedure AddBins (CovBin : CovMatrix8Type ; Name : String := "") ; procedure AddBins (CovBin : CovMatrix9Type ; Name : String := "") ; end protected CovPType ; ------------------------------------------------------------------------------------------ -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX CovPType XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX CovPType XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX ------------------------------------------------------------------------------------------ ------------------------------------------------------------ -- Experimental. Intended primarily for development. procedure CompareBins ( ------------------------------------------------------------ variable Bin1 : inout CovPType ; variable Bin2 : inout CovPType ; variable ErrorCount : inout integer ) ; ------------------------------------------------------------ -- Experimental. Intended primarily for development. procedure CompareBins ( ------------------------------------------------------------ variable Bin1 : inout CovPType ; variable Bin2 : inout CovPType ) ; -- -- Support for AddBins and AddCross -- ------------------------------------------------------------ function GenBin( ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Min, Max : integer ; NumBin : integer ) return CovBinType ; -- Each item in range in a separate CovBin function GenBin(AtLeast : integer ; Min, Max, NumBin : integer ) return CovBinType ; function GenBin(Min, Max, NumBin : integer ) return CovBinType ; function GenBin(Min, Max : integer) return CovBinType ; function GenBin(A : integer) return CovBinType ; ------------------------------------------------------------ function GenBin( ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; A : integer_vector ) return CovBinType ; function GenBin ( AtLeast : integer ; A : integer_vector ) return CovBinType ; function GenBin ( A : integer_vector ) return CovBinType ; ------------------------------------------------------------ function IllegalBin ( Min, Max, NumBin : integer ) return CovBinType ; ------------------------------------------------------------ -- All items in range in a single CovBin function IllegalBin ( Min, Max : integer ) return CovBinType ; function IllegalBin ( A : integer ) return CovBinType ; -- IgnoreBin should never have an AtLeast parameter ------------------------------------------------------------ function IgnoreBin (Min, Max, NumBin : integer) return CovBinType ; ------------------------------------------------------------ function IgnoreBin (Min, Max : integer) return CovBinType ; -- All items in range in a single CovBin function IgnoreBin (A : integer) return CovBinType ; -- With VHDL-2008, there will be one GenCross that returns CovBinType -- and has inputs initialized to NULL_BIN - see AddCross ------------------------------------------------------------ function GenCross( -- 2 -- Cross existing bins -- Use AddCross for adding values directly to coverage database -- Use GenCross for constants ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2 : CovBinType ) return CovMatrix2Type ; function GenCross(AtLeast : integer ; Bin1, Bin2 : CovBinType) return CovMatrix2Type ; function GenCross(Bin1, Bin2 : CovBinType) return CovMatrix2Type ; ------------------------------------------------------------ function GenCross( -- 3 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3 : CovBinType ) return CovMatrix3Type ; function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3 : CovBinType ) return CovMatrix3Type ; function GenCross( Bin1, Bin2, Bin3 : CovBinType ) return CovMatrix3Type ; ------------------------------------------------------------ function GenCross( -- 4 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4 : CovBinType ) return CovMatrix4Type ; function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4 : CovBinType ) return CovMatrix4Type ; function GenCross( Bin1, Bin2, Bin3, Bin4 : CovBinType ) return CovMatrix4Type ; ------------------------------------------------------------ function GenCross( -- 5 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4, Bin5 : CovBinType ) return CovMatrix5Type ; function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4, Bin5 : CovBinType ) return CovMatrix5Type ; function GenCross( Bin1, Bin2, Bin3, Bin4, Bin5 : CovBinType ) return CovMatrix5Type ; ------------------------------------------------------------ function GenCross( -- 6 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6 : CovBinType ) return CovMatrix6Type ; function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6 : CovBinType ) return CovMatrix6Type ; function GenCross( Bin1, Bin2, Bin3, Bin4, Bin5, Bin6 : CovBinType ) return CovMatrix6Type ; ------------------------------------------------------------ function GenCross( -- 7 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7 : CovBinType ) return CovMatrix7Type ; function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7 : CovBinType ) return CovMatrix7Type ; function GenCross( Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7 : CovBinType ) return CovMatrix7Type ; ------------------------------------------------------------ function GenCross( -- 8 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8 : CovBinType ) return CovMatrix8Type ; function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8 : CovBinType ) return CovMatrix8Type ; function GenCross( Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8 : CovBinType ) return CovMatrix8Type ; ------------------------------------------------------------ function GenCross( -- 9 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9 : CovBinType ) return CovMatrix9Type ; function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9 : CovBinType ) return CovMatrix9Type ; function GenCross( Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9 : CovBinType ) return CovMatrix9Type ; ------------------------------------------------------------ -- Utilities. Remove if added to std.standard function to_integer ( B : boolean ) return integer ; function to_integer ( SL : std_logic ) return integer ; function to_integer_vector ( BV : boolean_vector ) return integer_vector ; function to_integer_vector ( SLV : std_logic_vector ) return integer_vector ; ------------------------------------------------------------ ------------------------------------------------------------ -- Deprecated: These are not part of the coverage model -- procedure increment( signal Count : inout integer ) ; -- procedure increment( signal Count : inout integer ; enable : boolean ) ; -- procedure increment( signal Count : inout integer ; enable : std_ulogic ) ; end package CoveragePkg ; --- /////////////////////////////////////////////////////////////////////////// --- /////////////////////////////////////////////////////////////////////////// --- /////////////////////////////////////////////////////////////////////////// package body CoveragePkg is ------------------------------------------------------------ function inside ( -- package local ------------------------------------------------------------ CovPoint : integer_vector ; BinVal : RangeArrayType ) return boolean is alias iCovPoint : integer_vector(BinVal'range) is CovPoint ; begin for i in BinVal'range loop if not (iCovPoint(i) >= BinVal(i).min and iCovPoint(i) <= BinVal(i).max) then return FALSE ; end if ; end loop ; return TRUE ; end function inside ; ------------------------------------------------------------ function inside ( -- package local, used by InsertBin -- True when BinVal1 is inside BinVal2 ------------------------------------------------------------ BinVal1 : RangeArrayType ; BinVal2 : RangeArrayType ) return boolean is alias iBinVal2 : RangeArrayType(BinVal1'range) is BinVal2 ; begin for i in BinVal1'range loop if not (BinVal1(i).min >= iBinVal2(i).min and BinVal1(i).max <= iBinVal2(i).max) then return FALSE ; end if ; end loop ; return TRUE ; end function inside ; ------------------------------------------------------------ procedure write ( variable buf : inout line ; CovPoint : integer_vector ) is -- package local. called by ICover ------------------------------------------------------------ alias iCovPoint : integer_vector(1 to CovPoint'length) is CovPoint ; begin write(buf, "(" & integer'image(iCovPoint(1)) ) ; for i in 2 to iCovPoint'right loop write(buf, "," & integer'image(iCovPoint(i)) ) ; end loop ; swrite(buf, ")") ; end procedure write ; ------------------------------------------------------------ procedure write ( file f : text ; BinVal : RangeArrayType ) is -- called by WriteBin and WriteCovHoles ------------------------------------------------------------ begin for i in BinVal'range loop if BinVal(i).min = BinVal(i).max then write(f, "(" & integer'image(BinVal(i).min) & ") " ) ; elsif (BinVal(i).min = integer'left) and (BinVal(i).max = integer'right) then write(f, "(ALL) " ) ; else write(f, "(" & integer'image(BinVal(i).min) & " to " & integer'image(BinVal(i).max) & ") " ) ; end if ; end loop ; end procedure write ; ------------------------------------------------------------ procedure write ( -- called by WriteBin and WriteCovHoles ------------------------------------------------------------ variable buf : inout line ; constant BinVal : in RangeArrayType ) is ------------------------------------------------------------ begin for i in BinVal'range loop if BinVal(i).min = BinVal(i).max then write(buf, "(" & integer'image(BinVal(i).min) & ") " ) ; elsif (BinVal(i).min = integer'left) and (BinVal(i).max = integer'right) then swrite(buf, "(ALL) " ) ; else write(buf, "(" & integer'image(BinVal(i).min) & " to " & integer'image(BinVal(i).max) & ") " ) ; end if ; end loop ; end procedure write ; ------------------------------------------------------------ procedure WriteBinVal ( -- package local for now ------------------------------------------------------------ variable buf : inout line ; constant BinVal : in RangeArrayType ) is begin for i in BinVal'range loop write(buf, BinVal(i).min) ; write(buf, ' ') ; write(buf, BinVal(i).max) ; write(buf, ' ') ; end loop ; end procedure WriteBinVal ; ------------------------------------------------------------ -- package local for now procedure read ( -- if public, also create one that does not use valid flag ------------------------------------------------------------ variable buf : inout line ; variable BinVal : out RangeArrayType ; variable Valid : out boolean ) is variable ReadValid : boolean ; begin for i in BinVal'range loop read(buf, BinVal(i).min, ReadValid) ; exit when not ReadValid ; read(buf, BinVal(i).max, ReadValid) ; exit when not ReadValid ; end loop ; Valid := ReadValid ; end procedure read ; ------------------------------------------------------------ function CalcPercentCov( Count : integer ; AtLeast : integer ) return real is -- package local, called by MergeBin, InsertBin, ClearCov, ReadCovDbDatabase ------------------------------------------------------------ variable PercentCov : real ; begin if AtLeast > 0 then return real(Count)*100.0/real(AtLeast) ; elsif AtLeast = 0 then return 100.0 ; else return real'right ; end if ; end function CalcPercentCov ; -- ------------------------------------------------------------ function BinLengths ( -- package local, used by AddCross, GenCross -- ------------------------------------------------------------ Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) return integer_vector is variable result : integer_vector(1 to 20) := (others => 0 ) ; variable i : integer := result'left ; variable Len : integer ; begin loop case i is when 1 => Len := Bin1'length ; when 2 => Len := Bin2'length ; when 3 => Len := Bin3'length ; when 4 => Len := Bin4'length ; when 5 => Len := Bin5'length ; when 6 => Len := Bin6'length ; when 7 => Len := Bin7'length ; when 8 => Len := Bin8'length ; when 9 => Len := Bin9'length ; when 10 => Len := Bin10'length ; when 11 => Len := Bin11'length ; when 12 => Len := Bin12'length ; when 13 => Len := Bin13'length ; when 14 => Len := Bin14'length ; when 15 => Len := Bin15'length ; when 16 => Len := Bin16'length ; when 17 => Len := Bin17'length ; when 18 => Len := Bin18'length ; when 19 => Len := Bin19'length ; when 20 => Len := Bin20'length ; when others => Len := 0 ; end case ; result(i) := Len ; exit when Len = 0 ; i := i + 1 ; exit when i = 21 ; end loop ; return result(1 to (i-1)) ; end function BinLengths ; -- ------------------------------------------------------------ function CalcNumCrossBins ( BinLens : integer_vector ) return integer is -- package local, used by AddCross -- ------------------------------------------------------------ variable result : integer := 1 ; begin for i in BinLens'range loop result := result * BinLens(i) ; end loop ; return result ; end function CalcNumCrossBins ; -- ------------------------------------------------------------ procedure IncBinIndex ( -- package local, used by AddCross -- ------------------------------------------------------------ variable BinIndex : inout integer_vector ; constant BinLens : in integer_vector ) is alias aBinIndex : integer_vector(1 to BinIndex'length) is BinIndex ; alias aBinLens : integer_vector(aBinIndex'range) is BinLens ; begin -- increment right most one, then if overflow, increment next -- assumes bins numbered from 1 to N. - assured by ConcatenateBins for i in aBinIndex'reverse_range loop aBinIndex(i) := aBinIndex(i) + 1 ; exit when aBinIndex(i) <= aBinLens(i) ; aBinIndex(i) := 1 ; end loop ; end procedure IncBinIndex ; -- ------------------------------------------------------------ function ConcatenateBins ( -- package local, used by AddCross and GenCross -- ------------------------------------------------------------ BinIndex : integer_vector ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) return CovBinType is alias aBin1 : CovBinType (1 to Bin1'length) is Bin1 ; alias aBin2 : CovBinType (1 to Bin2'length) is Bin2 ; alias aBin3 : CovBinType (1 to Bin3'length) is Bin3 ; alias aBin4 : CovBinType (1 to Bin4'length) is Bin4 ; alias aBin5 : CovBinType (1 to Bin5'length) is Bin5 ; alias aBin6 : CovBinType (1 to Bin6'length) is Bin6 ; alias aBin7 : CovBinType (1 to Bin7'length) is Bin7 ; alias aBin8 : CovBinType (1 to Bin8'length) is Bin8 ; alias aBin9 : CovBinType (1 to Bin9'length) is Bin9 ; alias aBin10 : CovBinType (1 to Bin10'length) is Bin10 ; alias aBin11 : CovBinType (1 to Bin11'length) is Bin11 ; alias aBin12 : CovBinType (1 to Bin12'length) is Bin12 ; alias aBin13 : CovBinType (1 to Bin13'length) is Bin13 ; alias aBin14 : CovBinType (1 to Bin14'length) is Bin14 ; alias aBin15 : CovBinType (1 to Bin15'length) is Bin15 ; alias aBin16 : CovBinType (1 to Bin16'length) is Bin16 ; alias aBin17 : CovBinType (1 to Bin17'length) is Bin17 ; alias aBin18 : CovBinType (1 to Bin18'length) is Bin18 ; alias aBin19 : CovBinType (1 to Bin19'length) is Bin19 ; alias aBin20 : CovBinType (1 to Bin20'length) is Bin20 ; alias aBinIndex : integer_vector(1 to BinIndex'length) is BinIndex ; variable result : CovBinType(aBinIndex'range) ; begin for i in aBinIndex'range loop case i is when 1 => result(i) := aBin1(aBinIndex(i)) ; when 2 => result(i) := aBin2(aBinIndex(i)) ; when 3 => result(i) := aBin3(aBinIndex(i)) ; when 4 => result(i) := aBin4(aBinIndex(i)) ; when 5 => result(i) := aBin5(aBinIndex(i)) ; when 6 => result(i) := aBin6(aBinIndex(i)) ; when 7 => result(i) := aBin7(aBinIndex(i)) ; when 8 => result(i) := aBin8(aBinIndex(i)) ; when 9 => result(i) := aBin9(aBinIndex(i)) ; when 10 => result(i) := aBin10(aBinIndex(i)) ; when 11 => result(i) := aBin11(aBinIndex(i)) ; when 12 => result(i) := aBin12(aBinIndex(i)) ; when 13 => result(i) := aBin13(aBinIndex(i)) ; when 14 => result(i) := aBin14(aBinIndex(i)) ; when 15 => result(i) := aBin15(aBinIndex(i)) ; when 16 => result(i) := aBin16(aBinIndex(i)) ; when 17 => result(i) := aBin17(aBinIndex(i)) ; when 18 => result(i) := aBin18(aBinIndex(i)) ; when 19 => result(i) := aBin19(aBinIndex(i)) ; when 20 => result(i) := aBin20(aBinIndex(i)) ; when others => -- pure functions cannot use alert and/or print report "CoveragePkg.AddCross: More than 20 bins not supported" severity FAILURE ; end case ; end loop ; return result ; end function ConcatenateBins ; ------------------------------------------------------------ function MergeState( CrossBins : CovBinType) return integer is -- package local, Used by AddCross, GenCross ------------------------------------------------------------ variable resultState : integer ; begin resultState := COV_COUNT ; for i in CrossBins'range loop if CrossBins(i).action = COV_ILLEGAL then return COV_ILLEGAL ; end if ; if CrossBins(i).action = COV_IGNORE then resultState := COV_IGNORE ; end if ; end loop ; return resultState ; end function MergeState ; ------------------------------------------------------------ function MergeBinVal( CrossBins : CovBinType) return RangeArrayType is -- package local, Used by AddCross, GenCross ------------------------------------------------------------ alias aCrossBins : CovBinType(1 to CrossBins'length) is CrossBins ; variable BinVal : RangeArrayType(aCrossBins'range) ; begin for i in aCrossBins'range loop BinVal(i to i) := aCrossBins(i).BinVal ; end loop ; return BinVal ; end function MergeBinVal ; ------------------------------------------------------------ function MergeAtLeast( -- package local, Used by AddCross, GenCross ------------------------------------------------------------ Action : in integer ; AtLeast : in integer ; CrossBins : in CovBinType ) return integer is variable Result : integer := AtLeast ; begin if Action /= COV_COUNT then return 0 ; end if ; for i in CrossBins'range loop if CrossBins(i).Action = Action then Result := maximum (Result, CrossBins(i).AtLeast) ; end if ; end loop ; return result ; end function MergeAtLeast ; ------------------------------------------------------------ function MergeWeight( -- package local, Used by AddCross, GenCross ------------------------------------------------------------ Action : in integer ; Weight : in integer ; CrossBins : in CovBinType ) return integer is variable Result : integer := Weight ; begin if Action /= COV_COUNT then return 0 ; end if ; for i in CrossBins'range loop if CrossBins(i).Action = Action then Result := maximum (Result, CrossBins(i).Weight) ; end if ; end loop ; return result ; end function MergeWeight ; ------------------------------------------------------------ VendorCov -- VendorCov Conversion for Vendor supported functional coverage modeling function ToVendorCovBinVal (BinVal : RangeArrayType) return VendorCovRangeArrayType is ------------------------------------------------------------ variable VendorCovBinVal : VendorCovRangeArrayType(BinVal'range); begin -- VendorCov for ArrIdx in BinVal'LEFT to BinVal'RIGHT loop -- VendorCov VendorCovBinVal(ArrIdx) := (min => BinVal(ArrIdx).min, -- VendorCov max => BinVal(ArrIdx).max) ; -- VendorCov end loop; -- VendorCov return VendorCovBinVal ; end function ToVendorCovBinVal ; ------------------------------------------------------------ function ToMinPoint (A : RangeArrayType) return integer is -- Used in testing ------------------------------------------------------------ begin return A(A'left).min ; end function ToMinPoint ; ------------------------------------------------------------ function ToMinPoint (A : RangeArrayType) return integer_vector is -- Used in testing ------------------------------------------------------------ variable result : integer_vector(A'range) ; begin for i in A'range loop result(i) := A(i).min ; end loop ; return result ; end function ToMinPoint ; ------------------------------------------------------------ procedure ToRandPoint( ------------------------------------------------------------ variable RV : inout RandomPType ; constant BinVal : in RangeArrayType ; variable result : out integer ) is begin result := RV.RandInt(BinVal(BinVal'left).min, BinVal(BinVal'left).max) ; end procedure ToRandPoint ; ------------------------------------------------------------ procedure ToRandPoint( ------------------------------------------------------------ variable RV : inout RandomPType ; constant BinVal : in RangeArrayType ; variable result : out integer_vector ) is variable VectorVal : integer_vector(BinVal'range) ; begin for i in BinVal'range loop VectorVal(i) := RV.RandInt(BinVal(i).min, BinVal(i).max) ; end loop ; result := VectorVal ; end procedure ToRandPoint ; ------------------------------------------------------------ -- Local. Get first word from a string function GetWord (Message : string) return string is ------------------------------------------------------------ alias aMessage : string( 1 to Message'length) is Message ; begin for i in aMessage'range loop if aMessage(i) = ' ' or aMessage(i) = HT then return aMessage(1 to i-1) ; end if ; end loop ; return aMessage ; end function GetWord ; ------------------------------------------------------------ -- Local -- long term move to MessagePkg? Used by WriteCovDb procedure WriteMessage ( file f : text ; variable Message : inout MessagePType ) is ------------------------------------------------------------ variable buf : line ; begin for i in 1 to Message.GetCount loop write(buf, string'(Message.Get(i))) ; writeline(f, buf) ; end loop ; end procedure WriteMessage ; ------------------------------------------------------------------------------------------ -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX CovPType XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX CovPType XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX CovPType XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX ------------------------------------------------------------------------------------------ type CovPType is protected body -- Name Data Structure variable CovNameVar : NamePType ; variable CovMessageVar : MessagePType ; -- Handle into Vendor Data Structure -- VendorCov variable VendorCovHandleVar : VendorCovHandleType := 0 ; -- VendorCov -- CoverageBin Data Structures type RangeArrayPtrType is access RangeArrayType ; type CovBinBaseTempType is record BinVal : RangeArrayPtrType ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; PercentCov : real ; OrderCount : integer ; Name : line ; end record CovBinBaseTempType ; type CovBinTempType is array (natural range <>) of CovBinBaseTempType ; type CovBinPtrType is access CovBinTempType ; variable CovBinPtr : CovBinPtrType ; variable NumBins : integer := 0 ; variable BinValLength : integer := 1 ; variable OrderCount : integer := 0 ; -- for statistics variable ItemCount : integer := 0 ; -- Count of randomizations variable LastIndex : integer := 1 ; -- Index of last randomization -- Internal Modes and Names variable IllegalMode : IllegalModeType := ILLEGAL_ON ; variable IllegalModeLevel : AlertType := ERROR ; variable WeightMode : WeightModeType := AT_LEAST ; variable WeightScale : real := 1.0 ; variable ThresholdingEnable : boolean := FALSE ; -- thresholding disabled by default variable CovThreshold : real := 45.0 ; variable CovTarget : real := 100.0 ; variable MergingEnable : boolean := FALSE ; -- merging disabled by default variable CountMode : CountModeType := COUNT_FIRST ; -- Randomization Variable variable RV : RandomPType ; variable RvSeedInit : boolean := FALSE ; file WriteBinFile : text ; variable WriteBinFileInit : boolean := FALSE ; variable UsingLocalFile : boolean := FALSE ; variable AlertLogIDVar : AlertLogIDType := OSVVM_ALERTLOG_ID ; -- file WriteCovDbFile : text ; -- variable WriteCovDbFileInit : boolean := FALSE ; -- Local WriteBin and WriteCovHoles formatting settings, defaults determined by CoverageGlobals variable WritePassFailVar : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; variable WriteBinInfoVar : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; variable WriteCountVar : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; variable WriteAnyIllegalVar : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; variable WritePrefixVar : NamePType ; variable PassNameVar : NamePType ; variable FailNameVar : NamePType ; ------------------------------------------------------------ procedure FileOpenWriteBin (FileName : string; OpenKind : File_Open_Kind ) is ------------------------------------------------------------ begin WriteBinFileInit := TRUE ; file_open( WriteBinFile , FileName , OpenKind ); end procedure FileOpenWriteBin ; ------------------------------------------------------------ procedure FileCloseWriteBin is ------------------------------------------------------------ begin WriteBinFileInit := FALSE ; file_close( WriteBinFile) ; end procedure FileCloseWriteBin ; ------------------------------------------------------------ procedure SetAlertLogID (A : AlertLogIDType) is ------------------------------------------------------------ begin AlertLogIDVar := A ; end procedure SetAlertLogID ; ------------------------------------------------------------ procedure SetAlertLogID(Name : string ; ParentID : AlertLogIDType := ALERTLOG_BASE_ID ; CreateHierarchy : Boolean := TRUE) is ------------------------------------------------------------ begin AlertLogIDVar := GetAlertLogID(Name, ParentID, CreateHierarchy) ; if not RvSeedInit then -- Init seed if not initialized RV.InitSeed(Name) ; RvSeedInit := TRUE ; end if ; end procedure SetAlertLogID ; ------------------------------------------------------------ impure function GetAlertLogID return AlertLogIDType is ------------------------------------------------------------ begin return AlertLogIDVar ; end function GetAlertLogID ; -- ------------------------------------------------------------ -- procedure FileOpen (FileName : string; OpenKind : File_Open_Kind ) is -- ------------------------------------------------------------ -- begin -- WriteCovDbFileInit := TRUE ; -- file_open( WriteCovDbFile , FileName , OpenKind ); -- end procedure FileOpenWriteCovDb ; -- -- ------------------------------------------------------------ -- procedure FileCloseWriteCovDb is -- ------------------------------------------------------------ -- begin -- WriteCovDbFileInit := FALSE ; -- file_close( WriteCovDbFile ); -- end procedure FileCloseWriteCovDb ; ------------------------------------------------------------ procedure SetName (Name : String) is ------------------------------------------------------------ begin CovNameVar.Set(Name) ; -- Update if name updated after model created -- VendorCov if IsInitialized then -- VendorCov VendorCovSetName(VendorCovHandleVar, Name) ; -- VendorCov end if ; -- VendorCov if not RvSeedInit then -- Init seed if not initialized RV.InitSeed(Name) ; RvSeedInit := TRUE ; end if ; end procedure SetName ; ------------------------------------------------------------ impure function SetName (Name : String) return string is ------------------------------------------------------------ begin SetName(Name) ; -- call procedure above return Name ; end function SetName ; ------------------------------------------------------------ impure function GetName return String is ------------------------------------------------------------ begin return CovNameVar.Get("") ; end function GetName ; ------------------------------------------------------------ impure function GetCovModelName return String is ------------------------------------------------------------ begin if CovNameVar.IsSet then -- return Name if set return CovNameVar.Get ; elsif AlertLogIDVar /= OSVVM_ALERTLOG_ID then -- otherwise return AlertLogName if it is set return GetAlertLogName(AlertLogIDVar) ; elsif CovMessageVar.IsSet then -- otherwise Get the first word of the Message if it is set return GetWord(string'(CovMessageVar.Get(1))) ; else return "" ; end if ; end function GetCovModelName ; ------------------------------------------------------------ impure function GetNamePlus(prefix, suffix : string) return String is ------------------------------------------------------------ begin if CovNameVar.IsSet then -- return Name if set return prefix & CovNameVar.Get & suffix ; elsif AlertLogIDVar = OSVVM_ALERTLOG_ID and CovMessageVar.IsSet then -- If AlertLogID not set, then use Message return prefix & GetWord(string'(CovMessageVar.Get(1))) & suffix ; else return "" ; end if ; end function GetNamePlus ; ------------------------------------------------------------ procedure SetMessage (Message : String) is ------------------------------------------------------------ begin CovMessageVar.Set(Message) ; -- VendorCov update if name updated after model created if IsInitialized then -- VendorCov -- Refine this? If CovNameVar or AlertLogIDName is set, -- VendorCov -- it may be set to the same name again. -- VendorCov VendorCovSetName(VendorCovHandleVar, GetCovModelName) ; -- VendorCov end if ; -- VendorCov if not RvSeedInit then -- Init seed if not initialized RV.InitSeed(Message) ; RvSeedInit := TRUE ; end if ; end procedure SetMessage ; ------------------------------------------------------------ procedure SetIllegalMode (A : IllegalModeType) is ------------------------------------------------------------ begin IllegalMode := A ; if IllegalMode = ILLEGAL_FAILURE then IllegalModeLevel := FAILURE ; else IllegalModeLevel := ERROR ; end if ; end procedure SetIllegalMode ; ------------------------------------------------------------ procedure SetWeightMode (A : WeightModeType; Scale : real := 1.0) is ------------------------------------------------------------ variable buf : line ; begin WeightMode := A ; WeightScale := Scale ; if (WeightMode = REMAIN_EXP) and (WeightScale > 2.0) then Alert(AlertLogIDVar, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.SetWeightMode:" & " WeightScale > 2.0 and large Counts can cause RandCovPoint to fail due to integer values out of range", WARNING) ; end if ; if (WeightScale < 1.0) and (WeightMode = REMAIN_WEIGHT or WeightMode = REMAIN_SCALED) then Alert(AlertLogIDVar, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.SetWeightMode:" & " WeightScale must be > 1.0 when WeightMode = REMAIN_WEIGHT or WeightMode = REMAIN_SCALED", FAILURE) ; WeightScale := 1.0 ; end if; if WeightScale <= 0.0 then Alert(AlertLogIDVar, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.SetWeightMode:" & " WeightScale must be > 0.0", FAILURE) ; WeightScale := 1.0 ; end if; end procedure SetWeightMode ; ------------------------------------------------------------ -- pt local for now -- file formal parameter not allowed with a public method procedure WriteBinName ( file f : text ; S : string ; Prefix : string := "%% " ) is ------------------------------------------------------------ variable MessageCount : integer ; variable MessageIndex : integer := 1 ; variable buf : line ; begin MessageCount := CovMessageVar.GetCount ; if MessageCount = 0 then write(buf, Prefix & S & GetCovModelName) ; -- Print name when no message writeline(f, buf) ; else if CovNameVar.IsSet then -- Print Name if set write(buf, Prefix & S & CovNameVar.Get) ; elsif AlertLogIDVar /= OSVVM_ALERTLOG_ID then -- otherwise Print AlertLogName if it is set write(buf, Prefix & S & string'(GetAlertLogName(AlertLogIDVar)) ) ; else -- otherwise print the first line of the message MessageIndex := 2 ; write(buf, Prefix & S & string'(CovMessageVar.Get(1))) ; end if ; writeline(f, buf) ; for i in MessageIndex to MessageCount loop write(buf, Prefix & string'(CovMessageVar.Get(i))) ; writeline(f, buf) ; end loop ; end if ; end procedure WriteBinName ; ------------------------------------------------------------ procedure DeallocateMessage is ------------------------------------------------------------ begin CovMessageVar.Deallocate ; end procedure DeallocateMessage ; ------------------------------------------------------------ procedure DeallocateName is ------------------------------------------------------------ begin CovNameVar.Clear ; end procedure DeallocateName ; ------------------------------------------------------------ procedure SetThresholding (A : boolean := TRUE ) is ------------------------------------------------------------ begin ThresholdingEnable := A ; end procedure SetThresholding ; ------------------------------------------------------------ procedure SetCovThreshold (Percent : real) is ------------------------------------------------------------ begin ThresholdingEnable := TRUE ; if Percent >= 0.0 then CovThreshold := Percent + 0.0001 ; -- used in less than else CovThreshold := 0.0001 ; -- used in less than Alert(AlertLogIDVar, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.SetCovThreshold:" & " Invalid Threshold Value " & real'image(Percent), FAILURE) ; end if ; end procedure SetCovThreshold ; ------------------------------------------------------------ procedure SetCovTarget (Percent : real) is ------------------------------------------------------------ begin CovTarget := Percent ; end procedure SetCovTarget ; ------------------------------------------------------------ impure function GetCovTarget return real is ------------------------------------------------------------ begin return CovTarget ; end function GetCovTarget ; ------------------------------------------------------------ procedure SetMerging (A : boolean := TRUE ) is ------------------------------------------------------------ begin MergingEnable := A ; end procedure SetMerging ; ------------------------------------------------------------ procedure SetCountMode (A : CountModeType) is ------------------------------------------------------------ begin CountMode := A ; end procedure SetCountMode ; ------------------------------------------------------------ procedure InitSeed (S : string ) is ------------------------------------------------------------ begin RV.InitSeed(S) ; RvSeedInit := TRUE ; end procedure InitSeed ; ------------------------------------------------------------ impure function InitSeed (S : string ) return string is ------------------------------------------------------------ begin RV.InitSeed(S) ; RvSeedInit := TRUE ; return S ; end function InitSeed ; ------------------------------------------------------------ procedure InitSeed (I : integer ) is ------------------------------------------------------------ begin RV.InitSeed(I) ; RvSeedInit := TRUE ; end procedure InitSeed ; ------------------------------------------------------------ procedure SetSeed (RandomSeedIn : RandomSeedType ) is ------------------------------------------------------------ begin RV.SetSeed(RandomSeedIn) ; RvSeedInit := TRUE ; end procedure SetSeed ; ------------------------------------------------------------ impure function GetSeed return RandomSeedType is ------------------------------------------------------------ begin return RV.GetSeed ; end function GetSeed ; ------------------------------------------------------------ procedure SetReportOptions ( ------------------------------------------------------------ WritePassFail : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteBinInfo : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteCount : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteAnyIllegal : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WritePrefix : string := OSVVM_STRING_INIT_PARM_DETECT ; PassName : string := OSVVM_STRING_INIT_PARM_DETECT ; FailName : string := OSVVM_STRING_INIT_PARM_DETECT ) is begin if WritePassFail /= COV_OPT_INIT_PARM_DETECT then WritePassFailVar := WritePassFail ; end if ; if WriteBinInfo /= COV_OPT_INIT_PARM_DETECT then WriteBinInfoVar := WriteBinInfo ; end if ; if WriteCount /= COV_OPT_INIT_PARM_DETECT then WriteCountVar := WriteCount ; end if ; if WriteAnyIllegal /= COV_OPT_INIT_PARM_DETECT then WriteAnyIllegalVar := WriteAnyIllegal ; end if ; if WritePrefix /= OSVVM_STRING_INIT_PARM_DETECT then WritePrefixVar.Set(WritePrefix) ; end if ; if PassName /= OSVVM_STRING_INIT_PARM_DETECT then PassNameVar.Set(PassName) ; end if ; if FailName /= OSVVM_STRING_INIT_PARM_DETECT then FailNameVar.Set(FailName) ; end if ; end procedure SetReportOptions ; ------------------------------------------------------------ procedure SetBinSize (NewNumBins : integer) is -- Sets a CovBin to a particular size -- Use for small bins to save space or large bins to -- suppress the resize and copy as a CovBin autosizes. ------------------------------------------------------------ variable oldCovBinPtr : CovBinPtrType ; begin if CovBinPtr = NULL then CovBinPtr := new CovBinTempType(1 to NewNumBins) ; elsif NewNumBins > CovBinPtr'length then -- make message bigger oldCovBinPtr := CovBinPtr ; CovBinPtr := new CovBinTempType(1 to NewNumBins) ; CovBinPtr.all(1 to NumBins) := oldCovBinPtr.all(1 to NumBins) ; deallocate(oldCovBinPtr) ; end if ; end procedure SetBinSize ; ------------------------------------------------------------ -- pt local procedure CheckBinValLength( CurBinValLength : integer ; Caller : string ) is begin if NumBins = 0 then BinValLength := CurBinValLength ; -- number of points in cross else AlertIf(AlertLogIDVar, BinValLength /= CurBinValLength, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg." & Caller & ":" & " Cross coverage bins of different dimensions prohibited", FAILURE) ; end if; end procedure CheckBinValLength ; ------------------------------------------------------------ -- pt local impure function NormalizeNumBins( ReqNumBins : integer ) return integer is variable NormNumBins : integer := MIN_NUM_BINS ; begin while NormNumBins < ReqNumBins loop NormNumBins := NormNumBins + MIN_NUM_BINS ; end loop ; return NormNumBins ; end function NormalizeNumBins ; ------------------------------------------------------------ -- pt local procedure GrowBins (ReqNumBins : integer) is variable oldCovBinPtr : CovBinPtrType ; variable NewNumBins : integer ; begin NewNumBins := NumBins + ReqNumBins ; if CovBinPtr = NULL then CovBinPtr := new CovBinTempType(1 to NormalizeNumBins(NewNumBins)) ; elsif NewNumBins > CovBinPtr'length then -- make message bigger oldCovBinPtr := CovBinPtr ; CovBinPtr := new CovBinTempType(1 to NormalizeNumBins(NewNumBins)) ; CovBinPtr.all(1 to NumBins) := oldCovBinPtr.all(1 to NumBins) ; deallocate(oldCovBinPtr) ; end if ; end procedure GrowBins ; ------------------------------------------------------------ -- pt local, called by InsertBin -- Finds index of bin if it is inside an existing bins procedure FindBinInside( BinVal : RangeArrayType ; Position : out integer ; FoundInside : out boolean ) is begin Position := NumBins + 1 ; FoundInside := FALSE ; FindLoop : for i in NumBins downto 1 loop -- skip this CovBin if CovPoint is not in it next FindLoop when not inside(BinVal, CovBinPtr(i).BinVal.all) ; Position := i ; FoundInside := TRUE ; exit ; end loop ; end procedure FindBinInside ; ------------------------------------------------------------ -- pt local -- Inserts values into a new bin. -- Called by InsertBin procedure InsertNewBin( BinVal : RangeArrayType ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; Name : string ; PercentCov : real ) is begin if (not IsInitialized) then -- VendorCov if (BinVal'length > 1) then -- Cross Bin -- VendorCov VendorCovHandleVar := VendorCovCrossCreate(GetCovModelName) ; -- VendorCov else -- VendorCov VendorCovHandleVar := VendorCovPointCreate(GetCovModelName); -- VendorCov end if; -- VendorCov end if; -- VendorCov VendorCovBinAdd(VendorCovHandleVar, ToVendorCovBinVal(BinVal), Action, AtLeast, Name) ; -- VendorCov NumBins := NumBins + 1 ; CovBinPtr.all(NumBins).BinVal := new RangeArrayType'(BinVal) ; CovBinPtr.all(NumBins).Action := Action ; CovBinPtr.all(NumBins).Count := Count ; CovBinPtr.all(NumBins).AtLeast := AtLeast ; CovBinPtr.all(NumBins).Weight := Weight ; CovBinPtr.all(NumBins).Name := new String'(Name) ; CovBinPtr.all(NumBins).PercentCov := PercentCov ; CovBinPtr.all(NumBins).OrderCount := 0 ; --- Metrics for evaluating randomization order Temp end procedure InsertNewBin ; ------------------------------------------------------------ -- pt local -- Inserts values into a new bin. -- Called by InsertBin procedure MergeBin ( Position : Natural ; Count : integer ; AtLeast : integer ; Weight : integer ) is begin CovBinPtr.all(Position).Count := CovBinPtr.all(Position).Count + Count ; CovBinPtr.all(Position).AtLeast := CovBinPtr.all(Position).AtLeast + AtLeast ; CovBinPtr.all(Position).Weight := CovBinPtr.all(Position).Weight + Weight ; CovBinPtr.all(Position).PercentCov := CalcPercentCov( Count => CovBinPtr.all(Position).Count, AtLeast => CovBinPtr.all(Position).AtLeast ) ; end procedure MergeBin ; ------------------------------------------------------------ -- pt local -- All insertion comes here -- Enforces the general insertion use model: -- Earlier bins supercede later bins - except with COUNT_ALL -- Add Illegal and Ignore bins first to remove regions of larger count bins -- Later ignore bins can be used to miss an illegal catch-all -- Add Illegal bins last as a catch-all to find things that missed other bins procedure InsertBin( BinVal : RangeArrayType ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; Name : string ) is variable Position : integer ; variable FoundInside : boolean ; variable PercentCov : real ; begin PercentCov := CalcPercentCov(Count => Count, AtLeast => AtLeast) ; if not MergingEnable then InsertNewBin(BinVal, Action, Count, AtLeast, Weight, Name, PercentCov) ; else -- handle merging -- future optimization, FindBinInside only checks against Ignore and Illegal bins FindBinInside(BinVal, Position, FoundInside) ; if not FoundInside then InsertNewBin(BinVal, Action, Count, AtLeast, Weight, Name, PercentCov) ; elsif Action = COV_COUNT then -- when check only ignore and illegal bins, only action is to drop if CovBinPtr.all(Position).Action /= COV_COUNT then null ; -- drop count bin when it is inside a Illegal or Ignore bin elsif CovBinPtr.all(Position).BinVal.all = BinVal and CovBinPtr.all(Position).Name.all = Name then -- Bins match, so merge the count values MergeBin (Position, Count, AtLeast, Weight) ; else -- Bins overlap, but do not match, insert new bin InsertNewBin(BinVal, Action, Count, AtLeast, Weight, Name, PercentCov) ; end if; elsif Action = COV_IGNORE then -- when check only ignore and illegal bins, only action is to report error if CovBinPtr.all(Position).Action = COV_COUNT then InsertNewBin(BinVal, Action, Count, AtLeast, Weight, Name, PercentCov) ; else Alert(AlertLogIDVar, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.InsertBin (AddBins/AddCross):" & " ignore bin dropped. It is a subset of prior bin", ERROR) ; end if; elsif Action = COV_ILLEGAL then -- when check only ignore and illegal bins, only action is to report error if CovBinPtr.all(Position).Action = COV_COUNT then InsertNewBin(BinVal, Action, Count, AtLeast, Weight, Name, PercentCov) ; else Alert(AlertLogIDVar, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.InsertBin (AddBins/AddCross):" & " illegal bin dropped. It is a subset of prior bin", ERROR) ; end if; end if ; end if ; -- merging enabled end procedure InsertBin ; ------------------------------------------------------------ procedure AddBins ( ------------------------------------------------------------ Name : String ; AtLeast : integer ; Weight : integer ; CovBin : CovBinType ) is variable calcAtLeast : integer ; variable calcWeight : integer ; begin CheckBinValLength( 1, "AddBins") ; GrowBins(CovBin'length) ; for i in CovBin'range loop if CovBin(i).Action = COV_COUNT then calcAtLeast := maximum(AtLeast, CovBin(i).AtLeast) ; calcWeight := maximum(Weight, CovBin(i).Weight) ; else calcAtLeast := 0 ; calcWeight := 0 ; end if ; InsertBin( BinVal => CovBin(i).BinVal, Action => CovBin(i).Action, Count => CovBin(i).Count, AtLeast => calcAtLeast, Weight => calcWeight, Name => Name ) ; end loop ; end procedure AddBins ; ------------------------------------------------------------ procedure AddBins ( Name : String ; AtLeast : integer ; CovBin : CovBinType ) is ------------------------------------------------------------ begin AddBins(Name, AtLeast, 0, CovBin) ; end procedure AddBins ; ------------------------------------------------------------ procedure AddBins (Name : String ; CovBin : CovBinType) is ------------------------------------------------------------ begin AddBins(Name, 0, 0, CovBin) ; end procedure AddBins ; ------------------------------------------------------------ procedure AddBins ( AtLeast : integer ; Weight : integer ; CovBin : CovBinType ) is ------------------------------------------------------------ begin AddBins("", AtLeast, Weight, CovBin) ; end procedure AddBins ; ------------------------------------------------------------ procedure AddBins ( AtLeast : integer ; CovBin : CovBinType ) is ------------------------------------------------------------ begin AddBins("", AtLeast, 0, CovBin) ; end procedure AddBins ; ------------------------------------------------------------ procedure AddBins ( CovBin : CovBinType ) is ------------------------------------------------------------ begin AddBins("", 0, 0, CovBin) ; end procedure AddBins ; ------------------------------------------------------------ procedure AddCross( ------------------------------------------------------------ Name : string ; AtLeast : integer ; Weight : integer ; Bin1, Bin2 : CovBinType ; Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) is constant BIN_LENS : integer_vector := BinLengths( Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 ) ; constant NUM_NEW_BINS : integer := CalcNumCrossBins(BIN_LENS) ; variable BinIndex : integer_vector(1 to BIN_LENS'length) := (others => 1) ; variable CrossBins : CovBinType(BinIndex'range) ; variable calcAction, calcCount, calcAtLeast, calcWeight : integer ; variable calcBinVal : RangeArrayType(BinIndex'range) ; begin CheckBinValLength( BIN_LENS'length, "AddCross") ; GrowBins(NUM_NEW_BINS) ; calcCount := 0 ; for MatrixIndex in 1 to NUM_NEW_BINS loop CrossBins := ConcatenateBins(BinIndex, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 ) ; calcAction := MergeState(CrossBins) ; calcBinVal := MergeBinVal(CrossBins) ; calcAtLeast := MergeAtLeast( calcAction, AtLeast, CrossBins) ; calcWeight := MergeWeight ( calcAction, Weight, CrossBins) ; InsertBin(calcBinVal, calcAction, calcCount, calcAtLeast, calcWeight, Name) ; IncBinIndex( BinIndex, BIN_LENS) ; -- increment right most one, then if overflow, increment next end loop ; end procedure AddCross ; ------------------------------------------------------------ procedure AddCross( ------------------------------------------------------------ Name : string ; AtLeast : integer ; Bin1, Bin2 : CovBinType ; Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) is begin AddCross(Name, AtLeast, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 ) ; end procedure AddCross ; ------------------------------------------------------------ procedure AddCross( ------------------------------------------------------------ Name : string ; Bin1, Bin2 : CovBinType ; Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) is begin AddCross(Name, 0, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 ) ; end procedure AddCross ; ------------------------------------------------------------ procedure AddCross( ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2 : CovBinType ; Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) is begin AddCross("", AtLeast, Weight, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 ) ; end procedure AddCross ; ------------------------------------------------------------ procedure AddCross( ------------------------------------------------------------ AtLeast : integer ; Bin1, Bin2 : CovBinType ; Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) is begin AddCross("", AtLeast, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 ) ; end procedure AddCross ; ------------------------------------------------------------ procedure AddCross( ------------------------------------------------------------ Bin1, Bin2 : CovBinType ; Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) is begin AddCross("", 0, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 ) ; end procedure AddCross ; ------------------------------------------------------------ procedure Deallocate is ------------------------------------------------------------ begin for i in 1 to NumBins loop deallocate(CovBinPtr(i).BinVal) ; deallocate(CovBinPtr(i).Name) ; end loop ; deallocate(CovBinPtr) ; DeallocateName ; DeallocateMessage ; -- Restore internal variables to their default values NumBins := 0 ; OrderCount := 0 ; BinValLength := 1 ; IllegalMode := ILLEGAL_ON ; WeightMode := AT_LEAST ; WeightScale := 1.0 ; ThresholdingEnable := FALSE ; CovThreshold := 45.0 ; CovTarget := 100.0 ; MergingEnable := FALSE ; CountMode := COUNT_FIRST ; AlertLogIDVar := OSVVM_ALERTLOG_ID ; -- RvSeedInit := FALSE ; WritePassFailVar := COV_OPT_INIT_PARM_DETECT ; WriteBinInfoVar := COV_OPT_INIT_PARM_DETECT ; WriteCountVar := COV_OPT_INIT_PARM_DETECT ; WriteAnyIllegalVar := COV_OPT_INIT_PARM_DETECT ; WritePrefixVar.deallocate ; PassNameVar.deallocate ; FailNameVar.deallocate ; end procedure deallocate ; ------------------------------------------------------------ -- Local procedure ICoverIndex( Index : integer ; CovPoint : integer_vector ) is ------------------------------------------------------------ variable buf : line ; begin -- Update Count, PercentCov CovBinPtr(Index).Count := CovBinPtr(Index).Count + CovBinPtr(Index).action ; VendorCovBinInc(VendorCovHandleVar, Index); -- VendorCov CovBinPtr(Index).PercentCov := CalcPercentCov( Count => CovBinPtr.all(Index).Count, AtLeast => CovBinPtr.all(Index).AtLeast ) ; -- OrderCount handling - Statistics OrderCount := OrderCount + 1 ; CovBinPtr(Index).OrderCount := OrderCount + CovBinPtr(Index).OrderCount ; if CovBinPtr(Index).action = COV_ILLEGAL then if IllegalMode /= ILLEGAL_OFF then if CovPoint = NULL_INTV then alert(AlertLogIDVar, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ICoverLast:" & " Value randomized is in an illegal bin.", IllegalModeLevel) ; else write(buf, CovPoint) ; alert(AlertLogIDVar, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ICover:" & " Value " & buf.all & " is in an illegal bin.", IllegalModeLevel) ; deallocate(buf) ; end if ; else IncAlertCount(AlertLogIDVar, ERROR) ; -- silent alert. end if ; end if ; end procedure ICoverIndex ; ------------------------------------------------------------ procedure ICoverLast is ------------------------------------------------------------ begin ICoverIndex(LastIndex, NULL_INTV) ; end procedure ICoverLast ; ------------------------------------------------------------ procedure ICover ( CovPoint : integer) is ------------------------------------------------------------ begin ICover((1=> CovPoint)) ; end procedure ICover ; ------------------------------------------------------------ procedure ICover( CovPoint : integer_vector) is ------------------------------------------------------------ begin if CovPoint'length /= BinValLength then Alert(AlertLogIDVar, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg." & " ICover: CovPoint length = " & to_string(CovPoint'length) & " does not match Coverage Bin dimensions = " & to_string(BinValLength), FAILURE) ; elsif CountMode = COUNT_FIRST and inside(CovPoint, CovBinPtr(LastIndex).BinVal.all) then ICoverIndex(LastIndex, CovPoint) ; else CovLoop : for i in 1 to NumBins loop -- skip this CovBin if CovPoint is not in it next CovLoop when not inside(CovPoint, CovBinPtr(i).BinVal.all) ; -- Mark Covered ICoverIndex(i, CovPoint) ; exit CovLoop when CountMode = COUNT_FIRST ; -- only find first one end loop CovLoop ; end if ; end procedure ICover ; ------------------------------------------------------------ procedure ClearCov is ------------------------------------------------------------ begin for i in 1 to NumBins loop CovBinPtr(i).Count := 0 ; CovBinPtr(i).PercentCov := CalcPercentCov( Count => CovBinPtr.all(i).Count, AtLeast => CovBinPtr.all(i).AtLeast ) ; CovBinPtr(i).OrderCount := 0 ; end loop ; OrderCount := 0 ; end procedure ClearCov ; ------------------------------------------------------------ -- deprecated procedure SetCovZero is ------------------------------------------------------------ begin ClearCov ; end procedure SetCovZero ; ------------------------------------------------------------ impure function IsInitialized return boolean is ------------------------------------------------------------ begin return NumBins > 0 ; end function IsInitialized ; ------------------------------------------------------------ impure function GetNumBins return integer is ------------------------------------------------------------ begin return NumBins ; end function GetNumBins ; ------------------------------------------------------------ impure function GetMinIndex return integer is ------------------------------------------------------------ variable MinCov : real := real'right ; -- big number variable MinIndex : integer := NumBins ; begin CovLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).PercentCov < MinCov then MinCov := CovBinPtr(i).PercentCov ; MinIndex := i ; end if ; end loop CovLoop ; return MinIndex ; end function GetMinIndex ; ------------------------------------------------------------ impure function GetMinCov return real is ------------------------------------------------------------ variable MinCov : real := real'right ; -- big number begin CovLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).PercentCov < MinCov then MinCov := CovBinPtr(i).PercentCov ; end if ; end loop CovLoop ; return MinCov ; end function GetMinCov ; ------------------------------------------------------------ impure function GetMinCount return integer is ------------------------------------------------------------ variable MinCount : integer := integer'right ; -- big number begin CovLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).Count < MinCount then MinCount := CovBinPtr(i).Count ; end if ; end loop CovLoop ; return MinCount ; end function GetMinCount ; ------------------------------------------------------------ impure function GetMaxIndex return integer is ------------------------------------------------------------ variable MaxCov : real := 0.0 ; variable MaxIndex : integer := NumBins ; begin CovLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).PercentCov > MaxCov then MaxCov := CovBinPtr(i).PercentCov ; MaxIndex := i ; end if ; end loop CovLoop ; return MaxIndex ; end function GetMaxIndex ; ------------------------------------------------------------ impure function GetMaxCov return real is ------------------------------------------------------------ variable MaxCov : real := 0.0 ; begin CovLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).PercentCov > MaxCov then MaxCov := CovBinPtr(i).PercentCov ; end if ; end loop CovLoop ; return MaxCov ; end function GetMaxCov ; ------------------------------------------------------------ impure function GetMaxCount return integer is ------------------------------------------------------------ variable MaxCount : integer := 0 ; begin CovLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).Count > MaxCount then MaxCount := CovBinPtr(i).Count ; end if ; end loop CovLoop ; return MaxCount ; end function GetMaxCount ; ------------------------------------------------------------ impure function CountCovHoles ( PercentCov : real ) return integer is ------------------------------------------------------------ variable HoleCount : integer := 0 ; begin CovLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).PercentCov < PercentCov then HoleCount := HoleCount + 1 ; end if ; end loop CovLoop ; return HoleCount ; end function CountCovHoles ; ------------------------------------------------------------ impure function CountCovHoles return integer is ------------------------------------------------------------ begin return CountCovHoles(CovTarget) ; end function CountCovHoles ; ------------------------------------------------------------ impure function IsCovered ( PercentCov : real ) return boolean is ------------------------------------------------------------ begin -- AlertIf(NumBins < 1, OSVVM_ALERTLOG_ID, "CoveragePkg.IsCovered: Empty Coverage Model", failure) ; return CountCovHoles(PercentCov) = 0 ; end function IsCovered ; ------------------------------------------------------------ impure function IsCovered return boolean is ------------------------------------------------------------ begin -- AlertIf(NumBins < 1, OSVVM_ALERTLOG_ID, "CoveragePkg.IsCovered: Empty Coverage Model", failure) ; return CountCovHoles(CovTarget) = 0 ; end function IsCovered ; ------------------------------------------------------------ impure function GetCov ( PercentCov : real ) return real is ------------------------------------------------------------ variable TotalCovGoal, TotalCovCount, ScaledCovGoal : integer := 0 ; begin BinLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT then ScaledCovGoal := integer(ceil(PercentCov * real(CovBinPtr(i).AtLeast)/100.0)) ; TotalCovGoal := TotalCovGoal + ScaledCovGoal ; if CovBinPtr(i).Count <= ScaledCovGoal then TotalCovCount := TotalCovCount + CovBinPtr(i).Count ; else -- do not count the extra values that exceed their cov goal TotalCovCount := TotalCovCount + ScaledCovGoal ; end if ; end if ; end loop BinLoop ; return 100.0 * real(TotalCovCount) / real(TotalCovGoal) ; end function GetCov ; ------------------------------------------------------------ impure function GetCov return real is ------------------------------------------------------------ variable TotalCovGoal, TotalCovCount : integer := 0 ; begin return GetCov( CovTarget ) ; end function GetCov ; ------------------------------------------------------------ impure function GetItemCount return integer is ------------------------------------------------------------ begin return ItemCount ; end function GetItemCount ; ------------------------------------------------------------ impure function GetTotalCovGoal ( PercentCov : real ) return integer is ------------------------------------------------------------ variable TotalCovGoal, ScaledCovGoal : integer := 0 ; begin BinLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT then ScaledCovGoal := integer(ceil(PercentCov * real(CovBinPtr(i).AtLeast)/100.0)) ; TotalCovGoal := TotalCovGoal + ScaledCovGoal ; end if ; end loop BinLoop ; return TotalCovGoal ; end function GetTotalCovGoal ; ------------------------------------------------------------ impure function GetTotalCovGoal return integer is ------------------------------------------------------------ begin return GetTotalCovGoal(CovTarget) ; end function GetTotalCovGoal ; ------------------------------------------------------------ impure function GetLastIndex return integer is ------------------------------------------------------------ begin return LastIndex ; end function GetLastIndex ; ------------------------------------------------------------ impure function GetHoleBinVal ( ReqHoleNum : integer ; PercentCov : real ) return RangeArrayType is ------------------------------------------------------------ variable HoleCount : integer := 0 ; variable buf : line ; begin CovLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).PercentCov < PercentCov then HoleCount := HoleCount + 1 ; if HoleCount = ReqHoleNum then return CovBinPtr(i).BinVal.all ; end if ; end if ; end loop CovLoop ; Alert(AlertLogIDVar, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.GetHoleBinVal:" & " did not find a coverage hole. HoleCount = " & integer'image(HoleCount) & " ReqHoleNum = " & integer'image(ReqHoleNum), ERROR ) ; return CovBinPtr(NumBins).BinVal.all ; end function GetHoleBinVal ; ------------------------------------------------------------ impure function GetHoleBinVal ( PercentCov : real ) return RangeArrayType is ------------------------------------------------------------ begin return GetHoleBinVal(1, PercentCov) ; end function GetHoleBinVal ; ------------------------------------------------------------ impure function GetHoleBinVal ( ReqHoleNum : integer := 1 ) return RangeArrayType is ------------------------------------------------------------ begin return GetHoleBinVal(ReqHoleNum, CovTarget) ; end function GetHoleBinVal ; ------------------------------------------------------------ impure function CalcWeight ( BinIndex : integer ; MaxCovPercent : real ) return integer is -- pt local ------------------------------------------------------------ begin case WeightMode is when AT_LEAST => -- AtLeast return CovBinPtr(BinIndex).AtLeast ; when WEIGHT => -- Weight return CovBinPtr(BinIndex).Weight ; when REMAIN => -- (Adjust * AtLeast) - Count return integer( Ceil( MaxCovPercent * real(CovBinPtr(BinIndex).AtLeast)/100.0)) - CovBinPtr(BinIndex).Count ; when REMAIN_EXP => -- Weight * (REMAIN **WeightScale) -- Experimental may be removed -- CAUTION: for large numbers and/or WeightScale > 2.0, result can be > 2**31 (max integer value) -- both Weight and WeightScale default to 1 return CovBinPtr(BinIndex).Weight * integer( Ceil ( ( (MaxCovPercent * real(CovBinPtr(BinIndex).AtLeast)/100.0) - real(CovBinPtr(BinIndex).Count) ) ** WeightScale ) ); when REMAIN_SCALED => -- (WeightScale * Adjust * AtLeast) - Count -- Experimental may be removed -- Biases remainder toward AT_LEAST value. -- WeightScale must be > 1.0 return integer( Ceil( WeightScale * MaxCovPercent * real(CovBinPtr(BinIndex).AtLeast)/100.0)) - CovBinPtr(BinIndex).Count ; when REMAIN_WEIGHT => -- Weight * ((WeightScale * Adjust * AtLeast) - Count) -- Experimental may be removed -- WeightScale must be > 1.0 return CovBinPtr(BinIndex).Weight * ( integer( Ceil( WeightScale * MaxCovPercent * real(CovBinPtr(BinIndex).AtLeast)/100.0)) - CovBinPtr(BinIndex).Count) ; end case ; end function CalcWeight ; ------------------------------------------------------------ impure function RandHoleIndex ( CovTargetPercent : real ) return integer is -- pt local ------------------------------------------------------------ variable WeightVec : integer_vector(0 to NumBins-1) ; -- Prep for change to DistInt variable MaxCovPercent : real ; variable MinCovPercent : real ; begin ItemCount := ItemCount + 1 ; MinCovPercent := GetMinCov ; if ThresholdingEnable then MaxCovPercent := MinCovPercent + CovThreshold ; if MinCovPercent < CovTargetPercent then -- Clip at CovTargetPercent until reach CovTargetPercent MaxCovPercent := minimum(MaxCovPercent, CovTargetPercent); end if ; else if MinCovPercent < CovTargetPercent then MaxCovPercent := CovTargetPercent ; else -- Done, Enable all bins MaxCovPercent := GetMaxCov + 1.0 ; -- MaxCovPercent := real'right ; -- weight scale issues end if ; end if ; CovLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).PercentCov < MaxCovPercent then -- Calculate Weight based on WeightMode -- Scale to current percentage goal: MaxCov which can be < or > 100.0 WeightVec(i-1) := CalcWeight(i, MaxCovPercent) ; else WeightVec(i-1) := 0 ; end if ; end loop CovLoop ; -- DistInt returns integer range 0 to Numbins-1 -- Caution: DistInt can fail when sum(WeightVec) > 2**31 -- See notes in CalcWeight for REMAIN_EXP LastIndex := 1 + RV.DistInt( WeightVec ) ; -- return range 1 to NumBins return LastIndex ; end function RandHoleIndex ; ------------------------------------------------------------ impure function GetBinVal ( BinIndex : integer ) return RangeArrayType is ------------------------------------------------------------ begin return CovBinPtr( BinIndex ).BinVal.all ; end function GetBinVal ; ------------------------------------------------------------ impure function GetLastBinVal return RangeArrayType is ------------------------------------------------------------ begin return CovBinPtr( LastIndex ).BinVal.all ; end function GetLastBinVal ; ------------------------------------------------------------ impure function RandCovBinVal ( PercentCov : real ) return RangeArrayType is ------------------------------------------------------------ begin return CovBinPtr( RandHoleIndex(PercentCov) ).BinVal.all ; -- GetBinVal end function RandCovBinVal ; ------------------------------------------------------------ impure function RandCovBinVal return RangeArrayType is ------------------------------------------------------------ begin -- use global coverage target return CovBinPtr( RandHoleIndex( CovTarget ) ).BinVal.all ; -- GetBinVal end function RandCovBinVal ; ------------------------------------------------------------ impure function GetMinBinVal return RangeArrayType is ------------------------------------------------------------ begin -- use global coverage target return GetBinVal( GetMinIndex ) ; end function GetMinBinVal ; ------------------------------------------------------------ impure function GetMaxBinVal return RangeArrayType is ------------------------------------------------------------ begin -- use global coverage target return GetBinVal( GetMaxIndex ) ; end function GetMaxBinVal ; ------------------------------------------------------------ -- impure function RandCovPoint( BinVal : RangeArrayType ) return integer_vector is impure function ToRandPoint( BinVal : RangeArrayType ) return integer_vector is -- pt local ------------------------------------------------------------ variable CovPoint : integer_vector(BinVal'range) ; variable normCovPoint : integer_vector(1 to BinVal'length) ; begin for i in BinVal'range loop CovPoint(i) := RV.RandInt(BinVal(i).min, BinVal(i).max) ; end loop ; normCovPoint := CovPoint ; return normCovPoint ; end function ToRandPoint ; ------------------------------------------------------------ impure function ToRandPoint( BinVal : RangeArrayType ) return integer is -- pt local ------------------------------------------------------------ begin return RV.RandInt(BinVal(BinVal'left).min, BinVal(BinVal'left).max) ; end function ToRandPoint ; ------------------------------------------------------------ impure function RandCovPoint return integer is ------------------------------------------------------------ begin return ToRandPoint(RandCovBinVal(CovTarget)) ; end function RandCovPoint ; ------------------------------------------------------------ impure function RandCovPoint ( PercentCov : real ) return integer is ------------------------------------------------------------ begin return ToRandPoint(RandCovBinVal(PercentCov)) ; end function RandCovPoint ; ------------------------------------------------------------ impure function RandCovPoint return integer_vector is ------------------------------------------------------------ begin return ToRandPoint(RandCovBinVal(CovTarget)) ; end function RandCovPoint ; ------------------------------------------------------------ impure function RandCovPoint ( PercentCov : real ) return integer_vector is ------------------------------------------------------------ begin return ToRandPoint(RandCovBinVal(PercentCov)) ; end function RandCovPoint ; ------------------------------------------------------------ impure function GetPoint ( BinIndex : integer ) return integer is ------------------------------------------------------------ begin return ToRandPoint(GetBinVal(BinIndex)) ; end function GetPoint ; ------------------------------------------------------------ impure function GetPoint ( BinIndex : integer ) return integer_vector is ------------------------------------------------------------ begin return ToRandPoint(GetBinVal(BinIndex)) ; end function GetPoint ; ------------------------------------------------------------ impure function GetMinPoint return integer is ------------------------------------------------------------ begin return ToRandPoint(GetBinVal( GetMinIndex )) ; end function GetMinPoint ; ------------------------------------------------------------ impure function GetMinPoint return integer_vector is ------------------------------------------------------------ begin return ToRandPoint(GetBinVal( GetMinIndex )) ; end function GetMinPoint ; ------------------------------------------------------------ impure function GetMaxPoint return integer is ------------------------------------------------------------ begin return ToRandPoint(GetBinVal( GetMaxIndex )) ; end function GetMaxPoint ; ------------------------------------------------------------ impure function GetMaxPoint return integer_vector is ------------------------------------------------------------ begin return ToRandPoint(GetBinVal( GetMaxIndex )) ; end function GetMaxPoint ; -- ------------------------------------------------------------ -- Intended as a stand in until we get a more general GetBin impure function GetBinInfo ( BinIndex : integer ) return CovBinBaseType is -- ------------------------------------------------------------ variable result : CovBinBaseType ; begin result.BinVal := ALL_RANGE; result.Action := CovBinPtr(BinIndex).Action; result.Count := CovBinPtr(BinIndex).Count; result.AtLeast := CovBinPtr(BinIndex).AtLeast; result.Weight := CovBinPtr(BinIndex).Weight; return result ; end function GetBinInfo ; -- ------------------------------------------------------------ -- Intended as a stand in until we get a more general GetBin impure function GetBinValLength return integer is -- ------------------------------------------------------------ begin return BinValLength ; end function GetBinValLength ; -- Eventually the multiple GetBin functions will be replaced by a -- a single GetBin that returns CovBinBaseType with BinVal as an -- unconstrained element -- ------------------------------------------------------------ impure function GetBin ( BinIndex : integer ) return CovBinBaseType is -- ------------------------------------------------------------ variable result : CovBinBaseType ; begin result.BinVal := CovBinPtr(BinIndex).BinVal.all; result.Action := CovBinPtr(BinIndex).Action; result.Count := CovBinPtr(BinIndex).Count; result.AtLeast := CovBinPtr(BinIndex).AtLeast; result.Weight := CovBinPtr(BinIndex).Weight; return result ; end function GetBin ; -- ------------------------------------------------------------ impure function GetBin ( BinIndex : integer ) return CovMatrix2BaseType is -- ------------------------------------------------------------ variable result : CovMatrix2BaseType ; begin result.BinVal := CovBinPtr(BinIndex).BinVal.all; result.Action := CovBinPtr(BinIndex).Action; result.Count := CovBinPtr(BinIndex).Count; result.AtLeast := CovBinPtr(BinIndex).AtLeast; result.Weight := CovBinPtr(BinIndex).Weight; return result ; end function GetBin ; -- ------------------------------------------------------------ impure function GetBin ( BinIndex : integer ) return CovMatrix3BaseType is -- ------------------------------------------------------------ variable result : CovMatrix3BaseType ; begin result.BinVal := CovBinPtr(BinIndex).BinVal.all; result.Action := CovBinPtr(BinIndex).Action; result.Count := CovBinPtr(BinIndex).Count; result.AtLeast := CovBinPtr(BinIndex).AtLeast; result.Weight := CovBinPtr(BinIndex).Weight; return result ; end function GetBin ; -- ------------------------------------------------------------ impure function GetBin ( BinIndex : integer ) return CovMatrix4BaseType is -- ------------------------------------------------------------ variable result : CovMatrix4BaseType ; begin result.BinVal := CovBinPtr(BinIndex).BinVal.all; result.Action := CovBinPtr(BinIndex).Action; result.Count := CovBinPtr(BinIndex).Count; result.AtLeast := CovBinPtr(BinIndex).AtLeast; result.Weight := CovBinPtr(BinIndex).Weight; return result ; end function GetBin ; -- ------------------------------------------------------------ impure function GetBin ( BinIndex : integer ) return CovMatrix5BaseType is -- ------------------------------------------------------------ variable result : CovMatrix5BaseType ; begin result.BinVal := CovBinPtr(BinIndex).BinVal.all; result.Action := CovBinPtr(BinIndex).Action; result.Count := CovBinPtr(BinIndex).Count; result.AtLeast := CovBinPtr(BinIndex).AtLeast; result.Weight := CovBinPtr(BinIndex).Weight; return result ; end function GetBin ; -- ------------------------------------------------------------ impure function GetBin ( BinIndex : integer ) return CovMatrix6BaseType is -- ------------------------------------------------------------ variable result : CovMatrix6BaseType ; begin result.BinVal := CovBinPtr(BinIndex).BinVal.all; result.Action := CovBinPtr(BinIndex).Action; result.Count := CovBinPtr(BinIndex).Count; result.AtLeast := CovBinPtr(BinIndex).AtLeast; result.Weight := CovBinPtr(BinIndex).Weight; return result ; end function GetBin ; -- ------------------------------------------------------------ impure function GetBin ( BinIndex : integer ) return CovMatrix7BaseType is -- ------------------------------------------------------------ variable result : CovMatrix7BaseType ; begin result.BinVal := CovBinPtr(BinIndex).BinVal.all; result.Action := CovBinPtr(BinIndex).Action; result.Count := CovBinPtr(BinIndex).Count; result.AtLeast := CovBinPtr(BinIndex).AtLeast; result.Weight := CovBinPtr(BinIndex).Weight; return result ; end function GetBin ; -- ------------------------------------------------------------ impure function GetBin ( BinIndex : integer ) return CovMatrix8BaseType is -- ------------------------------------------------------------ variable result : CovMatrix8BaseType ; begin result.BinVal := CovBinPtr(BinIndex).BinVal.all; result.Action := CovBinPtr(BinIndex).Action; result.Count := CovBinPtr(BinIndex).Count; result.AtLeast := CovBinPtr(BinIndex).AtLeast; result.Weight := CovBinPtr(BinIndex).Weight; return result ; end function GetBin ; -- ------------------------------------------------------------ impure function GetBin ( BinIndex : integer ) return CovMatrix9BaseType is -- ------------------------------------------------------------ variable result : CovMatrix9BaseType ; begin result.BinVal := CovBinPtr(BinIndex).BinVal.all; result.Action := CovBinPtr(BinIndex).Action; result.Count := CovBinPtr(BinIndex).Count; result.AtLeast := CovBinPtr(BinIndex).AtLeast; result.Weight := CovBinPtr(BinIndex).Weight; return result ; end function GetBin ; -- ------------------------------------------------------------ impure function GetBinName ( BinIndex : integer; DefaultName : string := "" ) return string is -- ------------------------------------------------------------ begin if CovBinPtr(BinIndex).Name.all /= "" then return CovBinPtr(BinIndex).Name.all ; else return DefaultName ; end if; end function GetBinName; ------------------------------------------------------------ -- pt local for now -- file formal parameter not allowed with method procedure WriteBin ( file f : text ; WritePassFail : CovOptionsType ; WriteBinInfo : CovOptionsType ; WriteCount : CovOptionsType ; WriteAnyIllegal : CovOptionsType ; WritePrefix : string ; PassName : string ; FailName : string ) is ------------------------------------------------------------ variable buf : line ; begin if NumBins < 1 then if WriteBinFileInit or UsingLocalFile then swrite(buf, WritePrefix & " " & FailName & " ") ; swrite(buf, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.WriteBin: Coverage model is empty. Nothing to print.") ; writeline(f, buf) ; end if ; Alert(AlertLogIDVar, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.WriteBin:" & " Coverage model is empty. Nothing to print.", FAILURE) ; return ; end if ; -- Models with Bins WriteBinName(f, "WriteBin: ", WritePrefix) ; for i in 1 to NumBins loop -- CovBinPtr.all'range if CovBinPtr(i).action = COV_COUNT or (CovBinPtr(i).action = COV_ILLEGAL and IsEnabled(WriteAnyIllegal)) or CovBinPtr(i).count < 0 -- Illegal bin with errors then -- WriteBin Info swrite(buf, WritePrefix) ; if CovBinPtr(i).Name.all /= "" then swrite(buf, CovBinPtr(i).Name.all & " ") ; end if ; if IsEnabled(WritePassFail) then -- For illegal bins, AtLeast = 0 and count is negative. if CovBinPtr(i).count >= CovBinPtr(i).AtLeast then swrite(buf, PassName & ' ') ; else swrite(buf, FailName & ' ') ; end if ; end if ; if IsEnabled(WriteBinInfo) then if CovBinPtr(i).action = COV_COUNT then swrite(buf, "Bin:") ; else swrite(buf, "Illegal Bin:") ; end if; write(buf, CovBinPtr(i).BinVal.all) ; end if ; if IsEnabled(WriteCount) then write(buf, " Count = " & integer'image(abs(CovBinPtr(i).count))) ; write(buf, " AtLeast = " & integer'image(CovBinPtr(i).AtLeast)) ; if WeightMode = WEIGHT or WeightMode = REMAIN_WEIGHT then -- Print Weight only when it is used write(buf, " Weight = " & integer'image(CovBinPtr(i).Weight)) ; end if ; end if ; writeline(f, buf) ; end if ; end loop ; swrite(buf, "") ; writeline(f, buf) ; end procedure WriteBin ; ------------------------------------------------------------ procedure WriteBin ( ------------------------------------------------------------ WritePassFail : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteBinInfo : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteCount : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteAnyIllegal : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WritePrefix : string := OSVVM_STRING_INIT_PARM_DETECT ; PassName : string := OSVVM_STRING_INIT_PARM_DETECT ; FailName : string := OSVVM_STRING_INIT_PARM_DETECT ) is constant rWritePassFail : CovOptionsType := ResolveCovWritePassFail(WritePassFail, WritePassFailVar) ; constant rWriteBinInfo : CovOptionsType := ResolveCovWriteBinInfo(WriteBinInfo, WriteBinInfoVar ) ; constant rWriteCount : CovOptionsType := ResolveCovWriteCount(WriteCount, WriteCountVar ) ; constant rWriteAnyIllegal : CovOptionsType := ResolveCovWriteAnyIllegal(WriteAnyIllegal, WriteAnyIllegalVar) ; constant rWritePrefix : string := ResolveOsvvmWritePrefix(WritePrefix, WritePrefixVar.GetOpt) ; constant rPassName : string := ResolveOsvvmPassName(PassName, PassNameVar.GetOpt ) ; constant rFailName : string := ResolveOsvvmFailName(FailName, FailNameVar.GetOpt ) ; begin if WriteBinFileInit then -- Write to Local WriteBinFile - Deprecated, recommend use TranscriptFile instead WriteBin ( f => WriteBinFile, WritePassFail => rWritePassFail, WriteBinInfo => rWriteBinInfo, WriteCount => rWriteCount, WriteAnyIllegal => rWriteAnyIllegal, WritePrefix => rWritePrefix, PassName => rPassName, FailName => rFailName ) ; elsif IsTranscriptEnabled then -- Write to TranscriptFile WriteBin ( f => TranscriptFile, WritePassFail => rWritePassFail, WriteBinInfo => rWriteBinInfo, WriteCount => rWriteCount, WriteAnyIllegal => rWriteAnyIllegal, WritePrefix => rWritePrefix, PassName => rPassName, FailName => rFailName ) ; if IsTranscriptMirrored then -- Mirrored to OUTPUT WriteBin ( f => OUTPUT, WritePassFail => rWritePassFail, WriteBinInfo => rWriteBinInfo, WriteCount => rWriteCount, WriteAnyIllegal => rWriteAnyIllegal, WritePrefix => rWritePrefix, PassName => rPassName, FailName => rFailName ) ; end if ; else -- Default Write to OUTPUT WriteBin ( f => OUTPUT, WritePassFail => rWritePassFail, WriteBinInfo => rWriteBinInfo, WriteCount => rWriteCount, WriteAnyIllegal => rWriteAnyIllegal, WritePrefix => rWritePrefix, PassName => rPassName, FailName => rFailName ) ; end if ; end procedure WriteBin ; ------------------------------------------------------------ procedure WriteBin ( -- With LogLevel ------------------------------------------------------------ LogLevel : LogType ; WritePassFail : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteBinInfo : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteCount : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteAnyIllegal : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WritePrefix : string := OSVVM_STRING_INIT_PARM_DETECT ; PassName : string := OSVVM_STRING_INIT_PARM_DETECT ; FailName : string := OSVVM_STRING_INIT_PARM_DETECT ) is begin if IsLogEnabled(AlertLogIDVar, LogLevel) then WriteBin ( WritePassFail => WritePassFail, WriteBinInfo => WriteBinInfo, WriteCount => WriteCount, WriteAnyIllegal => WriteAnyIllegal, WritePrefix => WritePrefix, PassName => PassName, FailName => FailName ) ; end if ; end procedure WriteBin ; -- With LogLevel ------------------------------------------------------------ procedure WriteBin ( ------------------------------------------------------------ FileName : string; OpenKind : File_Open_Kind := APPEND_MODE ; WritePassFail : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteBinInfo : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteCount : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteAnyIllegal : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WritePrefix : string := OSVVM_STRING_INIT_PARM_DETECT ; PassName : string := OSVVM_STRING_INIT_PARM_DETECT ; FailName : string := OSVVM_STRING_INIT_PARM_DETECT ) is file LocalWriteBinFile : text open OpenKind is FileName ; constant rWritePassFail : CovOptionsType := ResolveCovWritePassFail(WritePassFail, WritePassFailVar) ; constant rWriteBinInfo : CovOptionsType := ResolveCovWriteBinInfo(WriteBinInfo, WriteBinInfoVar ) ; constant rWriteCount : CovOptionsType := ResolveCovWriteCount(WriteCount, WriteCountVar ) ; constant rWriteAnyIllegal : CovOptionsType := ResolveCovWriteAnyIllegal(WriteAnyIllegal, WriteAnyIllegalVar) ; constant rWritePrefix : string := ResolveOsvvmWritePrefix(WritePrefix, WritePrefixVar.GetOpt) ; constant rPassName : string := ResolveOsvvmPassName(PassName, PassNameVar.GetOpt ) ; constant rFailName : string := ResolveOsvvmFailName(FailName, FailNameVar.GetOpt ) ; begin UsingLocalFile := TRUE ; WriteBin ( f => LocalWriteBinFile, WritePassFail => rWritePassFail, WriteBinInfo => rWriteBinInfo, WriteCount => rWriteCount, WriteAnyIllegal => rWriteAnyIllegal, WritePrefix => rWritePrefix, PassName => rPassName, FailName => rFailName ); UsingLocalFile := FALSE ; end procedure WriteBin ; ------------------------------------------------------------ procedure WriteBin ( -- With LogLevel ------------------------------------------------------------ LogLevel : LogType ; FileName : string; OpenKind : File_Open_Kind := APPEND_MODE ; WritePassFail : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteBinInfo : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteCount : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WriteAnyIllegal : CovOptionsType := COV_OPT_INIT_PARM_DETECT ; WritePrefix : string := OSVVM_STRING_INIT_PARM_DETECT ; PassName : string := OSVVM_STRING_INIT_PARM_DETECT ; FailName : string := OSVVM_STRING_INIT_PARM_DETECT ) is begin if IsLogEnabled(AlertLogIDVar, LogLevel) then UsingLocalFile := TRUE ; WriteBin ( FileName => FileName, OpenKind => OpenKind, WritePassFail => WritePassFail, WriteBinInfo => WriteBinInfo, WriteCount => WriteCount, WriteAnyIllegal => WriteAnyIllegal, WritePrefix => WritePrefix, PassName => PassName, FailName => FailName ) ; UsingLocalFile := FALSE ; end if ; end procedure WriteBin ; -- With LogLevel ------------------------------------------------------------ -- Development only -- pt local for now -- file formal parameter not allowed with method procedure DumpBin ( file f : text ) is ------------------------------------------------------------ variable buf : line ; begin WriteBinName(f, "DumpBin: ") ; -- if NumBins < 1 then -- Write(f, "%%FATAL, Coverage Model is empty. Nothing to print." & LF ) ; -- end if ; for i in 1 to NumBins loop -- CovBinPtr.all'range swrite(buf, "%% ") ; if CovBinPtr(i).Name.all /= "" then swrite(buf, CovBinPtr(i).Name.all & " ") ; end if ; swrite(buf, "Bin:") ; write(buf, CovBinPtr(i).BinVal.all) ; case CovBinPtr(i).action is when COV_COUNT => swrite(buf, " Count = ") ; when COV_IGNORE => swrite(buf, " Ignore = ") ; when COV_ILLEGAL => swrite(buf, " Illegal = ") ; when others => swrite(buf, " BOGUS BOGUS BOGUS = ") ; end case ; write(buf, CovBinPtr(i).count) ; -- write(f, " Count = " & integer'image(CovBinPtr(i).count)) ; write(buf, " AtLeast = " & integer'image(CovBinPtr(i).AtLeast)) ; write(buf, " Weight = " & integer'image(CovBinPtr(i).Weight)) ; write(buf, " OrderCount = " & integer'image(CovBinPtr(i).OrderCount)) ; if CovBinPtr(i).count > 0 then write(buf, " Normalized OrderCount = " & integer'image(CovBinPtr(i).OrderCount/CovBinPtr(i).count)) ; end if ; writeline(f, buf) ; end loop ; swrite(buf, "") ; writeline(f,buf) ; end procedure DumpBin ; ------------------------------------------------------------ procedure DumpBin (LogLevel : LogType := DEBUG) is ------------------------------------------------------------ begin if IsLogEnabled(AlertLogIDVar, LogLevel) then if WriteBinFileInit then -- Write to Local WriteBinFile - Deprecated, recommend use TranscriptFile instead DumpBin(WriteBinFile) ; elsif IsTranscriptEnabled then -- Write to TranscriptFile DumpBin(TranscriptFile) ; if IsTranscriptMirrored then -- Mirrored to OUTPUT DumpBin(OUTPUT) ; end if ; else -- Default Write to OUTPUT DumpBin(OUTPUT) ; end if ; end if ; end procedure DumpBin ; ------------------------------------------------------------ -- pt local procedure WriteCovHoles ( file f : text; PercentCov : real := 100.0 ) is ------------------------------------------------------------ variable buf : line ; begin if NumBins < 1 then if WriteBinFileInit or UsingLocalFile then -- Duplicate Alert in specified file swrite(buf, "%% Alert FAILURE " & GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.WriteCovHoles:" & " coverage model empty. Nothing to print.") ; writeline(f, buf) ; end if ; Alert(AlertLogIDVar, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.WriteCovHoles:" & " coverage model empty. Nothing to print.", FAILURE) ; return ; end if ; -- Models with Bins WriteBinName(f, "WriteCovHoles: ") ; CovLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).PercentCov < PercentCov then swrite(buf, "%% ") ; if CovBinPtr(i).Name.all /= "" then swrite(buf, CovBinPtr(i).Name.all & " ") ; end if ; swrite(buf, "Bin:") ; write(buf, CovBinPtr(i).BinVal.all) ; write(buf, " Count = " & integer'image(CovBinPtr(i).Count)) ; write(buf, " AtLeast = " & integer'image(CovBinPtr(i).AtLeast)) ; if WeightMode = WEIGHT or WeightMode = REMAIN_WEIGHT then -- Print Weight only when it is used write(buf, " Weight = " & integer'image(CovBinPtr(i).Weight)) ; end if ; writeline(f, buf) ; end if ; end loop CovLoop ; swrite(buf, "") ; writeline(f, buf) ; end procedure WriteCovHoles ; ------------------------------------------------------------ procedure WriteCovHoles ( PercentCov : real ) is ------------------------------------------------------------ begin if WriteBinFileInit then -- Write to Local WriteBinFile - Deprecated, recommend use TranscriptFile instead WriteCovHoles(WriteBinFile, PercentCov) ; elsif IsTranscriptEnabled then -- Write to TranscriptFile WriteCovHoles(TranscriptFile, PercentCov) ; if IsTranscriptMirrored then -- Mirrored to OUTPUT WriteCovHoles(OUTPUT, PercentCov) ; end if ; else -- Default Write to OUTPUT WriteCovHoles(OUTPUT, PercentCov) ; end if; end procedure WriteCovHoles ; ------------------------------------------------------------ procedure WriteCovHoles ( LogLevel : LogType := ALWAYS ) is ------------------------------------------------------------ begin if IsLogEnabled(AlertLogIDVar, LogLevel) then WriteCovHoles(CovTarget) ; end if; end procedure WriteCovHoles ; ------------------------------------------------------------ procedure WriteCovHoles ( LogLevel : LogType ; PercentCov : real ) is ------------------------------------------------------------ begin if IsLogEnabled(AlertLogIDVar, LogLevel) then WriteCovHoles(PercentCov) ; end if; end procedure WriteCovHoles ; ------------------------------------------------------------ procedure WriteCovHoles ( FileName : string; OpenKind : File_Open_Kind := APPEND_MODE ) is ------------------------------------------------------------ file CovHoleFile : text open OpenKind is FileName ; begin UsingLocalFile := TRUE ; WriteCovHoles(CovHoleFile, CovTarget) ; UsingLocalFile := FALSE ; end procedure WriteCovHoles ; ------------------------------------------------------------ procedure WriteCovHoles ( LogLevel : LogType ; FileName : string; OpenKind : File_Open_Kind := APPEND_MODE ) is ------------------------------------------------------------ begin if IsLogEnabled(AlertLogIDVar, LogLevel) then WriteCovHoles(FileName, OpenKind) ; end if; end procedure WriteCovHoles ; ------------------------------------------------------------ procedure WriteCovHoles ( FileName : string; PercentCov : real ; OpenKind : File_Open_Kind := APPEND_MODE ) is ------------------------------------------------------------ file CovHoleFile : text open OpenKind is FileName ; begin UsingLocalFile := TRUE ; WriteCovHoles(CovHoleFile, PercentCov) ; UsingLocalFile := FALSE ; end procedure WriteCovHoles ; ------------------------------------------------------------ procedure WriteCovHoles ( LogLevel : LogType ; FileName : string; PercentCov : real ; OpenKind : File_Open_Kind := APPEND_MODE ) is ------------------------------------------------------------ begin if IsLogEnabled(AlertLogIDVar, LogLevel) then WriteCovHoles(FileName, PercentCov, OpenKind) ; end if; end procedure WriteCovHoles ; ------------------------------------------------------------ -- pt local impure function FindExactBin ( -- find an exact match to a bin wrt BinVal, Action, AtLeast, Weight, and Name ------------------------------------------------------------ Merge : boolean ; BinVal : RangeArrayType ; Action : integer ; AtLeast : integer ; Weight : integer ; Name : string ) return integer is begin if Merge then for i in 1 to NumBins loop if (BinVal = CovBinPtr(i).BinVal.all) and (Action = CovBinPtr(i).Action) and (AtLeast = CovBinPtr(i).AtLeast) and (Weight = CovBinPtr(i).Weight) and (Name = CovBinPtr(i).Name.all) then return i ; end if; end loop ; end if ; return 0 ; end function FindExactBin ; ------------------------------------------------------------ -- pt local procedure read ( ------------------------------------------------------------ buf : inout line ; NamePtr : inout line ; NameLength : in integer ; ReadValid : out boolean ) is variable Name : string(1 to NameLength) ; begin if NameLength > 0 then read(buf, Name, ReadValid) ; NamePtr := new string'(Name) ; else ReadValid := TRUE ; NamePtr := new string'("") ; end if ; end procedure read ; ------------------------------------------------------------ -- pt local procedure ReadCovVars (file CovDbFile : text; Good : out boolean ) is ------------------------------------------------------------ variable buf : line ; variable Empty : boolean ; variable MultiLineComment : boolean := FALSE ; variable ReadValid : boolean ; variable GoodLoop1 : boolean ; variable iSeed : RandomSeedType ; variable iIllegalMode : integer ; variable iWeightMode : integer ; variable iWeightScale : real ; variable iCovThreshold : real ; variable iCountMode : integer ; variable iNumberOfMessages : integer ; variable iThresholdingEnable : boolean ; variable iCovTarget : real ; variable iMergingEnable : boolean ; begin -- ReadLoop0 : while not EndFile(CovDbFile) loop ReadLoop0 : loop -- allows emulation of "return when" -- ReadLine to Get Coverage Model Name, skip blank and comment lines, fails when file empty exit when AlertIf(AlertLogIDVar, EndFile(CovDbFile), GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: No Coverage Data to read", FAILURE) ; ReadLine(CovDbFile, buf) ; EmptyOrCommentLine(buf, Empty, MultiLineComment) ; next when Empty ; if buf.all /= "Coverage_Model_Not_Named" then SetName(buf.all) ; end if ; exit ReadLoop0 ; end loop ReadLoop0 ; -- ReadLoop1 : while not EndFile(CovDbFile) loop ReadLoop1 : loop -- ReadLine to Get Variables, skip blank and comment lines, fails when file empty exit when AlertIf(AlertLogIDVar, EndFile(CovDbFile), GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Coverage DB File Incomplete", FAILURE) ; ReadLine(CovDbFile, buf) ; EmptyOrCommentLine(buf, Empty, MultiLineComment) ; next when Empty ; read(buf, iSeed, ReadValid) ; exit when AlertIfNot(AlertLogIDVar, ReadValid, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Failed while reading Seed", FAILURE) ; RV.SetSeed( iSeed ) ; RvSeedInit := TRUE ; read(buf, iCovThreshold, ReadValid) ; exit when AlertIfNot(AlertLogIDVar, ReadValid, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Failed while reading CovThreshold", FAILURE) ; CovThreshold := iCovThreshold ; read(buf, iIllegalMode, ReadValid) ; exit when AlertIfNot(AlertLogIDVar, ReadValid, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Failed while reading IllegalMode", FAILURE) ; SetIllegalMode(IllegalModeType'val( iIllegalMode )) ; read(buf, iWeightMode, ReadValid) ; exit when AlertIfNot(AlertLogIDVar, ReadValid, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Failed while reading WeightMode", FAILURE) ; WeightMode := WeightModeType'val( iWeightMode ) ; read(buf, iWeightScale, ReadValid) ; exit when AlertIfNot(AlertLogIDVar, ReadValid, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Failed while reading WeightScale", FAILURE) ; WeightScale := iWeightScale ; read(buf, iCountMode, ReadValid) ; exit when AlertIfNot(AlertLogIDVar, ReadValid, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Failed while reading CountMode", FAILURE) ; CountMode := CountModeType'val( iCountMode ) ; read(buf, iThresholdingEnable, ReadValid) ; exit when AlertIfNot(AlertLogIDVar, ReadValid, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Failed while reading CountMode", FAILURE) ; ThresholdingEnable := iThresholdingEnable ; read(buf, iCovTarget, ReadValid) ; exit when AlertIfNot(AlertLogIDVar, ReadValid, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Failed while reading CountMode", FAILURE) ; CovTarget := iCovTarget ; read(buf, iMergingEnable, ReadValid) ; exit when AlertIfNot(AlertLogIDVar, ReadValid, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Failed while reading CountMode", FAILURE) ; MergingEnable := iMergingEnable ; exit ReadLoop1 ; end loop ReadLoop1 ; GoodLoop1 := ReadValid ; -- ReadLoop2 : while not EndFile(CovDbFile) loop ReadLoop2 : while ReadValid loop -- ReadLine to Coverage Model Header WriteBin Message, skip blank and comment lines, fails when file empty exit when AlertIf(AlertLogIDVar, EndFile(CovDbFile), GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Coverage DB File Incomplete", FAILURE) ; ReadLine(CovDbFile, buf) ; EmptyOrCommentLine(buf, Empty, MultiLineComment) ; next when Empty ; read(buf, iNumberOfMessages, ReadValid) ; exit when AlertIfNot(AlertLogIDVar, ReadValid, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Failed while reading NumberOfMessages", FAILURE) ; for i in 1 to iNumberOfMessages loop exit when AlertIf(AlertLogIDVar, EndFile(CovDbFile), GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: End of File while reading Messages", FAILURE) ; ReadLine(CovDbFile, buf) ; SetMessage(buf.all) ; end loop ; exit ReadLoop2 ; end loop ReadLoop2 ; Good := ReadValid and GoodLoop1 ; end procedure ReadCovVars ; ------------------------------------------------------------ -- pt local procedure ReadCovDbInfo ( ------------------------------------------------------------ File CovDbFile : text ; variable NumRangeItems : out integer ; variable NumLines : out integer ; variable Good : out boolean ) is variable buf : line ; variable ReadValid : boolean ; variable Empty : boolean ; variable MultiLineComment : boolean := FALSE ; begin ReadLoop : loop -- ReadLine to RangeItems NumLines, skip blank and comment lines, fails when file empty exit when AlertIf(AlertLogIDVar, EndFile(CovDbFile), GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Coverage DB File Incomplete", FAILURE) ; ReadLine(CovDbFile, buf) ; EmptyOrCommentLine(buf, Empty, MultiLineComment) ; next when Empty ; read(buf, NumRangeItems, ReadValid) ; exit when AlertIfNot(AlertLogIDVar, ReadValid, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Failed while reading NumRangeItems", FAILURE) ; read(buf, NumLines, ReadValid) ; exit when AlertIfNot(AlertLogIDVar, ReadValid, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Failed while reading NumLines", FAILURE) ; exit ; end loop ReadLoop ; Good := ReadValid ; end procedure ReadCovDbInfo ; ------------------------------------------------------------ -- pt local procedure ReadCovDbDataBase ( ------------------------------------------------------------ File CovDbFile : text ; constant NumRangeItems : in integer ; constant NumLines : in integer ; constant Merge : in boolean ; variable Good : out boolean ) is variable buf : line ; variable Empty : boolean ; variable MultiLineComment : boolean := FALSE ; variable ReadValid : boolean ; -- Format: Action Count min1 max1 min2 max2 .... variable Action : integer ; variable Count : integer ; variable BinVal : RangeArrayType(1 to NumRangeItems) ; variable index : integer ; variable AtLeast : integer ; variable Weight : integer ; variable PercentCov : real ; variable NameLength : integer ; variable SkipBlank : character ; variable NamePtr : line ; begin GrowBins(NumLines) ; ReadLoop : for i in 1 to NumLines loop GetValidLineLoop: loop exit ReadLoop when AlertIf(AlertLogIDVar, EndFile(CovDbFile), GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Did not read specified number of lines", FAILURE) ; ReadLine(CovDbFile, buf) ; EmptyOrCommentLine(buf, Empty, MultiLineComment) ; next GetValidLineLoop when Empty ; -- replace with EmptyLine(buf) exit GetValidLineLoop ; end loop ; read(buf, Action, ReadValid) ; exit ReadLoop when AlertIfNot(AlertLogIDVar, ReadValid, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Failed while reading Action", FAILURE) ; read(buf, Count, ReadValid) ; exit ReadLoop when AlertIfNot(AlertLogIDVar, ReadValid, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Failed while reading Count", FAILURE) ; read(buf, AtLeast, ReadValid) ; exit ReadLoop when AlertIfNot(AlertLogIDVar, ReadValid, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Failed while reading AtLeast", FAILURE) ; read(buf, Weight, ReadValid) ; exit ReadLoop when AlertIfNot(AlertLogIDVar, ReadValid, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Failed while reading Weight", FAILURE) ; read(buf, PercentCov, ReadValid) ; exit ReadLoop when AlertIfNot(AlertLogIDVar, ReadValid, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Failed while reading PercentCov", FAILURE) ; read(buf, BinVal, ReadValid) ; exit ReadLoop when AlertIfNot(AlertLogIDVar, ReadValid, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Failed while reading BinVal", FAILURE) ; read(buf, NameLength, ReadValid) ; exit ReadLoop when AlertIfNot(AlertLogIDVar, ReadValid, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Failed while reading Bin Name Length", FAILURE) ; read(buf, SkipBlank, ReadValid) ; exit ReadLoop when AlertIfNot(AlertLogIDVar, ReadValid, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Failed while reading Bin Name Length", FAILURE) ; read(buf, NamePtr, NameLength, ReadValid) ; exit ReadLoop when AlertIfNot(AlertLogIDVar, ReadValid, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.ReadCovDb: Failed while reading Bin Name", FAILURE) ; index := FindExactBin(Merge, BinVal, Action, AtLeast, Weight, NamePtr.all) ; if index > 0 then -- Bin is an exact match so only merge the count values CovBinPtr(index).Count := CovBinPtr(index).Count + Count ; CovBinPtr(index).PercentCov := CalcPercentCov( Count => CovBinPtr.all(index).Count, AtLeast => CovBinPtr.all(index).AtLeast ) ; else InsertNewBin(BinVal, Action, Count, AtLeast, Weight, NamePtr.all, PercentCov) ; end if ; deallocate(NamePtr) ; end loop ReadLoop ; Good := ReadValid ; end ReadCovDbDataBase ; ------------------------------------------------------------ -- pt local procedure ReadCovDb (File CovDbFile : text; Merge : boolean := FALSE) is ------------------------------------------------------------ -- Format: Action Count min1 max1 min2 max2 -- file CovDbFile : text open READ_MODE is FileName ; variable NumRangeItems : integer ; variable NumLines : integer ; variable ReadValid : boolean ; begin if not Merge then Deallocate ; -- remove any old bins end if ; ReadLoop : loop -- Read coverage private variables to the file ReadCovVars(CovDbFile, ReadValid) ; exit when not ReadValid ; -- Get Coverage dimensions and number of items in file. ReadCovDbInfo(CovDbFile, NumRangeItems, NumLines, ReadValid) ; exit when not ReadValid ; -- Read the file ReadCovDbDataBase(CovDbFile, NumRangeItems, NumLines, Merge, ReadValid) ; exit ; end loop ReadLoop ; end ReadCovDb ; ------------------------------------------------------------ procedure ReadCovDb (FileName : string; Merge : boolean := FALSE) is ------------------------------------------------------------ -- Format: Action Count min1 max1 min2 max2 file CovDbFile : text open READ_MODE is FileName ; begin ReadCovDb(CovDbFile, Merge) ; end procedure ReadCovDb ; ------------------------------------------------------------ -- pt local procedure WriteCovDbVars (file CovDbFile : text ) is ------------------------------------------------------------ variable buf : line ; begin -- write coverage private variables to the file swrite(buf, CovNameVar.Get("Coverage_Model_Not_Named")) ; writeline(CovDbFile, buf) ; write(buf, RV.GetSeed ) ; write(buf, ' ') ; write(buf, CovThreshold, RIGHT, 0, 5) ; write(buf, ' ') ; write(buf, IllegalModeType'pos(IllegalMode)) ; write(buf, ' ') ; write(buf, WeightModeType'pos(WeightMode)) ; write(buf, ' ') ; write(buf, WeightScale, RIGHT, 0, 6) ; write(buf, ' ') ; write(buf, CountModeType'pos(CountMode)) ; write(buf, ' ') ; write(buf, ThresholdingEnable) ; -- boolean write(buf, ' ') ; write(buf, CovTarget, RIGHT, 0, 6) ; -- Real write(buf, ' ') ; write(buf, MergingEnable) ; -- boolean write(buf, ' ') ; writeline(CovDbFile, buf) ; write(buf, CovMessageVar.GetCount ) ; writeline(CovDbFile, buf) ; WriteMessage(CovDbFile, CovMessageVar) ; end procedure WriteCovDbVars ; ------------------------------------------------------------ -- pt local procedure WriteCovDb (file CovDbFile : text ) is ------------------------------------------------------------ -- Format: Action Count min1 max1 min2 max2 variable buf : line ; begin -- write Cover variables to the file WriteCovDbVars( CovDbFile ) ; -- write NumRangeItems, NumLines write(buf, CovBinPtr(1).BinVal'length) ; write(buf, ' ') ; write(buf, NumBins) ; write(buf, ' ') ; writeline(CovDbFile, buf) ; -- write coverage to a file writeloop : for LineCount in 1 to NumBins loop write(buf, CovBinPtr(LineCount).Action) ; write(buf, ' ') ; write(buf, CovBinPtr(LineCount).Count) ; write(buf, ' ') ; write(buf, CovBinPtr(LineCount).AtLeast) ; write(buf, ' ') ; write(buf, CovBinPtr(LineCount).Weight) ; write(buf, ' ') ; write(buf, CovBinPtr(LineCount).PercentCov, RIGHT, 0, 4) ; write(buf, ' ') ; WriteBinVal(buf, CovBinPtr(LineCount).BinVal.all) ; write(buf, ' ') ; write(buf, CovBinPtr(LineCount).Name'length) ; write(buf, ' ') ; write(buf, CovBinPtr(LineCount).Name.all) ; writeline(CovDbFile, buf) ; end loop WriteLoop ; end procedure WriteCovDb ; ------------------------------------------------------------ procedure WriteCovDb (FileName : string; OpenKind : File_Open_Kind := WRITE_MODE ) is ------------------------------------------------------------ -- Format: Action Count min1 max1 min2 max2 file CovDbFile : text open OpenKind is FileName ; begin if NumBins >= 1 then WriteCovDb(CovDbFile) ; else Alert(AlertLogIDVar, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.WriteCovDb: no bins defined ", FAILURE) ; end if ; end procedure WriteCovDb ; -- ------------------------------------------------------------ -- procedure WriteCovDb is -- ------------------------------------------------------------ -- begin -- if WriteCovDbFileInit then -- WriteCovDb(WriteCovDbFile) ; -- else -- report "CoveragePkg: WriteCovDb file not specified" severity failure ; -- end if ; -- end procedure WriteCovDb ; ------------------------------------------------------------ impure function GetErrorCount return integer is ------------------------------------------------------------ variable ErrorCnt : integer := 0 ; begin if NumBins < 1 then return 1 ; -- return error if model empty else for i in 1 to NumBins loop if CovBinPtr(i).count < 0 then -- illegal CovBin ErrorCnt := ErrorCnt + CovBinPtr(i).count ; end if ; end loop ; return - ErrorCnt ; end if ; end function GetErrorCount ; ------------------------------------------------------------ -- These support usage of cross coverage constants -- Also support the older AddBins(GenCross(...)) methodology -- which has been replaced by AddCross ------------------------------------------------------------ procedure AddCross (CovBin : CovMatrix2Type ; Name : String := "") is ------------------------------------------------------------ begin CheckBinValLength(2, "AddCross") ; GrowBins(CovBin'length) ; for i in CovBin'range loop InsertBin( CovBin(i).BinVal, CovBin(i).Action, CovBin(i).Count, CovBin(i).AtLeast, CovBin(i).Weight, Name ) ; end loop ; end procedure AddCross ; ------------------------------------------------------------ procedure AddCross (CovBin : CovMatrix3Type ; Name : String := "") is ------------------------------------------------------------ begin CheckBinValLength(3, "AddCross") ; GrowBins(CovBin'length) ; for i in CovBin'range loop InsertBin( CovBin(i).BinVal, CovBin(i).Action, CovBin(i).Count, CovBin(i).AtLeast, CovBin(i).Weight, Name ) ; end loop ; end procedure AddCross ; ------------------------------------------------------------ procedure AddCross (CovBin : CovMatrix4Type ; Name : String := "") is ------------------------------------------------------------ begin CheckBinValLength(4, "AddCross") ; GrowBins(CovBin'length) ; for i in CovBin'range loop InsertBin( CovBin(i).BinVal, CovBin(i).Action, CovBin(i).Count, CovBin(i).AtLeast, CovBin(i).Weight, Name ) ; end loop ; end procedure AddCross ; ------------------------------------------------------------ procedure AddCross (CovBin : CovMatrix5Type ; Name : String := "") is ------------------------------------------------------------ begin CheckBinValLength(5, "AddCross") ; GrowBins(CovBin'length) ; for i in CovBin'range loop InsertBin( CovBin(i).BinVal, CovBin(i).Action, CovBin(i).Count, CovBin(i).AtLeast, CovBin(i).Weight, Name ) ; end loop ; end procedure AddCross ; ------------------------------------------------------------ procedure AddCross (CovBin : CovMatrix6Type ; Name : String := "") is ------------------------------------------------------------ begin CheckBinValLength(6, "AddCross") ; GrowBins(CovBin'length) ; for i in CovBin'range loop InsertBin( CovBin(i).BinVal, CovBin(i).Action, CovBin(i).Count, CovBin(i).AtLeast, CovBin(i).Weight, Name ) ; end loop ; end procedure AddCross ; ------------------------------------------------------------ procedure AddCross (CovBin : CovMatrix7Type ; Name : String := "") is ------------------------------------------------------------ begin CheckBinValLength(7, "AddCross") ; GrowBins(CovBin'length) ; for i in CovBin'range loop InsertBin( CovBin(i).BinVal, CovBin(i).Action, CovBin(i).Count, CovBin(i).AtLeast, CovBin(i).Weight, Name ) ; end loop ; end procedure AddCross ; ------------------------------------------------------------ procedure AddCross (CovBin : CovMatrix8Type ; Name : String := "") is ------------------------------------------------------------ begin CheckBinValLength(8, "AddCross") ; GrowBins(CovBin'length) ; for i in CovBin'range loop InsertBin( CovBin(i).BinVal, CovBin(i).Action, CovBin(i).Count, CovBin(i).AtLeast, CovBin(i).Weight, Name ) ; end loop ; end procedure AddCross ; ------------------------------------------------------------ procedure AddCross (CovBin : CovMatrix9Type ; Name : String := "") is ------------------------------------------------------------ begin CheckBinValLength(9, "AddCross") ; GrowBins(CovBin'length) ; for i in CovBin'range loop InsertBin( CovBin(i).BinVal, CovBin(i).Action, CovBin(i).Count, CovBin(i).AtLeast, CovBin(i).Weight, Name ) ; end loop ; end procedure AddCross ; -- ------------------------------------------------------------ -- ------------------------------------------------------------ -- Deprecated. Due to name changes to promote greater consistency -- Maintained for backward compatibility. -- ------------------------------------------------------------ ------------------------------------------------------------ impure function CovBinErrCnt return integer is -- Deprecated. Name changed to ErrorCount for package to package consistency ------------------------------------------------------------ begin return GetErrorCount ; end function CovBinErrCnt ; ------------------------------------------------------------ -- Deprecated. Same as RandCovBinVal impure function RandCovHole ( PercentCov : real ) return RangeArrayType is ------------------------------------------------------------ begin return RandCovBinVal(PercentCov) ; end function RandCovHole ; ------------------------------------------------------------ -- Deprecated. Same as RandCovBinVal impure function RandCovHole return RangeArrayType is ------------------------------------------------------------ begin return RandCovBinVal ; end function RandCovHole ; -- GetCovHole replaced by GetHoleBinVal ------------------------------------------------------------ -- Deprecated. Same as GetHoleBinVal impure function GetCovHole ( ReqHoleNum : integer ; PercentCov : real ) return RangeArrayType is ------------------------------------------------------------ begin return GetHoleBinVal(ReqHoleNum, PercentCov) ; end function GetCovHole ; ------------------------------------------------------------ -- Deprecated. Same as GetHoleBinVal impure function GetCovHole ( PercentCov : real ) return RangeArrayType is ------------------------------------------------------------ begin return GetHoleBinVal(PercentCov) ; end function GetCovHole ; ------------------------------------------------------------ -- Deprecated. Same as GetHoleBinVal impure function GetCovHole ( ReqHoleNum : integer := 1 ) return RangeArrayType is ------------------------------------------------------------ begin return GetHoleBinVal(ReqHoleNum) ; end function GetCovHole ; -- ------------------------------------------------------------ -- ------------------------------------------------------------ -- Deprecated / Subsumed by versions with PercentCov Parameter -- Maintained for backward compatibility only and -- may be removed in the future. -- ------------------------------------------------------------ ------------------------------------------------------------ -- Deprecated. Replaced by SetMessage with multi-line support procedure SetItemName (ItemNameIn : String) is ------------------------------------------------------------ begin SetMessage(ItemNameIn) ; end procedure SetItemName ; ------------------------------------------------------------ -- Deprecated. Same as GetMinCount impure function GetMinCov return integer is ------------------------------------------------------------ begin return GetMinCount ; end function GetMinCov ; ------------------------------------------------------------ -- Deprecated. Same as GetMaxCount impure function GetMaxCov return integer is ------------------------------------------------------------ begin return GetMaxCount ; end function GetMaxCov ; ------------------------------------------------------------ -- Deprecated. New versions use PercentCov impure function CountCovHoles ( AtLeast : integer ) return integer is ------------------------------------------------------------ variable HoleCount : integer := 0 ; begin CovLoop : for i in 1 to NumBins loop -- if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).Count < minimum(AtLeast, CovBinPtr(i).AtLeast) then if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).Count < AtLeast then HoleCount := HoleCount + 1 ; end if ; end loop CovLoop ; return HoleCount ; end function CountCovHoles ; ------------------------------------------------------------ -- Deprecated. New versions use PercentCov impure function IsCovered ( AtLeast : integer ) return boolean is ------------------------------------------------------------ begin return CountCovHoles(AtLeast) = 0 ; end function IsCovered ; ------------------------------------------------------------ impure function CalcWeight ( BinIndex : integer ; MaxAtLeast : integer ) return integer is -- pt local ------------------------------------------------------------ begin case WeightMode is when AT_LEAST => return CovBinPtr(BinIndex).AtLeast ; when WEIGHT => return CovBinPtr(BinIndex).Weight ; when REMAIN => return MaxAtLeast - CovBinPtr(BinIndex).Count ; when REMAIN_SCALED => -- Experimental may be removed return integer( Ceil( WeightScale * real(MaxAtLeast))) - CovBinPtr(BinIndex).Count ; when REMAIN_WEIGHT => -- Experimental may be removed return CovBinPtr(BinIndex).Weight * ( integer( Ceil( WeightScale * real(MaxAtLeast))) - CovBinPtr(BinIndex).Count ) ; when others => Alert(AlertLogIDVar, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.CalcWeight:" & " Selected Weight Mode not supported with deprecated RandCovPoint(AtLeast), see RandCovPoint(PercentCov)", FAILURE) ; return MaxAtLeast - CovBinPtr(BinIndex).Count ; end case ; end function CalcWeight ; ------------------------------------------------------------ -- Deprecated. New versions use PercentCov -- If keep this, need to be able to scale AtLeast Value impure function RandHoleIndex ( AtLeast : integer ) return integer is -- pt local ------------------------------------------------------------ variable WeightVec : integer_vector(0 to NumBins-1) ; -- Prep for change to DistInt variable MinCount, AdjAtLeast, MaxAtLeast : integer ; begin ItemCount := ItemCount + 1 ; MinCount := GetMinCov ; -- iAtLeast := integer(ceil(CovTarget * real(AtLeast)/100.0)) ; if ThresholdingEnable then AdjAtLeast := MinCount + integer(CovThreshold) + 1 ; if MinCount < AtLeast then -- Clip at AtLeast until reach AtLeast AdjAtLeast := minimum(AdjAtLeast, AtLeast) ; end if ; else if MinCount < AtLeast then AdjAtLeast := AtLeast ; -- Valid else -- Done, Enable all bins -- AdjAtLeast := integer'right ; -- Get All AdjAtLeast := GetMaxCov + 1 ; -- Get All end if ; end if; MaxAtLeast := AdjAtLeast ; CovLoop : for i in 1 to NumBins loop -- if not ThresholdingEnable then -- -- When not thresholding, consider bin Bin.AtLeast -- -- iBinAtLeast := integer(ceil(CovTarget * real(CovBinPtr(i).AtLeast)/100.0)) ; -- MaxAtLeast := maximum(AdjAtLeast, CovBinPtr(i).AtLeast) ; -- end if ; if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).Count < MaxAtLeast then WeightVec(i-1) := CalcWeight(i, MaxAtLeast ) ; -- CovBinPtr(i).Weight ; else WeightVec(i-1) := 0 ; end if ; end loop CovLoop ; -- DistInt returns integer range 0 to Numbins-1 LastIndex := 1 + RV.DistInt( WeightVec ) ; -- return range 1 to NumBins return LastIndex ; end function RandHoleIndex ; ------------------------------------------------------------ -- Deprecated. New versions use PercentCov impure function RandCovBinVal (AtLeast : integer ) return RangeArrayType is ------------------------------------------------------------ begin return CovBinPtr( RandHoleIndex(AtLeast) ).BinVal.all ; -- GetBinVal end function RandCovBinVal ; -- Maintained for backward compatibility. Repeated until aliases work for methods ------------------------------------------------------------ -- Deprecated+ New versions use PercentCov. Name change. impure function RandCovHole (AtLeast : integer ) return RangeArrayType is ------------------------------------------------------------ begin return RandCovBinVal(AtLeast) ; -- GetBinVal end function RandCovHole ; ------------------------------------------------------------ -- Deprecated. New versions use PercentCov impure function RandCovPoint (AtLeast : integer ) return integer is ------------------------------------------------------------ variable BinVal : RangeArrayType(1 to 1) ; begin BinVal := RandCovBinVal(AtLeast) ; return RV.RandInt(BinVal(1).min, BinVal(1).max) ; end function RandCovPoint ; ------------------------------------------------------------ impure function RandCovPoint (AtLeast : integer ) return integer_vector is ------------------------------------------------------------ begin return ToRandPoint(RandCovBinVal(AtLeast)) ; end function RandCovPoint ; ------------------------------------------------------------ -- Deprecated. New versions use PercentCov impure function GetHoleBinVal ( ReqHoleNum : integer ; AtLeast : integer ) return RangeArrayType is ------------------------------------------------------------ variable HoleCount : integer := 0 ; variable buf : line ; begin CovLoop : for i in 1 to NumBins loop -- if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).Count < minimum(AtLeast, CovBinPtr(i).AtLeast) then if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).Count < AtLeast then HoleCount := HoleCount + 1 ; if HoleCount = ReqHoleNum then return CovBinPtr(i).BinVal.all ; end if ; end if ; end loop CovLoop ; Alert(AlertLogIDVar, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.GetHoleBinVal:" & " did not find hole. HoleCount = " & integer'image(HoleCount) & "ReqHoleNum = " & integer'image(ReqHoleNum), ERROR ) ; return CovBinPtr(NumBins).BinVal.all ; end function GetHoleBinVal ; ------------------------------------------------------------ -- Deprecated+. New versions use PercentCov. Name Change. impure function GetCovHole ( ReqHoleNum : integer ; AtLeast : integer ) return RangeArrayType is ------------------------------------------------------------ begin return GetHoleBinVal(ReqHoleNum, AtLeast) ; end function GetCovHole ; ------------------------------------------------------------ -- pt local -- Deprecated. New versions use PercentCov. procedure WriteCovHoles ( file f : text; AtLeast : integer ) is ------------------------------------------------------------ -- variable minAtLeast : integer ; variable buf : line ; begin WriteBinName(f, "WriteCovHoles: ") ; if NumBins < 1 then if WriteBinFileInit or UsingLocalFile then -- Duplicate Alert in specified file swrite(buf, "%% Alert FAILURE " & GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.WriteCovHoles:" & " coverage model is empty. Nothing to print.") ; writeline(f, buf) ; end if ; Alert(AlertLogIDVar, GetNamePlus(prefix => "in ", suffix => ", ") & "CoveragePkg.WriteCovHoles:" & " coverage model is empty. Nothing to print.", FAILURE) ; end if ; CovLoop : for i in 1 to NumBins loop -- minAtLeast := minimum(AtLeast,CovBinPtr(i).AtLeast) ; -- if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).Count < minAtLeast then if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).Count < AtLeast then swrite(buf, "%% Bin:") ; write(buf, CovBinPtr(i).BinVal.all) ; write(buf, " Count = " & integer'image(CovBinPtr(i).Count)) ; write(buf, " AtLeast = " & integer'image(CovBinPtr(i).AtLeast)) ; if WeightMode = WEIGHT or WeightMode = REMAIN_WEIGHT then -- Print Weight only when it is used write(buf, " Weight = " & integer'image(CovBinPtr(i).Weight)) ; end if ; writeline(f, buf) ; end if ; end loop CovLoop ; swrite(buf, "") ; writeline(f, buf) ; end procedure WriteCovHoles ; ------------------------------------------------------------ -- Deprecated. New versions use PercentCov. procedure WriteCovHoles ( AtLeast : integer ) is ------------------------------------------------------------ begin if WriteBinFileInit then -- Write to Local WriteBinFile - Deprecated, recommend use TranscriptFile instead WriteCovHoles(WriteBinFile, AtLeast) ; elsif IsTranscriptEnabled then -- Write to TranscriptFile WriteCovHoles(TranscriptFile, AtLeast) ; if IsTranscriptMirrored then -- Mirrored to OUTPUT WriteCovHoles(OUTPUT, AtLeast) ; end if ; else -- Default Write to OUTPUT WriteCovHoles(OUTPUT, AtLeast) ; end if; end procedure WriteCovHoles ; ------------------------------------------------------------ -- Deprecated. New versions use PercentCov. procedure WriteCovHoles ( LogLevel : LogType ; AtLeast : integer ) is ------------------------------------------------------------ begin if IsLogEnabled(AlertLogIDVar, LogLevel) then WriteCovHoles(AtLeast) ; end if; end procedure WriteCovHoles ; ------------------------------------------------------------ -- Deprecated. New versions use PercentCov. procedure WriteCovHoles ( FileName : string; AtLeast : integer ; OpenKind : File_Open_Kind := APPEND_MODE ) is ------------------------------------------------------------ file CovHoleFile : text open OpenKind is FileName ; begin WriteCovHoles(CovHoleFile, AtLeast) ; end procedure WriteCovHoles ; ------------------------------------------------------------ -- Deprecated. New versions use PercentCov. procedure WriteCovHoles ( LogLevel : LogType ; FileName : string; AtLeast : integer ; OpenKind : File_Open_Kind := APPEND_MODE ) is ------------------------------------------------------------ begin if IsLogEnabled(AlertLogIDVar, LogLevel) then WriteCovHoles(FileName, AtLeast, OpenKind) ; end if; end procedure WriteCovHoles ; ------------------------------------------------------------ -- Deprecated. Use AddCross Instead. procedure AddBins (CovBin : CovMatrix2Type ; Name : String := "") is ------------------------------------------------------------ begin AddCross(CovBin, Name) ; end procedure AddBins ; ------------------------------------------------------------ procedure AddBins (CovBin : CovMatrix3Type ; Name : String := "") is ------------------------------------------------------------ begin AddCross(CovBin, Name) ; end procedure AddBins ; ------------------------------------------------------------ procedure AddBins (CovBin : CovMatrix4Type ; Name : String := "") is ------------------------------------------------------------ begin AddCross(CovBin, Name) ; end procedure AddBins ; ------------------------------------------------------------ procedure AddBins (CovBin : CovMatrix5Type ; Name : String := "") is ------------------------------------------------------------ begin AddCross(CovBin, Name) ; end procedure AddBins ; ------------------------------------------------------------ procedure AddBins (CovBin : CovMatrix6Type ; Name : String := "") is ------------------------------------------------------------ begin AddCross(CovBin, Name) ; end procedure AddBins ; ------------------------------------------------------------ procedure AddBins (CovBin : CovMatrix7Type ; Name : String := "") is ------------------------------------------------------------ begin AddCross(CovBin, Name) ; end procedure AddBins ; ------------------------------------------------------------ procedure AddBins (CovBin : CovMatrix8Type ; Name : String := "") is ------------------------------------------------------------ begin AddCross(CovBin, Name) ; end procedure AddBins ; ------------------------------------------------------------ procedure AddBins (CovBin : CovMatrix9Type ; Name : String := "") is ------------------------------------------------------------ begin AddCross(CovBin, Name) ; end procedure AddBins ; end protected body CovPType ; ------------------------------------------------------------------------------------------ -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX CovPType XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX CovPType XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX ------------------------------------------------------------------------------------------ ------------------------------------------------------------ -- Experimental. Intended primarily for development. procedure CompareBins ( ------------------------------------------------------------ variable Bin1 : inout CovPType ; variable Bin2 : inout CovPType ; variable ErrorCount : inout integer ) is variable NumBins1, NumBins2 : integer ; variable BinInfo1, BinInfo2 : CovBinBaseType ; variable BinVal1, BinVal2 : RangeArrayType(1 to Bin1.GetBinValLength) ; variable buf : line ; variable iAlertLogID : AlertLogIDType ; begin iAlertLogID := Bin1.GetAlertLogID ; NumBins1 := Bin1.GetNumBins ; NumBins2 := Bin2.GetNumBins ; if (NumBins1 /= NumBins2) then ErrorCount := ErrorCount + 1 ; print("CoveragePkg.CompareBins: CoverageModels " & Bin1.GetCovModelName & " and " & Bin2.GetCovModelName & " have different bin lengths") ; return ; end if ; for i in 1 to NumBins1 loop BinInfo1 := Bin1.GetBinInfo(i) ; BinInfo2 := Bin2.GetBinInfo(i) ; BinVal1 := Bin1.GetBinVal(i) ; BinVal2 := Bin2.GetBinVal(i) ; if BinInfo1 /= BinInfo2 or BinVal1 /= BinVal2 then write(buf, "%% Bin:" & integer'image(i) & " miscompare." & LF) ; -- writeline(OUTPUT, buf) ; swrite(buf, "%% Bin1: ") ; write(buf, BinVal1) ; write(buf, " Action = " & integer'image(BinInfo1.action)) ; write(buf, " Count = " & integer'image(BinInfo1.count)) ; write(buf, " AtLeast = " & integer'image(BinInfo1.AtLeast)) ; write(buf, " Weight = " & integer'image(BinInfo1.Weight) & LF ) ; -- writeline(OUTPUT, buf) ; swrite(buf, "%% Bin2: ") ; write(buf, BinVal2) ; write(buf, " Action = " & integer'image(BinInfo2.action)) ; write(buf, " Count = " & integer'image(BinInfo2.count)) ; write(buf, " AtLeast = " & integer'image(BinInfo2.AtLeast)) ; write(buf, " Weight = " & integer'image(BinInfo2.Weight) & LF ) ; -- writeline(OUTPUT, buf) ; ErrorCount := ErrorCount + 1 ; writeline(buf) ; -- Alert(iAlertLogID, buf.all, ERROR) ; -- deallocate(buf) ; end if ; end loop ; end procedure CompareBins ; ------------------------------------------------------------ -- Experimental. Intended primarily for development. procedure CompareBins ( ------------------------------------------------------------ variable Bin1 : inout CovPType ; variable Bin2 : inout CovPType ) is variable ErrorCount : integer ; variable iAlertLogID : AlertLogIDType ; begin CompareBins(Bin1, Bin2, ErrorCount) ; iAlertLogID := Bin1.GetAlertLogID ; AlertIf(ErrorCount /= 0, "CoveragePkg.CompareBins: CoverageModels " & Bin1.GetCovModelName & " and " & Bin2.GetCovModelName & " are not the same.") ; end procedure CompareBins ; ------------------------------------------------------------ -- package local, Used by GenBin, IllegalBin, and IgnoreBin function MakeBin( -- Must be pure to allow initializing coverage models passed as generics. -- Impure implies the expression is not globally static. ------------------------------------------------------------ Min, Max : integer ; NumBin : integer ; AtLeast : integer ; Weight : integer ; Action : integer ) return CovBinType is variable iCovBin : CovBinType(1 to NumBin) ; variable TotalBins : integer ; -- either real or integer variable rMax, rCurMin, rNumItemsInBin, rRemainingBins : real ; -- must be real variable iCurMin, iCurMax : integer ; begin if Min > Max then -- Similar to NULL ranges. Only generate report warning. report "OSVVM.CoveragePkg.MakeBin (called by GenBin, IllegalBin, or IgnoreBin) MAX > MIN generated NULL_BIN" severity WARNING ; -- No Alerts. They make this impure. -- Alert(OSVVM_ALERTLOG_ID, "CoveragePkg.MakeBin (called by GenBin, IllegalBin, IgnoreBin): Min must be <= Max", WARNING) ; return NULL_BIN ; elsif NumBin <= 0 then -- Similar to NULL ranges. Only generate report warning. report "OSVVM.CoveragePkg.MakeBin (called by GenBin, IllegalBin, or IgnoreBin) NumBin <= 0 generated NULL_BIN" severity WARNING ; -- Alerts make this impure. -- Alert(OSVVM_ALERTLOG_ID, "CoveragePkg.MakeBin (called by GenBin, IllegalBin, IgnoreBin): NumBin must be <= 0", WARNING) ; return NULL_BIN ; elsif NumBin = 1 then iCovBin(1) := ( BinVal => (1 => (Min, Max)), Action => Action, Count => 0, Weight => Weight, AtLeast => AtLeast ) ; return iCovBin ; else -- Using type real to work around issues with integer sizing iCurMin := Min ; rCurMin := real(iCurMin) ; rMax := real(Max) ; rRemainingBins := (minimum( real(NumBin), rMax - rCurMin + 1.0 )) ; TotalBins := integer(rRemainingBins) ; for i in iCovBin'range loop rNumItemsInBin := trunc((rMax - rCurMin + 1.0) / rRemainingBins) ; -- Max - Min can be larger than integer range. iCurMax := iCurMin - integer(-rNumItemsInBin + 1.0) ; -- Keep: the "minus negative" works around a simulator bounds issue found in 2015.06 iCovBin(i) := ( BinVal => (1 => (iCurMin, iCurMax)), Action => Action, Count => 0, Weight => Weight, AtLeast => AtLeast ) ; rRemainingBins := rRemainingBins - 1.0 ; exit when rRemainingBins = 0.0 ; iCurMin := iCurMax + 1 ; rCurMin := real(iCurMin) ; end loop ; return iCovBin(1 to TotalBins) ; end if ; end function MakeBin ; ------------------------------------------------------------ -- package local, Used by GenBin, IllegalBin, and IgnoreBin function MakeBin( ------------------------------------------------------------ A : integer_vector ; AtLeast : integer ; Weight : integer ; Action : integer ) return CovBinType is alias NewA : integer_vector(1 to A'length) is A ; variable iCovBin : CovBinType(1 to A'length) ; begin if A'length <= 0 then -- Similar to NULL ranges. Only generate report warning. report "OSVVM.CoveragePkg.MakeBin (called by GenBin, IllegalBin, or IgnoreBin) integer_vector length <= 0 generated NULL_BIN" severity WARNING ; -- Alerts make this impure. -- Alert(OSVVM_ALERTLOG_ID, "CoveragePkg.MakeBin (GenBin, IllegalBin, IgnoreBin): integer_vector parameter must have values", WARNING) ; return NULL_BIN ; else for i in NewA'Range loop iCovBin(i) := ( BinVal => (i => (NewA(i), NewA(i)) ), Action => Action, Count => 0, Weight => Weight, AtLeast => AtLeast ) ; end loop ; return iCovBin ; end if ; end function MakeBin ; ------------------------------------------------------------ function GenBin( ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Min, Max : integer ; NumBin : integer ) return CovBinType is begin return MakeBin( Min => Min, Max => Max, NumBin => NumBin, AtLeast => AtLeast, Weight => Weight, Action => COV_COUNT ) ; end function GenBin ; ------------------------------------------------------------ function GenBin( AtLeast : integer ; Min, Max, NumBin : integer ) return CovBinType is ------------------------------------------------------------ begin return MakeBin( Min => Min, Max => Max, NumBin => NumBin, AtLeast => AtLeast, Weight => 1, Action => COV_COUNT ) ; end function GenBin ; ------------------------------------------------------------ function GenBin( Min, Max, NumBin : integer ) return CovBinType is ------------------------------------------------------------ begin return MakeBin( Min => Min, Max => Max, NumBin => NumBin, AtLeast => 1, Weight => 1, Action => COV_COUNT ) ; end function GenBin ; ------------------------------------------------------------ function GenBin ( Min, Max : integer) return CovBinType is ------------------------------------------------------------ begin -- create a separate CovBin for each value -- AtLeast and Weight = 1 (must use longer version to specify) return MakeBin( Min => Min, Max => Max, NumBin => Max - Min + 1, AtLeast => 1, Weight => 1, Action => COV_COUNT ) ; end function GenBin ; ------------------------------------------------------------ function GenBin ( A : integer ) return CovBinType is ------------------------------------------------------------ begin -- create a single CovBin for A. -- AtLeast and Weight = 1 (must use longer version to specify) return MakeBin( Min => A, Max => A, NumBin => 1, AtLeast => 1, Weight => 1, Action => COV_COUNT ) ; end function GenBin ; ------------------------------------------------------------ function GenBin( ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; A : integer_vector ) return CovBinType is begin return MakeBin( A => A, AtLeast => AtLeast, Weight => Weight, Action => COV_COUNT ) ; end function GenBin ; ------------------------------------------------------------ function GenBin ( AtLeast : integer ; A : integer_vector ) return CovBinType is ------------------------------------------------------------ begin return MakeBin( A => A, AtLeast => AtLeast, Weight => 1, Action => COV_COUNT ) ; end function GenBin ; ------------------------------------------------------------ function GenBin ( A : integer_vector ) return CovBinType is ------------------------------------------------------------ begin return MakeBin( A => A, AtLeast => 1, Weight => 1, Action => COV_COUNT ) ; end function GenBin ; ------------------------------------------------------------ function IllegalBin ( Min, Max, NumBin : integer ) return CovBinType is ------------------------------------------------------------ begin return MakeBin( Min => Min, Max => Max, NumBin => NumBin, AtLeast => 0, Weight => 0, Action => COV_ILLEGAL ) ; end function IllegalBin ; ------------------------------------------------------------ function IllegalBin ( Min, Max : integer ) return CovBinType is ------------------------------------------------------------ begin -- default, generate one CovBin with the entire range of values return MakeBin( Min => Min, Max => Max, NumBin => 1, AtLeast => 0, Weight => 0, Action => COV_ILLEGAL ) ; end function IllegalBin ; ------------------------------------------------------------ function IllegalBin ( A : integer ) return CovBinType is ------------------------------------------------------------ begin return MakeBin( Min => A, Max => A, NumBin => 1, AtLeast => 0, Weight => 0, Action => COV_ILLEGAL ) ; end function IllegalBin ; -- IgnoreBin should never have an AtLeast parameter ------------------------------------------------------------ function IgnoreBin (Min, Max, NumBin : integer) return CovBinType is ------------------------------------------------------------ begin return MakeBin( Min => Min, Max => Max, NumBin => NumBin, AtLeast => 0, Weight => 0, Action => COV_IGNORE ) ; end function IgnoreBin ; ------------------------------------------------------------ function IgnoreBin (Min, Max : integer) return CovBinType is ------------------------------------------------------------ begin -- default, generate one CovBin with the entire range of values return MakeBin( Min => Min, Max => Max, NumBin => 1, AtLeast => 0, Weight => 0, Action => COV_IGNORE ) ; end function IgnoreBin ; ------------------------------------------------------------ function IgnoreBin (A : integer) return CovBinType is ------------------------------------------------------------ begin return MakeBin( Min => A, Max => A, NumBin => 1, AtLeast => 0, Weight => 0, Action => COV_IGNORE ) ; end function IgnoreBin ; ------------------------------------------------------------ function GenCross( -- 2 -- Cross existing bins -- Use AddCross for adding values directly to coverage database -- Use GenCross for constants ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2 : CovBinType ) return CovMatrix2Type is constant BIN_LENS : integer_vector := BinLengths(Bin1, Bin2) ; constant NUM_NEW_BINS : integer := CalcNumCrossBins(BIN_LENS) ; variable BinIndex : integer_vector(1 to BIN_LENS'length) := (others => 1) ; variable CrossBins : CovBinType(BinIndex'range) ; variable Action : integer ; variable iCovMatrix : CovMatrix2Type(1 to NUM_NEW_BINS) ; begin for MatrixIndex in iCovMatrix'range loop CrossBins := ConcatenateBins(BinIndex, Bin1, Bin2) ; Action := MergeState(CrossBins) ; iCovMatrix(MatrixIndex).action := Action ; iCovMatrix(MatrixIndex).count := 0 ; iCovMatrix(MatrixIndex).BinVal := MergeBinVal(CrossBins) ; iCovMatrix(MatrixIndex).AtLeast := MergeAtLeast( Action, AtLeast, CrossBins) ; iCovMatrix(MatrixIndex).Weight := MergeWeight ( Action, Weight, CrossBins) ; IncBinIndex( BinIndex, BIN_LENS ) ; -- increment right most one, then if overflow, increment next end loop ; return iCovMatrix ; end function GenCross ; ------------------------------------------------------------ function GenCross(AtLeast : integer ; Bin1, Bin2 : CovBinType) return CovMatrix2Type is -- Cross existing bins -- use AddCross instead ------------------------------------------------------------ begin return GenCross(AtLeast, 0, Bin1, Bin2) ; end function GenCross ; ------------------------------------------------------------ function GenCross(Bin1, Bin2 : CovBinType) return CovMatrix2Type is -- Cross existing bins -- use AddCross instead ------------------------------------------------------------ begin return GenCross(0, 0, Bin1, Bin2) ; end function GenCross ; ------------------------------------------------------------ function GenCross( -- 3 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3 : CovBinType ) return CovMatrix3Type is constant BIN_LENS : integer_vector := BinLengths(Bin1, Bin2, Bin3) ; constant NUM_NEW_BINS : integer := CalcNumCrossBins(BIN_LENS) ; variable BinIndex : integer_vector(1 to BIN_LENS'length) := (others => 1) ; variable CrossBins : CovBinType(BinIndex'range) ; variable Action : integer ; variable iCovMatrix : CovMatrix3Type(1 to NUM_NEW_BINS) ; begin for MatrixIndex in iCovMatrix'range loop CrossBins := ConcatenateBins(BinIndex, Bin1, Bin2, Bin3) ; Action := MergeState(CrossBins) ; iCovMatrix(MatrixIndex).action := Action ; iCovMatrix(MatrixIndex).count := 0 ; iCovMatrix(MatrixIndex).BinVal := MergeBinVal(CrossBins) ; iCovMatrix(MatrixIndex).AtLeast := MergeAtLeast( Action, AtLeast, CrossBins) ; iCovMatrix(MatrixIndex).Weight := MergeWeight ( Action, Weight, CrossBins) ; IncBinIndex( BinIndex, BIN_LENS ) ; -- increment right most one, then if overflow, increment next end loop ; return iCovMatrix ; end function GenCross ; ------------------------------------------------------------ function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3 : CovBinType ) return CovMatrix3Type is ------------------------------------------------------------ begin return GenCross(AtLeast, 0, Bin1, Bin2, Bin3) ; end function GenCross ; ------------------------------------------------------------ function GenCross( Bin1, Bin2, Bin3 : CovBinType ) return CovMatrix3Type is ------------------------------------------------------------ begin return GenCross(0, 0, Bin1, Bin2, Bin3) ; end function GenCross ; ------------------------------------------------------------ function GenCross( -- 4 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4 : CovBinType ) return CovMatrix4Type is constant BIN_LENS : integer_vector := BinLengths(Bin1, Bin2, Bin3, Bin4) ; constant NUM_NEW_BINS : integer := CalcNumCrossBins(BIN_LENS) ; variable BinIndex : integer_vector(1 to BIN_LENS'length) := (others => 1) ; variable CrossBins : CovBinType(BinIndex'range) ; variable Action : integer ; variable iCovMatrix : CovMatrix4Type(1 to NUM_NEW_BINS) ; begin for MatrixIndex in iCovMatrix'range loop CrossBins := ConcatenateBins(BinIndex, Bin1, Bin2, Bin3, Bin4) ; Action := MergeState(CrossBins) ; iCovMatrix(MatrixIndex).action := Action ; iCovMatrix(MatrixIndex).count := 0 ; iCovMatrix(MatrixIndex).BinVal := MergeBinVal(CrossBins) ; iCovMatrix(MatrixIndex).AtLeast := MergeAtLeast( Action, AtLeast, CrossBins) ; iCovMatrix(MatrixIndex).Weight := MergeWeight ( Action, Weight, CrossBins) ; IncBinIndex( BinIndex, BIN_LENS ) ; -- increment right most one, then if overflow, increment next end loop ; return iCovMatrix ; end function GenCross ; ------------------------------------------------------------ function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4 : CovBinType ) return CovMatrix4Type is ------------------------------------------------------------ begin return GenCross(AtLeast, 0, Bin1, Bin2, Bin3, Bin4) ; end function GenCross ; ------------------------------------------------------------ function GenCross( Bin1, Bin2, Bin3, Bin4 : CovBinType ) return CovMatrix4Type is ------------------------------------------------------------ begin return GenCross(0, 0, Bin1, Bin2, Bin3, Bin4) ; end function GenCross ; ------------------------------------------------------------ function GenCross( -- 5 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4, Bin5 : CovBinType ) return CovMatrix5Type is constant BIN_LENS : integer_vector := BinLengths(Bin1, Bin2, Bin3, Bin4, Bin5) ; constant NUM_NEW_BINS : integer := CalcNumCrossBins(BIN_LENS) ; variable BinIndex : integer_vector(1 to BIN_LENS'length) := (others => 1) ; variable CrossBins : CovBinType(BinIndex'range) ; variable Action : integer ; variable iCovMatrix : CovMatrix5Type(1 to NUM_NEW_BINS) ; begin for MatrixIndex in iCovMatrix'range loop CrossBins := ConcatenateBins(BinIndex, Bin1, Bin2, Bin3, Bin4, Bin5) ; Action := MergeState(CrossBins) ; iCovMatrix(MatrixIndex).action := Action ; iCovMatrix(MatrixIndex).count := 0 ; iCovMatrix(MatrixIndex).BinVal := MergeBinVal(CrossBins) ; iCovMatrix(MatrixIndex).AtLeast := MergeAtLeast( Action, AtLeast, CrossBins) ; iCovMatrix(MatrixIndex).Weight := MergeWeight ( Action, Weight, CrossBins) ; IncBinIndex( BinIndex, BIN_LENS ) ; -- increment right most one, then if overflow, increment next end loop ; return iCovMatrix ; end function GenCross ; ------------------------------------------------------------ function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4, Bin5 : CovBinType ) return CovMatrix5Type is ------------------------------------------------------------ begin return GenCross(AtLeast, 0, Bin1, Bin2, Bin3, Bin4, Bin5) ; end function GenCross ; ------------------------------------------------------------ function GenCross( Bin1, Bin2, Bin3, Bin4, Bin5 : CovBinType ) return CovMatrix5Type is ------------------------------------------------------------ begin return GenCross(0, 0, Bin1, Bin2, Bin3, Bin4, Bin5) ; end function GenCross ; ------------------------------------------------------------ function GenCross( -- 6 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6 : CovBinType ) return CovMatrix6Type is constant BIN_LENS : integer_vector := BinLengths(Bin1, Bin2, Bin3, Bin4, Bin5, Bin6) ; constant NUM_NEW_BINS : integer := CalcNumCrossBins(BIN_LENS) ; variable BinIndex : integer_vector(1 to BIN_LENS'length) := (others => 1) ; variable CrossBins : CovBinType(BinIndex'range) ; variable Action : integer ; variable iCovMatrix : CovMatrix6Type(1 to NUM_NEW_BINS) ; begin for MatrixIndex in iCovMatrix'range loop CrossBins := ConcatenateBins(BinIndex, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6) ; Action := MergeState(CrossBins) ; iCovMatrix(MatrixIndex).action := Action ; iCovMatrix(MatrixIndex).count := 0 ; iCovMatrix(MatrixIndex).BinVal := MergeBinVal(CrossBins) ; iCovMatrix(MatrixIndex).AtLeast := MergeAtLeast( Action, AtLeast, CrossBins) ; iCovMatrix(MatrixIndex).Weight := MergeWeight ( Action, Weight, CrossBins) ; IncBinIndex( BinIndex, BIN_LENS ) ; -- increment right most one, then if overflow, increment next end loop ; return iCovMatrix ; end function GenCross ; ------------------------------------------------------------ function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6 : CovBinType ) return CovMatrix6Type is ------------------------------------------------------------ begin return GenCross(AtLeast, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6) ; end function GenCross ; ------------------------------------------------------------ function GenCross( Bin1, Bin2, Bin3, Bin4, Bin5, Bin6 : CovBinType ) return CovMatrix6Type is ------------------------------------------------------------ begin return GenCross(0, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6) ; end function GenCross ; ------------------------------------------------------------ function GenCross( -- 7 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7 : CovBinType ) return CovMatrix7Type is constant BIN_LENS : integer_vector := BinLengths(Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7) ; constant NUM_NEW_BINS : integer := CalcNumCrossBins(BIN_LENS) ; variable BinIndex : integer_vector(1 to BIN_LENS'length) := (others => 1) ; variable CrossBins : CovBinType(BinIndex'range) ; variable Action : integer ; variable iCovMatrix : CovMatrix7Type(1 to NUM_NEW_BINS) ; begin for MatrixIndex in iCovMatrix'range loop CrossBins := ConcatenateBins(BinIndex, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7) ; Action := MergeState(CrossBins) ; iCovMatrix(MatrixIndex).action := Action ; iCovMatrix(MatrixIndex).count := 0 ; iCovMatrix(MatrixIndex).BinVal := MergeBinVal(CrossBins) ; iCovMatrix(MatrixIndex).AtLeast := MergeAtLeast( Action, AtLeast, CrossBins) ; iCovMatrix(MatrixIndex).Weight := MergeWeight ( Action, Weight, CrossBins) ; IncBinIndex( BinIndex, BIN_LENS ) ; -- increment right most one, then if overflow, increment next end loop ; return iCovMatrix ; end function GenCross ; ------------------------------------------------------------ function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7 : CovBinType ) return CovMatrix7Type is ------------------------------------------------------------ begin return GenCross(AtLeast, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7) ; end function GenCross ; ------------------------------------------------------------ function GenCross( Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7 : CovBinType ) return CovMatrix7Type is ------------------------------------------------------------ begin return GenCross(0, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7) ; end function GenCross ; ------------------------------------------------------------ function GenCross( -- 8 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8 : CovBinType ) return CovMatrix8Type is constant BIN_LENS : integer_vector := BinLengths(Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8) ; constant NUM_NEW_BINS : integer := CalcNumCrossBins(BIN_LENS) ; variable BinIndex : integer_vector(1 to BIN_LENS'length) := (others => 1) ; variable CrossBins : CovBinType(BinIndex'range) ; variable Action : integer ; variable iCovMatrix : CovMatrix8Type(1 to NUM_NEW_BINS) ; begin for MatrixIndex in iCovMatrix'range loop CrossBins := ConcatenateBins(BinIndex, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8) ; Action := MergeState(CrossBins) ; iCovMatrix(MatrixIndex).action := Action ; iCovMatrix(MatrixIndex).count := 0 ; iCovMatrix(MatrixIndex).BinVal := MergeBinVal(CrossBins) ; iCovMatrix(MatrixIndex).AtLeast := MergeAtLeast( Action, AtLeast, CrossBins) ; iCovMatrix(MatrixIndex).Weight := MergeWeight ( Action, Weight, CrossBins) ; IncBinIndex( BinIndex, BIN_LENS ) ; -- increment right most one, then if overflow, increment next end loop ; return iCovMatrix ; end function GenCross ; ------------------------------------------------------------ function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8 : CovBinType ) return CovMatrix8Type is ------------------------------------------------------------ begin return GenCross(AtLeast, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8) ; end function GenCross ; ------------------------------------------------------------ function GenCross( Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8 : CovBinType ) return CovMatrix8Type is ------------------------------------------------------------ begin return GenCross(0, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8) ; end function GenCross ; ------------------------------------------------------------ function GenCross( -- 9 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9 : CovBinType ) return CovMatrix9Type is constant BIN_LENS : integer_vector := BinLengths(Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9) ; constant NUM_NEW_BINS : integer := CalcNumCrossBins(BIN_LENS) ; variable BinIndex : integer_vector(1 to BIN_LENS'length) := (others => 1) ; variable CrossBins : CovBinType(BinIndex'range) ; variable Action : integer ; variable iCovMatrix : CovMatrix9Type(1 to NUM_NEW_BINS) ; begin for MatrixIndex in iCovMatrix'range loop CrossBins := ConcatenateBins(BinIndex, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9) ; Action := MergeState(CrossBins) ; iCovMatrix(MatrixIndex).action := Action ; iCovMatrix(MatrixIndex).count := 0 ; iCovMatrix(MatrixIndex).BinVal := MergeBinVal(CrossBins) ; iCovMatrix(MatrixIndex).AtLeast := MergeAtLeast( Action, AtLeast, CrossBins) ; iCovMatrix(MatrixIndex).Weight := MergeWeight ( Action, Weight, CrossBins) ; IncBinIndex( BinIndex, BIN_LENS ) ; -- increment right most one, then if overflow, increment next end loop ; return iCovMatrix ; end function GenCross ; ------------------------------------------------------------ function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9 : CovBinType ) return CovMatrix9Type is ------------------------------------------------------------ begin return GenCross(AtLeast, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9) ; end function GenCross ; ------------------------------------------------------------ function GenCross( Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9 : CovBinType ) return CovMatrix9Type is ------------------------------------------------------------ begin return GenCross(0, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9) ; end function GenCross ; ------------------------------------------------------------ function to_integer ( B : boolean ) return integer is ------------------------------------------------------------ begin if B then return 1 ; else return 0 ; end if ; end function to_integer ; ------------------------------------------------------------ function to_integer ( SL : std_logic ) return integer is ------------------------------------------------------------- begin case SL is when '1' | 'H' => return 1 ; when '0' | 'L' => return 0 ; when others => return -1 ; end case ; end function to_integer ; ------------------------------------------------------------ function to_integer_vector ( BV : boolean_vector ) return integer_vector is ------------------------------------------------------------ variable result : integer_vector(BV'range) ; begin for i in BV'range loop result(i) := to_integer(BV(i)) ; end loop ; return result ; end function to_integer_vector ; ------------------------------------------------------------ function to_integer_vector ( SLV : std_logic_vector ) return integer_vector is ------------------------------------------------------------- variable result : integer_vector(SLV'range) ; begin for i in SLV'range loop result(i) := to_integer(SLV(i)) ; end loop ; return result ; end function to_integer_vector ; ------------------------------------------------------------ ------------------------------------------------------------ -- Deprecated: These are not part of the coverage model -- ------------------------------------------------------------ -- procedure increment( signal Count : inout integer ) is -- ------------------------------------------------------------ -- begin -- Count <= Count + 1 ; -- end procedure increment ; -- -- -- ------------------------------------------------------------ -- procedure increment( signal Count : inout integer ; enable : boolean ) is -- ------------------------------------------------------------ -- begin -- if enable then -- Count <= Count + 1 ; -- end if ; -- end procedure increment ; -- -- -- ------------------------------------------------------------ -- procedure increment( signal Count : inout integer ; enable : std_ulogic ) is -- ------------------------------------------------------------ -- begin -- if to_x01(enable) = '1' then -- Count <= Count + 1 ; -- end if ; -- end procedure increment ; end package body CoveragePkg ;
mit
79d1a79024132a90e93efece44cc6d5a
0.514653
4.418216
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/reg_rs_rtl.vhd
3
6,781
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MHPN9Y9amseMg0zuSvrhTRzrn+W0NToGvZNVTOgJuNvWxuCU4NMRo8HnlH7RewaQm4iYAh6wHvle /0MPIaXFog== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BbmYYRmL2PLXpWVbtvu9RsdNcrttVBs/PUk5LQECotOLGUy1miaa+ljaqysu/TGJmcKfCLAJkwW/ MptH+rGPscK8uoHTzIeddAhXHSQ529VPmBEP3RShxnQvjOoF+J1LIMfDO1AkmVKIwwAhb9KSNdfX atBSk2yQy/pD8h7x5yQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QZRJPZgA8COac4pMOzTYL2+NNcMO5p9SfLgHmKymYw9Ks6zp8XIMy66/jRl0I29sT1boUkbNsf41 VUFx2iZkupZIYesrDuSfDCxUmrQASucnzz/+zViMcoHf9DqYR1h8dP3bIn/Qw9a2lmGKSiVZU/H9 dVHslrRbCWX8utOtiACCcybwTc36byy0xtLAsjLFcvDx2KCs1jeOGMDpFeo7QcubFV3oBxyJmEvN P22CsvOy5ysX5b6CzhRb6Hs2o0I1xS7aUx4Xd+ZxEjiQQ+tows7F0RGYQY2kSoTX1aekCKGJZV57 3D19tRnf/5gjjIRTZbQWkvtU1Bsj78nALK31JA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cKu8fAHb2anFshCbWWUi3DZtKqpiWiwh/0V18HR1q7h0Fmy0SbG03hJFXChQkflntLaZfC+KjCWt FBLg9Aycva6jTmappIqUy6Md30RoQvpR+VlkWIF3uPQYjuslHRqjavI2gD2oJn7Kztt+3IiiW5GL ycTAIn4FwIG30soC8go= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DuIkTvBxFKCHD+XXa4u3Y3elkrjncUoXbO644YISZ6xccDj+7lKgPf7fdGt/fyjne+drsFMpDqRu ncA1Rl3NeB2QLtLej1brZVWMn7/1i0EiEsSvBgjntnCXfD6uavi/Qn0sfWVgHGbQC8crIW4/VFcP iaMV1Lz0FlYOl84nzOipMs1gYEmyJHJqUGJiki7GCI3rReJ9lRUv0mTSWEf40duuxm7tBNcbFTyf 6qonLp4z6xTl+zMMjPW0RPBrjgr4F1SyOGq8BNiRZC522aareGi//rPD/pedS0mVcC72i45hOXEq lwAnJpVSl1rB6DHs6Kqm4HXq3C3TkIapAxDc8g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3280) `protect data_block MEHyvJAEyEXoL2e+5+Dp7H8hkpk5HGLa8DtF+nXx5YZiKYfVrwmmLF65DOTTKAhDMAdw/jFCgLNT AMX2/YNiI+7nok4X1CyCW7AOzDqdN+Ezt63uUMjzsHRwwLXzkUKBi7jC9PJTpct5OtYVYE1wM9H4 XhgchAg1NOkkBnGRgaEGSWl+3q3MOsc0C51PbUFBuZTZovS+6UMEQ0hjxtUfASTXJ8uSdetM9cXy meGuOFaYO4eil1ukZvzRaNUQFeYqkcHqDU/t0VoFV2LozXXe+hzGP2GntciX7Zo8aJpWsWUdAqWA irgeoSQ6jK5h4mp0vxbEoUgnhHx9GpXxSYHuw+FgbJPve7hG9ao0CXOG1ZdSfyRZRG06082tlBsW h/A7ZxdYU+X+oDs0aGhI88SvQAnKgDD9JWzbhFJx7799yiFme9/CRpNEhV7YQKzfuE4o1kDxgSwE VZ9tYCMaPK89ZilfmMYCNSEIwNqygzdbApJ2CgNNesrg3L3fTSy0WgSMStgXqc5crsDH1gG3ddgj qFVh6/Quf1hnf/S7Aeiqsc+bN+5rmFIaYFOHwA8v9asng3PI5rVeftu0SEi7JZcN2VOnbn5JObJH OLTxeKnaBcyQRUjMfOf3co4AvX2yyMdxYcYJKI+xscL4c74DCN/Hld8YEjFOMf+99GNC1KxPI8Ge S+gKUBx6bpnxMJ4E7WnHFN9cIp2p009Hq6tt+J44RgR45qWhT9k7pRIPf9Xi8EXIw9dnxI7h20bi 8qYbaoayV2g3LEOI1q1igQ4DsY71ZIHFALLF/8zAh9ZqVxtoO4cHORXRO3bEIPy6P2QhaAXnDVaP R/3TJmAfKnqdL4OHEifrE0ZPY3DaALXS263ywHyf6yj/Uio3Gk4KSOyqjBfnR6Bmd1f4/j+v6lH7 1dbrQ9YxhqS77kx/0UAwIbcX7Ga7ZlaAtfcRed97oMEKcnt65AIx2lb2XVIIw0Dq/gKHuHSvt2WR mnHfYzC2uYWfOZOk59irZr/vjwYEQQmVgcXD/EG7oWVLCL1LnfvFu4WIMXY+VZKH00h7eDkLmRgv hTsqUgcrCR54zA02q3eaPSWXRxItyksogkmln2WaB5YHHpzYNNEFWoAIQM8LQmC+8d0igletd2Fm 5a1Ri1RefVJYeGoNGj6+PZKeCED+pjOFOzn4gh4nxMTp8PT4xH2ce/CAMC7CGKQbgJxQqhm7ySIL hdgZSj4GQGSsxHLzYF27KwLSRwESZ6+GZ6TKRuVM9vVRl0qmmArAwcqziPHkSkxTOWrOQWdtZei+ sNsjsuOjwvFatfciHAA1690K9/1NUIXvtpLLZQNhuqWZ32E7Tb54MjWVZsoHbICe2kxfSvR0I8ep bALopD2pwGEP/lELitX7s8h/DMwWTa4FKnrNDI3qQ+1fBBgJ9sE6D8NT8k8st5jPbZQptSTVrbaa /P8v5MGmHSwLux2GxIP3UH+OXzokwziyFVPn+csX0J/45u3JRk54iYsRsEx8hEunkCYfAOiHocVq X+tdhJJMv9gECXUW1DquxqGUn+96k52uXKznftbs8x+M8Snt6bFfTt+hhqJ060Ef2RveEZIUYccA hTtnhW2v0o9YRX49WPgLXn3HHD3Daqh6fYynOQsGgt8QSrZvuPVBBporwvHW35x4qWWl+A8zBB/F 96MCf3b1n9haZwtYk44iixecILJ3XcZTS3zBEtgJlD9hsJFOOeCCKsDKWolhnOlFLfHb8SM1oDF2 sUcawGsjYAAiSmvllElRS6ay3gpU0i7yoaPhWhXeBAJzri4ZJthVWKSBPoBpDlPrm7jczyTQpSnl F9JCNvK99MJ9jPflD0LLxt2rlcrFex2tyoFgq0oApxhGIyJKkINaIX8WHuxJsGkGN8HYOMJQ6CaC ZAFsoEfbO3EvrXM4k1KNxiZt0TA4kmaujxFFgiiZbDp/7pykYGZ/S40tpkuadJ/A6oH9DVAwdZ5y nxHnlAUVwMU9ssuxQuxp8jsoRw/6UpKPamDtTBWMBetraJdWz3ZSE1n2ps99GI8OpQ4Of6+UJjwi 82vBF0Axp/Z/o7Ek+Rb0mO/DjUP9K7cBBhI2s8cgkX7Pf1vpLAsY+vfaG7wpJe3bXMIfZo8FHcSJ a0faH2RmLAlcNUfCOeOKVCexDJAK44eaHx02BzH1CKRSU34umP/9AWLQf8QNLusC0ICXb5DElpIl ZcjAp//IMvPJjEOOCTcyHvYpS/QhKtHZ/BWclTv/HObuGnydolYfK7gTRfxVe6H41cYp7ZR9gaYw c8ULneH9FLKTfdFw6OMfOwzF3hU305X0QKj1JggwosnO6CcQclg6vQbTr8qKf/5SY8dufk7W3a0v kKnUlTpg0TEFem5AqchuNwrGoUNM01+D7cnUIlQeDs7RxBZ5dEOHoMTsNFM2TxwG7cGTVD8SSn0U NOaC9+lPd3bxxlO/IU2f8JYMtMEH4T3+hGbAYCftAYyBUYmyleb3tsRWwE1bGmtIs88HWRai3TlV 57UK6tqzbRldf6UXstulEshoOyN+R8HM8fwx1/LVpsZOGqg+1yVhtPFlghunqrz+w7qnlcmEaRw3 7Lf1IRxRM8U5vXQ73rl/r5Eu+TyKS9tfYIJtAtQ7KUB1Ly1D32UIxx4u1zEi0BIoa6zOqVBx2fxX 7XIzLhaB74Thk/HYgoHR8oTBJDAp1ecZx0srA/UonFFAxy7gc+PHWdpjxX3NHq74l1udRGVVzuX9 Ku0dx+lHCZfODdhg+lZxEC4fdTo6wWlNZ1SvMLs8eWjlkriEDTQnxK6CGkZxAB+AXE6GmKoO5yjr nHd10ALPv9STKDtLjyGbW3bc2WFsClc1fnkBxLb2FL0ZUqblLO4YDY6o5/E9y1x2Xrv0510aWIxP urrk9VQ9ck8Uj1gLC8ZJKHwrmBoQKjr9/LbP8FqXywVtY3I/ySB52q/gBsm6RdM2hxjEb6EXI0+B DOx+4nMGm/Y3ic1iso1JyKRg3tmX+cgZ9LTKIIfYtDGKV4i+ZdEb3S9h8TPdlqJ4L866bZwWSJT3 YbFs/b0wTrGK+U5PgvSeXUGF+O92mYwUDiurtx2dMzN3GgwBpROC82nCRKbpyX/tgNjDnT+G7SU7 eCUIWGZKORah+xIVSFZ2JJWwQJlJui38MTSGkY1148yel7BCWLBhPTKGY1PBGR3ZERUfUsC0bbQd DMaL3yWthH5ki8g+4Z2tYSy+JtuayLPPp0zJvanqKh13ym3KtDjh2HMf+ldSO5ADuCuk5YAryr4x qZdjDBWjRwdOJo0t4U0euY4y33idNiWaRQnOWpJ3aO3yjcEdmWXBZppnvPBlGwuDAp7EERqv/W7N C71llhmsucqOpecE2ARAjY2/CmbiwVcsdhl50cQhppfVg6uSLe5PkyJKHTrr+EIzHMmY6C3A7G3l fw3X2WDOTLzMt6WXbzrRlQFoY0C37CB/3S68IS6o9xjdoJefPVQXwi2NGBFnDWltV3EITOKf7KCF +mVRopCvGhUpz80A+sWeI91yG0sFS/7+ud4IlNQ2hJDBg3tNFtICOqcDh8jxO5ql1ApjS5U6hCOp 7l6UNwEl1OZ/glxF1AsNuj2E0dwgndacRRY1nvCng3sL7d+6MhVhfytyt9KLxouRKLXqTYyOQfuo mCQO3dQKOS8oy3vILhPV36ePQZgpFMybj10tKj7MIPrtBm6mfujBqQVkAAA1WWLoGWvs27tF46Mv fclb0/RcIgPLmfHrE/RMhOtXqueV0N5HzFn4aKp5dyPUHo+f7sgJXZf4KoSQmQM56fYUfIfz+6JC +A/L1QTn0MAfjUwVJY8+sfANCUeLh/21zZ8kl+Zif+uBJ5Kgu7g7PK0r4SIDXGqZVOp+AbWbiO3t oL8NiwSkO57wd7FSHovp9T55cE64NPHR6QYQ5pdvGfu6sOJ5mziGQaETblrT2y8kpWtI7axvR99q eo0ewq1esJeptg+1m0L1Zj7zyfjpPCffxsm6JgPBOD/GGYXYjHaW1E3tUhytjbG+CHofnXoJNu3J OzJlb1+blQvNZr8qZ62TY9nINRuI/GwIVqOlB8qdxqdXDkx9mVeJ06F98w/WMKzZa6TVI02Vfn5w w6js3ONF9Q8FD1SLDTr4wXgEAXyFC6gofN/h1v2queE7Ewd1aGIPjTS5V6i0bWmFTOyS7fMYhyNk 0ufdRhZA7YlLlWwt0wcNTLIzjB6G/+85x6D7Unq3lQR480R5uJjk2cfGu3D2TPbSqXgBTZc71AEC sDs33ug3o2jfQbkEcA+sYoyYewb+uL4KNmGxv+gxUKZ+B2i6FPmf5C2TRLoD+LeKPHab6BK0q5rD 3NmSbGxhRrt3pyjGwJwT8/9FEMcKy6kNsHDP45kvuQ== `protect end_protected
gpl-2.0
0b93b0d83fda30c8872f96566a2b9893
0.90901
1.950806
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fir/fir_compiler_v7_1/hdl/sp_mem.vhd
2
20,160
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block N0BPXZ6gwdCIAmxfSlCLgM3qfcQIqyHDzYz0R+DbmLHW0qsB8PP0xaEravDfr/En+93409Bb+Mky th619RCVnA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HVuXyr0l2LeenQsvT2zjjkW9auRxPwBbRBx0ggzcOEcU5y+Ch5p18VwpGIrr5gZVSXuvUzI5cHGy N/2f2QgWaNuT+bN7X6dD7/CCbP/2MX+JG7SUpjhjQdn8oh9a2lFYki0AZIxCv1LO1+/h+IyZgD+l ICxE16wFmlHkhC6jXww= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jpj12oJ34FskfKSy4OuHwCfe3+Fref0ULPTDQcBB69pBMR6IV/HtPITY3h+anJsoSw821QorE/LB GXi1tOjz5ISpfx9jJ/fu3fh7qWqy/w2tS9awAFgFTJAQ0aRxP40vqQB4wzY/1o3Lx3wt/6h8RObR jS5+LrOpgwjhaViOLGtD/Qus34/5FCKIJ0VVAdkqExQrKTv05l4PthVK+7hwCwHq7cnl2VSn3ad1 V6n7SNP0HJ5Xxp9Zc4zso+c2spLiJ7WW8VvIXg+DJiospLjFEtVUgHfXra+EDiIYQG42Njdr6n9X zorAZVIXm3qobJ3cLW3oglx2YG95MdwkAElkrg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WAeytyXlSOP100iT9GIjrAGrbv38l7WrGm09MSGkyG8gm2zubexD9dftQRAaa0nNp2tXTUrhBBZB 7FWn2Bo1a3zhb92BNqYKmScjquakPkTuvJFF4YITylAv3jOLH8Jqj1/pXGKYcWWLBeHC+N0Oirmn OGgeGKg0VFcWm/288I8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jjfVPpMalAZK/dIezZT1n977RblmwoOSIHGpkhHS9+Sr7WtUsQhd0Z5tjdEsNJqg6ohiOoJsObTU 7Vw2nVIsk1/OWgOPrBxaSOs53+sFD/m3IUKWy/S2Jft2slhIiMtYv15cG6SirmTYWBKn0bj1YFjE E/ytRkMjRFrmqIgA8zwcJDYBeWtaVui8H6yBmOBDajAug3DFahYv1dPzxUansIhY1MmBuK5G52df MY8xrBjXG7QDxQSGj8JNvzxRuWIy8AYvtdmoBirN1JBdIA5qIORHRCkXBi5sKm2y4XNi/W2owPDd IJL5suDY0FZc3brqbFbiBb315TglqdcwkPVNkQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13184) `protect data_block sjQ6c0vhOonJYqAlQ8xCZH5YSAZUHqBrtN4lsYyOG0/b8NuAI2o/kWiUrSrm8NkvqvYWr82PuKat /0IU0tPQ5YB+vcmeEqNPwelXarJcp/t8u8vWS0UiODCggvSRPhfXazQONYUEQpn2A61Oq7O3w7QA s3MFovcQVzqI4rQw1hP9EzUTFrQIaD84Kz3Vq1I6ROzSYI8SQ3IROLqcGFN0AxKjApUnYmEG/vJS +O4DNpLYXW5PIr7c1SpkbmHNRICtYQ43OX7eufMKhnimjO/VDa7+Qbpmoyd3OuTpxcW9j09Lhv1j to5QU9JtHNkduChxfBDlDNhqcDoNfRFJS5FgIrXCAXegzGD/LqYiE3Ti9iasa5WaBiD5OHWZ1zkI xPW0WYLDxBmp8FpE35/aATkHxg14hd8NDK/5hpQObSGLCmvzCMW/FNj2EkOLbFpFzqEN40Sl/K1I 0F4LDZ++h7P2pOpq+LDJrjcINfVaELckNU0h/6BK8uKCqnt9dVzbBn1jECNhfjrqB4oVe3XCPnL6 M4AFOgYGHe/Bw6YWM0Xbma3P/V+4uCKbVjcQGrn8k9KGLJPYOcsV/BFxvXf+us1DeDKbspMbdwJU C65fIZ/56NDo+2Sy+7BERM5g5rPLuBVsqdhCw5cHGJydXKLqq2/U6NqDayn93SeWMkQiN6xCKUQC bnN8BTZq6zv+jRMHrkZKekGxZcG8rkE8AiLLfEnt1KZEbUBKuP9krbqDSjzZ7uSRqJ7520q8O1Qh ESgYu5tZXb0IYB+rITCZ+mQngWlcRU1PcL32a0yp4ecgPFFjdboMRkCHoQC5vChYhb9Ru02FW3pj oB1yCilzQES/OdFLD676Rtk6VaaDN/bWnN3M+pwnZcF8nEhKgH1Wf9jmfeTbJF/agYadelwO31+5 Rbrc41MSkzsZu25PdBgVTB6LuQ9vxE0nPRXU/+o7RgkZ2Wx5CqWxZhb4Dv2HMboTuzkdEMOY1Kim 9T0ejt8i1UaMCGA06EArP3V6CNRoBgEiCuumcc+aZmhG3Ygv4wTDo15TgxRRS4xILpGVAebSmprY +1tzBwEAj0HZbqmmaCvseMrjzbTDPPN07Rur8g62Y7ti5tfBFcsQN7mG4s80J6+L16kIVd0F7I4T 5QSBpz4UpisTq22bm4fWGYNsKelGxsSTkzdDzihNJOAGomDaN7gc/YqR1fUlCRMSUhvvvatsElsj 8/KdsyBUpzzc9UW2EQkXW+Fsma5/uTySZojn33ek/KJXdu8sA8osN0GaeXMkYfNejnQhIfsgsVeT VEH+Kk3+rtwH5Q8X+S2mABJHksRCis9TKq2EsqYkj5KmH8eyM6zSi0tF+wXW8rR44+I1ESKb/YhD XE+ryC9TbZXrRS/O7kGtRwY+5XvWtBEZUhSGo8WPdQOdgYePWWeXkR0+xhgLJ2Wl11JBOa0yMjUv KlGKPB3lAGQuE94/S9h8zRrYMfcs0s4gu+U2YIsd3q3vQGggZFbr+8bZ1M9U+g8guktxhoJsNmp2 v8KZhArES+uGbMxQqEIkDCyldi9m5mRxd/LrZdLO0+AG0xhSp9p3FAhbQ86bAV1GRz6CuCs+7RaP jHU8CI0qt3TjUL5sldNuFj9LlWddivJQifF0BECDzGUARU9ut7pwzFVR9y+AZNRZ0tUdXaXSDVQR OtBNayMfHf+2jPGcTFs85RgAkpYUdtJdNxygSQUOWdyRsQjsEooHzsMDoBEhW8/JsHSDmcYAkya/ CJl67uyPWzrkk29cJ2U5OtknckuEWSilNLf1NW7Jo09sNrEpFoz68k0G/CWlflIFfiO9hFXYxJUT Xcw2tpJVSdAA0jeImV0XIHEkVVh8WznMM+pN2+Deo6E6VWk5rflXVEbx+odH5tvrI9yPQoTg3JOs EhP8pxdLc6Q0TVDK5OZzayKFjcPhcpnw4UwkRNdFi/y5XnUmHLxt80DdqX5yMxJqeWnxnjW5faVA GDJ2Y7u7P0iSHiRkgDh4uPLy3fhAG1Zae/VaqehtvumuytFhCgA89aZfYEnxDyOzqtUZIjf/vKXf gn6l/ynq5UqGMmVVoeKMf//G3h2EE7NgRGna1axsgec301AGIMpIOHqpH/hdFdH+NBxW7aaeVoUV rmTlRUimzJxJ9wfUWeGcaojZ5Uwbsgwc1idwEHyeaOXpcivvv3flVsrbr5TAR7Kw8QM0CRmCQ452 WyF/hhOPaK7pS6MjaRg0ZOI97jPcfdH4f6FNW8pdhj2diCXpli2bABROpip5MzAZRV4Hcaw+zfFI dEabzJlVTc/yA1Ns7/c4BQZuGoLVfj5SqckJbIa3chnJrkFmN6HeHtA0w0ARA3vpnDS1dgPWKP9F xNQqu1WE6Juz5fMbrl4EbuNu9kjTWkfr6xn2wWpnF1m+cAQhE90CORfGQbZyQGj0s2gJz7G4m4mA 6PIDXM9q6GsAk9YlF6Tr3555ZAwbVW3kz3rREJCRG1dNnSr5Bujm2fahXmgDh5jhRj0X45A69OyA Ge8x+qyax+nji2rt5xPjKMV2VA0JQXVWj3JI8dyKU4pcjpm8hnomuKEUOU27nB6jIuW0X4gj7hwF yIj7eZWxUVTWM/KBSJ6mhf1SRMWitD7gQZoiSFzuLPJcZdnb2ocRqcYvwQO3jzWNrDO4zrh8lpDk B5+BsMU2w8gBFtgeDMChDLuBV8ru6fd4cRpO63eS6qKPEfP7HPDkMrJhmX85o/WDiQlEypP9tI4y jP0QSnr1zte6UEQz1nA1dKulp8Q0DsgFKo2R2r80TVpVkMXEvBvMZkja7uaQ4m+Zgpksb7e4qzUs b3U0jPEYbui7V0biIiTfW9+s6Qul5iClosxQnrKWBmmVy0FzLyZFY5wvuUqritckz03GXT4Vg89n MNGx9a50Y5ci42bCdfUTi3CfuPZ6r8WdmffnuhSTDZuxs4c47lMCyN6KAnEJNcJeCUJ0rW+FQpRr +ceSMNzEeLQwsvHmt0HZr9XaVUHlARqXzkiz8oLeyVESL2ez8oGE8M0Jdn6005yw6mILv0fRT7tO iMFJhtwFX1ei1Icp7lmZaa+pmODZaRwHze9Qst5vgwvfjlFbUHowUNZBLyR1ptKNg+JKIdjPEbMS qPLTI9g/g2gadvw/vQ9EnY1qddEStkN09OG+j4i88LO5OF+vkZFvsMKAIluB9eWiP2+q3bMp85JD lGlp7H1RceHPjLFOutzJcPUuKYNCr2sNwm68FN8TO90EHJKjiY/KK19cRjbeYdpl39kH9gRT1WpC HX1N9UeRUB2+7MXKA+8GIjTukc7wYbjPgcFEisf6BaO0swENyaeIgUElHko6cmanER/2GnSUlQ7s E19f82sMHhM9Tvb88C8UFiEplFzai8a7dYSIr+py0wBW3sIDoGTiDaM6n3TlZBU40evfUq/nDLXx hMzXxOTxoVDkGU7YdQ2H8sJvSJ2bXQ3vpatyjeXt3fw5TJgkmgVGiRdFQ8h8ZEQ2TnsijrhNvlzw Qgk9UBDOKuixq9tND5FsgnH6pY9WTlVJ9jHJNiRmnW9nieSkSyE1k05CeCPwhMQFA9QDnVf9lAok 7ESWl5pODLEdgq/rg4JQPE/kvR/YV1vr7S+vruI6o0FrPzYeuxi1xvDG4PKHdMMXfCTjNCgXZiLf cYqOHKIUki090PfZ/fBSiTkIedGUU7R7kEZs7nSbY1+ngIH6HD8cN5UHjpICLYHrEUBVI/rjOiDS 4FfvI7RaZEnM3X81bI+Iokv/B9KghEqjML96mD+wv3BOHQufo/hDTk6q+FaN829JGmq37qzj5CP/ PCoJsD3JRQ+fbTEMOa+AY4VMOviusD9FpkzhCjT9julefExjdaAd2abOt0ovtcL1gmBK/cyOMzK/ tMp+DyuME65nS/cHAVIcWSYMOI7skDmBayQDidCDDFIvreeRCxwDNi1kZaHRhv6C7w5QmVn8CYBt TZUEXRQjfHQsHOxXxeqmMYnqBIPoI1N1v0LS2pDe/raWWerJpTeOrd9CBAbAxKW5xnEv2EFz4ASN cFu3jgR5mpZs8XoN4C4u/cZKv/KKwuNlGu1dERVglXpIOnnmrDawuSopASncB7gBA5jtfv0FKMoS 8TroCunuV7mScWJShasM99XNE5FTp3izE/l3HqCmzUObTpsEEobhV904B9XICB9WWGRUuY2SHmog 7396iHE5FJJs6CwA8r5R3W/FMKZD1rYdQ3QHES2ekqx3VZq1AILUo+c9iXivM3TFs5XxvvShxKOc UfJamgWd2Vb6K+dd5AXDCzMzZ+xWqCKUxOSXNaktju8vJobHLuGxCu2PfBHFksvtM/IGAU6PSJYR TMKqCvUyfsHKXXeKS3rcIgI04TdtJfhEtEmNlGn8QFavjpiv8hovyzI+cmviSIae3Bn+9sIglEDh czSXThNTwkoVIG+4PmftQnjpASORxSIdM5Op9kKiqLFpkL185YHOqShsRzDlgopLmXWApi+l4vym 9T92xIhJNcROXqNl6g7ag539FhI3lERGVkgbYLxAuzOAaEzGx1vFKx82FKVDuijfS6gkZkqFYX6Q tBettgGGCW+ICY3I6FxS8y7xlD9XsFhYkL4x3dlqgDIpTYSK0lSDIavQhf3jTXjQmR8HFEU/xaX4 FPs9aLnRzxN6UPErki/utFGIEFy7pG95MH7+5ndHhDMgX0mceEMpHdhsSFYEHn8zogyL5LUEa+R6 9WV3piUIDaT5LRoWJxNkVmKtXL5ZTPO2qKP+BXumMEVuQ7GRzcPSx469pk0crdalI/lljBh5YkN5 jWr1THlojbMcU+KuzyWjdHXlWMNRKc3YncljH1a3tzbTcSvOjjJ5eTPzHk35CuP+7iNsFXm6eF66 GzUlzkJyx7Z6wFCriWPJsrq4aEJCEfZctlk3Ze+5u3vO2ML2kR7lZCa8WvPIDL8w+YbZXOIcx8mC BCvr1yJC07BxfVy6E2RCnHuoOce2IQU1JNHfPIHwbDCzV674ikhZ1N/n5nosZpy3e8NM4zVegPdG xPF5DipNuPZdmRrjDgpcnVbQICa9Q91DYerTGB5ycVoD5TE3qyos7/XTmNjoftt7p9orFdZ6MqsE Jt6lBGvNKKtusdyeMRvFlHTXxqzB0xS2J5FEuBdNTUnfxQPjH9u44Fk2/x+iEpWrRpb9pZndIBsB JnkmeO1wKf5K0K4oSWBGsPAoEsMnheAg96TUrtGfq1bhQ3YRO0X/I7IrbQA0ZBxzwXolyEaFYKan je86e4XFEH7X0MT4wcsK+YI35xapc8JttQghGzYt8nGX8wraLvqze8Of6RckVymsazQQs4rralXq YT1J/de5FKbjW824jb9+z5UrGJyfWbeTn/9PeOqPtffeHJkow3dIdDbyI3QXE2QD1WKxa+SGR2/9 CMdKQR2w+LA6v68Fe3Jfj0An6EiPC0liJk8UiPOmfKocbpTi75kvmdZwohJTz2DpaLCn8CqG7jca DCd3aI3r8YIx3SnNRjdb4xElQnNE9WCQ+x+CUkvKrCPlBSdsi0uNTiPqcfFVIEPkEfzlsJI3XwMm ZK8TSF1/WoBaHDdjdVkYbqTWjpZaibDfQYxGhQpzzct7mlCickJtAm9w5B1uldr6n1VR3GhFOfeB QL2Xpgwjwy7goIEvPDTF7BRzpInAxrK52yImrlq3yx9G2lk6zWKXKsM+Rhhfnk+5LgxB//pU3C0E iBcrbg7T0HIk+T24pVFaWdLm/ETU0Z278+Xy43L4pOv0yeW6QUKEU+M0EqKM/39NYruM3FSMXjQB 3b7wB8rHq4dZvAMbl4OE4iXr4RjWsQel92JcvzNu8bjC67HgLoKtO6NsaxH/KQO+IkikV0wAPpZX snGlEhufIUsmfYSk1FjHWCT7aUCI9PKiw4CUgsXV/qckYequab5S2ljZSKQ7AWsnMfgQ3MErCcHt n3RZ9RoE8RiY20EeGOddZlnIMQTKlDzl1shfuRNJq/xeloNVX3P055GT6VGb8Be9WP6/WC306YwS /DaIzBqMsXoZx6Mz9E2m9mHy32Bo+z9bGmqy47r1LKY4IH/rXxYI38z9DsByN4xJtJNjx4QZB+nW sMzC0RxY4Q02tP1+fEz9dxOQcloZ/Yn9/OgujIhE9iuMr8++LrI4mnT2saHAi0rT4PE3Ex9ypGBw 3ZuZfA5zsnz5rExODEDD6EK4++YLT3th5nEoVQPVaupYapzF+F86Z9Cv09csWmrfoN8PJuL98odU As0pcOwrs54o+YONbrtPglYCuRRfgcC73/wAv7h3fYWucgrr45YxHPqQmxSrW+d0P8W/cr15ntrg nqXlkDLM93yiw+9h6xZ/vZUXaCwySFAyMZ3tdzfy1ubGUFBzlFkFmwhOHqL0TTT6ZAZaHjay3AYv HCO23D2OfP56+//ndIbwpsuow0ynCAMYUTMg28vJtb1tEuVAKiWqq7d3p6tHQ3EACEBGa5wr3mNQ +plWxyq8WTP5RMI692JQZu43sr/qDx2nEPrxXC22mEohG1iwfEEe7VVPtjqHtw052MuYV9Qv+1kx BjALrFTS6lQMcOeAT+TRb8WcAYh7sK9tUVwJ8qYTkwzmAMiyFwOVmdyPHlSTnAEDi/KzQ6lEPlA2 9qRtW0y5lY5dL1edFNx5YoYCC1Oo9cTopYxZvgUQI8Z2tAH6RyETyCsiPL0LEZYDF/JhSoBEqYev 2rbQgjiW0KKPuksv5+45iuUodnVex530Sf1kM8LgFlddTbQs0lsegoVZs6Pe3D2Cac9Cfu4DG7Xt 19NRhtu3R94y9pl1pdtRAy+ofFdJQ+TO2mYj7KoWQ9jNhF/2PBDci/Xd2Zb4W/1WowKgFUJ+/AN9 9zi/KlAmgO9Gs8vMbik7+6BjFArfRlnyiFcPljxnRSvYET4dznvcpfCvmrTa3fPTntAWDgL6G5/P gOYoQopntKO+VJoiFcMNWutA/ca0IaKPxmi2Zg27slwezTl6HYkeW9j8sJ+9MccCSi4fpNrVq/k5 iBZpsFGLnp7d0eG1OuZtZOBNvX7XN9abR0WFZ1Iq1y9vYtN1kjR+3AU/2s6E/z6gQ2mIEwVEFhBm rZd0yAHflxIImiWB+9wj5NBq0YF0GcY28lIUDIdH9Pto5vkIM0FV0rbaJCsfevcEIURY5OHOKEc1 onQDZaFi2+M+iB68LUwCvO2lnfuvA4PUQjdT5bYkd5rNWGk5GUZqV5fDZynpRwLF/D/pNU/UJOWL kF+Nn0XGwnIDtIYq81nhb6jVJbG+eN5eJy56E66Vgbiw8ePPK5/PjyWo0YTj2wZ4cQenKsXGIjT0 /2kryaezMNyticPT0re0Y5AnaV0FBT8Ds2OT2V3Co++nD3lW5+9cdU7ERQc2X7Aa/7qv2SPiOz9t Mc+HFR6JSqCoV5l06CusyxOYvj07j8bIK7Jcx6nLytzFmiwyeq71lWgSbcT14LMpUvbk9kzjzkVQ BfQA/ABVAYHU8l7JDmx0ga4Rj/tT+BaL2u2gq+hiFVaqPpRxW2HIzGSL1NfxEcZtKauJ00VAfslm uLfGpo8NLCvmxqEangbN8CO5FaQ16PW/8BHsCl+udXxkwQDXWJhlraK5THAFMZvFUOvBZF3Mv+bQ tXSk+0j0nd5XGlq0je6pny3umhrqj1kHsO5FIl5KvhExRWbMZzBDJ2+tbhyDaYuDHH9nLb3tvAfM rqyA1+S2jvPb5gZ0JpLALwCVvVPe5gqQEDknXKPaGUb+6YRsRxoiiV9RMt/zZNkXy9MyqHKM6ncF eujgKuKjUcySYSCJajKneK7FIyXxFtxChjMpRJdG2dNOpYPnPw1hjjL4Q7mrxMSxFI/glg+ZEzrS WKpMSz8rvrLW4hN/eZ9hjrV1Z9B+tRJHh5R5kqMT29vPlL0+ZsFCQFKVcM+mZj7ilcBQNMmEotY9 JVw+bzPRSvo+SVSJs6x8Dpb+j64krEmu6PnzOLKINNdwkaGOXhG6DpJc7Q20YeBgo8N/U5kIdoDV 3J+GOpYBSsbHwDzfHkxkvf2caov9YwOE7+Kh3DFU/Ca7St5pcjL28dZUcC8RXO5czuCtpYr16gT0 9jhzCpqUKrSsEx+Td1NbMoPJLcaYdHjfOE6d3QuVcNvf8YIhopXx/2Osx8K2I3V6CEygkqlE7Xix lAolO/Xa8Y0UjnaOFOCFKwGiGNiJkqeyB8eGtcjMnFz9A/PDnJM5hSPxUCuQSdV9ry48KYUcmQE2 wns3nhCPp0QNJ+Oqjl7pIeHR92QVkCFmLSgehsPjJqeMZiEW7X2V7ynpIUjlWggCiAqzOsY3CO5L z/3LakMmzaHsL0zu7mk/AtPGKM9ptkG5tOAgOzbhpxt+Qc3xQ0SChtsROIFKJNz84YwCV+KBNWet ze7m/pq5rvuhbTDOtCDuQGlmF5bKpzs76fd2kH//MJU1y4RidMaiMYDfnJ5TN7iKcO4XKqg455ZV ElLqml9ilbtdaGXTQn6VDa+eH49pe2Dhr9maFtBflssh7MxRkvsOvfEBxHUfHEftWWrVKluxazPt M2v66yk6REUdx+nvfLCzwwItDMSFirWP+PbOjND5cPbpGzZox5x6Uj8Kt6q3oWre2NOSRq0pZfZe sCKAYDvQK0TxnbkTXJus8eE1w6wpjsS+eB44z4nuhkq42Wu0bp1OQ+Bu5r6pjrb/dG50aD6iGY7M O+VkueutRlbDuhNmq72Amc6QceCy7jqLM7l2vzdGeGTtudzi/ilQIkNQ8hz9p5QkJxDRmf8Hiu/3 /EuFGmuAMaIeHiFHIDWxOjkyNaMrfH4kA7YU2nMF98yJ/96jDKQBq73L2AVxX1iiKvzLQIfJmvtv gCkogdDBjWhpqXspZ/eBEq4RDulrjm0hD5XLQcV1+J33xnWs3S5XM06zpCP5FfdMv6+/XiPK1xFQ HBMcdbQWUNkqDflDNDCkb7AzXuCB4rOSn996KBta2i/unUrKT8qEepWUwH2zZCs1tioctVq0xpPA qdud8ZBvn8xyUGPu/ckFujK1Tea3lHLlprWVQs5jDcrtdkkqlKdssvAAaBvngtkwJN9lbyMq0VlR BuuzV7bkWBi/RNk555KDmx+iGxDnkzrtZoNuirAAQ3mD5MLmnUvCzyhGw2zEHMzCxsKZ/cFZXp6s fm/5lDsJsUNaBBdOK/OCPNwlgRpc4chwnlzCy4dFHpmXv4lkyA0jHeaNll4an/iBCMtJwKixSEQx INZzsCCe2Jq1vBnjWkUxMydxrBpU1pIcRAK58jzJnUdvAAFD0T0ierZCRMTa+OjmoToicAZ/lmQM jsqPTsU+e6KbsKttnc3/PEZaUErK1em8O8aoMhntAHQnyOwKMm8MZbbzv/O4kmhHaosg1zKBkWuF pk7JiMYGnF/LYyanLsGvrwvFP9CpPKGjk4Dg53+E7vR/cHdd0Y4c9GIn5iHBSChHIsrKREvmkptu 1N0BT9QO5nK3VHQIFSodtTvwNRDqC6MlSmyFOYWSLmsl7+3e6dgcga4FnFLiNc2Zle0qMyNiHFUo rrOWhTqtcjY565mHPAoNNcDinePTd1dBGilOlQngr168WozEpebTJPkjzz/c3ZthCD1dXLM5teiM ADwPQjNl7jgoE7GLOv+XNnoEiqR4BCeRyMen5xQK0nTbnhrl4vFYF39feGskSwq1O/4JbZFexQMu pVGdM9fkxKDJLCViHdc9Um/qU9+bUKfN8m3Y9jfRY4UVpY2ImWhwojY0jk5hH4NKZueFnlvArhXD bkZrS5N+9FefOgmddnlODGv7iK4nQTaK0ovOrNvC7t94at+Iem5uQ7gf5z4oUtNT2co9I7nCbgbV z4ajB9h/SIhydjG2HFPd8T3Xjk3DHwskwTrJCc3er+NKX9bsLg23DbB6EnXJ80kReQzVeadzP/DT oiSYXUIfuiScDtVXxKY6AmhtjRsH2v107YBOui9P0BrlQQy+C0LhsobBWI5B/DcH2mHSzCVmqTjI bT0vidKN/DVwC+UkqD6fA3w57Bj9NgQol3PeAjlguWYv2U+r522R9H2B1esF+KoR9I0RNDLexdvy rYkF2fqm8neS6/XUD2FsRS4fi5R9LqBFbiCR666kDZn+tSucqLF8V88V8HJMl3emqBVDTxMHICHz qc+zaK1lgHuP/q8XSDI9Ycxu2rDooD83x5/jk7DktcJMT1LhEZqUW9H5LVAaN1SMJQTOXPI+bX2W Pq8YR6gCFwCVYM3hMDSJtSbocfdDqw0Qki1JiYTiBQF/NoYKpJB1JJsLfc7qgOJj+169Ft2XPmWy O3KlO/4aYykDPFujYl6iCSywUF03rEMCSnWzMaqzJjiyE1qLQFHaSebp3sAbFCYgU5CSA+VU7VRk dCkeO67L8MMF3Tg1rLC9HOTxpy54ifeSfDbxTLB6k1UBuvyUTRIB4c3ia8c8GfW7w5RxFyzeQNe4 59bVO0+mIEswd4edSOycqFR6DRKosEgqcezVI+qqYH0F48QeYRKCHCpMjrxnVVYi+CYsKnTiHlnP B1TnrDaLAt00hJj9tvzR3q6g4CoKLD93a/bFSriyissKA8vnedTQpYXwM2AQyYHISvKeXc4Nu2DA sL1IAgzbG6HdexWg1hZYLbjqd10BEQ01op79V4s8qaIET6sMJkGXKpZFhtwCOUNP7FMFNIUzZm09 gi3YTQ/EaLYsMPUzRcRln369ptAmA7bjmmscWAogYqU2ZYai4l8qTKpozfJdrhejtvWNMUr8v6kn H5N6FUerUU7ulOo0+uGM5hw/h6WTcP07PBsfBu+FYGbFn4lbGo5FdhBZtY2xE548lpaLHHn3jpfH fpOyNgFqC4m5ayKJ6rbCrlHhI3YMJ3hEr/pQ4drvtfaiL2Lt814ZPNelOVdGAsz1vTup22EQIzRk 9pkKwWEDeud5auK4PkAokEBVjuWp9/qK+aWcMTA6rziEh/ZM1qXlqR+mIFwQtfjIyj3I0MYzr8t8 NQ1VzX8pzj5ceE3/UOmnfWxaqus+uesO6e4mFFc8vrI2HcYkvh8qrQNr4E90ijPUwUBJCgu83TM/ aVL79bd5h5SAVnhc6kw64EIyBVnsesJDwRRnTyOz+hw+kbJOscYbAyr296sv78ozO2rS1Erft1bm fovABGar9YiB6coiMxOaJ9Jf5Y/kUV9x81yuX4byzdL9KGi9N01NATm0sFVN9HcqYYHSfmf+XLz2 JlSUGvranpnX0t3m5lRBaQ/bg7q1DC0wrORVFGIu58+AAHC7nOmhKcyB7euJV9ACFrSJuNZco9xG L0clmtLAX3Ngmt2JnuZcX4vQHKS/bplv/1MzfN4I3UtSxGh2ZDNcxKrdjkB1sEBD9h/87lqq/oWb 9WEkoVxz9PUzcESheJ8cp9GE7S+JuMe8HGeBW1F4sBHBX18DGn02QTI+TgbzwXjnVfsOj7m793FI lnUA6eUfNnIFn5cGp+S1gQkLBX6TqTbXurxBpQ02f/D10CCHFYeKv9Bay8O0dT4If/KkOuri9QA+ C49wGtxXDlZXf36IruNUNvEk7KzyzrR3uvbqTLJbbsqkF8fczX5eZS4zXIzXGXQs3OapEgH6XPhT v41uL7CgmQrtqatk7f7ZqBMc9c81BDL9/V+50ubPaCdJktJt6i9MrkTopit3+sWq2LHMEIaSoHej CC1zsODViXX4TwDIGm7c/hIQCu6cktM6SgB72dcIkMvda0Cv5++2f8RmZQLRVPSCtk3JNXaL+ps3 tyu+vC7vc0YCDNabMOgzrjJBtbivbKxhffqtS/wLwmjk/NPDLqL22+m+eO1pwyJfNLmj49KMD/UM HbW6idZfKXoA92wajVam3pmMqSCzPG3VoMgxk/Zy0da56366gt8CLLqqz92H8pUOdFvFqmIVPmal 9sMoBelJQpF4PD0N4Jou8LRUINIWJfHDOsUZkVxoaQpSsA5HylsgT91+hTbCd3ynPFp5zg0vAsbB gYu8dZmoGckN8yHHGzyhhXMkqnocRU7TGADcuzFbPcGc/f89aZZwwFWNplasKkH/cwfF+ktNpxBo cPce90VyLtH0sT7p0RNU4FxDYruH5r1kI2LqsKpvJjarZkedsRhWplmXs37iykE2qdbWS2B6C/T9 ZBwokmoHrakOiKuB862VnhIF+fjTkW05D2SO3IxXc17Fw2VZnt+thA9hITiTidWspowVBdUL3y9L jleZ9Bo2R0n1j5QDFVR2oAmwTjBPTKKNA63fH8+neocQG49kAn2TRHsRF2+RdVCBST/K+LQrF7P7 PxX8Ksfn07EjSfjFSJyQC7ztdNFe37/qn6Xo3IF67Pcxdn0obpslcaT3KpLoWToNYK/4agsbWi3L oufGnTrBa/3PFF5bDkVs/99I84cprVa7N11V/doUDzgK3KrHQeC5thEtp7CwJh3KUGhM3WzUI3TS INx43KKbUVMj8b5EyejpojxTJe41jh9MoiITnpH6rGG6y8uTkozh3m8Dmia4YdnIXAMhEfd7pTZ+ hkCOz0qEhBeBdJniw6GX4mK3BWswYYvG9E8jUQfMul2Rj5dxwvBaosm611PnfuAz3Q2asJ9YEzuv hzzpMFBF0MmqiKgwguxMftCEZzg0/lDu533kTup9S07rySLIkGb6/Ogqj3RG3hq2cXU01jECL16Z DfwMzYokDX4M3ffjKyQ4kdKJy3Aa9DNl47/JAW3i3cVK+lcgVz51bLul39Q3liRbv5bF1YS7TEjE CJRh9J40W6ch1SK3AAsfk6hDrXwTLmpzmPNDrR5mgAWsVRjAiKc6GlDxeuMtOFNPKn5k5rzWbAFo VH9MqbVIeNR5ddi7PXRFU+MfmWhtNsQHV021Ay5kwG0G5GYFPxl/K+ohoaynMoSQAxafRe7lM8BF nJlYgPjwpUJObjcRPD0jRuYyzPQBtWj6mIleDXh/dqN1wJZ5uRqu6ycFMODuaXhiVpvC6nlw33yd jXVNB/ZVIxLSJOC+8hIhkny2yRLzJIpl/DZBjAbGbfGrJl8QvLCcyAmGv5QZxKTo7Q2J/HpYhHKZ 3AvN+5Lipe2cJsH7ysybGt+0pzEPUF3XZwMOq7HMeE/EkXG5cYIN4dCtljYK0+H+8US2HvjckwA2 a7cfignl7FW53NDAu3uRB0H/2a64Lun1ASVO0LszFLGfpiVzFfoX1Cz0WiVQHhW7rIk6mnhUS61n OsLymGLMeMfdmPad1GjyHDAR5KQ4fPiREuz069Kke2NreqnVlixa0sVoaknKUJXfTeQaabu1K3QL 6en2nHoXI+6dgxVTHqhp/5kjhzxmxOHaXIhAiXdbkQewfEv3XnyMjIcAkfLbTLFfW4UcXPqI9O5F lyvIkAJwa5oqMskyzzzQAZO4cHNUPQg36L4YhmDac9tDbKVLxModlO6AxdBLXkDGCL1/IlmuDm07 vpwvZDzvxzUnkHrOEugJN++xiUk6RLneTZQe16JGKCTslG8rRJBmVa0neCCRJ7LNnmbPHW4TziXP IY9QwKVFwQxkWRAiFGjf/fIdE+AUU7xO2RnfAXM9kjxd4h5fNB3DWyUKfiON+zrpPWdEN3NhriZb zrvkVI6vX0upF39rMr5KJWiecNxPXoxqCMT7U9bWwkY4QZ7hBNuhY0k7wO4+FFhm51blPYv2aBQ/ 8UDtyNJvpFjJzAi5EouIL1ZvyVl+3iOxxiatrS/Q/bptgbPzC8EyekaDPhlqNqy2KRq1OA/irBXu u5E37EcEtjIBOLMJM5j8cuffrDT53OLaybqFMhspld/PnE4RBK5Q3s2I+ickplEgjpi9fhhsQfZw dNdu4Zkhc1N8lBp4TJeJHht+dtQUI0Bx7fsDyvpxtcIhp8U6btqPuwjpoW4caOnD22DThRpFFfqv Ss62kWlYeSqIfSyL2ws+/l2hosr8/dz2QWg90AvkmG+YKDN4ALJS4rvheYerLSWO/ZSjQb/LifeL mtFA+Qie+hm/WKdRpZr/dIfyeErHmuC+gtcKmpeaJi/7vBtd0E1vTbwQuDe/p4nlMAvOrbRfLHGQ hPmU6UeY5QIsxFzoSlf0ncrGnjtLWudzG04D4E9SX2akBxduCGmvLI9upVFiOvEfvet1Mmk1Sn5D 1TM1aCHuGSbBU+wfS7RNYk4QQRgBsXzNFMiTYIgfu2r/rPMSyQH4BVwcFxfcRqfL8ZtFDOgsx3vo B7iOElqKCDmZ1dvdpL1LdkBYJX3Scc7A2MVZv1i3QiYQVsF5QOBYJo4KnjBZNJrTGe/mEkNmxIXu Tvq1EJdAWr+KaR5CEl75RWJNH+FVjDg7WSs8FVwIbuB+KMrbEwxvib9dpLe2FkG7QUBKCMRzLrx5 oguLUXO6D+ym6nvr1sEhbKSwg1JxHIgRLCAR5r5ZT7Z/d+bKc7OYG3NqFZ5jNZOqkLa4jrT4rrFQ MRZEYlYd5ANYS/5/Cxugrn8W/NCk81jF8rtD5qlOTuF6PCCJ9lcTInxWetRLcZOsUrfSGG5UhPmm gf7FzVB8pXjc0Mqb8hwR4+Vv9KJrjlx9aroveQIOknpmjbJu+fga1tJG4ipeZveonCY4jYW+aR2/ LilXc5ttvZ5Bo0Ht6NnCw+37AA9p9Q9N8OSAfjUKFbN8Pwr8WhY5mwFdwKE2nIaTNAiD1Iu7VE3X qc6jJKD6JnDTei+obkLTWxHGtm50H3wy5oVnKmVPcva+n0ShBTwnmhdlAa32q2mvY/S6jO/10wcr o/r39QefCgX201AbSRdOWhkgVGKPpC+br5S1VKIK4R+avMFvyQgASpKIqqEVKePy2MNEGoZId5vk r7+GF/b+vooych6tHp/vLWfrEDeRsgU8htzL/uW9Z7NZFkgEABAiCt3X6jNApzQtdlZ0Sh6Bq4Dx sbnxCyfCn5ox4OuG0oPXy+vC5hmDOqaPPDbhIBm6q0aQf3JuYD2qK3BNgCUCIMUqkrd2hAlCqaWV FnSm4hTW5VrEf/4l65RIV56Ts5RPJ0fzQdCE80H8vkTyPlHBghJsJbjAOT2t8TuKIPviWiDSzbkB VKhINDSFXN4gBehvD2geMAd03QCbxs4MCq6K2PFD4mVgusdfA4Z+UjM845905/P2TYsiOP9anFVX 5U6Ln2X0T1lBOSA+SdW8CMjuKff1SHPm8wrbrEJGB/E7x5uCDQFaZ+i9QqWCQsSWctDdU34ZK5+G yFhfnE41hkm/xo30Tn4P4wu3xm9qQcbOl7WY6Q4W6eWcuCFqEFvEy2ewgfOInmUan/ogaj4cz+uj ZXhHQ4oEbm7Ingyjouuv/T0Muv9xuCUDfsXU7xeYReGJu/paVvC81G/2BuuRhdjj72FVbC3+dlgM jccP4cSPqq/guamq2UylXA6ARjVAqrrK/5h1B9iEUhT295yJTqpCvKOfNc1ikDbaSbtXKtFNjmOH T1JZ3l5DFOev8/sfYUxu6npgR7suv8HxsoRXZv+T9EOzjEH5OC27kS6RzfLitqYwDCx4A/DVP8mv t9yMdHXPx0Ax5VLuA8kahpXSjA7xtKFFgDq3SR1ek9yfvoiDF3OuGIC0AIqja1XgAKtxyhtXaY57 ZCPndoakEugbpRGiJ8yg2FEBMzHEZvz4n/pkj+6wUtGxjlcoGZ5JnpayOQ4WQEk1CsBqxcvG5C7C 1Hy9P6Ag7F0w9aluYv3bA9tJxNopodVqcwV73p19xFtUq7N7o3FZ4ipqs9shUomu9XUpUhjcfyzP OX3cT5O69qor0ZU9G59NrE5GAA/hDBujW+eqJ1RSgtTw+B/81MvqsdwhxVg1RX+Toa6u5UrRdyTf IlPRMfTxCInNAmGls3ShKCKb7wJ+4deOIbqlg/kr0rZeOOACSHDOcsRlyUggulZtpicMaa+X3dri /vh+j2CoWBGn50C/CU3TXLLx/rvpfVkvZmNAQT/yByPSGq5rfJUHRDeoBJcpO9n6f2YyYetSmDHM 78SF+NMYry50l4q1jmSZMssHq6IiUgGnMtZ7VNOLurAQSgnum2pF6O+/9KC4bXwBfvgI5DZpjX1u 31QYavdJE4vz2/uwkLk4jDjJ5njnoE2t/IlX5hSK7LP9F5uuO1vOO28gQUBPbhMDcTig8loFMn7t ac1JVRNOoLdcK3PRP5Bel17G5Bmy+tzVJhzjHUhxmX/MwKJiNyDalZ/Nt9RhXH6X4KvhxhrIK4UY jyj88zxyWi5kE4SnT/IXUYCx9LKkJXcJQtjpmBQ1+bibdPSeZ+nKK/BuiUXsH/1Oy8sczDCgi/E2 I4YwXgkZdwsMJcYmLqOFlQWvi+/pn5X2NsW2TUPY7EpSbYgCWM9BDcQbpIIrwTk3+V0kvNvuOQer hLMifVjzrhsk8q4CPpxzFU3lQDDZDSa/Q/qKmkgN3CyqD5CuaSPKtNcZbBzIsjB+uLNlKESfq25b LzEk7yfm8yw6qTn03z0p6Bn86CNtYiPHLHfQiYN1GddR6Cy0zSN3EqPpCRqBvleLrETyiE/hrIBX A/p8tkNXhxKmMpUpSw+8Ixizx9DJiO/JxmEPJvEhErWrtwyCqO0jHXz3iEN2VFHgrtfWEFBDG8c7 QxeYnSn7LSGz4E1h14vWnr3uQn92b/IXQAmU2BXBUNsRpluuzgb4AxYzWZSTVzzHZfk+VQBt3U8/ 4AP1nIk6ydQAHjk3xgo6gpogYYXXoN88y4+ffgI2OolhnI/SAdyb7f0FQqmYCZ5F7klfDfmDtBSb XikPvjEGvPLMxG8ZkG6dWPiRxfcwERlYYDOW47j/zPmSjbBCo7YWjUfgw+BaDC7yCFKfR4IUPwsl lYN/4XeBcRZKRGpOyOvoY4CgubaKX6vAvSurmrl/bmBUfHvVZr8XJTMzUHk7iOXNMCbZglf+uPZ5 3oyTP6RLZ5QsxbU0T49cJK6H+1Gr3mzV3ZPgCQ6vRK39JNxU3zYZdsd4HW4y/vXbUm6vLnvgP8xr NIvjPnvj/pDrA04/kQavatAQKGQdgelHmF8zZdJ75VXLkfwtI+0nRTz74GZhVVEQmOnUGM9dvnWo 9OcpIpM/WLp50qDThBY6PigVzQwtg+TiOht0nQbmhffQHttgsbADLvZtn98SdVNuY1wqid+em1kk nsixSe0MC+QWvuVVL/ztcS5UpVdLed/nvrdzVB9e8aB8fMry9dsPfv1t+iQ4zQY9f4dN9NtSIt8y MaWJ952T2PqT2T2wdXWymb8WSMx1N/9oW8gKCHb0NZ3g5JWbXJ7B/oUSa7/Tt1qjI42weskN6dr6 gpULJJA59KgG9goBQ0vJ2dBrfRvuBckjT8Q+5M9UCAj80FtoIHsx0qf5IhDU6HCy5uNZWtCqohwT tZAOptdfBnlRaHMKGYxPEa7TLs8C6j0DFER43wfcdqlHcQUOPDQu9Y9zZbVCqM2xkUPoobF2qvww EujeR7E9uzKemIWF/d+tBoD4o29NlA1CXo9mycYZTpNTbdy6XFYylcc6QAlbVAsIxEnMt/tHAK+y 7/e29z+cKoUlS8cujyCZAMlWhhRyyQ+ffQr2EM8NG2maabkKYbj1/XXxpSsNvHIT1vGq9fZA6IHr kBy8ch2y0OiHd1OFjvKKxY7lOyz/lJ27xZsaEp8SIOqsbm0WnN0LDxga0qpMhZsltK+rudRPKNZj FT7xOyoEJxEnXNRsYbapOws= `protect end_protected
gpl-2.0
12db6f87536790f33b25bcdbdea670d5
0.939335
1.848863
false
false
false
false
keith-epidev/VHDL-lib
top/lab_7/part_1/ip/clk_base/clk_base.vhd
10
4,728
-- file: clk_base.vhd -- -- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- Output Output Phase Duty Cycle Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------ -- CLK_OUT1___100.000______0.000______50.0______130.958_____98.575 -- CLK_OUT2___250.000______0.000______50.0______110.209_____98.575 -- ------------------------------------------------------------------------------ -- Input Clock Freq (MHz) Input Jitter (UI) ------------------------------------------------------------------------------ -- __primary_________100.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_base is port (-- Clock in ports clk_raw : in std_logic; -- Clock out ports clk_100MHz : out std_logic; clk_250MHz : out std_logic; -- Status and control signals locked : out std_logic ); end clk_base; architecture xilinx of clk_base is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_base,clk_wiz_v5_1,{component_name=clk_base,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=2,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; component clk_base_clk_wiz port (-- Clock in ports clk_raw : in std_logic; -- Clock out ports clk_100MHz : out std_logic; clk_250MHz : out std_logic; -- Status and control signals locked : out std_logic ); end component; begin U0: clk_base_clk_wiz port map ( -- Clock in ports clk_raw => clk_raw, -- Clock out ports clk_100MHz => clk_100MHz, clk_250MHz => clk_250MHz, -- Status and control signals locked => locked ); end xilinx;
gpl-2.0
65a119adcdf403e97be84ea72f88117a
0.627327
4.136483
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fir/axi_utils_v2_0/hdl/glb_ifx_master.vhd
10
12,074
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block UfKdpckab3affGFi+2eLUnCKsj7bFfZwk5q86NecNln9ikBsEghKOL3p+GYfg3eferq51dNHCizd ai/6bIG/CQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block emNAOqg0wzvjG8boTLGo0EMrMPJxxNtvm4Z+ksDBOeKbgN4GHy6VAbBr2mUi8URiUGgCOxVaVirg oRjnTHm3OYQWZrpKZkdk05uRTcRKjPT+orny+rZZP5Cv+v2NbrnQ/sOm3QF6eHRNk9S2GGWDcSJk FlDIFsyb3QhDZjbGcbg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Oz4eTGlqqdbU7Ugl5Dx/vVuaQhKqqFuFHRqCplVkggXhYiytty8b9h7THJ3ChywnsxCzhlk6fDIy CJtwwVjsSPz0BhpNIawwQ/6OwGQGC0Gwy0U8SDzUjYujq6gHO4FmUvXxfTI6NO4qjCYq8xvQf1Kc bPaIA2n7g7cNTEBQQsxXg+3UAc4zPjZJH030PMHqq3EDYHuG76ofggZZE0jRz/f9rA9E9ZeQEUl4 VKe1XhtI1DSNKxSybtu0qfihPeHDTvTvOPps/dSNxMzGERLTU1gSlfEbdh4TeeW3dD4aFRwrjFLL u69QYns0Isnb963sOHzL0cOALuO3+OLQzcLFsA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cMA7mSPsePmem+5YF6z2WnbQ9jtPcnToVrPrBlzevwvPcZSO5sm0F6ov3r3ljTpBpxCGNK4PaI/8 9se5VAC/NEyLtLIkG2GFs8nI0nmf4gyFZD4PExEG3Tr/DSf/++hZWHng7fzNVYQvo9nUI1fWZ7kW sEdVN+qi9sCLmJO/ji0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block loPk2/OnveBv3XhER3g7hbrWzjNCH04gwtlfB8dgo9aiGO5WpHItOcpHw98iuSj8iZHOi6AAmYh0 VBkCAS9YDaDPgVYpjJYmkoIiVW6kUkdWm0e+wPtNG+sN0myJloxXNev7lDXDQwVl27ourKFfiOWU Q3mXzIg/WUuWm5l8cAZWfz0EbGeNH96iDiKv35TCQG328B7RaqkIgazxIMi6I2nEZGxtNvE5Ah2Q NY7AlfeZdpOSCBw2S1nl3JfLyhvTVz49h8G1IuPTav6cYMW7aW4nmLuloU8Y4DYel73loUycIw/c 8OKHendjoQS508wv4z3G4yH4o5ewqDsO2480pw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7200) `protect data_block KUzaZezpPoFpcKo65bE4vY/JqlJn01OO2FTojjG07MLfEyfJJxr1ZHe3g3p1/amZ+7cbUY8hrOCK tMLATXF3HhDLyLcL6H75fWRYh45GVtro5ZEZi8boGIzZTDxFxspezMnE8wVcd61DwxSpUBz+CEPl pxbuaxYgifC/SFDWfRZny7aAerurAJwZrWUPuDl8b+1xPLdNTAfKygDZaSS34y1bcQEtebvDdHre hFK6FeYKzFU0THErliA0xNwqh7r7rFtOjmByUnZs98dbzyvA4FaxMRGi8rgEOnuYqzj0at7HJbAv KAoLVqUVITT/veDFWnSryrfO78p+KNkmNlqxryqO6lI0ExolWCB8vRHnJGu3Yr5tv7wUQOyLfBxC 6G+UhS4+8Ah2E4O99ul4b+VcvmwXhfPWHJhVzB9lBM6jenVxgpTnwZeF6XSwnaqjMIRMoQixShxT sg5dIoycVIBnahlBn9JfQTSmJrCti87vqeAOu+uU28tYScKwPyKr3aEGNhFpzI8QOrjGLQNHOqly pjeo+aGw8hTkWL2fo9h9+XVBSEww6Bng9zmQK2hOaKF2SdIo6U6RQLUpMHxfQUw6ckwQgtbSlEZD uhABGWWPFwINjhIevu2rTtYoS9uJTR7KAgmH77IGt0fpXvtk+e2yN3LxKyXovsHn85Zr6Bg46pAF DpijdDDdR+UioAbbjJOA6HZC8ZycMIWZSmBaBkDtBaZztAMCmfc3xS4Is346tUWU184gHOOXaADg D0//4Ee7NUAknP289zd8LrleaVoLPbMgGmSX4pWZte4QVSFHfShd1t/Y3Bo1q9oklwgmUIF5sXzj kQlGnbgXjnoY5ymZbRiPg/M19M9NlhNx3qRt6gXBdPY/MhxHNkMpJiB1XaYO7ig1ip+SOiXZiLtr 4Mks2aMz2dXFg9EwQBmoCm891hifP3s4mYq5x3Zk37tJUCxaseDjkhyrAek5Lpzl9U81764uVXCy Z08HeX2sEmeTPj/5bKtrbFJ0Elx+S82TiaXiQpBTrQYoej/sElpmgUDBJsj1v/jvHhBqXgZWZgaL AU8/LxQXDVFrlIgTrq80kGhx6w9RryfhhjqsGRp31AXedQrLty8ZHVBz+DUZF6RyaXncOyWNn1M4 8rzWhMeqXKA83BB+I8nySeI/pHitQnJkkaXVGRESS+MSrPhN5PSFVp724DXlks95BuHwAuLaUYPA f3BAqXT5f5DnlnXmZ9hv8bVPqoHOmDFrFeRKg6/8Na2pV+NKJm9CAekJfOoapXNBVpRi30Cd7TBq +VAuNHyDpJzUg5hmdUDcw4v1Da+J1bJn/d//TWRSWOmchv+c8/yNlyEz5pBo+TFpUXfEjzVNFV2d SNCZBoJJbsxhbazKq703dusKg8PWw2vpSYjNpKJRmkZW4XavUIR+BeqeQNtaWXZesD4vt7iuaMCo K33YKEGvAtac9Rf574llXbHGSWuXxVT1aWc6Uhka9LN0Z5D2xWGk5nPAtdek8Rj7MYKqsvn19yEY Ngr5++CZYDT9nuJPdtxxMxWD4DKgw4j6WD5HR89mUhtcyKg++bi/mGvEapU6ltlChzfComPvrVA8 NCLXMtCGWRPkv2aHGOc4JVTODfvPqFfOxRfaar2LIYajQmqvHAYFp0fwL0apW4Rj46Ch+UPle9iT n0DUq4Kz234OxSAxXQEHSwTKfLZN5jfzif+COKjIshJcza2rnhTGL7Gq1xxkweJB+puXxZDseC55 lJGmmMvAXbLZqaXLFfCMoBa1Je7wxaX2e8ugDcNRCzpvZIYso0/fynVG312smEHE/nlTeI+JCT6k Y52t4vFdZG+jCawT/mVjiyFPs676cD2R3cqbUYA2I2xU3iWr8z1unOao+toLOv59afqfHqmrsj7e lnHMDwax0siJxSznvgj2Xk4Nlc0hkEr1yfeQE5XmC8AV61p1gnQ9ooM2J6n5kqGkT9NuJ/w1VWCS 0h6xeFYnaHuITX+kzPI9EyPoEuFhUWrdLsnV7ykr/w+V+AqCnBdRgocOK9fbTIXa4VF3tuNE6dy3 ogT5nv3N997+VHgyikEo8BiKrfXfbxNVn5eZRH18upjAF/EgaB3VjpOmP6TW5aWJqujHLfgc8lnb rS8A6DC0UYlf5tKW5X5OIGbeduo9Uy2pi9qOwKYVUGhSs0C/xS1JJDLxhxClaPsJgySqmiFw+duJ 7qpWbuf++FgymEHBud7N9+vryMpmdv4s1vYlXhGsSB4mhAn85R+wd16eWL4n7I6HlEV6uwtuvTHI Bok5tZkL2L9TrYWOGS1OBStqu0wol879qV+zqRC7OVH1RZinEZEdlDfyi8dtBnKY6Nh4VM1RM9th BE+Pgch7+8oOPLOroEK7qX7TlzTc374vkZqwYz/CZ3SsoflG9PLZFtQwnPqgHPxNyHKlEWqBh7+m igBS2sT9EW3IbyhiaoITO6JDe+wtOepKUijxv1PV+H/geZkhPH7DfX8yF7rCHu431LTtBSNfvYTd Oc3hhyzX5aqAYbJ3dU44l2Z2E72uHY/mad4hsSC3J6qgWaRAQtq6SAU/L6loMFtyz+x0GCMAGV4q rUeYix0WPgdyVPQu6E8KW1uCvcXsbEBUh5AQxUgeYq3Rb6AtBOzHxFQhJZjlxh48l40HTPLYHADt Trsb7oVONWr7cKWNqmCV6Fut73CEFs4BiiEYUR03M8paRl5SmzmC9mqQHvfi/+ig+XaGC6b5I95A H41IoGiSxQItRX0L3X26Hv0WCz0uo4pmrKkqddS0lTZx5CKrC614mc+1oI1qfgy21/X/CxciKwmM j/RbpAhD8LvS2X2mwsp9l1JWdCq9ffRf4pD265+EjBuCprED40ZgOUed8I0NRanbpwq3eAx33ybm tJ/SVq2lLFwAO4B+ZCh271VG9s+vahEXA6dmRrLWcH0lD9aYCgQLiXbkbYW+7HKWBNCaGrSlovbD z26Z9zKTJSBH+WlwG255+mNsN1+wPB4of5O8aVQlmV4tewS6K++MfD0JSRXvyoUBf8+lSMH2IBtq 8d0RCVVQSH7KZiH8gILia9ubHMB2sgJi03EfCu3yRbY1gN4VhrUxqxEVuxnBzy/FK30/MKvlsd8A lNWawg6ER+LikfJvcoz0SgJNlsC4qfcRQ9/RImQvtUKiZ6PuGpaIpy51osKO8C5ehh6pH2hdwFGZ 8XG5xscvoEMqnZLBOQN3VPGe/xPsDB0ZdhRG2gyelUZoRQ8RJCVp5e6WASCCQz+jmQJbPMRt/Dym vgx0FpjKH27Mb+PCWvSuQzg7Q1P5dr5N6Rq+aQyh+Y/OQ0yMdNK3Sa+cxMHZ9sqW9G/EqnhnneEH j0sQTj8h3O916GkF9YrNJ/xwy2ITQYg9/3YySwoKm+RnzO76ZpJdU80PtyUAQWESskjQyZgUl+Lp IOeANA2YVFjrXllPUtVIgtJsA3wlMk9zL0hQSq4iCCwxcasZEr02uOeoyANzg1idj7EGbd4o9GCR idscUWzus8mwE6n3CVr8pxxwk4Nm5iSAC3p9krY09BNavniZwOFk0CJa58dB/efcz/XKNDCQ3Zdc v0BHZhmSfyyMkeMqqY9821U+Cil/lqCahkV+mCg+lsxdl4pWSxM6jOBEKJlgOVU3DDpbwgWMtH6V 5dJKVH1M3Bqqw6CcpqtoYSzCly9XM1KU3KbeALB01qJv6EQy/+aXgnTFv4/C6Q3p1HK9rMSyAlz/ Vop+qYZWe3lSWJvYPbihL+/+Z1fNVcD9ay4ge/ue1SH8UcqZaRtgHx+qCCTUQYKOhc6NuJ9q7lGW 7TS4jJP6gaWm3MtxoYTVSKOwerMujI/LaKfz80+RMSZQqFVo2G/hltwY8mSvwks0c1lu3hmmu09W ysOnOFXn5r/9mTNs3f/n5E1pGdh+WEXqwDnXeEi3tOi7iZYzAY54wZYh8bS/mBKClc37on9mUy8o Bb51Mii6J1aXvUDCvkW/MtyXrMuou/eEag+skI2tnOH/Nt0B/U6pjzvgT0uOntds0+Z1R9ZoOnXb PYmZN+pzjfU0JEZ/VsHNB7wj5H8Atk/wJTwV6jDduzJlzV+T/FVRmYuh3pGCdL3QoaaegFdIHcLm ghmwkHjCsNRUbpUgRL+JtkMcbQpWNqKwk/hQXkS9/O1ztayU7C+Qc5DqOAeEAu7cJgrtmNfyz5qj D+AzhHndrFkJDOFqLlZE57wOQ9DUnqqCj9NiAemq1q9XjG2wjo/cZMTwYp/XeDcz6ZuoCWsMsUwu HVG5+SeParUi9GiUzna0NqqWA7XtPLPPqo/O73AV8x7eMgt6FrpkbvyboVryc5+PL8WHzDwwUsjx h8xHFVjugEtsjhYT41CxCXIsVLg4zq5dkaqQDBj2+8OoRfwv1ZzsatqgQ4BA78IlXf5O4tL6NYMq fLeepYp+Z1kABqUIhtp2NLWBsg/r+/V/Xlcs9oUszSMbBfu2I9SN1aGcfOSPNApLY/xUdlukfF1d phv0Rvi8t8tuv1TBteuNYGM8fzE8Vq/GBrKuFQ2TmiCn3z9OLi7jJbC621uEFPjkp6KKE2Zmkimz YwUjFCIgQBxUPNAQxIX3cJzp1phbA68OIgt9Rsb5d9CEa4WVjqGone9crLADCHDMzY+h5KhybV2B NABSGuvSuKnITkcNbPRttauvO3MuUoQEIcO7V+cqMC9hUHxWrSynIy6ccq3B3yUt9tMqGgCY2MvX eTunJdZFAcgbgEStzMW4mzjQSFgJXCiLHRBvQrAXcBv9puImJpyFEz4FuCIOr+A9fhewGlRrXyRJ VopY8gdgq2Nb+DBX5oA4dINsmvIejuIx9k0PTpx9WjP8obqOkC5/SV2VIWXbx0UVjt7xQooMx8ZQ LFuTc3wteJ+haA+CQqo1ayj5WTAuSD9678J9M7DXoW08z28KTO81aW6piSAOz05stMpe65SXXOiW 90RqZwOCMHcWjlYyljfu4FhmEmunMNGbMAHaCcQQouQmj9hRYUtHQQsYPOYO0T14zdCszbYonHOA QOTTLSKjbcS4Z5vKuuCK+W0EMqEj4i2JcOIYv0+I5y1bN/WWB+PjFgDDBMMfjBr3Lk7UMaz7jQT5 p93ShwZW83NYH/K/EwOMRLLYF/DRZ8xjBlQgLpOVAMklV/LTyoxev/PhDVze8uLtLw7tvUeCV2mS 1Y3x8Jwx60UP+zI+mXD0rYrcGJJdLLLgI/RR8vWifKrr7uv/5TsAqUlW7+GAzN8mhTSmeNeX98do o4l5RwFpretmAioCITXFdtx9RnrDz56W/iKtL5QtXeQ6/hp12393chHoJy8SMuXR5SGMuMqvqz0m 6bRLwiH2ayXmnz3WkQmfbc9iBVJ3/IPzqdZKP9bwlsCRJ3C4zDfdC8PB01aj4TqfN3RGAPyT8ruY WrMkjZElYpxKRZJzIG/00pA6y5Weo3F41fQrYriOugPpuGUqjvAOD8Zs+f4Wjh5/N3JIq1v3T6Tc jhGGP+UKWZSKImjcjTzJktN9wsYGxwKs1SAzhAD++85gNgG8tnUcsL4oyj58lKnjdlcp4U5EXEz6 E9yb0or6TRyQV1uESFQAKyIO88GorXNfCUJEP/rOTQS4viP4I5t/gaMHoIlJ69+kxLg1TYRLvz99 FZwhOkgQD4xPypSud64VgIeYsnQSSRhhVAWCIf5cWxgO5lDkqDXxbkmhngkn1m/EoR5O1NsqrTmO m8aE/HZ8BWnY51N9ZqAgtEhJ0UgF1EvxOZ50vRuH50O6Jcv0nCAuuNwkMUYDxOM1HPqilobq/rxq zojYlJz2s2Q2HJfNx+BX7ktXfTJQ0Ob8SI53901APMVAYPSrxNziPtHl8sY9sPhD2pqYq3VvLiRv dqYdl6VGG7tknXOhnD4OiJHZYEYRCJ8uLMMtPgTVejO8S66fnZlJgppLhXAvklCJBHc0xzPJigZU QcKgVWWI/eyvF2p+voKhMs675mUxyOT+BraN97A93FL3i3D8pm5y74eYl7k/S2Kiv9BKs4nndjYu YWWIqZOflbRmwm5wxLn9Om0j+yJmvSQCR4fj3DGw/zeQ+LAWzviCZEnHknzCvDh64Us/lBT8y2rE H1/uxuDrsaYyXEswwlVbEKQl2lZk+m9b3fIJk2H5wpNiX2h4434F4EJg7peR7wD+ru/Ok/1bfPys 3iheb41PDAxS/8KPoIUxxejH7Q+n5UjIwYtBlvhvS8j1mI/Ej7S5jHCSHizrhjuOEv9rTbJ8rjGz 5UXJnxVxV/a78UJP8odbK6qkc28ETGRQJMSwixCZUMxY55W6jELqCK+xzhMEfcwQPvAVFLpQ00be DSfitVh8XLg/00naeveRepFcRiKK8XR/v+J0PPB3MaS25YDgH/JEHftIC2LzOS/x5CtRMA2ot8CR 4AY8+J/b8NwAB+4iBofET881SGhCJmrt5Mbf/IqKqdr9EDWduU4qyjhs1I3YYT+7KH5bKciNG6Tb WwdwhFZcagcLNOAP2ylQkZVlm520RUIOS//M/YNcVjd+TMXDYQVeJKtvuu6oRWMRt00CdSxbJLLy 0kqezlWqn3zTCkmu5GMentJkWVVbAACHuPmAdyYXkgde6Zpf6p9JPlpLKL0QG0hpK/VStMkYUhpS S+ON8qRr7eQaGJdru53ZJkFgTNqOyjWRPRgrGQbprhkM/BxAPynGIH9lmOF8jSaxBlTmhTgyCf1g B7CBFNP+PaJuqrBdjOcNaEpCzaURP/LM4jSbD3ZWFEPfnr/+xoAkYdW27XI3GxRPPyuKTZjeuRiO S4kpJIlIwNfTObgVFDXVbQnhHnIEGEs+gtIztwTZglKh6YjPer0BpX4Jiy+tzU/MlmGHfm1RzW9o Z/rFimtJDbGGAOtW1G96Wt8c+65E5JyEM0Alr441+93/8EC1JaXqTQOqqJDDc6HVDWwr8QiPbKCZ wrd7KaRUZF/2dsj2khV1VyCXxmwapfVWRrxmBfeTUI0iTYEZo7IZaqG5rqANuUxNTsXup82GXy9i U6xM78reDPczAGMQ734iP20WUNXEo/LdIzNzvt16fDpnoVvZIN9QXj83Ugiha58oEwudFLrpRjaO pHR4kuX8WGedwd12FchSW8Aaqvdc79JBctFC7g8THaH9dU8bGLeUC3wQazJ0p7Cg98WGsFYzmKEl unqxPnvQUuLCggu0Ab6K2eH7cXd1UvGq82LWmzSdJztiIecXgwwUELkHFBb5mhucWEGb2sC12S45 AScb5W72pAiGPVI9MrIOjZBGCmG+a64D0rliaWqX0Bmgw5Ybq4igjGQA/ZWq1E8wAB+JXx06kMoJ bGBLhPa/sfJuKZSFZo5jY0U69QkgOxK9FS6Vp7p1P2/f2h7hvb/D94ddhJXC9ZZqGkaEoCVLXRPe ivtplqD7H9bS1AziYzSCe5nEkzgvDTrr9cIcOa90UHveqhaSk1mppUplck7IQwFGeaPtRkba3AG3 S86BLAsBPF7PoVmzdXfcSjntpgV+DD5h90NMjIc9xkhmQRygg4QL8asH5WJyOUCsOExlkxLQNA2E BHrIJjFLbZytI9njoAH2quncEnUZvLkXQ9mAsJtzstdup1pDZevWHXgnrqzwn5G11JMdz0+Dxg8A nZcIouMao5n+Y5VdJ6vLnvjgC0ilLVbA9Eak19tmN36dVxi3cLXfRV3yyUxa5rmjQVnDD+hoEQeq sS0+COe+UUxaYZcKEdw32vs2cqvfJVKg4918tHCCUNni0gI58zuvoXVWGqKgqaU7ZEoFdDSBf2Qb GulQzt/x5wDZdsCFF5dhmBu/U3Bn+zJaMqjlnC3NPr+xVjJZWA23wZEyzTCeyQ/bjOaPcNG562nW cVumh23+lIfY7CSyYYsvl7LPizU+mV31aDDlRksdXF0jp3b2L0J55zFNBiLxNsN5elrmvQzeqr9r +L9aTKgkUOHbpmSurdTwdn3yD3ZMROlT6+CwMKW7NTHb89j0meqA6O1xYV3/HZtjeRoWPqNPzvfI tSoR5coty7h4whQQAvDt+UqjC+VeMXxh3rVyApWY815q3mWAYK8UlzTEqLi9T1dMwVQBHmwdOeMa n+lbLAxN7VIB42wsf5iFn4MB6d5xpLmThZxvK1uGKScFFQShde2BQqRskhpCbCai3H0KGQmKgJgD guPHfp0lum+RnkuMmsp/wA4JrcAMNiZmBOuTDVBLKjEzwS1valsFendD2/OgzMw5nBrhPsccmbsr PQSMiSEImNF2KlDP6G+V74f4s3+qlMPgRR1blavOovThBz9S0FGkNsYKtFPPLHJyQ7NfcBL2RM7N NVBgRMRxAVLFT3pp3FVnqc5uXxFlYmjwAq4jawYIK91dihst4YJ6UyhjrRNJjSjOjcyIvQCvooqg BszidzCkHu0iqQLZZREYRPduK+N8EEEB1RNPLBOnh4Dye/VWe66SIWzZ4oTvB4/p2RT0Zxuv8/kr Alw3n+Ohm1iYIKav2cm4ugMgkUNfxgWF6NT5FTA2aXFXjPvOd2B0oEWopcAA2HfP7sFDabYzerri U4a6IlLwe54p48ug4irEuxURL919sDKcoG5rP1L845qgtpBweKWZqAYJgvJUNPPvsRp0DeMAJYOi PUddG0iyFA9q88D9yQygtgb+e8gFM/aNMLkVPg02uKLrWOKpT7eF3DrkzI5SDKDr25mPnvk+iOzJ V4EHEoYZHvUkkrAo24sGhkcwse5mZCB9YcfG82Zpl3vrsy/T81VNHHWDYfBo+9sFa+EsCODCvuu2 yTNVK6POhGf0gsIY8rfLDAwZcwxnf1QYWKh0RvZrXTR3q2nprEIL5117MgHR/9zjgpwyI3ugaeG4 IBfrfrDLVVXnNaZd/XG4Zbc/ktVLTZYQnw5HbMBPtZkOu+wqxH47A2tj6r06GRRmbUMwu8XijAAC 05Akzbq0UGUnnY6oqDjt9CLrLX+JSHEXgJnGOB5H0u1vo3FSn+qZ8Wejd5YRc+sqwWdhjmOdfv6Y TsFgS8AFMHQs+K5MM3Uy/lyFhizHqhVkP4emO5NgsFBxRJrRlqtOxjjmCSR7GHFUPXt5Bpo0MwLG JlnGdc75zQxszYq5QrL4B5InESitoV9VjTADe9GYpPt4vygFoX5Kwlm+mJ9MDrHPsiSt70Pb4ZXe t6vDvadAmLCUm8p9j0LOdcCCugGnTy9fKKNHqXocYozwPJxxpvMvdhnO/0E0uE0PmCwaasAi9nyf mk4WyVZ7ZAaiIvvO2wyqa3JzoGoQww2fNS6v9anmm794IP19Eowj3BEKXorZjFXKBs+CabnR0ZfM yTWnIWJg9VsQd3gJzxs44RnlLHgoIP4RvyBf9YMcwRU5ff7v6pRt9IgPUOpURctN778VyrgSCkxp 02jlF6LuTORiD7tr2KRCCRVdoJVL6nBu2L72cpLajx8KHYOJXmhTwy7XBeMnRY38TXY9Mc0EekhX G+h6BhTWPvzgW6h+N3v3B2GawfllnLQcSudoOyAf9ljyqA3YfJLn+hFSpYrWYt+EIg3FBVqzjHcY NI0yb+MVqVktoStA0dRcNNiKB12qxk5P0wzHdPXPErlr6m2k4nExy3lw0jXIp8EWj/wBDW71Fyrh vIvtX2GzXeaSaL1oBz4iXBau `protect end_protected
gpl-2.0
047d41e19b21c47a0d45e786bf271205
0.929684
1.887742
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/bf_dsp_bypass.vhd
3
11,320
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SWL0XgSb7vdUktF2eTT0VpeLOyBM7ERgxCOJKskCfcnUVpr8jJjF4/GwlbeFAS/dY9JIPdLmR6M1 VVyo8mbrSg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block AtM/N2SjIer3WP1PhqZNM/8SPQvYdMJtcly6JI694JFIwrOSuJ8k3Ll3malxtNuIuVF8VQOtBizm 3lcIWvPE6uAO9kue+SnZV7Vb+IX+a5mTJ2GxMIlRZfMRWsJC70Fkf86JS0oFBFC7xsH53oOoivVE b4XP2rBxal5bGNiIR18= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Qv+AZJAbzbwArY13eLhaVCeJAR2uRCjutnAumUxFcGmS6uJlkm/m6HWDInN/Li37JSI5P8M0qZKK 9/QQBvdgQ7QmpLrxD3J6zwnSRZoCbdT1dv7N1hTSb6IpIaqgpRzL3QskBRwqyiw+UqG2nVmobhe+ RnYdMDb+WsUzC2mk9rPfRsgtumoCDMlNyYHTngxQrLTe/gfrQYGiclG3aSl1bUz2Fi4MUSkAdrQV K8DOd7YPpmrP7zB3h1zYZS9FwqWIwSdC9X85PxUmgcG0fA2L/2vpYUR+qseVqtp74rphvi053YLQ xnn56SoVmz7JU09D0+epL4Urv+bvfmsV3nQOPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gx/SgxLnfCCmS1gR0PBIKmsJ51uw00HlgjBFLwko76ev+aupuP4Tdtsj6VngXXwbdgco+g+39GNy UpLvjOX5nh0Yfb82ouDxNad3AqFwT3f9ogk2rFk5mYiIYE+5KGSiuEvgW8kdTVP5QRWekA4XtPSM deF3ZI8Ut9n+ALFfkqM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block P5O5n904/GUhJQEQ7V+k0fS5Jy/Vkt2G35pLjM9K1TMfoqyWw2FSjqYQYwaowQF1nCs5VQyI0ZwL MEFryWeKy1a7tJ8Ou60sqywOArUdOValdue6zv4bvQFUPhoNFT0K+KjDq0BfjiolIxHtAf7p3R0B JeLZDvkbrTso5TqndiO0mPqkBDmz5yOlX4px1mMkIDtSXrgAB6GW+6se7BiVKxiGVdin06qxQorC P/GIhNY6Te50PKiT1iumvlpV/Nh17mf9IlwoIP1PoLzgFJmalNuahkgjA5XrqyNkHw8Xy6Id4/gs 5NiE06ybEdSUS6jRzPIW/rMG+YZsbGu4U0Bq7A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6640) `protect data_block p5MePTT+f2CjhzFUIuzZ7AiCy257MIW28jhYBDrsQuIIoYSJGUVCgl7cXmIMNFcSu5ZRuG25mJVg B+pRPpfvnBVqYfgAUOXAGvfyUSJoGW7qrRpg2PPEqWMtZvQQ+hF5xkB4jakATk9sYPKU1H0y1dF9 euU9xcQiSJN4SM/HSC5HqXlIkshOqU8Ps2CU4NfqtZlsj/vVozuk1QsudrOarSB0DclPDFEFN8Au FAg4KwBTHahGuIn2qrbMFZB9IjUKSX6AHoWZU4Od5dH2jPwsO2ld9czIusQGXKGk9rHCOhjvR56W GiNC/IiOP4gCn9YI3vSZ/dHlJFs6xZP1RMGRWvrPoW/7vo7K9yWSPUdJCyT4Uv5K2Z3sn3xRRlwj b+TCSs4w2X1Do1G2O19IceQo9y+AcALdz0ju7D7o7fWLKHYYWrW24u0yK5PaS9ifal6tD/zmcbx0 VBI+rHzC4nMNEu69h+NoS0QKZJjvz9NsyJcAkDI8etcZnnXVuyYpNoMuYpvidMEn0dRX7JCiECym 2vh87CNNN0tZBYqcNGIx4v2MYLr8yMB1qcfUnPiaNGPRC7OyKtxwJt5be5qjsIxaRWL+mzeFxRCO 1NEYAQCnLLZdOO78tlVJVwsrvoSHWf1haUGGfYdhzKJwt3i+jObDJMIwa10tnH6B35uSOrU7pER0 bd2UftKMwtFKo4bYzsOotzyWy54pmBSDtvXBQcahODdBvEUbPH/JD4ye823raYadc/sCk4AO9Rhv 11W/W4FBoyLSm7/gCjqf39OszohX8pmC+DTDGeD/9ohLNJGlRp7AgeNAM6RwKminPSG20gs35nXJ WVb5FG8QbVD9rui6XKHRsMqxhKsdT0EKqolnatmVyiZravlFpQEcfyYVqS8Aa321UJdIWYB++3HP OGeR9fcB5rPPjrrYOK81xcZiymlibSZLnvbuowfnK/QiM/dNxr803w4tErnjw5r68PvllOdH+CiC t+o18oMhDGxH3alT1L2OqVolyj2pyMvsg3Pug0duqHgPTtsac0WDA+y/qusEMf/MhTV066K5TLXb BjmJ8dcvTQG3gvCeekm1byClhEkXZ1LFbslzrn2keXN31q9ZabYBCk9PSQK/wdlQMjFzfb6NCfgi ShD+3GDO8DOcW3XvVfakF6xlnHPq/MjcH2VOY+VR7qF20iNDb3S0jORxBWvxEQXnm/mMhNnQ0xLd wGBCdHPt3TUMrxoeExwPpbpLeVuCTFo4Oe6tOWfMuEtGbLf6VGPxzxdUHqatdDY559jlz9assAwj ndCVYzTVAMEuH9Q4/zepDmyhzivR1P7dlWH8gTBu3NKasu5J70h7+X31X1OnUv06LZNaw4xDgmdZ O9biwRi62mW0ZnMS5PjQ3v/MNoyNLZ+QKY/41mKnaSkSGpAohkG7kQ7Rvjt9ykZPBPouUbBaretq 2/sDaABbGNRph3scFrfgogRuNSqDN2GGBI9LB2ID6Rz2lS7Rsvh4UUI1ounpsiRLWATmgKVhJIP8 dW6kdSOjoxhU39S7AXjJWv7VMMZ/KfUdp0Nt37W3Cxmw+QYGvBB90aZhsTzNHFfyJcQrGQKlukQP SL+uurkifjK3EZ8/dgYI3Tb6K+q6KRnByi1tdz4oC2WfxEtj3bPAg3KF2uVjXx1+7eKSjwDpNW/J 36qWFN5zIq/+Dk4dSRzfOxKzR7DgFznfI8dXbNXCkdRGzTwC0X69w1qbRz4HevmnZDpsobS253Xl aBbr5cIv5DL3WUr9so5PnX0hX9bbeWtVdX/NRptoomzioM1u++D3wSv40wPu4YiZkkmlPYoOlB7L j5KkeshcwT+8Myq0IM1qqBZCpEBx9byJH2pXMbD0xxkav4VGEGo1VNTe5J6p17LeiroD3QeJKmim ZNgcLC+XrqMZ/FQZgTD+rdArMRVbrM6Y8ed7m0IZ+kLf52avPw7Y+DVs00nzDTjEDxGjXTIOUaUS m/ECXWO1dFpzrXP0Z6kZFytbNqVdysodvCmRlYPNkBy2LYcT8jR4kSusEEUvfoNhhXW7hWQoGbns 4fLLmMV+mVE09PJuVI01dGe4B1LUAC/X5P4GAX9khnnnda7iU+ncchQJHUC97+lQrd+1XeR5Dvxd 6OFI+zO9TTkJA6VqWtG39HZahNfFSLzobTXiuSg8z4rXhNocGBJwLN5OrTZ3egtRwRVvazRs474A dzeRyqvTNV9Tvbz1mAzFS/KurJEhT8rCabAM8BOrDr/GY5KG5CyfQU0c/KGdRro5ct3MlgtxRHdQ dxAukUaFKg9oLtDA5rbWkznNL4ED+zuCZCtgdnV8+Z1zntkGNBcgxx7eU/8rW5IcMbouxeWaoP3G J+qToH7+9ff9y6bmJLSqKYThgszY6tCoQZa/28jvX3RZdNAoMSHjxHD35s4ZpPUgLNi8vIngxN6S i+47QwtFILCRo5z1loppI7s6IVeiqfCGjzJCUn6eWtMIrSMIsqtD2p9IMMGih9BzWD5ZQp/xQUsn PG46FkU/CuK2RVJZqLWTFOJUMbBq2a38l58Taa603zdTjOAfBDoGw/ng+wIAoSk+F4woD7VVxNTt lUKBdydYMzucC5t0pRNQAgzXqSzZnE9q3OvqBtuGXtZfcITr5gom0wRi2EXlTNjUmCN1mQcQb3uj ijIp4ZWgAtbHo3Q2LLTvjwNVOYeiK1MW/fomNgUcLVmq8JJ/JfxhBXP6eXWP/4pX/swmHFbz1Er6 5jj5L3O9Ors+GXZNS6E955S9i+tyaJHhHmvOqrBtS3ms4SyzU6QhP6eNS+c3HbZA7f3oKYPl1J9b JuH+kVhc7apZRqoE3LpQMU0QAycCDk5J9VO8iQJ/M4FoMix20/17QuJ0UpcJNW7+EgxX5LsRm1lS CWWkyIri40bmOZPyfAAJH+eVWMzGYO95aHUL9J5EEMvycF0hgxfwfRa0iZj8MySPvgw+KKU5NJBG X7NhraMJcuHzXX52DYAl2gGkp3xtfPp3J2A/E+AD2Y36OBToRT6Tfx7lekyB6rvDtATnImkRSR0W JSLdVg4sdLbtsZupvIz8yWbn3a5Qq/cP1IuDTLya3EjSZEd2w5L2+AM0Yc6+DBqSmUoWbUWxyd/w sILlC0Jq/y2/fqbNSObsfZ/47cZAbCBVGpZHirG0I/wSUXizH6Bowj0BMx0+yuf2jbxusBknLdiR 99Ahc0wfwG2Mivod1VjtQJLH5ir9PgIYoZ8XFRqOHaXXBQi2/CfHuGSRNdzPvtt6rftpEny5JjUg DANZkfX+Yoo2IZUFute8F35bIxjWczEDXjoI48Fc/K2Mm+eiJg4xyLt9i0wd+++16d4/eFddE2fx 369cqtUIjh9EGAfkAqtStl8MdBd4uBcq72+5jWs8QwY2SVyALlPB6rP5CCJXczhNpSfMuUXuAVTD +USF5x7d304K7pVrTAZESmBFtcSrSjJyuT/0R+IByzZZGSZtpQAbyRNUT11UkQLJdMrlzztoYpSz hZvwojAzTWtJbyoSSQi3zSvdbni03ujW5U1qQbGG9cFinrjK52HNCgvOcasdKVA1vokb+/1O6cgg 1JdIsupzci3+U2WgStCD3HoeFLZ+KfNKEiD9tA42OvjNSwnyYiv1JX5YBH/q7UMNyyXp9SbUd81z ys7WyHlKHX6qx6lVakwYIkhO2KVPhZ/7JsOjEawgRKjDJT+53oQushRpMKhbruH/q7vtOFojwLen EE9/yhc75KB9jkueukLefNctehUV9aH/hG7aRItnN9/U3QJLaePxG0MQxm2CqTYEPEtOsHLfHOZM codXstBr2tId2A6Pm/I/WYZGWeMq3Tq/eL9ZVO0FTAvd+OgzlfN393X0/NjKwj/zVNi5zH0A928z qk9DKVTvKXUF6kCEhQsSPlgws8tKn0iH/FAWNpOseosQQbZRDDiolGbCXREwKQQnRvTLElOz5h1V 5vjMcf7Uz4cj7VjvhMNgDnirZJXo80uwtgPIOD5cxrcl/DfrFtmEFka9XqonFVoHgEdHyy+Pr9it NqIiXxLMxqrHObkhdnOq2AKZmCo6lMWTsIXlHwqgZJxLxbdkvbsbJQdXjSTsn9/K4BvO5Dc8AVuN +niDds82rXFRCkY59g73fLEDfQr5vXzRyXBeH68GxtDfOCBOtKmDHWKSgMw3dHFwlQJGI7xtAyga 8qaQO/9VOmf8SnnrVQ7Auv/nh9y7d8AvtYstRLF5SidplqXyyErAUgYo+ziDy44dTVL2Lz0SiUS6 qE54UiaNwk38uX7Ycm9wYynUuO4kai29GLk4MtFpxs/WBKIyKlFNB9KbtgA3T1AVR5gLjUI8eeO7 H6oWB1I+Yg5HQCqpI4Njy/Q/r1EauXN9MJMukM0L57OlXxIRkQQguCvUqLQS1+DsRMw773GS4p/j h4pjXQSw0uKrbXBfZuUtZQ2nyLy1mSi/mEjzTaK8GaAOCSdHoLLhdW1dJlGwBSmSGKs7a3zACWHn k/KcQDjEsJFClOaE7+Qzp6vnfl3gs6QTENOjZ7yL+vu2YvPw9SkERP68Y+lioTyfSerZw8vwoShl fWaIykUN2L1k5zKAYzdtzwX/7TPmsaR9nE68a+syIyAazqICWHgyCVbz1OH6y3bTJb9hEJXg9gqD PxmlNC7Nx5wBfVr8Q05g3CY3S4UqPKTcYmZH+Sz1yOabmNjcNsjOYmZnEte3YHgQFib9qiTY6ets r4P6BCvtF2WW6wcEbvAClunGNJPFJDGNqvZLlqiDCLguLbg4ktncnd/EBc5l4iLGoDUxo7NCYDLO oy6JlZMnVYjbAgxYBXa1naNBd+30usWDT0PCfHT9EviDmdSkCV8sZT4AehMNUIwY+MNUAeo9TTAi ElGBMVnT2iPrJs56zOPmPQ3n7skTBtdujjd9ABXR9BdP/O/q1vgWX2pluyb+ZLgbG8TKIaeBHcUo K4SS3fvQs8VExviW4LuxJq2hkoY9yZ9vaDHc5uLQIwbBfKHT8ijmkznCkdEzzHPk7KH/r9VQ03SP K2s9k8vCrtPHHL+vZXqEnwiWa+NyWko9r0YRVgza8tdLiAO7Ti2YvYwqQv2UtrQnH9MX7NJMli1C 3qwPSpLX60ZOY8TFJzN7eKmyuJVY+SaJWVB8uWvgG0TChxVg0H4saQ7NXFyCIxWTO/rN0tnKv3Wu ye8p2/8pJ1BEwEevL6sfwzxYBH2O8w/8VEP9rw1K/4Mg5wBCfJydB+VB99W2vUcaXyQU6N2Xibmn KUPrXITSt4kiCvlpM4uc0Q+y7m8UrlOL0BptCBfJFmTMjYsJZLVGqwijB3eCGxdjYvgO37RcRxzU iccJXN5kBUgpa4Oag0INbXwe3UFM5v/d1lGkXP1WyWhuls3t4jP5J7N638EPS3lhjV1Bp1ltSCZD 7KK0CrUS2twdNiOi7mblpi1rYqRG3cxjvI2zpHcR7ysLqeYB4ZNFXiab9vLt5ioUTTdoOL7vhbO9 lOqVpl0RaQPoH+bWzAq4s4th9PQtBosPFMHteM1xsUo4T/Khpx1WsY9qNfl3MP3xTHRoL94+aPY/ /gSI09mTw39sWJon5RvFM5H0ReDur2gB/zXzHMWLSnHJCHEmySNYWetjsDRysxI1V66cl0JNqpip KL9QAeQjYD+BTLvWUxeQXYCzYMWaeOz0XFPcNQPcPdfQIAr31p+z+/MWg19yQVwIpAKgDIw/Wfpe fKnWUBDWwQ0iiSVXZ83Dth3n4AMzcp+VLoYiZZbygdifVTCmAlGsvCb2myN+VvlMVsvmOOugB0Zw vB/ZNzMRF3sXvFSPd3JTBAfu/cXHsCfkItomvPqwcaRePs/OqR9N/f7wlwGxP6E/RDgSI7KSfXoo jHmfYb1l/JmZ4GzuDr9s+5JTSsOt8qxitXRpeta07xvYQw7qctikML1KFJPX3Wom2kQJuiK72cIb 4pRROWolNd260xo+2ddrpj1VIVbLSQkSWiY+kFmZBiS1bXsTdCb/Szxcemm5sLccIzALZG7tOUQa lZgMoZbe8y2LYpI2DJfwjpglW48RBcryOUM+nnBFc7T2YhDZovXXkjsfHxEVs9OCPGaoTcubglhM ImWYHYVWGi1JZSrLYRwtzz6I2Qm3gH1lKH/5tjqtwPgoUbtDrD7GawYeZJx2T3MpiiTp+OlAuomo Xs94/gNbtOfh3Mj1BnyIGLyJGrzFubOJs9cO2MA04RCa6sTLFuEqXzvPNJW7fb7CJSAecijyHpDb JeOnP9BGpN1ONPxEGi3mLyz3Isp7HeZ2nNE5F3S51h0PjK6YqbCQmQ+pyotk4Qv2auyH85mE/wTb 7HmXT1RvkxlMbt1uKMVosAC+1OJNC8jGuI6N0wdrwWT1dLRKRkrwL2WFoLpXqrVLpSNyV1whJzhw l9z5ue1bZhzZHj6BlvZ37Vvb6TgkgNADxjIT3c1BtpLOuLs6OTt/CACshUF+cicbi5PaaElS9DTk eJbLFRzQVS38/EqmUwAaMutNqQGt1pWsQDLo9cplP+QZTTCh+Fec1ZKuDZ4zG8EamGB0UsasSEAC atvmmNVjnFTPJ2DRzHGxZ+QQq7Y+RvT2fa5Jcyg8tOynTgVdzBTXxjeqh5mgxynadEr8zstptgU2 g9Y1wzSIJv16GMhihhRlqufp+3ynp/oIgeDegVYSow0q8TMwxEaTZmHu9q4SlLyubb2kG8oYgQtw Faa9XiHk3a+VkqwU0jhDGK+ix8sJpy3p2wC4mvpF7JSY5W5yUKSdcZMyCdNPUYdEXVDIP5MH5eSX 1PEJqUX31d4yvY+vE+SFYIqghPi7f82Gz88kKsOplzFcQkosEV7lXyIULz/lgcyDxyBkekuCVdel /C4BmIS1xbuLePjKBiYH4Y8lCzw7G6YM8koNRiJyAg1FriGLYplTLV0mgifWcvBih0f1q6KgID/G 0VBZirS/fzt3VlgA7RAYacpvOteFlTlI9akFoQYOhriWTcrCQMLOdmM8GRKp9WkKBo3nmlB8SOEB 0iNzRUr8QuT3VMSBAyPTejdiEDcw782XvP7NdI8jzXAPJAef93XmV9AwxcBSTF7qtJXVz81Xvbc5 cUNlq8iUPxwSh/XuYi7qWtLCs4EJuwSwveKPzy2kMWj5RZZ1Eo74eqe8nzHHJfhtODffG1qvBy8z lbvdWurw4V0J5lXRzYuz/BJT1ldja0/XaYuFcdrUeaz3vLtn/39LTi2KjWddi0ZbemnGkt8QqQ9V sSJdHyL5SMkX3q6nTBhvExy2sSMyjND5oy5DCrP5X5W2zQ0w2gUP5vZersJklVZKNuMqD8Pzl5xA 1p4k5dqTl0eBSNyS0LKRUJ3wq5/erLKm6tWjBLP8LS188swZ7EIaphrOohCZ0KApzHX7OHOg67NC Jh1GkBuqG5RqAwovYas6LoovXDACGCvjmO75NllSieUFPzQpcsE1ehbfaw8Nqa0Xxawf8tAhS9+e 0GTiCLjQjmckpoFCjgpnmZSSx3Z6ItoDVnSSz2B1bzfLj7ibtxtSzBTLXye8t8BTZ3idZvMYuCfD VVgP0v1fw9W9DDUVqMdbRYY3ieRHobaapevDp0doPAG4M20p5losEAZ1dmPTQMO0r9Zcrp4mZ3Lm OS8Ek2UDoU06QeFWW/TkUMOnLm1I2nNeCyuua0ByABScmKX3FwHsH+KHhEh/79f6bRbBo4rjZWoc cUvW0OIwCCBtMgmVvnr2i3JtC7jPCZRqkeHg7KgHK0HFpAF49QAHJPUlrHJyBGJxxsWkOsBOCjt7 6tamjX1mBgL7tq7WJuJx/nIief37gmt8bY1HuM4RlgHD/tYhl+Vt54/g9WWXqQOowj+8IeUDn01m YHEqDltN5FCl03lx7uflgWVIxMIV34JCSDDJEbV3xwLypLoYp1ofUhHNm9Owm2bik7cJUPfnPIHQ abY7t7n1XzM4T1ZTdC23rSjE+T3XRsludpDvFNH53VD2U9yrauniyjjeiU5Ajdyrrk+MHAz5meQm 3PWCwBw5mVpSYkFbzQtPKWzQgp6RlfDcsQtRXnBnc7Xuc6BHvcVmPB0l7I81EKdAAP/m2Cjvc6SW OwNJnIgGKoNIDXkgOOk6TGAImElqUZ4PIq5B5aiPt19EEybDVy0tJ7DEE6l6P842RbMcr6XySNBI oikL+vpdZesf9ar05txUaXX7nquXV467/GWKjNUeQGjgDjWBcm+OzSE8gApsYvgOv0ogQua/vbwU wVhbDmXrw21Vqp3N+QY/0Dwi4I1+68b+slnQbsxqO3BsGDlal97Vn8cx3X91VTSwgWghN29qMDZH Wywkmn6LPt1gJwMudmMjpqA2Ts3UzhYelyxniG5BqRPvW8xJo7/251va+rPwGBFGta4iZ/jGuXYj WDBXjSsFLTi60MGivdy0No11Sc+HL0W0X4EehmAqKavm0TeDgvGZX7XyE+94Dj2KWJK1hv/8m8dt hyBQ4qgRWqlwPOKvM/feSZEDuZR7TslGZFz03bH0PGgmJs07pbpqCnpipRtNvvZqYiXHGFskMflk EBQxS+tuY57nQulSdfO906oralxeXrzyupneK7fZoOfIZZsase6w+xaiLk2HJ3/D7/sx4iMakP0O IA2b+Cl+UyNH+MIiDF1qwWObz/jEv5gdD+gXwG1nB5fcScLqXT073FLinjqPXjqVCxgL+Fh5kiiG pyP67BgFjpq1LSgkylO1YffSaI5nOP8zaZuQGxDPmI9Kuqwb5tC1W8RvW2WN+xL1HW0/u5DY41rB GESXyMa24kNIn+Pd6OhOBurwEz4Rjv0hzCvEx111z9LqVqMIJrDeoacMDzkQEdAa0Iy1/mNcBAHH VHsZhBQFxEqs8u5B2+WTwhSGgGkGUS3YZTL50g== `protect end_protected
gpl-2.0
4900896569671f9082b482b15bc23c87
0.929064
1.896465
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/ipcore_dir/VGA_BUFFER_RAM/example_design/VGA_BUFFER_RAM_prod.vhd
8
10,573
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -------------------------------------------------------------------------------- -- -- Filename: VGA_BUFFER_RAM_prod.vhd -- -- Description: -- This is the top-level BMG wrapper (over BMG core). -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -- Configured Core Parameter Values: -- (Refer to the SIM Parameters table in the datasheet for more information on -- the these parameters.) -- C_FAMILY : spartan3e -- C_XDEVICEFAMILY : spartan3e -- C_INTERFACE_TYPE : 0 -- C_ENABLE_32BIT_ADDRESS : 0 -- C_AXI_TYPE : 1 -- C_AXI_SLAVE_TYPE : 0 -- C_AXI_ID_WIDTH : 4 -- C_MEM_TYPE : 1 -- C_BYTE_SIZE : 9 -- C_ALGORITHM : 1 -- C_PRIM_TYPE : 1 -- C_LOAD_INIT_FILE : 1 -- C_INIT_FILE_NAME : VGA_BUFFER_RAM.mif -- C_USE_DEFAULT_DATA : 1 -- C_DEFAULT_DATA : 20 -- C_RST_TYPE : SYNC -- C_HAS_RSTA : 0 -- C_RST_PRIORITY_A : CE -- C_RSTRAM_A : 0 -- C_INITA_VAL : 0 -- C_HAS_ENA : 0 -- C_HAS_REGCEA : 0 -- C_USE_BYTE_WEA : 0 -- C_WEA_WIDTH : 1 -- C_WRITE_MODE_A : WRITE_FIRST -- C_WRITE_WIDTH_A : 8 -- C_READ_WIDTH_A : 8 -- C_WRITE_DEPTH_A : 4096 -- C_READ_DEPTH_A : 4096 -- C_ADDRA_WIDTH : 12 -- C_HAS_RSTB : 0 -- C_RST_PRIORITY_B : CE -- C_RSTRAM_B : 0 -- C_INITB_VAL : 0 -- C_HAS_ENB : 0 -- C_HAS_REGCEB : 0 -- C_USE_BYTE_WEB : 0 -- C_WEB_WIDTH : 1 -- C_WRITE_MODE_B : WRITE_FIRST -- C_WRITE_WIDTH_B : 8 -- C_READ_WIDTH_B : 8 -- C_WRITE_DEPTH_B : 4096 -- C_READ_DEPTH_B : 4096 -- C_ADDRB_WIDTH : 12 -- C_HAS_MEM_OUTPUT_REGS_A : 0 -- C_HAS_MEM_OUTPUT_REGS_B : 0 -- C_HAS_MUX_OUTPUT_REGS_A : 0 -- C_HAS_MUX_OUTPUT_REGS_B : 0 -- C_HAS_SOFTECC_INPUT_REGS_A : 0 -- C_HAS_SOFTECC_OUTPUT_REGS_B : 0 -- C_MUX_PIPELINE_STAGES : 0 -- C_USE_ECC : 0 -- C_USE_SOFTECC : 0 -- C_HAS_INJECTERR : 0 -- C_SIM_COLLISION_CHECK : ALL -- C_COMMON_CLK : 0 -- C_DISABLE_WARN_BHV_COLL : 0 -- C_DISABLE_WARN_BHV_RANGE : 0 -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY VGA_BUFFER_RAM_prod IS PORT ( --Port A CLKA : IN STD_LOGIC; RSTA : IN STD_LOGIC; --opt port ENA : IN STD_LOGIC; --optional port REGCEA : IN STD_LOGIC; --optional port WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --Port B CLKB : IN STD_LOGIC; RSTB : IN STD_LOGIC; --opt port ENB : IN STD_LOGIC; --optional port REGCEB : IN STD_LOGIC; --optional port WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINB : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --ECC INJECTSBITERR : IN STD_LOGIC; --optional port INJECTDBITERR : IN STD_LOGIC; --optional port SBITERR : OUT STD_LOGIC; --optional port DBITERR : OUT STD_LOGIC; --optional port RDADDRECC : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); --optional port -- AXI BMG Input and Output Port Declarations -- AXI Global Signals S_ACLK : IN STD_LOGIC; S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_AWVALID : IN STD_LOGIC; S_AXI_AWREADY : OUT STD_LOGIC; S_AXI_WDATA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); S_AXI_WLAST : IN STD_LOGIC; S_AXI_WVALID : IN STD_LOGIC; S_AXI_WREADY : OUT STD_LOGIC; S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN STD_LOGIC; -- AXI Full/Lite Slave Read (Write side) S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_ARVALID : IN STD_LOGIC; S_AXI_ARREADY : OUT STD_LOGIC; S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_RDATA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_RLAST : OUT STD_LOGIC; S_AXI_RVALID : OUT STD_LOGIC; S_AXI_RREADY : IN STD_LOGIC; -- AXI Full/Lite Sideband Signals S_AXI_INJECTSBITERR : IN STD_LOGIC; S_AXI_INJECTDBITERR : IN STD_LOGIC; S_AXI_SBITERR : OUT STD_LOGIC; S_AXI_DBITERR : OUT STD_LOGIC; S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); S_ARESETN : IN STD_LOGIC ); END VGA_BUFFER_RAM_prod; ARCHITECTURE xilinx OF VGA_BUFFER_RAM_prod IS COMPONENT VGA_BUFFER_RAM_exdes IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC; --Port B ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; BEGIN bmg0 : VGA_BUFFER_RAM_exdes PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, CLKA => CLKA, --Port B ADDRB => ADDRB, DOUTB => DOUTB, CLKB => CLKB ); END xilinx;
gpl-3.0
bb52c500740ece983a9ffa3ff1b2c6dd
0.479996
3.812838
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xbip_dsp48_wrapper_v3_0/hdl/xbip_dsp48_wrapper_v3_0.vhd
8
18,733
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SdDLHlXOqapoPjtjP8tao5LvUKQq5yiBDtWWGyauNOTotoeKI0ZJND2IQULO4GcyoWsMqzQQ7Qvq B+vXySm0vg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XV2/IyjeTpq0t21B81YY9roofC08p6YMAH2AEkJcMWH+mqMry4GuSJiiy9jSWrrDXQglo0cYiqNN IrtCTpXVsIRMIS2CcjziuLr/ooLHFF/vF2U9eeFays59+MbTkAjwSj3F3sLHT5iiHA2G2T00mRRL k1IPJlsfaxetb2aGR5A= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block h7vCfKiDUiTjK0ZrVtjSFeHORak3mbJ4UErgq9zgcUQ6+rNqOI/xKjnd+IWArJsO4wN4KAccwTq4 GRB/vaKO5xYuGmltsyQ6Ys2kTKQ2jwlKU0wbUX35t6cfQDiEHOqp+cOUsqySVKNvgMzDY3PiwNCY pTyVif0qXC65TFmm1Z3++l/CmwpjRC/grNpuwPMUv7Kxe0Fn0e840Mf0vaT8Dcz941PZ5tptvgxb aLhZqRO99lkDLvHB5XE/kMmCw7uZKw33G5vPDG4Ln74elWlm4I3PAwQ+zYOQm3cKSR1z7WQi4Ji8 DOFl6ZXLWeuE0rc9jyZcGj2H5Tr0/9feP9nhhA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ej8XLIC9pBk2fvlMKwBsznpGzDyY05MYFiTEOyq0G8NI8KGtlMj2qxKmrXHrf/O3llw/EJzdApn7 jtzyr4JHMRomdXh80AEyioPlbm6oBylM2VFuR8f6wdSnsUQHFUPb29RMS8M6rDRfuNghD7TPQYBi iawMDhACb+3w1FHP4AI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block g6imM41RcgUTTZRR5GQvPVR038wTwx5vmzwCEBCsRysBRW5QyGMCEhJredfBLJ/ji4d+w/CZ9rzM euJ+MQE8vTVAxprS2nwBuVDqTHu0JtVbUByUHckVYkNu+lywNi5K5sl6TSiGlvqtYBt3ZVWl50vl yI+s/ww10BWXeB5P6OyJLkUUyP+KOkUtlHRWO8GVCmXgOs9569KfX6A8ET5I55UkRPtb/3dHzpmd O1hkeVd9GZlKXIxHip/YfGNxH56dkL66zjPP3/Ojzge3xgT6RiddOh2fIcXslpMP92o0GyecvNsF UkB0bfMwvgWi9n1LYeIM54Y/lUd8c3PkCc9JvQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12128) `protect data_block N0ZYnimbJPLEsjgRNrkCNU7CSgZUzQU4mRq1xZxmi/diEJH8BPQoMXVa+kA0Y7S9OFancqEBzmbO DVJnZeVeYfXFb6DxsuHcZ+R4N9B886zh1POAb3LpuEVvSKrdtH+17flq7CCezJi7Q88C5cCulONq UT82YOiirDthHyOo0IHssHQIYW/AOWO7n51LLsXFM1IpTEqXOtErd4BjaKiJL5FBDL4BXqSRohyz anCxDggALs8sz+PhUru95yQ22DMsQ5PVsekEcvsSIpzUDxterOCRfA86d3Z00j+KGodqS+57bBcT xG7175V1Wg4dVe03XBpl4p7UwtMC8YD+0TpEMICW97SXhVc+n7ky99IYu5TfXIQenhRIl27b8pid 2t3aRHWgMb+jvgs7geo2Do+7rhNGG/BYH+pAXPkmuAJvstOzOszw/gdbfJKh8YuAQ/ScD8J561QQ r5O5vroBBOJGYFKPN+TY3CSwGFmAMsU0qigDAljL43MjIhCcGlnKSA42YnZbfFJ7lO+CPdTdkkc/ MqtlPVesD+FAlB/RBp8ZlNGQWFyNjdlVU9yTmff8KfwDHrY+LWIV9OLxlBBcnRDLu1TydwXwOy+u hM0uBCDJY+OT98KpDB7qEzISiRjbuMsZjBoQhka8ihdeoBf9Ow9iiIv+SPU3K4arq6F3uFWIyRAz dt4UqDbb/O80MDcrfUPkprZX5EOzSczaX/mWGLRh250qSg5MiNGvHpIjdwqH4waEZHzW1uYznkUu E0JPvhYx1we7kAFUP5ywmn9+NI4vQibpPv70h6V5sX8oo6DjWtf8g02tYrqJtZlMjQSWdkk8o6r8 DgucZ4B/rVWaL1ajHugXRhktRY/UeHMf44yaZIdw6vx7YmaiceVMDKtv40xGxQNomgJ1+BZVSzob UdYw83x4Ju0Kr9AkiWT45P/3BkNYEkAPlglggHqJAN6QYunE7wSFpGCmHfsLsZ+RccXmmTqIxoqF xw6MJN44Tow/pCTNNQMnxlyy9/RRI9jm9old38ecwCW5/kWoRLOAJXE4Y72d3EzjKHPkDvHNjhlc 1d8OxFtGQZXTyDC94jv2tfxnb2xbh+8UDEsCtCoe2tHEmsCgXoHA1Cizh/vJspYsAbyr92XXQ39X Uue1DVY+OguUdJEol080JBguzzphQRcbb/mBneDPCIwRKCEah5PNgdAVe8jJ8wOCcgHju3yTbW/f pN0Tj1Ew16MMs70WtSRN242zwl0y7Dbe0HrqvrwyEDcXPyN9lJQw/RBu1Bwl5LaVSMgl9p/TylqF sImlkeJ1B+xFiQ/wMJyzHm1fmYYB+A1eqMztfw0GZQU+l6pwIBvAxW0I8mSXylOePGW+5gKlDDck 3h4zrV2uAOhk1dqDQVEJSG4D4gCfsW3YyGT2+QTM1Cz8J6QKsXVynHWKqx0XoK+sayUD16i5LkxS AaKslNNNI0Kb4iesdYpatXPoy9sl1OQz2t3BCwdriDFpQSYmBA+Sa/Xi57SSsOD5/aJhp5ShaWSM 8oOTQuqn3QKfCY9gtOM8dNReHoMasZvFRcSOYKlp2vVZo3bXAasK0K+TWzyP9D4NNOQ6aZDE9Tcw bJ/ckUr4Twadba32CYGJojOTakyCgn2ffAU0qKBdzHZ4jFrtUFLLEngzV3m3Yx7XUSje1gIpnrRC KjqY//KKpsxaN2sDeg8OTlFpMplM4q7j7DfhxGb+TiOVrvTNfWMsjPq6ZricfhVD3pPUzeddXSSW vW1G7uhsmuKDJbuDVeBAeImknm76tNomedF+N4YzXQfJhGoB7LUqFEuk2uvEUCkuyvJg/CiUS7sg qlYd3icHSZDQHGQMzoD2FSEgX6lDiO3Q9I4p0r+SYvQEyRcefPhufusucrzYFygL1w5S9NPGsBUc tvMm97WOticYj/BvtFedxB3pmA4xcxCEilpLKsg771F/wFOhEBlNYCnHXnU7LmKtCrZoba0LAGWH r/i8oj1y+9D1dbeH+JQdgM+qsoy6YDhLao6ujB0OJD5SfOnw+zEtN58ANIULe5+sGmfltPnYWFO5 thaMo3gfW9ewl8OGc2fojbg6i/FItf8ER5d8+c3qHcht3XHFyj6+Ku+MF13F1Awk+/eDiokWBYDz TCqAEfN7vj9GBNFebcoiFnhCQhk0gyZvuSTBPcCgBPWDiqVgVxgRg1ZPli85ELhZG3tgUbBWdSr6 HuV7ULQ+aXZ6mHoYCfTGljhV13bh9SR5+IAdW+1isW5Wqn07nYXEerZDWOc25HESf6Yi0nB920ep XEwnbKx6pDKSv6X1hN1meB35lRk8sEK0f5+TBOYEbhhyhpBBbCWlJOfw5PZt2st84IqmJeQSOi6z tAqdxppXOe6AXulZ9bfEaHmnKJJCfBk3YQdXBbyDMOQcdKvO5BZUmsKUo9UKOT58xVxVEfH7b9Qz DTMNaTGsOykIDfBcMrzwWj4/ZhPmVnNlDKHvLOLI3g1ZcTLbVfk3+B3AOfjhVp/Vd7hsjz7Pj0uK ENWAL44SevLoTGvkQvwnSAArlDOHd2cw84LsKBOzCgX/wh2maSrhj5ZQU8hMtrMlYaTMWo+uGl2D SWwin2G90d9gcubEr2KbewRbuV3enZG3mQTwysW9E0EBalh2dXjdsU2+pCA5YS6o5qYEqfqIQAHC fV28uTvE2eXD1L0joptlCw4RisGKJBv5OrMywdRdHxUAoozHLdNdnCfSDMBvgABl04eFthASEuYF Uv8sS5oLGn2FFnTp4NeiW8bWanTXA5qKDPDEp4n0T5bg3vxr6gKL1mGX4FhyGTkHK/ebvNO7PQm2 Ig28Aj6mABus4p04jgFC5STcUB3h7PyXLeNbaNQnpliqAgfwiOxxRDY+bPpYiiN6i6Sgn4pbw1eU +U/3PUclZtrccZknfLkzyuZ83gETYiD6bTbCknXGfT9+0L3VcKpmZh31CmY3lkGuuplHBZjN3aie tiqMjz+DuepRY3OYEpCnzQOhD+Ii1A2vL13tYCXj+iJfgCJoEBN28E5KfnQI2ePT/2opS5MmSypE 2fxg2gRvGb/OZL4gKEEi1ycK+rz2aRgqmiyGnZ0x1JTqcXb22GLE0V32Ri3uhGGSBmgVPR6qYmt9 ZUkAzzmrMq7bQgSL2Ckjuvf+QCd4GjOvemg0wGpyqOiQ4l6OB/Iqg5AUdpMS1xAjnXSnHIukjfBc 1r1eROLvQHpuPhpwvYpPOS+JEbY7AxJPlKnznjRL2g/W/8IQ6lMCfXS4qMkZEH64ZcXPnnINbkde 43x5AaaSdkn1xX4DQaFAFTIVnjBdPWR+wiI3Eb66IJEAMQVav/5ezzH5nYu6kMX3Fw+dVcIc7Iov gltUYZGjYHxtZCfJkAGjO0WFEQmNTNzjDXHmG4KjE8Ih/R5sHJ4rvPYZmP/mNIDOYrMwEqUKEkSM plHIqWNjFROI+gs8v1toK8Z1WfzpjckLTrGjWnvxyN7SOVv/6UJE/fHF33YYcvXOOkNmD5vm4A0t l98iHlL9eTI2j2aD20Zuer8bxMJFggpfLzVGUCSRYeLU1j4VpIUAphiAUjACuqvUODNNbcs+E4NV Hz+DZa3zC79ruACs4fHMK1kvSta1zbbQ0jsXqdqreC/DAP7WQmcNYwwkTNGk4X5I2TKB9lAlqlaa SWw4DRMioedozlj+qvLUw5/LDUNm7Y+fHvjObhJpw/TlyF3b42DkEYIemu6g7vGtq6Al8X64T2sI 2HIgjHIz8WG8Epwd0FTKWGBBe53rVdegJI3EeKNjjerOWB4TJv9nSrBc9vZLRd+qH0VxlhoFjXY+ 2aLCioH0r+YaW2i4mMSM4RHXqda+EMk+/aAeQtA8xzxR5y1oK7NyYqIyLRSHoWsLbCum2UYLjfCj a93yeE++OGYnv1clFQkEMRmXjChKfx1NekcoWbwdWAbzwpHWYqvhjvVw/SFuLb2TXeATQIoU9N+Z bQ3S0UMe6C7YPeAHWabCCNNY4T9bZHIya/tcUcLl28+wecpUdPgk1X1VLa0wNPlaR3l0/CwcaprZ locU7fSD+0XU5ycZyJAjm6Pip4fWWIfsnxR59SDCRhCG9ve/X6v3/vtBeN4Rf66q7j+hoqjkxZ8y 6mJqRFDooJS7BVROuwoycmfPWQ6r16aCgiLn1MNDWsmXgSsV2o9IA9bPCWbZhZeQ8T6Xc9qOgpl9 Sq6CSxmbsUf+V1KkzgkEwXOIRbHfJoRXji8czVdBNrJBAkMEx73rcJqQH2YTfDCJxlfLuaJKxfoq QCA4DNxWYTdPB75RzNZR+YAeIoUTA1zJjjs1wouTPB2rs+91NWFFzhG/2a9SCsLe5D/LfT5FL+Rn zJ9wp+Jh+FVccfmoONDNurk2VUbdvIlYES0wNZ/wXPrXYeUAAeYvxInDDxIHupNQPURK67fItqqW eXdHCGW9WGQgCTUu5lChHlSXWrnY4OXYvFKs/SSH1HXTBDqV6REIjfduRxIaHCWZ6Yi2SRB03Yoq D3uf8MEc3OoVzF/+oyz5PuaQi2IMprPz6RIsiEDU57rJUYrJOu87pYpHM8zI2w8J4cW1CuvP/Jeo k4YIpyOdkA0Z5qYN7EKy5oGLwmrQEpyMyUaKDPs0YiOGKA2+GBojshJFmurDxUw0udJ9lWs5F4B5 pRjOx0AjNmRMCUBGa6JvzsbWopWkTCTJA9cA/NjSxRqCGfS+GPp/w9yIfIgo7GSuzOpDh1Ffqzgm 0Av83mAR4vyP7C9UiW6kz0cNMr/5kLwaxi3xftJmML9tYTkp0f0gPt3jE3zsMPqVsVY5CMaBV/f/ 0c4HVFsZRL9MvNc6YNpzMuOw9/RMtO+wm7XGEo+F8HRxqnmLGv0q5a3s3m0pZiY6r0W7gcZQSWNx 12EslY3QR73F9lSyl9zD3ZhnTb/e/5oF/hci6Xw2OyTnXq/+zBZ0py4qub9R5ZmYxFjCZ7Ih6owf lBLZEeF9vtUY7Y+q2/jReK5BvIdmV78g/ED77/2eeeAWSBfGWRRTy5W53f4UU9bhzUH/V1PU6cu6 VztpLLanim3zAPNX+CDJJRNBn6ZotC8rqoIwwol+L7osg6xKDUhthGWwoPIM2ZK3UMpL1n60poNT VA80lq7vqt/NMSX2nkXMiouB5LyIlt2gJe3NJOipsKFZIjcRCEMFDwA8TN+fDiRonLMKtwaO1YWa Q4p46EmJ/UGoH+cykk4yukpVy3TvFhYcnffwEF0f0FQSpbAGz7jbxpZphX2ttWA16kgAeaPDk2VH 3oUB+h3c7WQB0dAj2QY29RcOXUBl+UTKhRROctpw3kXHHfmU6fqq7vteWyGjVLZhsRytM+uLBC0R lw4sxPHFmbpsse9P0Kxr2bJRfEUx8FYSOdvn2k+tezv88IFFJz5e562DIOClb7TKpmpX1l0i0Oxv Be+rGm7zzBrGgVvCGEAMgTkLpXjS/JeAKTPRw8ssbCTuWK0Fu3R97NHTPRk61vgJG69Ui59nIS3f sQmVafr/pH48zIXAZ8mFMAlU9ZAzvF4rooI7PDJUOd/E6amMKHul696TISgyMkyJkqrvsVpA2xod aIpgl6D7PFzxfdgi23LH6qkF6sprycoYH2xjyW9HSThPoRrh2nMeiUxDw65HXyojP1WX0LF+rOO1 fFsKuXXKYfprKouiOPNfJD1sSufpIz7gsoqos5dGo2g+b6TbGsQnBs1A25rfENx6QNKutB6OJVQ1 1ixpGXlj6e2CUqDFJQPQNjr/UWADPvA3y32KAXkty15konqhiRaBcTqERiRA7nlD4Ud5UKbgnuPQ 2WaM0FqzstTKaqBFAu+sPUbxEZbt+42Be6UTyQDwg9gMPZ5m7oz7l0/OpjGtqxCsZVHivtH4SR2k v2uEA/j7wm3wK9sGThoahp9ih9i2G4U1p506bpCrIBj8Bzjp8JiXVuDUi61e+cTbP16BfE9w2N2v isVhQgwWa5xEhF8xOr8g2sGD49tDa4RmV78H4KYNWwKO27XhK9DKJ53ceRuvfj2/pKfwcneEEm5Z Xdv+IVvdZBBMTZXo41Wivk5QXXUb/0lCzXAiNrRavpQkLB2couNCFtdmRLN2A1mKwh/OBxBbI/u/ 9yWZFFBx9gUvzbjGBRkjxA2J7JRzQv5fyueAO8WUpFayOt+igtEkwAwNsljW+2n8ZLxLUubPTHtN T1uvngvR/AKxo07u9SpNjGBReRg3ynpU4fhkqKC6sc0RzYwHFd+miYYG5EvnOpjLOapzacXJs6uf 5Lrx5RLOpKvsYah/8ibTPw3DCCsF8V7nAdTngh21eLzyNH2Oxo+c+MXUZMePNV6QWqqh+uwWZuAh gmKUSi60YeGS+wA6TDJQUm8G28AvAQEa7GmsSN3tYeXAV9FZ6sJdp31t/EoEf4FBKZFfcAC/lg/W r8c/yoc5XdEuRnHSMHZs8spkTz82NYHjtFngl53xXQMQ9nGAYHDYRXzwagkPW3CV/2nS9Y6Tl2Wf P+uiE0phYDs0/ahmrif0Dz72SOdbWhtDO7RPJhumFjLnKYx3y9qyTxlcachUtxtgF5+sTdSZJBry o9f65cS8oyotHg2nhot5J46NZbXn1G4gZ2RlXsuCHWtdyZeEDPpCJigqIUK/1ljD9pFcML3XVuw3 Q2t8veTqfrNkVuuQzIv7tF69Fn7AVZ5157BiqIYn5jcpvHPg2e88TM3KnXNmPCz9A+m3S8KgGPtC 3Stu2JDBk5d73iz90X9DSyJVUVjlTy2K1huPtIyZJVEjltmsALUaOhCHMLUiI/6i0gtkYTItvYl9 DNEk/hrW9HMV4+J/Uw9Z9GDa67/XYhbJoZ6/3wcnVJYeBDbalbM9rwWVdqkIAvfj9xl3B60+a8Cd cLbKPVrYuxjaifiQlXsEYZzoXrS4wDo5nerV/ZtUnXUTsISYdaT3vAOKBOsAP+9J5a0OFWFst31r MvQEMNanz444SNvwYtAwMKg/7FmWeODgqicP2hn0z9bUjw5XxPY8TrDLYkqglgEEL7FSYhIWE8pt 5eVF9Jj1en9Y8V7BMZW5wKXPpTe6gBPzH9jbLpoFsTIH6JtwUEYR7pzOG1kXPA3SYdJIzSCNMUe6 pMhcPAYYGA6Bqjj8d/S3R0Wh373mYRxs8rGP9CKAb48nb+QU4dGCoMVZD4UoZC+HC4gwXELue32r pVT0QjikprqO6gEQ2cYwQRvJn54uMOWE+pB5Qc3M7bYoNT0CWXemYjUmlt/EpKiyNxGPAgMH9ij4 +x1GQ9i/h0RPHQV5PyhsTpWQz+ZSV5r37FZ0I+a26n8Azmvuwx1KGffPUDb8qeo9TQxqaJHGdUsR 0rtCGes9lkL1a6/8wUli34nGnXYMuKrF/8NWEFrsK9RS1Tk+P1PHWfTyVGuxxrt/UJbPQvkexliZ EPfgxELNBBkBi3/VcicHObHKEr7HTbv54RIErAk4x55G0xSIQcXV1LjDm1UUaciFsr8BW8JYIesY 3rRKY2y5vmYbNyak+ALOy/JI4TPoU4oD1LLC0bhaFudJh9vn/UlvGMERiDdir9fZDeAorO9/bZyr MmslPSJ1NJ0KlAT+OOMuU5H+SklZQrn/325F5XJ+rhF6KKvuo2QVYrtYAbWf2Gstt1gTi4+mqrqp BTECKEzBqDSeJn4Sq61jaW0uLF9bK7VXepd8AMskEayc5J3SXxeWpD+J0tlhGA+ydybugqIEKmoL Y98wVBeu6DhttAW+rOIFdHGw92swnB78mPuXDQ+pfSt2Bv6JOIQh6GLwzFAG5QzdGo29268px2I2 /jidp5HG0wdzLuwUP/LCIINjNwkIXsLRf1Sm0sydsLm3M9r7ZczPHDO7+4hltTEGVf3a8o+IOL0a SJQtSxdbfwO0FQU0YfXNHSLMKLIf0sLZc5TfSz2xVrM/cGGOyDtdcuhq8YXnpgFd85h7h4bjcAQX uGvnzJI7VKPUi7URM9+KwC/HZoY8z/14wPTDuAf+yivtcYKXz7utt/Zc0Izxla4ETdm75cpzl1PU WQEkyCwZ1gKxf724Ql8ifCUDpCV4GaxJjSXAHpmC1bHBzbQ5pOd4eEIOouEcf+zmR1KRrES3thTF FlhogxE/WHMxAb8FBoiL7XUfR0ymziWL8DQNLwTOFwwbaapRk9JquEClKgGwS07Vu5MBGUR6upRf r+SUNYr8rON2bT/CzUEKFIsDn10HFc9qHKPA6pdIHpnUfJQm2o3YGgaop3B+zLjBTMszggypI3KY WFExNFpkCYz8PAW55fxVCf2AKA3nwsgS4o9AiLPbpRWmGpYE1Z2jnFxviD8X/SpuG6D+gPvwThQb zKCvnoQDVaRW2BLVA+fzf9MirPTHW4WpmUvsEA9JkQazLKnF1tEiqkOJs1+UFkW1AdqnZSn3LBsS ziQnp/VRkhdirpyeZzFF2Gf8qpi5yiK9LiutXs9aUpLUJYE2myJvqg2bd5KB9ooe1TMJBzmmMfHI 5heDYPeSzBpywjgr1lUxI6ypEOSqcEGZK6IuNPFBqnl7nt+o3xuf0fii16FeV9Ao0vpPcOYD24U2 5mwz2Kxik7u5OGBWPaCpOPFNYPkAnNHCG743mMHJukfgSMhukXNNT+JOHXCpgjWDAdzmKHlFWuCY GS85uifW0qLcOT8jSbiO+1sxlaEVR/9vjtxJOHeda7uaLuYTPaX5NCUHPq9r4bezgaGPsVWlvYBS kn1Cbo9d9Cv3W3OdhU8TVXAIfOYcxW0gwbrZpAmPorHNahYO9xwlvSHwuOFg8wXtaTLqZ2Jr9NCw 9qGLbuUgQt/lrtSn1inSM79grUG8ZHzo0HflY0dFwzZkUZOFk17PHLAl1QnZu8zQRTNaCfQtg8jo Q6597QmCpRTO6TteEOEovV35LxbgfOK7BC3nS1mbKwMoE8JjByneSKhWfrBr0Mt/8ISmckyvor73 OyLI20Am5+6o8Fc6clSMN7/Eo3XPTDsnaeHdiRwJK2Tjuw9TMo3/pqGiwnm+9ljJC5dYbtkUEC2C prDEu1cK/TDUj7XuIEAmQx7cZpc4LSxQ+0H6lxtvc7X3Gyyb3IeiyNBeevdw1m3Hg8jd+Z4FS6XQ tTqFxPlVL79snTwYF//WmguFapWMxpOAl/hAVQ2ZBDeW28oqCcbqT/wg9sTh04jw3numbisnaduk Ql9omfqONlbjwczkQ8EyZEp1dE5S2Mb7Mk8FwuNmE+9O72+9EiX3CsZTXyMjsx+pGQpxGCUs163E zxSjpnEStxioU2gLxlGXYU7qpHP98u/sXUdzFmwv0aaSOyehuUUCGzaNBw92fdf28Tea2jmyzkQ6 qY3+SbzxaNnNfhDZ+GI8QzkFa1Gf+8NxH7VZkSyLrymHTZpBg9bkN+IbSclG18/MrIxhleXHrHM4 E1DTNnQQlwu0JjxGmnWs6OYudW6HCxqIFyoVsxPJLZpXWcZTnhoG9xvWJ58jT4BVt4UZLWFYVMPl G2GZ+CBN+TBk7MfULkdvJu40fVllxc+Aj41ecB3X5/h3BL5z4Mf/wRww1dWnZ2zAqmTaxRjeLHOZ WyIh1ud59/nw/ythksG1PXUcnIUBJuAb0yEODqp8VrWGI+ogq0j9QGp6/miu1OhTtXl777y0oCsh CaFN2YjuhCp7L/bSCAjhBsm+NRbFgETNBw5l5NL5HHPm7HDceS1mBYVBDjCXsmBFlfLLeRnr5k2U ex8r3uAP4/0oEecQc9eeRCbveOSVxzVudBgFnBh5DAHfAopiat5LjpmlYIBf3fdA0WmALHhc3Yu+ 2k8oMJmXoKhPrB/1ttc29S2IZ5AR9m/l3SYwT9chZWHFfLtR3RBH6HpD80Bb9fPOj1wxKum+2fPn aykDxNYoBQAYr7jtmS01aH8JWuDLbaVjyLNGTeit2XOkUI/Avsszmuszklp3O6Rt6WcgV/n69qc/ yWOZKIn9C4y0C5ZEoTbkOiZxmeOXzHS5FersuC59MM6o1gwbZCzfz7SB7E3QzuUTkSstUspqllmb Sj/biOjReI8bWo3mXDO2oKYnU2WT2q3PyK1TTbNOSgm85XT46HKYPKSKZVURh0yIvqU7vQBb/1N3 svFBGHCWO58H1yGDrGFJH5lLyEVUMmM92naGeOM/loKIGY6EQtyy2rkU/enNjdyy7JDAqoiy4tx5 dcwYj+6bo4yR+jdGoT78jJy9QrNg3wfqVM4jkmt0M3Dp+fIjDUoUJj95lXmwvOgXUVy4CZJQswcc utEzui7129L8Xt3VrueayS80FPNkobtRW1TY+XvTcocXoabSdbyvHZkYfQrgj1ZYITJkVep4sdaV 5Am1N7woNv90sI4oYYXUaGEii9zH7RjcW2Zc9DrkQ91y2neyvor4HGwe94qVIniUOeVfS1cvWnX9 ncItYQBoBvxC5b/e73Wa2QePZOVU8UeTgaBdSPQ5wKicODOh+xJftwZpMyBkMNW+RTOqH41dMjwJ dxY1hXjm9jivIeOaiBKv7gLa0QQE8Lv2UaLir210nwky4o8BT+PBzLMhBJbPcAVRRhFNqON+8FwY Y0GPoYPDJsTWXhv0rblcKle04kwed7mMRatiG6+wvtIkkTNuSePEMHBbZNoGv/DxjK6pUjaD3zjQ m8EDSDIvUMXUZycX8oLGlqf5nxa4O5VoYpGERTEplW7h73Pcz1ciQtazwM2hQ2mVT5p+7HeFwZUI IjoeG0O4Jhl7m2s3Yny8h0zRx7Aa0QFgjV7RgiuAb6sYIOSzYpfYKdVcomT4i1t/eSGW73LQtNQ9 tz47VOCfUVB+2V/zbS3qVNK5f04xvsH1lKJQoU197ZjKWT4CeVBStTblefCisQ5m/xsNwcpH5+qg wedPEAsKAS2bAYNwPH7BoS7+VikoRrf/+IkS14IPQCbfK0eQSBzQ5XJFXj7mDyN1CyIAJn2YBqYJ PcHCcHJO23puPPMQLfv6tpeBtYIgdlG5xsbWGd3fbUGJ7SDHO7tkNqEKQTdmiI2ZseIXSN9lqFuq kaGeE8n1HvHvphR/A4YF6quzSjTvjYMlR/PEPL3l32xZ9FESpDHFIIAb9DogTaWmVjReySmmVXzL lrCKcugM7PHcYMIpujTAH3aPK+600Q0OFK+x6IZRIBBLPLsu7YdJ+BozIDbBi2KuhZA0C1zk1Cmy VMXKJ+uWd4DqLV8nW5gZmuSUpaBlmJml6JoLpZ1QPx1heZBt/H9dVINrfYAllGddYgTCSN4mdFJj DN1h/v3XIpPPCoZQ4Dx34i4uFpeLkdMLtLOzpy2cBHHAUPPy1lLqhVb9mhtmVj9kJQdVRObxBy6p SYMHmZ9n5mptd2FRA7aY8U4UlILND/Qv208DtRWGP1KM64rBwoFTYd9yS1ZevDY6wI1YYnJqWR1O cWtrxLsMr/Br6VJoQBH83yMdSpcGwt7/BOssiBvfLjdOym6gQcUvPj0/0ozjghxFsQ9lwNbO1k1r Aey8FVeXOzfeBNjt4RJ1xVpukjyTFG0tz1Pv/F0s7Pz3nR2UdUFPm609oMbsX3h29p65FTGBP23W Z3PMWphR0I99BnWe1QpteBiZKoONtqSdLIzUGTjA4HHuqJkTdm451GpAnf89c7/zaohc1pajjBD/ fAvGvYXXjaCZndgKAZCJKwS7P+w+Qa66GrA0oHpVExxXYyEFjuwh0bCeUPg3aFY/1WtfSQta4CYN VOdhRCMHyu6GQqgp54s11ZyK5OMn/muZ7mhYUbayO525fiIgPlK3aBW+XPy6PsYOcu2vAr0+CI7t JdENDOwcEyn8JRoWCDwp/ytsaYZijY3mQ4/KAwGDMFHmP13USTORiDIRcaqB1TokQ2Ll4MBz14rm heXv4emhSA294tlqh9rDSxBlzlg+WJFkN9iBEgsjeH+74S8m0P5NCT5CWgVyFkPtzwZHCLCMMrPq qbsi9PU80Zb1xe+xHqPjnfNDR59C1TDtO6F09z0n6utn8zF0TprdndX2iqBJj+j2ktVWlbY0at4F j4ZkNEIy8tSdtZ6Bd6puvMmBmgSaJk5ti5Se8r4xCgAPufUGE49imit40e8UP2aPeFV/nTvo0EoT j4/kDlmMj/UyxwQZgSsyhE/kE93TxTqqWg2C0XRfYP83inVkhT0hSWUdVK67Gzv0YyvQwMib4S8+ BIFufrfesSOMyvtBXGx98lsuT9I4IawSBJFhcEQM2/3nn8MMJVG+fVSx1KNjTnkvRFLaFoYJNEN4 cegLqZYs3p2Sosf69zYqzNx6t5Ex2Wi0fSO2tBqV6HV1vAGDUjUlPzzR7aUDNadwLb1cQIjLZR74 ocX2lFOhR3qLympVLNLYqW0PTSekaIIX/TVNLLxc05tyfghOXNRERtTr3iNs42yYdWJmOBR2pY1l YkRk3itFpCaQzbGFXjNWZd6xXxBVyc0KK/pg/UDIax961Fwbm/lKSEJIxXT4Y4lMIArbL/B83FZw yxECcaItVI1Unj+RNnK8GFeGLaQQeODQuc14vShetR58cqYqjj1j0aB0l46RxkbGw+3QcSzP9DJH lU1J8qPnLV8EOuQdjReqW05WFpqJxjU0RalvCpi70rKprHmEhTIUgqLA8UXNflyB7XzG5oZwDGl8 7GFKSKckkAU2NNP0YbV/To8RXOUZWQxQNNfUF7QDeXyimAubeHIf5XoU6P9n3MPH51cn9d+2vdkp mDwDz+M+dFHRizHtlZmy2aU8tRJGCt7KGWq74eJejgyayfeTY+qyJnuxiTZuj1G/majShtYyDpW3 TBbEfRWtKa9PBe/c62FBQXX6ofg8SAyMPkn1Favj+imM4PrD7kdgQ0Z5N67GmASGuUaidMMYIQXl b25sf4rma0G+bmDyAJclJCiV0DwB3FNT/wHQasBaww97VYEPLR3QMG8dg52Bb4udXCwCZmBKQHex zi0GbSPb5u0qwf5O+G0X7NBQabYxrCg2X/HyfxLTlw6JuSbU3LtwghUMkIMW49ZAos8uyad7Mjoc m+Q6Fzl6VdORzvOy3dDT1wx3DDbH6dc4Lrt3GpxizNFdz/jKl7HMwmeLqj8Z6oHCyBckd8YBiXVg VDycu5QvaIhEibvH1yK2zbTgb1zRZA8efk+U1/p4yi6gxJ2iM+DTw+c/CT9Us9DDk5uUEpZmL8Tw 9NTq0+ojLrlO7bfG9Kf78Ir78umxmdKzkhIqQ5xfdq0mKBgx6pJ5WBq4gjlrFEXtExp/9+LePfi/ doEKMtGlaZMA6bUDSzZTLN46zLuIoACTxkisiKoXPygxm6B3HDf3vq5fzlqtSy2G3n57IVgNQvwI 1kJOo4bxIzpyTWn5cZGA8Aj+6EWywP5/C6fiDL+dboKAcUXrUQPNnHRKJgNxv4gfaI9Tw8Fy8hA4 +p3YWmsJKKnEl+nmPZmsoPMY6qSTl42/ZZNPamFqxWuWkcgl6dBqQxMIAdONFgTTGdjYqrb5Pc1X lJpJhIxTKFtqT92vT2ZjXT0SjDlvNS/xfpkUHOsQc/XcVCaDYTac4LFUld54FA2mH/1EuaSnahgC DIyrlWeVnFlVFMYVra8Ywjk+J7CPvFlY1q9Xp6wnQTe5pUns5t6M9NL7swKrRxSWgoXRMS+4n9M6 X5d6saAPSLQHATbXnlXVvkeQMIznU7736DGvkLSjuMMPKj+hLDXd9xD8CgyOPWkM761KE2l1MZ1P p0SOs+iTV1vYd5/G7vH6Cr+5x1UiZvz9b1AjzgYLpxkAG/Pbokxyy+ZXPWLMC0H4eewFa5duy+rL PJP/IrvFcXhYKvoS82b+G4r2bOBWcecyimx7RuF9Kmou4HzvHakaSKrTXDi0GCsY+DAn/PHxOO8e +DzO4trOhgegBMHvDPHZ22HCLGcmkSLoDmLUNYc2ZoVvsVBCqNc80kRZG/Sc1o/DvtwRd6XT23N3 zYNVuZfmlR98HRmwjcxGvBTo7k2dauSpLcAebZUuGuCZk7NLcpjlk4+j81BznBjcA4XXJ0BX9mK6 M8lsCDSJBD2twP33TUGdlh8YtONkwcSJqz/uBAj47vnXgIkK326Pb3qRsJ/c6+6nrokYwmlN5H2+ YBP2O2jNClVsmT93lkiVoSbKdssjXfVkCeuvK2qWlLPJo3Pv1byXS1xkEzfS0XB53+rKrxOHSkYT uYu9PvjXgk59DLrU1bvxzbN08fbzvzxydd1huZ+rEedoSSgOdQvkQIbUlccdjWlfokx+nfcPLcrH lCzKlIgEbaU+h67TkD56naLzicfHvsR0CkC16Lc5zZUGpWc5y6Cuh4D4uEHtrDs/gr+9xI23A3NA eDdxQ1dUyOKxTNXGg/Jrtelwm/TvBgF5qx1eZjqbd75OvKno2/po9pmxXCFUd/NjvkjAYrXI8vmv AaUWtrlVM7BucQGxdYnOTLkjDA+a2OdwdxdKKP0FBC7ZCMjhwT1tUhOzigS4gxdISjVcqPIJYM9v tlLz7+rTvEQE94TRPj1wzgnCdjvKH79Npy/3HgFw1aHM+uchn0AQ+V8rkf30mOlHJY2oUzaOVLwl gPU8eV5MChWnWHJgSLg7iClUojuQogIXE9c7b37RM5sCyn7DfEXWVUdeItN23fU0jsKWJ1vNqCUA g/yp0RDwguiz2fPZveVvpbGZoRtFRUyMMaxEZP1WbMFMyr1HEPTEl1YKEpTrqkeOBdgXu+oAswzk U+gVsAOXvTaW23YXAXb/Ve9l5mDS/UsWQcUyHFIQL5v5Iel25YnHA5WSwza31i2oqqEPiTzO/kb3 HfMHrTd588z7Rs/eMMWzNUL6wuiDnwuPOOK3otv3U/jVRVwBWJlEPI4KNknLJHXVmMa3ut2sXykU gfBFdIgJ6DD0dC2ueyqnJvR94DVGcMbOLPgxTu8fRg3YEX9MPZ4izVFv3AGaQEyRuPwibNt9izqE 0fyk6voRee0+MnORWpH9K0RtWLhLRX8EV8M1uo1qLD5e+QK83ZXQ37gkILunBigrwK7VpaRTs0a0 4AVc+GqOhLm9Z0yrGY/uHA/ECBixCpQskkr7RijhZCsU5OSuV2hwvSe78V71+8fNjpZXNl5FfLti z/QbnKj+lz2DzQrg1H3uMFQQeYHcC9y8OaYl2boIkJMlWM1sR3CB86pBBhGlJXBip/U0bn4faZz3 nN99x5lC7TGMs1CL5XMNvEmLL06VUJjujPV1JfyJLT+1R4/6XcSUYGBVLr3MKQYZcSRkh2nkSsF5 5f4wjzKcpEMupvEaQDk3adPEc4zXE8loW4pGzGdCjMAPaewnHE99Sa2gBNgROPZhviqO0L5fYPH2 kYuFnEqjdGrG7VfrtYrjUGt4UERv9szGGN+G0r07YFlB3NoZ/Rp8d19TWMrmgVzNNT+XUKpYGpuc 0kcCPellvj+puD5PKZ1Q9dsSNRkKlQrLnXwRxBFVAJ4xtje1QBuCwSs9VzmSCNu6rrziZHb6g9AL Lk067wOfDsvozdTNXpPI/V2vSYteJKhpEFDHcQYp6BLE7zs+t5CQglz0i/JmaaWXu0cwhv74FeUa Tny7RwN5zZOTEYYeXPaUtFIR/KX4u3as4LgUUfyM8X3iLIxpCOAK+9jWI7BIGPw0lyh+xfvFzyNy 4datx9E0Vqtw8PJ4Pjdx9IAbex2TYIP9iIvu3E6e093Tbhd3aIXmo64aGRRtvTTZ4JasH7WRsZ/y CiOGUWj1QOA9w9/1hz17X+jKQlijzrYlA172q2VKDn7lxeIhrT0zpphHGGifvmKFxhVaQ+ldOf1P s9PGoOwd5VkXV2DRkbozFYvnmubUsPUzGZeGn3aiVaEsZ9cVi+UjMGrRCcvHwvMdB/A+ZfJbtYFa M6CKOaNHOkOWjSB2IsDYXfj6XwUFaVpvPyuexSdh6fVYXfVQYlGJ29WmcnKekmaekcbWUSWYDcwi DYBEA/EEF2XjehANs3MeLv+6D78wQlKnric4H6MA9+iAqHhd6lGzQz5INRwMrkvtO3zxXKRSbo0b RHD3jj50OO6PaUTO/cCM8TBzFiyaNLgxTx/XTyywfJLDsxQN4srNVi8ECJJc4zXuh+6OKQdbMRfs n25/IxobH54LgO8k3K7oRF5fOXQJf4dBDR4Y6WHMhEuOuMihjqRcqS0puf+CUm4QqXaJTQwrgH+n eSZi3uBanCzErUqmWmmI2/h5ZMFJnnZvQ/QV80XrJAg1LbiRN2WRd1JwNanxej9GoxBIkw9ZlDmh p68RfdgMqcCkEvFvITupURB+aBf6bzL8luJv7Yl/52gS1iQdLtOCALqgvuQ= `protect end_protected
gpl-2.0
a595fee7ebe8d927f9d57ac6c6edfead
0.939892
1.858986
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_add/flt_add_lat.vhd
2
62,003
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block oln2LzB3UlCyrH6o11/oqszyLFmGbAGAEPUyDNMEcpDiA4pkifw6SMkeAU7BqMC36nZutxG7OMJV xOQ4V7GRgA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EjxT7M5lnpJflqQTubkeDbpZIcIxm8DCnRzGFl2YMhpYG/eI9H+WjN8W3qIMqxnzQhmUWcPNaRbB AW1I75sT+XIOzkeijrwzkSEL4bO7owr+nE6C1N6362NrXSPYawXsRHom2s7ZLc2QjYUrGNTAx4M9 8u8He9yncIE+EksJKJI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block y4MjDO1nthirlV2h4khi1rGbLhCmE9gSXYkGfA1pnIglNYIntYjIVw+oBNU5k2dliKt/hyANcJbV zsI4/HTBbB5jCQCVm297SC8jaYsqkjLNjDPlI1cep8ukl8rHUUgz8SQt5g9cOx3gRSKwGqxxtoTb jvhvSq9aUgO6m57Ic7qWr0kWO16dIsTlwjvomDRzibGrDrMRvHhauT0p3LoXLuvF+UVFjZqKg9Dl vXNKtbFYbzxtilyXv74TI1OZU25Sb0gy4W4p69J95FdGUp25xs4B1yBJ6X/aiwgapf34pyBbemGQ s6LZiFiw7qXxtZKlUpu7o/9ssuJ6tYVkrvl/8Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ErFemikrwJZXW0bjQTUJi47At6h/DSsvsA47yYoCxa5oLsac9rXou6UyzSQBjmctcKmauZUN4dcD NkWF21mrqQ+/1CT1wduqa3ZDcJYg2bqFDWa0BBtrcq5L7rdsecJouOENqBEfXIxkzuVh2Rjqpznw dcdNIvD6q9NtCy5wCl8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eM1CDzhpifiNg6SiHWtVVMzhAjScbwP/UlGNd+Zd81FNAAyzcUeodmVgA/U7Llc4ZRK8SQCZ2Hpq nG8yGkJuAxjHOAYlnomZdvumkVhMU60Wv3QCsnkVzuAKDlIkR2180BhTGHFT9kqBW5PLpX4C9nU0 s0euxKpIO04EaQTwbi+HOxfU0s49MEYsW7PUDvQqA3ZqrM+7LF41V4lX8D9Eth/e8GYsolpZ8aNR QO9HyWQSJSz5WoJPvvLo+UyU1YxldJ3Q6HowQDjM+XNx1ohyJqr4rAnpHzd47OKvcskgZCvaJpKT bHdPRhKPwKh5EaZ8PwHbDztjbj/JWL2LkBQqrg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 44160) `protect data_block uZlwBCFY4LK0moAvyb2TTLXKK9PAKy8QpG/1YY6omi/ltsXRrbvK3dpoyg3GMyaYDyTTO6r8Cccf Y7xI0BJA9y89z8LVDOlehOyxJUWHJFpP08/Z8I5oeCMLP+J/Fb+ofkwDWtPRuZ/wojuZB5/Epxb4 jqyZoEg6yWIU1pitZtBGADE9kHY+iLUwfFgKiY970+2AuBMzDqlB3vSe+HHK9KAGfUwaFtE0EF+k lvkHqGNBq66nTTjcHX4GsLTtNSrNFweQn35VdJK1/p5LLvMh9UZ6Jyp/8hjVhSkktILu2PXsiIU2 bMHeunvxSMI7ZboNeHHpqTguJ15Y0pR07Khdo6pOq0F3kcifl7S6NxflmOKlH4RmadgJ++tprz10 xVgTmvJOQUISqnRXQc+aWCiTjaYfH1dLadqjvV+lZcNRieIjkohnkcdk5oWlYhphX4+qpUZj+PgS Ey+c1TqXDKOfYaARAWaWyWhJds32m86sU+Lcwmrqx642D7gOrC356WnP/PArZ9HfRKIlWiVOCIGx AQxM6LwFaqypn8L+rBHvdAx7A4Cn9lvKXxlBBIjGNkYEhbQDwFjKI1IVeIS1sjCQiMLJv5Yaxcez VJn9+7B7V7Tt/P7BhVaCAhJhJA0HTO09y3lj7MqUeXo/uq0rLUQeXICjFqSsqnpEt47c9bfNK9k6 DwxENrRAs/TwOkyqjxqcD3B5oEQQbp0ckMjyX4NA6x7PDeAYSzSfaJWtMYH1bqk7k46ALbNS6PWL W5TgbEHHQ7GjsSkpzNaBlZFf/2PT8Qa846RH+4C4aYlIIHAGIgaPS2ho/snw8Sb3Q/aPoW6I7D9C 8Ei796o+Q3GFpG5O0biBbipWZLMONHt5F2UN6DqPs7G+BAF8QMaZXbjPTYVOTFR0OZWNlhCakOxx DVx+ABMm6JA+otFtcEDjm+/4Kk00c10QaZ7lg4uVsOn329tLPkRKPT0oHmfYpwSNyzfPhj3fdP1G bTwbV0ZYuRYTZ2SChC3R+DpwpASRHj+mQXhaGDSdK9phcWQywGnnQ9fE+odrKXth6V6yBGUJC2ji 2WZWl7rIclmax6Hek7SCpv9EBCNu/IscMmu/uaZElyRD77v147idEo3yJKLKWrye7icC5ig1A6Os S016a3s9UQ4cJKxTLBr5ngCF2b8kMgiwF/qLirknn9JfJN8UF0+wo5F8MZHc46OLbqO3VhZz67SJ AnJZHiFdMpeWgGonY+iLbcwFxwpkic1yCiJYqkn4qZaXLpQXrnXMHHKIO/P2cSzfCal0RjGeIDG3 7OKk8mB5cPmckXwm9AFSnJnmK/4oJSFv2QN9IfW6iK0VhEdq6aoTbkE0BpLg1+QpvoTvJ8An4lCm C3KppvnwuOHJW/Y6DZkvsveB4hurqI5fcxL19iG4MnWIsMRXp8WfGOmJlAmFVwLKmNp1Xhzb3DgA dRAdkLcMWi9UMtQuViewfw11nXkrybFXZ2CPIGANjuVoecD979gfRdeHdAnZ2oAtZ+q1mN7AHhhQ tD3PHqNCkCMrL1DdJzN33pW+AGt0LDFYUoT+IUdPAupri+SFxoIwfsWQLxp3QU0ZMPycuP981qQZ XXW1N+4W1uP0u6LRAwFeIQvU1PnU3JTvRYB1BgZtkmsq8jgPwkSlcauLDgo5MPLk7SCZNvde6/6B Op4KeDolMU85R/uNkIeU+IGc2yq4f+X3tO3wJ+b0ulMuVGW5cgqIg/g3Sa1iayiK0wco65p7VCC9 ksJNXoBiGIdfENJYYY77MqFDMst5Ns7ugR2zWjTnnHujC0W5H2e/kp1u2AsIkyPKmc18OHWuq3dz mjrNDgnD+8jp0V6poHA3bjKVgU4w1Tuj4c/lb8WE/GTqjTbE5VT+cA0S188vc4c/gVMcl4ZRqhlr FLGLOXQOvYasjSTBvS+69M6SrPibN7m8RJf8rV5QfXwVDqafkEp8r8NxcAhnRKXGxSsYRDKiPx+e OLzLIz/YFu0mdDgtWyyZ89bMDBEkK9QHmLL8ioX0bGCNrSndNQ5PEOHfOdY/dQU63uT9TM5eVlHt DAX33mjkz6zs5NrYVesIGawL/0J107Y2055Yg664ojKusmRo4s4EXMlM2Cq0/cpu8ZW55Rg92uhp W203na1M64pyDtOvkSy/eyhx2rM+4K5UifMqnyagun6oYD0n1IRrDIuUKStHziCu1Uvn/WOZH9+A SwT+yj3m/jd3esilEWDHwSmMhPmHTyTK24H6LoZPjUsZoXJUAvScM+GtO6XAH47dC/OA7vQPHmxc gVYCGiWayJKULhPOpm5jTQdjB0B86yhDPOWTdMAo2+AxoHHPL5OCPiPwl+WOyjL+LTgz4spsKbrR wgmausqIrqD5lEkQtNSp4AoRw/SM3XQEmyF5uK8sawum7ybT7g2dVtEdAvV+1PyoCSt7LLduTwS2 770JkT+xCjKV+n7GbGFr141gn0uzU7a/C+jaBgEMdHTXrpg6bnizLrEkalTRhwOGUHjBUJQdK97I mPEgA5rTGMfZtzOwZM45Pw0+ygsi2ZNMMnQLhA+/rcOuyAEqTWYv0EzWVSY07TIVeawdbJRjzOlW BgLHwFh1A4ZqMm6vmc4dinuRx3tWC5QiT5eggOcTRRwvKPNrElRwKmkmZae81gjsuZvMIeOGirRd zgt2aAP+i4nY/NDhUboruN/JPO8FCO0/gLtTb1NCZ9Z/DHHxTN1v6e9W+R0XVfNT2hrHW1Di0HaE NrSQs+xct3fNw13+GX7Gx5LCD56bJ2laZZuWrcOlrnOSSkl5C0Iy+3nM0r4cNw9x9C8Y7UiDcBii 62XJg3kzmZpERKjpdO4tnJUP0Qvd6uy8l0lH0TQWrQJ4R0YgbhjpwXYluB+TFNsdQzp8YgoNnJIh +FZwepg3shLKH5TJUR3nWoPJFP46SxOqH4R6BMebRqAUDEmDoepPhOSvdt+2BTKDghNKQdFYPVo8 HTCG7IzyEIyaQFfNi4Vtp2CBuzNu+/ZfFjTrMhPKPcSaUBa1xu30bNG57w0EOhkaOx62vgeT46x8 EXzu2MIB402T2duZMa71Nag6obcmFaggprKK8Upng1EQ2gWEb/a2boQ7cLe7CAd1yv5CRFrxxAuP IkDNqYZ/JXdhunfd2naYBlN0X5o812X0bwnzkamoGp/jVRsilDI5Fqu4XnXAfDvao3F8xHDBHj/L CYHPPMPGifiSv/FoI+8RPnDwDFwzDdbwglggHdP4CL7KzbdbAI9p0uc9P7PUprH6AAjQ4G7zLwwK 8eaEtcfULs63JKAqwjYudgUgOAS1Z4LqUEU7DJf1DkkuGdfJUX9T9uPZ+E+mJ6C6OdZOEVbLCtyn hfAdlwc39VaKgyhiMrorj9TFTQmX9RZcV9jX1e8Thld6dDm+S+oNX7rkkDoESnZYTXVod0bzmXhe 0vnj1gvpWia1cZzNVnB4bhYhbW9LXsJSK2UFFUjD5wzcW0bENeRXTEw5Z3jEWxwPcbfW5wmQaqFW ls0JVjLKqhIgJcGpXOb08ccmUln1SdbLSGlMt8D8CC4L0q+ttFco265k9MOeIxkIJrmjkyLkBNVo /ntlM2dVdmqNNBLcI/rOoX4RcTXX3UqjLNU1WRw/dpV+15nHP9VmV38sFhcIhZhCjYDbhwPTu77m sLRU3/2gRK9mBM5UJftx6Ccc5OgpNSOwiErBPTCQqMd2Zwah0k1FYBXWgcBR5JrTUOqjDSehHa7Y yY1uXk8OhnxV1dFxQA9Juap8mDc4kPDlvQw0O8YGAhtfTbydyZAQlBm95vgoixUQqpLeHlSqOSFc hgHrHVnsThAJYdL+1A+6oJjfn9QoL7Sk9ILX/JhjN9WZMkjvSvjaA9qC5ZrtBA/QndTy/Py0WK+m FYZsNj81Flt4cZwGNZua0pRB3OBdgDp5V8qqbG7Kuw0S0k7kHy8itQaELsAy1OfzVjqtqsDmrnQh jwdKzHqni/z70NaeDZl59+Dxa/RFuUk1065ygZGAbgnvh5V2gZzKU6hKR0jyDQdRgfnRmVLI/G8M 5UKX45elQqoov1P/2a51ZJRuG3mJoAsq6RHDuPcIPJvCvri0i1FQ5NQB0G2FjF/uM7fx3e069zOj fyO9w4z8sAUB9peX65eivl/2vuZDK7oKMgdZWYIgKl6R7YHzTEi5yD3oKfO3s2dsVSTcsGDImELd TjpF9WRpsPfVlbYMjtHWREk45piOqhuUbOtW6ZOUk2P3S1o/ataabmer/h1UmTltISiDIvhdsGHN tHbAHG+ojYz+DUaQNGuO/gN0QBsj6UOEfeHdv7t4ryTGKZL5npb29T05TEdnOWFYAEhP6dYqMiGo iRAiPKumAEaZ/Ae38EZXUJDCehTWDEkPmBlda0wBYX3rOc2D8VsLvFUSVB9i4NTDR/mjtSsQ+Ea/ bnU/kUSKI4Av779EavAyTgo78dis222z6fCWOBs39FTGaoUNNTHZSInudIuCzdyXo0GTYvntKA1z 0iEJ07eS0auonPrPNE5TKS2Tw6VXYMicxNsY5grKBOdpSs8e+E8r0vzG0w6Nz58m3Vszrtwx9wPR 1E/m47IUZkCLwgND3f2YqXhEhDYKeUcIj6+hNKuQrUwhMDeYmSK+hmYFkrhtRHTJVF6dbghyIvZm nCVSGzoXRxASLvxX338502PCIPy5B6bP4Ecth/j4Vv2Efu31mnPEjds08HfZGgmp0QYrEsZcIJwb B48rMsgTRFqh7euW8rMdP1yEuCizSurzu9PuXqLdJMtkZ1O9H6iRbiTmUKjnmpA1B9AGEfvh52YL j0Ft1pE16FHqoqOvEw76iMLHroIhCqW73ATfk9uZTWlv3Y1UdNkAP7w4iX1JR14PI5lwfgupn8z4 kWhOFNdRbRLW+DksJNYqT85O6qDY7VURSBlq4ztAilmU4PSGvN6AfOSXviT112e/NxRlCHTGkqJo vo9nnQjW5X6np6DhaTtHzUMAUAnv13G8UkGmX8mCzSqBL6Q6Pr0Dm0U35ZkO9R44kb62eF199kFY 8l5OZ9VrN+Ngr0e+ptVKlpO5rMFLJb9HXQJUPy68J5nYLDDIGjmY2XKJn7e0VjI+8Z8sRZXRXdEh Ep0n65z4jPh12NR5AafW3uoslobh1DapgldPcMm6IJilLkgg+3dZVdA1Dhuz3o2WaofK/O1ui2p7 pCFShOvZuxoh84Y8NkdEsH+NyH1JKOjAuHyvFqirMk/4HRIoXXn943CNs2YPFHdBx1ObNlTdKM04 63FIUL3onOZWrCUS0t5OO1ZA9sb7Rp19DnawwQ52zB+nWenJy6e8YXiaK2++wN00E6i7PelATSLs egVqQHPOQ92cGNfvr/4EMS1rbbgxXSYIordJTgyP3CM4Gr2MvKkagFXES8yYusQSbi2z0smpfceU YiR/L6Hkyx1R2DRQQjx3AD5jokhY1p34qUnvcgqVKr41XGHaFCK3dDcOqPwOEbfx8kE0CogJm1hr Ci2l8JD8niOp/AutiS3Ogrj3e3OE7wojzG7Xaz/hu6fBzPVsc+MiPyjPlbxngo01m3EKI/9FY7zE gfdV0CBYfs99l2hPc8WlLQYd5rkf3DCI23GDt2AxoyGgglbbiHLfMi9380JYVH98ptXARvUgEmC1 PaongX/wKhK/7cjldGU7Un5rhXkOkDhonjgNOvPwMvTBV3rlytIfIAwmt1KiyHK6edBIG9XCHpTE WdzWdQcja7SHem5E2Ih9t9CH45PmgNmQzHxQ1Y0DhG+D3WMlL/rG1yl/k79iJy0y0yM9bUFTzP+4 Y4wjkbavtb07x+7LStVRxoOFx5Zlqg6vU+vY//ZS+sbH3GPInvW9ufl/6vK+5uA9bLK5bunSZGZT +doI46rS9CQjza784ORHki7jdUwDUq4U98IbMmOr254mAWZftrTacyn5a0EmdYGgvFG1OFLjEeSk tOS8Wcj2FFobEY3aRhwQkY8pvLLUNLfDrXfU10v2XP6EzTW3pxba3zWgmbMIRWh7WoBJc552D3T7 cRT17Ifki3sJK5Niw7qj2ZYLqVbG+/IM/uZcNAFbxjv5WzrnyhS7hBCDZKgG6ZfE4oNpmSlKR2i+ 79qn/eJ6UxsiLiQjjSHk+Ns93Yvg/DD/JQ2GS4u8iMjBqvlzQrUtQDjmgyhZ4XL/9uhUDvfbZZVv AkCRN3q0XGcj4XAWiLL1O84d7yNmV0XPuLhk0b6EUm3rRort8nOT8tgbmWAaAk77g4VaWv3cBZ+p 969azpP9eCbDnN+T5UclXEU8ldUP8Pyq7yKxeQAt6i7/nV2VVwgcCNHTOzqPX+cnG1ul17FeD+le nla98gCnI+a+ePsZu2nsoG7GT+06qYeWPZ6Ciu6mfKwshq9DDU8wt1re+AdqgF8/40sZgippmAa5 9J1IZdeLA40he23PrqoCRVTkaEicCYNXOePc+Xjjb/P1MpolrT82hVzXwfzWHojM5nF7wipsTR2J 9lfbXUOXoR6icvmFYTTw4fETMMhro4Qlsv4oJRQu2iH4E9rcSM2e630fW8yasQLawbcm07Bh5o0w Ik3bsCqirgVod7//5VomRe6oKm3BRLRPgvFWSDovbdF3/A++Cw6v9qGi+j+YZLYnA8nbSVJCcvhh qt/cV+WpQRTjoqLAPa9CJRpJFPRTZQymJ/bCe/7F//oHM/Bor82gOz5c/slcbvS1a1QGCsHpWXnU apuki0mUBprmdezdBXz/U3Uuhxv/zUiLpLRB6eX6OJkpLnDO03fTBAmeEpYmxx5xkr7CMLnIieFL RwFYGFvi65Wzo4OIolRICNnchd43uDZk4YK+OT45FCfC53ta+Q+jr8mBiBSaQUQ82jycIPMs4AI1 wQf+UIGTcjm5ezE5tieW7vrwtU1yFunNn/7K2ITN2MXGMZ+7+SrEsqwFj474n7i72NkZELC5gIpl rLz5q+LJSvH3VyTVQlt7qSH+2damf2ph2P+smKRzcES/7GaV34Ika1T3qsD5Bxe4ElWzknH8vAEA T/MPUCvqMx5/f4kMnnx3XdGNv6TPbkqfGpd7n/YBbrVyfrq4b/TobNSEfeuGhH96sYiuAx1SyvLg HINLk+NNLigTLszI+nEcuqzdGMkSJFk5yXhg1Bp7I/33s0dcWmrjyuWwFC98D4IsAJLcEvTNi7PH 7EPXkRWvdLzSyaXETa65mNEVZ/woyAsfb+V3Ya0WCXe5MXpls397gIWP7sRhfN24/KN0R6S00gjI KJ/UUMkXTv3CfMkXYBa7g6CBWoQJD6eKFq8uaxnAOLhNNmMc2/j8gBVFYY+59OLoDt+I5BmNH6WL YWIIu9j2EwYPSQmzH8Du7u6tTfRYE9vZqTCZtWIEgNuNCXtpj36JP71w2a1shnWB2hcQZ3OB/RV3 rYz2lMU7C7T3wItMQjiMfvdGNO8t8nwXFqRFGtdHDNQ7g8LNOl9TY8oy3ztg3FgizVYsrHBWr83t Lc70GBcRye1CqLeAft9fdDxclcNU/4SiE3mFapFhTiGkx+egHIlfdvv7yNEAUISPvpyVXspNsqkH yUp8Pwa1BOhKx6d8rZBhpC4KqZPM00RLxZmRRV0I2NbhFVe6zJU9/Ub3G+e1gq8X/oYENPNFCXS4 uBixV2SO53fFI8Bm1G4oU21BQkhT8mRtpcBe6TSs4JHJYPIbtlHJX831V9ovetdbrB7+8HVvMzv8 iRhOHT3gU0zCRxBLHDvl4a3qV3jZBYnFroTPvQfJQjuQm7HYbHH40ubcPTU1bzueJsHk4eKyq2gi VtNbxRlyVqq0o9UodC311FsMPtTE5LyzozVna7aEqLogAc7M926c5xSqBce3ALnGACOe/iCumnR8 Ba78R4pkWXS14DCu7cicgnUk//1xnsvqLwgAVq0WaFAg7JSinGohZh0/2+DGbcTYtqKxG8+6Hmre b0+UzlNHhe6EFESlsLj38/o79aCe1TaSKZc4I477kPcT9TAkjQbuxhxZmNNaU7FWbITQYtr7+Eiw 8j/JTTW8zViQYsSSQeuE4k6fjOaNuhMPV3chXGyK2wNDAVu5tVxZapYJZ3UgtfhIt4tv8FmhdPOS jsn5GOxnrmDab7FGSiDi0QdMSmf2+wH1Lh6vy3kcXuIcYgtbzWHX9DZw2NUpUc122jVKiTIqs0/d ldjbl6odp5f7zsqoNTlQFJ7BX1tEpey0+g8dXRn2DwF57F2vNqFsZccFQEytydaR5LoDKtUVutK6 3h5qzinWOXbXhAb0XgeqivhyBu45qe4GNJbzFjNrt8fkB9jWfir/B55zVH961j1XbPGTc0gvVMw+ ajjdk7+37kplSZ4udRx+eeDhUbH5c6Kha7W/1BdZSfmTuYDU8ehUEUQe9NoqVE8YluisVFVbrpwk 7sygK8e6KcuwFrXhpxSZLu2zMksAc6OT4tfzzSnM1hq5hbzpfRqseDQSFoYvodsaxJRNQbnARc6Z Yd2bPmGRBG82EiSWFNRGZBBK5AQOPn2kmilM87t24L7NY459fGZuC2zAzfhSajM7svuP5PLQ+8/b nRDhdPqSY1cUmjkccfs8Lj97AvyXCis5XPQ/K/ZuqZFWjuTWz5UwzWIJZDhcareb25fRbHj2pP1z araQAeYNhfHgtzkHdvefOretLlXNEkea8NrdRf9VCINM8xJCOVxlq1MO5U23eJuKKytQbVUQeKFf 4Mud+rJnqTfxkihu5COevri26+uCW5WlquS49KSJ4FhOETcL7s8LoG0dx1yHr+xXLLHfj98jPuvW EokZciypjZhes1UKtTfgy9Rs9J64zXRLRGrnPv/6pNx6pGwLfgppXHl1ibTGQ2R8Vt2JtIIIAtYk FYozFJerWOkR6bG8BUexFpNhHgoARYR1ICpuaWUxlnm+fjQNcnCSDBD5ncnSkFpNrBIQ/7LMWoNY RRKwO60tPUdyQlJW74U+Ja3SyrPe7ww0/o9OHs2G0LhmyFpfsxkuYJkQWj6QVvJM2UbNs8L+C5Bm 8NIh8NGOOBG5PchJlwYXn4UovmuTtcEeRunkoNe3bTwwFm0Bxh8lLvXr1Yeek9MFQHd5tjtzRUuB b7V9faQ5+jUzbHcvFrRLCQ+CCn7lVYQy4S2Ks6vKt/aZoaWt8ovvWy/f016na4pzGLU+m8ZMiuVn wCMYb0+zYW1Zzq6lB3fMS8nQXK4Mtva2KvXYQqi51ohoNghPDBiZXNuOAj0O1j9oNZ+wR1ScvxeF 7sWHA4gJiSV8bWeXFGTGh7wMCXF93GPKbATs239oC/Bm4r4MkrpnPvdltGiJVAWkRs24mLJB/n3o dHuwvHPmY7uTY+iGCMGns+KhuMl/FckVUUe3K3RWJKt9wnNjKT797hIpkGHWZKGe/Cy8SwlJyE98 nuh8mmZXC3RIn118Cy6LPKZj/W5YwXcHFUGyQklvIbubjACBgh+mX0m4mWvS3n86usvlPdhH10ov nIq+CuPc8muUjlPhddc9YIqZqyp7hupz8iOCL1Kawpsu//NgeLXW+8YlpPs2v4PGc/NtRbwrOlit kLSSuTVkUoqSc56BRSpWAnAsNpGxbm7Y1Vg5uviIzCoPAGm3nDyRnrJ65CGT+jKABPwr8uGAJGMn xRRSTUfrRrsMulr2tFqiQzD+CbMLVQd7mx11/2yXUwwR2hVx9YULXCku0yNKoCYfInphcP4b3XFP aNHs/SX5wtCIvx6epvnIrFBI9n9LIgaw/rzC2WN3kB47eXjJkl5EicAmnY0h8He3jFTOIyMijQlS 8VLAisNL7nivGJ/VVSAk2eL88INIP4c5sa3nABE07VHDLIm0a7GGyzUICx4dfKF2hdUZBc/qwfVI fOCK1R1ScPgL8bTJsbEYJ3c7hW2j1vHAHGPxrNzQ5Ql9aMMPs1XHiw5f1B6/6cR+0nKUhvul4VsZ gM5Vt5qVMSxyleL9S9sfTOzqzxvikHKTh38f+z1xrCPYna+nouaBFr1Gofmp18AwPaHWW33MJxDo wGLoUU37uy+MqIA9nfNUzuF6OuBDC3AyrRJr1SjNSIz8Ycf5hpL1xAhvAg2pyyE3UnvkcOYul4Gf ZrigxUPwfYjJdcjFZB4RpoGxIDDSCjeKNIByBmyN2tXnl4zvAFhdRRRroiwATfAk69uW5GMKRBnv Z9uTkskXoeLrplYZ81xzHIh8vDb2dO4c6JiQiyfV1lW/quAio7AVikbFp+lIck5oehucQYgMLvrB 8qEZh9oU918i/bTJ4VAgsyOAhD7WNMQc5PGZ8PgjTOu00QeiuCwtQ2jfamAgt1hoCmKOT9RSR+Zv 2cRRBmZXw+hZX0kYwl9LCWuUXqJLfzTwT+s1hnlY9VSzwpY5vwEuD34qhfLxkSqHtmb98MRKtaUv v5NmKGk4RWxCW+N5ukZy5Vl4cfYQNlJQhPZzkMu82/qiqbj6kzCbPznnE+3Nkp1x1OUzONUK2htJ WvsBAwtA5XY6oL+CeLfwA5BveW4JhLZ+K3z4V1TfRRhDzf0RlB5p5XdSPuBxt81xPWPhwmvEdrrw K/oLspVC251DtVVgAy/6IXDWH3tcSicypn0Ki5mG7CZ//FJieafcTDGgUgOmXKeZoKMiMaH7Q/l/ /B9uk2e4tDSib3LPe4RsPJrY0d8NQ0l8L20km+P0Y/5tVDhWia0l7yd7A8fyz2BzHs0+xh0dt+3C cnEXF7YTBgyQnstpnyo4l/LyfCI1nUCueevlZBl5nMRQWnyock9GZgYy2z0EzYoonvvBFSWZlZhj 28auzJ3tk7mLPpfvAJstC1rAxOQ/69SWaRbropXrx2xA6ViG8NFlSIRn94xCrwk/URKjWi73uWXk LY3WM5q0HO0dn7veLq8tB6A+npuCvGVnS6fJ/G08D7KzSaz/sBfLWwkx6vkcrQeZJjL8R7QBJkYG Ox7YDtKm+1+60QGrAbtx6U3AGhFrFOKEmFCHkKnbbHMpDdDlSsGHiDr3fgNsMtzH9J+fnFIQ5Z9z TqCmIOvz7KeBkzY4dxrKl0Rz10S37gQsIQpATxuEt1B8LKyAZUUwSlZWMJ5yylyJc7+EnFmvv44K yV6CAPbgl0/6JP5mObVBPLkR/Eo9r8ofg/mKYRbN8yvGlftruFeDtsacPLPfAR8vXOSXCf/rnAlc t8ggXqJISUu7APg+MEkQ+ZjJ9dg/69Scr53fSA2wyoitthrdGkhR3uUEhqOH3XP6bpjPgcrNktnp 8DGa1MqvkKoSxchZ/p20RmgRu00ei2WAVhEAcm+cX+lNzU9VreNSs35FLwFzpMCLi3A6IvLW1TkP CtkcKjv9L/TcQMeuF0Y0W3cc8hJIVgtniFOpK6GkNm1ActkGfQ/gw5PhQHZKVNY/rH2I8CxyeXCh 3jE+6dyrnklbY05qevsXbuImLH2gC/K4SdDXMD09lK0zNfSevQIKHH3cEa8mB4Z05s9rXmT7g/km BXj8iBqNbbDoTxderie0pabnGOW3qSP9O3TOMBtsIKu70I11s2DBK9lZ4y9TVH8rguwJR4Ocrya/ gX+CYNnaHXjTn0Nw9P3+ZpQv7Xn9/HMGi8PcQb15UYGl6fd3H8wX1Kq9ulys5pzEtGMiIIB9H4mu aRZ2n7ARYM8Vmgt91YyWqVJm5CVdeWFm2WffTZJMmlBf1blok7y+Yrp/gj1n3lYWtrOH51tSBv3z hyRdojXGTDITCU1ySHY0Khn3QB1vo8DHDpj4O5sP5JKutD4iCzr52+LcJOhVXerdRtxqnkMjMUFF XHJC9ybGEg5L4Uu3/D+Tyh3xsoo9BNHX1I8c/Q33B7uw0eOdrsZqRuV9wTILXGGYe0iAddwcV0J1 0/WSzZsNoMy/8ZFyioCO/rG8gr0Gv+MlxrHWDO818Y4hkn5JbdBmSyCrJOWKp6o+kqO3gegpOIy5 K9YwvensfDJqQdrrQWRvyKZ28YrONw0+8/jfZyCN08saMF7KHG4pmGufCKVYDgNZEnQlu+6QVCYh zXndHIz6fscreq+acd7AqnDvv5OyxB7qT2p7E2QSLXkXw75rXmFztmYioqoEMpWxdCeyXqQfiXaX l15cqjLKY8E414gF2xeNuidFioQOAD0Y1rgM+cj7PK9dXdTL8IoOI0hTIl9fsjVckfX5ALHA8vZo /NHVEOZXYVgqFRlwqHBr2vm8kbhCxWl2sDJqDWsOhU3Jd8ywPNaK99u7ha4bn31GHtlYi/P2XIlb nha3u6laRav1ANwp0CADKtkOZWo6mSMKVDjIqmLOLAGtQUOIv7UHzjnL83URjg4GIA0XEI9B+sHK laD8I4CmrXSuOUkR4iOA3V/VU2hmPcD8Ae1WEjFsjgwcmS1lRYolcfaZeqK/ASeTfjyRke1BXO7P f3PswFPp2q47nVkrzx74iUcHQdgjZQxlI80n1j6eUoOw1/rrqW7VG/mu9mTKEh5qQ26DHWjzozf2 nCw2Ff9mjxq9oMhjnChZxeBItDhibyZbAABUMg030T/WiO4fpLcR+zbLFrEu/pJ6ZWIp78834s43 PymfdWVliQMMCh4RY6KcwwkibqVvCu0Gf6Y3eSvEpOaRONayDpzt56OP019qp4pKGT1Q0WCpqFVz FmPQt52X5cqI4bLwd3T3wlXHWSJ647TgOxn7AdFey7Vb9RGJFbHg3AXtdzoW1GRXoULBmXcOUDcJ IpXDQjabgFtUKdc1klOy5muep11sNujQi1+XjL+kcyYnwWNx6Q9849ScEk1Jwy2ja3773dyHJZ79 1d0mk2cTUa7Ea1w3mv3H24pjSuZ+gLdKQiTTL+FQ/JBhmIBlx4fIUoOQkSViV3+qIzRs7tsvqLPB yKP4D7zXpHQVr/wGVEwd1dDXn0U5UO4EWujoAola/KKKFz7t3PTWvKxVWjkIWA+JDEGyCK5xVIsH 8aETs4VEVOUVgJhrR6CmO0cvI7EjqRfXfe2tl7r4wkYmYYtnAsVp9PI8I4gxgmpsSi0n3pmBrekn 01eEQRA2zVwToB0dO1yCmTK41SSmuEqjDk+ybAmuosDFtee3o7frvPC8z/MLwPKDT7v26Z6uPhyJ FHf13BfWpSvBgi/w6hxBodYep0+HPqFnQ9eqAhlLmv7esjdHrbFgfIZEMqM1rYrwe8dfWowDHxbN X/7wUHoAE0XQD9+BPDhHGBib/ac6gX1dcFkKFDn+nPqtL2gl3HflySGTa+7UYEiCksdz0Dfph/QH iXttr+5vqdY+h9AQVaXoyC9BSR9kSRr7UszCJddOX6qaVAbUzu5153emLEsyEfHo53SlPnonQcTs 1ZgQ3MhVSz88UBsn4tp+MMKtgaj/wkGQOx6IgGxe4f+Gl0cfuRY+m6jsPrt4uVkX1kUs8e2TEH0L 7PBNLB5VUMHNDBcTaV4lIxTx1I7xpOQFlOV35jXXn2ybL4vMCTowy0q9qd30p0/DWsryWmv1SHGa PvAOpKgyAT9sXmnm9m30cj4h5DzZV/wVPxpl6E3+35vdDBYZrQxRyqg/KLWmUeww3PqStYSbfKZs 3ncW8MvouRNqk50RnALQsYPAG/GBd5W3maHKGAD/zBIRIUrO1jpLfc6eLuAwDrx+MZDYdhZYZXiy Gl0BuZ/7IsEIvyFyQyu2MITkD1Csnn8YmSKyScaQ5LMgyc/zG78KKU+FNYFjcwIP8LBb7Ndzsp42 f7zL9FB1PJV8B7WjsHFoJ3KPlSiSxpA39CRxU3sEFCsOCztqHGI9aAS+pb7vxhAT19j2vvV/eVda bZwlOx1fnZ0/qOuQZveIeZasUWAIEjoqEXfOXv6EZkdYVqF2Y31Vn125wnUdZQsLsAW4vuyOTcWe aOxHjCVlrtiX+pYuw9plSZMEGhXh/XfLook3pzeViYKQUuAlBkPpG838NlT/++LmwEC3CeQoqcng cTQzMHl0XOe74MOMo8t2OHTbU57gLo1tmbnc+Aw/xK9FTvtHZElvZq3Sg6Q6a7Ek98oVHYvzhGAL +AlkFf42zdNs6ZZJ8cWBxFD4GmwX2vftuNOHCV5YWlPUIOFocCYmFziNH5m/GtkuD2m4Vex2Grj5 vWw8392mYbxZ6GYN3eIdlR83X79dKfHuf2dbtaa2dYvuoFnFsxHuwEWU81Yt68LopkJHOtEoU6s0 k2kzPqmkxG7GKdiy2vhqduXTW0ZYAF8aE+piMk4RO3hda4XjxqHvdtXy9SjkeiAWyAHvUBLCgvI/ e6noF9OYfys4DX3zmrAwfw0pgyJXwYx3qTbjmH8TCKHso8u8wkjijS5/t1wVojlOT6Q16KDv2pvb v5WVoP0fUatgasdrnyy+1HA9+CCyymDuP62wsqnMbY0X2K8VvjOQ2s6J1VkkSMW6t/szz38UtlqO aU3FOeGU1RJRPL/ATBASFDPJypyeenC/p3BfIDTXfgfJraRcV+b5KvgmX3acXspwgWoUHxqUQCWT BrN83VNn9/F6Xc4dTMeEl0jK/of3DcQiAUoJsauGG5bUrNW3AM4oa5iZPlav58RuELaxiOzgulu7 vkvYRoiCr1XQ9/yaqGgxl2QWvdLqBqyyw/nx5DIoYRSlps+6FDS2M3/SkDBdwXiBI6s68tzZ3oWD CAZWgc0BISCwRenDzc9Vgu2/7szrIzPhJw2VWa49kmsvNDVxmt+ve/hyfVqzioAwM4OYHMZD1Vrz b/4MpNZJnIf9cPXSZrjycd4jPldxWaIQQ/qiUN6QTksXDsDHTIOqT1TDtNQN20D5c1Y3dHl9Cf1w SADVw30qdmNXr6oPVa3aEfpa9TOy6kmm9h01sThKJjWR9CiFrJshM+a02C+d8prOAga7hDegKc5W 1DoicmOi3eUqciJrvC8FcTFmEs3YPiAvMsJT0dWoUGprraT3ascVCHaQgtYt8iayCoMN5a/YS9Kz 0pTwAVkWxSglL18GOVfGM3bcXwLjTdbfGR/Vb2J4krpfCjDGWI5lt5cA32dHFvlWl1sgyBviDZLe PJstArLjVsDbp1lvVAH7gBsl782dhDId3UTqu88h0q9iwwrYyJgNmiCycIPicTultcku0ukV56k0 kUJERFJIjU9t2mtipgqcOiudIUMMS33JoCx5Ud1TzKy5HZ2/ejOQfKVRX+JKzHudF44kTtefdCQS VJUUxOU8uxz3cLw47v8+WF3vGha7L5II7kX0yGQlsotq7ejaB5PVrnylv0jD0MSRQcHT4WK9Fjqy tAnU2yX6sjxsO44XiDNO5s1ptC0pHLr3EN2ePT8tZHWR9kguQE9ySox6FtKfSIqBMNM6J6wX+Uv0 Tmeq7859VwVT6bP0ZXS8K0cYhRF3h2obzVe40BZw+qZujj70JemexK9T7mUcOHyPSwrUptpduleE jLVa/WSoybjTNnmkXpuUeXwNSkZRCoNK6KM0TmsMn9MqgvSDthVNaHKImsnNecqcz8loWzPWSLpT DCSVtanNYPQPZL4Q+TvkGAL+AYhXkpOPHDRn3cLe2DlkEmoq4kP9cTLwZ+6ASgx3Pa8JG6ymMgux ypwzwfVTayRncluKNfgGMMK0nLCS8eJ05Z+aUbgpFTFpuDx3iwWFGyhtM13ubwEQGfboeeU/2F30 GjYNaERZlVuPBc1Ez3fYgVHECHFURcuUlrXH7MkoI5p4USRdKhPj5lkZxmkAuJVxnQjiMnVltYzN R2st5fuujVaBCgRDA9la+EuxfS1xUEQZTmsa05MqvGJHWCVrJoKTA/aBAO337L/OVftEHAsDHR3w ylH0ixdfHx9pm1EKGvZexrwfbOwV1eVa2sI/AdFdWRavALD2/oMJ8llrdd2xER5wSNAaYB1K2/y1 1ycugtJYIk8/zhLkMc3M533M7+RYLZkxKPAizHtZYrjYVzdV6CtzqOJyJl8GydSNK5PlGyUMSe8X eNmmtdOoVinCoX0uEjrqD0hmOB5qAICVaTvIH0d9BrmAoHfNuR6fNxkSsOMJB3nF+L6ujw0rDCPa UNn1iBrC/m/cEtgOq60/HXMuMrUYgWnUjEL5IlOpPAU+3dWbFfpxNfhNMZz/Q/sUn0ikhVizX0bj JlH3QM8DbXWjuM2+4SQX+iXry8JHbJfSwgU8mIcrI0HEd/Bs5hDMaqhbOgJYmTOz3KdXrVgHlyGM c9WFce6KejFuvQK3XnWDArzH9z6jdRAzcCETzyUH/+jLhez1PV2ZTMR/wJd3JOwodxqrcF1wRki7 n0D+1tRFaZukGMccWigfeZMGjCLGsUggY+obYAmiDlnpch/P82DXG+KrcCvx0J4u/08mpbWoQ8UC 14XMqEPW3WbGlGP/OcQ65NRwrkWgXxtW4sOYqs+HJvb2xZoWAqA5USPI/tO83F2UFZK/VrdTSBGd L7v8VDw8AVKKXLOhaRTU6r0gL1GCpB9f1pP1ljSttbiCs4iGCrk3OJ/SmjjjXJ4/Gi6POrMC61GV lBAsjIBaaAvf3Rz3s+bCz3nuPoClAcF6BNepKH3DMka+OmHApd80ntrJ7LoWynWRtouv5VCWhDi7 CYQbIXYw5uoh/nzoafv6ooCeTU0nLjQlqnM+XfuiBBiaJnkcqHFd6Mv63zqvsldlVgdmXpaB9lBm YhJcfxf2XPwmSvXNy0CN8uCfBNlMSSNCFljg85QHyqw7WaRqKucn6t8RGgpViwofbrOEpa+Z8FEL CL77qzaucp9ibuJoQ/6hCPtbIGck89rbWl+U8ls1yALe6Mr2DWn5aB2qgZXAUKQuVR+QliQ7EYey 312Dym/79ygRE1VsBeCP7TTfHA0S98dB3VvbFutZhYNmAVJlFcJSj7iiYm6q/OT/nzHtSyIzdY6g /ccuYQOTZXBAaq0Y3TfTVuNNwRekTrQ+cKfsjs/Ko4eoxb7hzGr03ATxbb2VpupT6X4QSOO3jHLu LExIn65Mt/Fp7keijK0+9y6IeRHsAKCoMU5Q2OggY9YcYN1OPqQGkQO094joR+lzD01B0s8AmxyK lU0K4GYICJMkosER7qtG/Gwv5NmOBuNWvzyBhIMDuq64h0dJiI7hn/WAMnNddxWO8NG4B3yg8Mkb Jc7ozJRGlKuD3zsplnOnp/543lUUcZndCCnp1wniFQbKPd+DM5hf49iUt3+TMp3/Lih6g5KVqHyA Y13MfZEjddaaOiWw1ka0vVQ5b6C02bJ2PwMtsNDoYCZ6MeM6MTEv3tYb98f9mXzbBww+lJ38A2ZC Ri/hDkh907cPYzeA/mw9WRBV1xjxBnDAYWYU3JJwhuRSQWtl5tMXyLiR7bVWUtc32Ooys9gHWGZt 0pF1Um9NrPZba2FY3fYbEyoQgAoHpbUQOu8i6kunc4qDeKwEFwjUBxWYUYW3mMRDo6hcC+lIvyiQ o7XntIRtvGDuatfYF0+i9y8YBGGWyO9qfnGQqjirJXdlUM1R6XPQHNU4hMMu7aVW7+BzhIUqyVa2 GYIUWVAVkZyEDnX5yvHH4ns04GLqL6D0jLDuagSLZFnhsr4WsLBRKOFndTzbMymR7Bq4D7glDB36 cJx5+MZl/mecsHXiZ/kDaML2SAzqVnnkyi9u841MUjRv8FCno6O2a8xTcdja7aJdXGX2aZf+K10e baqmhe/22vr5PC3M+8B5z1uLA/PsJ3q3DXzlf0JGSks8VXy3/RD7q9z6PEBsDu0TsgH+noAmKVrq +SjxQR9op78Ruj7Vh4VRlYBKNqarM7Zkz5kz74dnDxSymwfHJ/QdefPfj4LGxN2mYe+eLGN/ZcaK CfTU8Cvf87M7J+q3iAgtfdbFADgbBzbHpHNtkfFpD9Y4VxMM+gObS4cTaBZj/gZpixpjTbkJJ724 8k3He6ejtjuCKdOsCj3iXxUH/DkQh2lKABvQU3Zk9bysG6Jw6Bp3V7StQyoCw9ReuMqi1zjSs5Vu zaUOrQRvqj1M5UIC4z0ZDywyiW2xKstfRHrLxFljXyoFTD7/WA1vz43Ec1YdmobOwz3aZaTTokQ2 xoEV+LlLeGQ//OjvpEcmvDmN3nKM5LbVaE8Mvixpql1HPtaDDSQCsyt9nPU3dW7F/Dk9WaDJOV2B lgezEcdROQwlKrfitSlIVhA6mcSXP1VBNNOP/cNq+SniwMi9X11Wo5pdkBVDo174M8r6swFR/4ka SiU4ujQLyiCBTJVIetULxbVQGyefpNoGog57KYTYlMJLeMoSXMUNPuHe156WiHPUlAfJVl58OjJ9 3prAYa4WEH91nPRyHK6UKxC0G1AO5j+QcktsYzkgvqpQFxhxvR7jCbCuEx+dBwAJvxRZ/tJ4axav qHeAFxtEkeELe9evghwQD0dORtCuBlaeO/WsYGU7JDRzjt5Xt3tUgJX3pvvzCxxNdFab63vuqLZw eRLpNlK9qa4/+8UC9P/42Ux/GdGAuSLlzOvUaca7IFKnFZmLctiv4sqn0i7wY/XXKJr9XIf6RFlo y3rgRP5ELI/NS/CAcP4I9gl3byI0tip8XTsa+wWE+ArNbIAp06XmDY3TZKP7ix6b8qOMlUhBO8tc 2wpiaqzvI/eLtaw/CBQu/UD5zJvxR7oBnhH6t4g8B3U4UavDeaMMDoYqNe0nrQhJq+2SUsupbPoZ 8UL+bMPWsqXvqZ8GekZ7MvBKXsX/tKwDminH+dFpHYKEBXAh0VQZYGFfklmWM6ZCVE0dlPzE971U 8mApX1ejr4kOf4GMpJgSDY4j02SPKxM/Z8VxOU0qph4lZclQLTjUPGLvZpms5/YFtpeebS3ehPPE RgKGtn9mC3uYxWBw4zUDGwKbAQIY5HTkVc3Ge7XUL/pHT35cAaiz4mFXv1FU7kQVPolhPl0cpIIN WgzzIvfO883+6dJ1nZpEywWDPZ3vzkcSNNreYT4QRg0CBrTb6bdfE9wpR1eRKtBfJtC5T/U3JEEK yzhQhUZCaiX1pbP6vhrjx3SeY/rEGEz4l2vf0BTu/ZPw7zIEs+NxRIZarvFj6KfQMKWPHNxjYAEs Pf4fxeXV4G1kzHCw/MiQS+4eTtuKd7RGl7B2KQt/a9A/6DuikxS7THwuZvIPus/aKnWwZmvl/lko tLhku3JKGs2scX/tF4AK8IgHdAt2qBKk750KHIi1LLfG1/wdT1mCYSq54Adg74mloMn1xU3gMT+z 45qPewGepbmIiUBQagb+BS871gh0+vW9us4PgxqRbTcJd+QiwSxRfy9hX2tpYxXgD964ZIXXXvFB s6KYMcd4xxyPeL1xTpeJsHAxLCm3TCMQ9BAvwq6pjpybcLgMSLBf/sDq+2N9uO3Xu1YKD/PhuEGn HESQvrV/6YiWkBSPPsgc5OAIzrJ9e30R6Ymof18YfFRPMSsEjEfbN0zkurYLCxHbQqK5qLXLw9zz E5AG2ovylBamX41Zpd1bqhMR12qXBzcOFDf92xN6010TXYz2F7XxlILL6boG2B+xG5G86JM7SZPh Z+wGh3KG3gjjmBK3gO5KEAng7IwEUlsyMT4/NWYwtOLkBhUNkkDFP/8n+T7PpHGCSjt5QRgcS+7X jdcZzCRBMHVUBfeqFwf3kFg6cKtFovTCHcNWfHy7lyFdO0weXH0y0wW1a7+Lcdyo9dfqXFSaa1sv rgdM2xu1fEJxBuHIZwU5sInftdYohw2t1l2q9c0V64akXsEhd0Cd2+Ol5v9yd98Y1yyWkO9D6fV8 +j4OCCGe8PtOHMSN9SWw/jaFlE5d2Z+Hg9nfr/cossH/M0J3dL2dJi1CvU7+ERsyIbm6YZx8W0lO iGlZCnBhpLD+dLVRgSUo3pSnXzXCwtp7qfbKtRLiVL236JBrOFgvHAREdUBSakvyvnE2pc62qM3R Hqoy+xnJf4HwLaMMP0sqEZyxpoxgB+WDgZN7NytC8/hw11Dytq7HSAre2Z8a4o2nUrQ2scXxDbXI JrRmTBMnMZ/W4Tyf33W4L6gUmvu7KjpkWKk8J4nan6qjA9sacRanUlgl+eofhBgBdBv/Asc+grcu Port7YruIROgURug5Lfrz/4WRuMgIDvTcLv10uogtfyWiTkBOS1SEePOIJ36Vb6d2wuMuW7Plvvy 2byk8eBveyBUSTBpgeZD8UL8auKlxVaozkREUSNSybB7TmEzPPrOlBHIqT9LAwKDKbo6xEWMzgE4 h+RrjGClorYARA1XWRK6BvaqGn1SsHN58di7MkIRCuHYj50PFm8rr5kzCAD3fP4TPHF7lJj9zV9P H+qqaOtPczU9vaisCuYb4dkxlcEjJj7b7MtMt/galgFh9L6h2pG9SOLiIhWpltJQ6UAEMHNiVUFZ 88pdJgnn1MNAvSdkcMW3IKVnJRJzPPW60fQ7RltDL66ZRfRYB54YZEL7oOcXoCVarC2BQ3YtKINL tGFlOBB3MwkJkcHAN1BhQdOPDUA1c7mfB9hrO1QyQZm68OEp1OEYSQGc/fmXn0gxzuwexsUDSlcT XNRDbfd321QY1jbgimuY9QWw0wMLyqqjkc+Zl0n8lB8G1AZnQ2gfpe3Oc8CJSVAu9zO4ljwxdNNV Y4ay3oymvM6kRESl4MHvl03lr0Sb0V3BCoYG6/4TaQ9iBDB8V6Owb1j2MCHfw6440aMQUrD8C+MA TS51X4aaWdTXlyAkbyG0sDiG7rEKvuH8vyGjEtmpWI+WAivXS8qEBvIxpVpjTKsAnvw3EcrdPQJZ p9cYTsT+BL6FzuSdEiig3v9tI3NezHNJLcVgdoW/Lh0QdON8BdmemvHHo01dBxU6z++vxxa2kasl qGU9cpBNfjHzLe4BClj+U1bojKvW3r9lSwKPxKzeqQbBvuQJAsbjiLrpxSP4ocfEXi4PCeYVpnpV OMO7J76cF9P0wrNasoQYf7fcw03BLICaLQOLfl5OaiJnh2A2Q+p/9mKlJAEh9vaTOCzyw485i9PX yx3Symv5ItjpzdXTJxIJbHgbKKCIw7JfM87PG9+4KR+3YJHCWkGnH6c68hLj57ncq+J0jhn5UFIX K548pI4c8+YiWOXJdxklH/Yby4td+6t+OeLOMmCXHz/vLjF0+7OcpPLcnMhf9q/Y3Xoami6nkhcG mKWEw9q7nLVKcnhwqlN8Ug2UAVZ/+kuev2ryxRPiPX7F9+mIaMq+deAbcFRjJeRQJU8u9D2XY4CE xDDocr6OuKK0M/LVA3nGQWApOfYb7XdcrtHyhNfd9UnH70jwax1EB3JgVER/AuZ0IVGsL/dChFOI 6Bjwo5uEDXb9fN7/c9I/EkCMER0Q45YC7tdmhBPemy4arfuhfc4tqtMWC7B+J+kM+R0rg6UsNDY7 nSAjj+CPSlSyXj5eDjOozYtmZ8xwSoowpOnIIs/YvKVPU6yMXn7/Jg6CaqA15JWXan89Pp1y+suj BEMQVpOnhcVVAiFM3bN0iaa61/1V29PTKsQGMssoKTUjEI5yacCCf684Ng8tuMwHkDU8Xtoi7hiQ ZkGpaUKOv5pGfa7eOAYx3gwWy5fQ8KMQ9ZzwPbWnc70cVq4LsbdJ4Ptm7+4kke1ONyyfHjB5kp+x yiWkKWApBlW9QC1PfIp+my+ZEBMM01a8koCtLjHyUvi1vDSY7IEiLV3zQho8lSfF/wmQaoyTnIoQ n7Pwk5gdCjFSk9bMoKTxJ+SU1vv3vYcShdBDfAQPxZER4a8XGAnECMX0wowWPWYj7j6SiCtRB5vW tNf4GlkL7kGYOoVdo9mZrg2/p0D686BNisoaCdlNSWs6XRaT880ILjDc+Wa2LXE3VUDcG7UgMhCk UtJAEhwATLrPLnvZumilXForDQ5jNI/SLO9Sj8ZkrBRlecaUjasHtW3VJo0L4yS22Z4e9yEuAsem xQxapUKqz8gsK9ZKA41UIC6EZMcoQxMjZHNme8B9CXk38Qr+C3m0j/3gKsEg8m/qjwlrePsiuolv IL3mBuNz5gkWQF6lhFd23tDR1sfgP8s9B306MmSLO22two9b4Iq/m6JQ8fT0Z5jEqULemLkH3fOq W2LcPO44u7g9jqMJA19yb2C7aJ+Lfsj67vf9w9vqjpUhtxmvk3j59cQJGrOoHpW6tti9f9Q+s+hi gv4nXLqhnq4hcgs0OxYpSjR3hFLm9vmUhir+2UHrfH9TnBGDv5QZehF88vCYMcD0vmkyvQ4timrR mOnKFtl67oP9QRbr1MoEiqI/i5N6+JqXMFOPelaROz6EYxryZUbauIcmuuin25uyezLTmEgNIvJh c/XuNAsMXkiZSwnx1ecrC91UvJGc2Zo0jUjIMza6/T+L3hyCRvu+sYadp6CqS6I9kRxelIylkBG0 yo5mNux+mtprG9gPAXekgydw5WMHOQfEtBWSq8nZdzeMbcDvr0zY1mtA8+sRIJHgS6gUcvwPYI2N 0CeZjk3k79f9TrX3diyotLSL3rdjxQC17xpzWcqpzrAEG0jlrzTz5A10I1qIWlj1NKlqyVhP4FzS J0GlSr8t5TsD4ye7Vg3dZGhpQaH4cX5zeUW6CZiOmpJUgjJfcF0Rf0BIv5jAfaDaaUjzsziymz1l 0mDZQxuzwqKNsqgiDBjLjeP+FRi0R36PV4W0jNrCTS7IXD0FSplQVp1Nt1y187/gV+pz5jhAPNa+ ak7dJj3XbmcMhI7ceNey6uBHa05TxY82uj9WRRJ1tof+vgJJrksVN5F8DtoBtmugTU9JiRXlwHTL A77h0atbuKlUUCexdvqNsKZ+Iwb2yBc43bW22jgPGi2NMZ9Dt2KdePYdME3CCVBFoxViOUF4v7ym p1AMk6i+Fd5gKMYVQZT/MLN1IQWyge6SQUYLVq7qNzflGOtekpgRJ8W7QrWaIdeHvjrGO1g9kn8g uLY7xRCyKNiR0vwpPJWRPSaThcayN47M0lCAq/2Tas3Ki9r4VcwNSfVpjaUxy4cJXEo9WuaPIGj3 zSGQeKLP7NWjJUCEX4sHG20y4CgHztoGM9Tagni5JLgD80ec5n8VDqpOQTWNEfRBXWrN/HPLYvFe cFd9jLZsCrdikD9VTKwZ83jkvFdoyF3+7j0VJby0jqjDWpVWxmilCj4YE4KGXPYDqNerEI+pRxfR LZ6REuX/UQRkDN7Awqd3cuhQYXWu+DVaODU3HCsZO3glp7/KCh4xaprLG/mPMzQ5zoLH7/TZIix3 sd0LdR9Zoqk2lGkJfSrMOhl7bRMPHmf+ELvJBQtKYGprW2lVLhgA2YyFS/RTlWBcMHolT7CZyoSW HkjcDi0z+4evTJe/H86z4/wNf3nDJKDpe+YyiMQqxMv1x06lPhSDXWYb5IdAHnate7iBXMXhfwRC tpEk0ylOS3bWEkVvxI00QEOJ6HUGFA+B5VD43NqRn1pGVzlGDjfm1k5CmwpXm915/8uN7ozTPM+5 1EhAdfjouiMUrxZFc0FwMlCWT/0Uq+q/Q2Q+EFv+ScqeJYHtRhbQc0qk9L/PZKwMa1o5PkqdTTwx gKpRMjGhkiVZXmpAgdN8Np7K5qrn93m4hTdwtDXUEsX22TGJW7YKFGciQwJxtjJRZ8cFFZCR6Ox2 RyhDgjx+PADR7mxGIuYR3jIeDNiWTSJQEkTI7reI1z8ylEzZ3c1z3Z8FDIW9oXCdaAUeZGE3mLu1 K2OKuG0GrM4Dk2zMSeXs5UXcF5/nfXvsoq3DBKSK0kuVcGzYpnIxVOSLpO1AxVxq2zYBeiwXAAE/ P5E1uOY8IKYFrcgt6k8d26PkjiaNFUJJSHbUXAyUfF0N/vT1GhWZLVaHCXn1Cflc4DNnJMrtWu86 Mkfo/1HHfEtaDWPsh9qpStVtKdckSUg7N726TutTc8GztELCSKL20mvJzewK8SNWbjKUOI3EArDj bpUNFi38xilsPagTQBz8fO+Qy/EWxaCZR0c4En+y5+OivPZMtj4E/EBarzzdmdWNbaWXi0ED39Pi SkARYxXaSJSxb+a0wM3lKLIlqM4JSRwTQkP3T7mdCtfH0yubD4xvpGRMBksSCPI3fLOgS22s9Mei ykxPaKuBRi72EZSs+7vlrW0iXSJVw/8Xu6/5b/pKbxyaisiZfOVcbWqt41GEhrCPYzriPM+EE1Ct lLeU07H1JHbECYy5KDcD3qftqnwJD+OXpeCgOp+YIwhjoS2TadmJ0p5nWktRuR8wOfo6grgZblQr 5gtWQStULcD/ylRW77VbkIRcbUbV3bvTlf20G2NkDDR2TRCT5atYYvhr6N/9c6cX+O4Lu1tkkPqe t+5LjcPRWzPM4IXtpT2oCWAvxUXGfRETqWFTeo+Sbwmnz4WWNU0UUXKMEMWu0nbYNtv2hvks7NI1 MlHiufjmxlSOaRq0GY1Eo5XbXzLnX+xqlOSvP9V0HutOdFDgbshBYhqg9bCBdyrABcgeqQnLb/sg n7HGkSnyjy3x16XAdM2ldw63vgSJZP4optj/ysDKdUdmTkRfhfgl9KGIMvX3NRBoIQadINtHAVC6 vS76AjXho1+cERlDoqjJyF1HywSEB7Xyo1NHHKqXSMXiJftrePNmINJGbAyE97LjXnSf6l5s3Oa0 IhWOCLYDrUD6b4e+4HKsbEHxvFJ96MXzc4OUI/ICL24cmv563AnKoaWFgPEZ33Q5ZBfmdTnjFh9a g6+lmJZh8l80mPE2fckkD5NlxJmaCiA5Q8UgTrJ8ViQQr81AuoQr2njvj1cvbKpa0bZwNtlLf2y+ qG3GBYSi6WZwArfGiXbuNSSly5E3lpsenvF/rH+vcrsG3I0oGDM/5yrh3AICuTCdxxulTBwfQGxj yNERSYlAmH2P5xnw9tDXbj4pyCmbVPsfJs4ZBtyfrianDV3SFnT1jZ/K20Cgzt/PACddVIxdCsOE MsGsTbQjJSDWcItURgPhFGwjq+xV5HGD0hl8EyfGCEUFq1yFG9B34YMGP3mVSyVBj/mF/LWvusp5 uozvqWLJIES76VZJzL4rR87xLnuolNIQkxL6+pitflb2hJdF8wDaZP7efUMJ3Ak7FZj+VsyZ15FJ Owb0yGablkC1GWKSxUR9QdgAjJOIcjMdxmMF1/QlzpO2bA6tIRYdH0jAqqtvxFFfyQhjkw2IoLs8 DbhdRVVCHWBOjdbdVGMUbgYlH1PKtXQ26hrsxnQgQCqnao85FI/2fAuPN1Q5++wkFf856r+YGFJF lwKOGlEJHwQIdLbnk2ChMKuD/eXDamTDTcVD37+VdM5uT/Ou74Eymea1eymIZFEuuQVqgZXOpFpN KwjIazb0UBpAb80s6fPB9nYq3E0UlFF/wA239nJyxIqkcWIVxJIYJKUI9+ikZSjZgBKoEbPZY35X 23Ca9Oqcxn5yyTrgTK7p4dr3q7pJV3kZ41UULU8e+l+QLo2xopnewv9Jn4ATFhL2gn53mQc0s7WO WDv07xy1nTKyASXPyBCdbKrqzADwCQPV+4LZK+41bC5yILbbOroNKtrkJsfavODAwV3y5qg57QsC UiZLnrbm9rYhea8mrF8TkRFi+HwqGO/CLQcVTJp69Atu8C9XZOTBWU4I0kQbyq2tfanJWMkdzoh3 Dz28kbcFmpjjT6l5RIU9P9+9/19XJZHMqqKjcWDexrU9HyakrmBwE3F3rl5hjlyb+dDBTB4UuYzr XKJrZOO3ZlLnxbhFTHKZUfFgpDYAO1XuHpiD+NyQTIC2TemOYMYBYKVxXF8HTMHNp2KlhyKUFFvr SxNA46Gz0wIMyN0hE58l4sleRHkYws9ACl4RYgjcpF66Tjp1e5vT/OrWE3FsOriTi4PUjcFb8aYq NeCk/ksy5qGtfB+yLw773IrUsuXK5CUr5T2x3U5wHmSF8HSpL2POV62Qr64eg99nOk8HXG+KmVnA cfFxHr258Auyuq9sAFlp3F/qcyV6Zana8zeMSxOacQM0iv5R2K8ZOAX3Kvjsoc34XU42X4UW6NfE WoTz5b13FwkrNN8KKaiwppH2CCwBVlffcozMjNkGCal1roSxqC29caF0NzXexYGgu0dQqxU23tW0 zJFAz8uva3HvzkgK+CFjfdaK8wE4t/YT6TMe6bPQy3LmvHwF2eF83qbMAf4G1zXhhEVv7HJ4xvZh hWlh2kIZ59qC2M9BfoxGGMUMi2a5CyV0Ph9JelIbrdou1f1VeOenJuoNJcMLQUVTpRF5K4J44VWc Wu1xeH8WebzG8FVTraRl45zMY+hT3ZZG2HlYG7zd5BPARuVAy2lqHdz1BUOSO0aEIBRo29q3h8Ay 99sKSUEvQgtHi63cqG730Pccc7kdpNrKl/2f4hAUnLra4f+f+8Alv6kzNoKvvSwb3IkqkZcSYB1J aRtIBQ225Kqp2QpAVKH3ZjlITQKkd9l9aFmE5Zh8VYLDCK1jhJrCxCnwCZ9z0aXPSnQKUklLhzXS xSgURCK/br02arwwXYNgobstz7D2HrWmQsHFnJsEBMkIIF1t7APF8kZmNFoxh2BNbWheF8bUnyXc O+fko+cTrP1fwq+TKedV4Tb61+EnFtlTT7e7/U/U9u3INZkUHXRIQCaruQpiOHCgJN9ts7649JIL FfORN6msVYmmwJ02Nl3NWKTjzrAjYRlo52m6tznnJVRhnfjx5Ux3tWM9lUZ9ugiecWoj+Yfr3txq KO4cV+CbNNC1/Tm5+yaMs+RMsNQCZTCz907K4OwpVJkD5yhT7cEvJUq6tyI7llD9rQNaDDDWMQhw NCwfmumwr9/JafO7MnUdwV6SdE4vogo0I3yR4WB4o8zR2U7efSQF14dt8+2Sci5szPMMaLc5ePJs Jo8Mx8ZrVcnta9hdIhTaip8y36kZrfMQAppA/YdS4uOAItuGXij4LzvVx+TgPPvelbaI7B1vc7ec q/WFQ+tqcecVzlcLAmVgwC0VzZ7Gxfd9n1gzEmdVlTfLisJ67zpa6Et8UmiNJH9X4sOuBfWN0OPd NwY/YJ1emdgjJ6RaQaKjKYCoByxWYZ1zBGZROhAZiOk1W3LjGbxNhbjqrqn1hDb/VBvY30RETMlk ccNjTHt1HZGryiqFEWntdKIIx3Q36ZPWex5L+rnarvTwA4qJ7byplh/mHDiPmhTENEDBaMOPN7Ql vsf5Qhc6advF6k3d8z3AgUzLxz79HkuLvBWPhL8E5PXCOElWOvaiGG06c+NbvsxYxG/y+26HSTh7 jsIZrZU3q+Y0gI5MxpddiOGGyXSSAFcPmT/Edai6PPRIZk8hwTeXIwm3pY6vWXheBQH7tLx/lSdJ KiWbBMKFlP3IU1+VBJqb202ZTgMTJq1HTANpbp067w90Wr78av0NmjenaORrFeqQe3hcac2uyLhq TqzQ+C4uEF7rY2MpJ3HONqfJKhpV8wiSXBkE6QpnmRep7Xya8pKGYlRGVrrsEUFU1rXIuHHAldvm QeyoT6S4/Vllmft7koWPPtcFBFa/47DAhrtPJ6LpwHvoml34xw8j16Tg4/6LWl5maEar+rTkVOvw CVLLvxUAd1fUxzTQKUceIwNJeqmwyQHVFY6qQJQyV9wmfo+td0wq1j9a7NJP1WCHx/ue88/6cr2d CEAcRKaou5pk8+Dju9IRGyEwdrKdj5brw8KAMLMZAIp+T4w8tovLpomYhrRLxiG2h+hpjIWzaXBE ynraBt+ighvYTCENFZWfx9cvwNIleLiN9LIyNao1tUFZkv5FKA1B6p4oW7JtgG36M5WeG63TZT+4 IBh7ovir6qw7XPMHY9JB8CJMUqnIOXamTDgP4uBht0/nnckn7buHYqMyp2xWiN12eH8LGS4FWlJi TpGRCrpZU8PFmYyDKoBRm+azeD6OSwCYdcEAzUKS3/6dLXy8OLXfO/PfWhaheXtnp+E6cHc5pUXW Yir0BWPndIGOEW1oP3pvsmwH8S18+zS5DiJAaJp63LWXMXVRdwHCS16la+4TEb8UD7vwXSq8JEVL WhmKWfMEBzROyS9u/D+FAX8W2IsHkDsuzamemcoP+A1kEO/QVPSaUrNhDH5y7CZ7F5EtQ3O7M59S 4i+hFBA5wuMXOvjlebrIP4N9DHIie2ef4v5TuVsTJG9p8SpvxJmWQZBI+Hsk+MVMJ5r1YnC1hfOO 44s25ZTlwylWYEUci5mRLV3Daw1WR5uv83k8tBUPFhyj1RX/kSE+ppG617a6XGgIl3LFaqQgt6Jo iOqg+ZadmHFozfE9t2R0BXYFSecPBEzdroWZ3jvJV6s7LAICq/T3cBKTPS7R/MopkRz32qaOsDmB F4PP0HfVme+kTpUUE0oFd7xBIAAaHHnglamMQoYQE2wZZSiYTV9gmv3JXlhnIsopLYxJtNCuZnd1 73VFYG8vqklprGy+PU/ye45WU1xrvfyjwgQxqz3H1fBLqQYAbzOF8nC/Zls2KNfMGnTbVftqbxja /GTWKWz4Dn0hWPikOZC6PCXK5ZqbBSt2jI4bI3vUTX4mUSFxWB25o3IbAoioolxMujzVtGU0q4P4 DZXTpkkm7a8bCpU1uDTvxTw2JeHMaZlhmCMpsvLtnEW85/+904GZC7Jg2FH6k91BprCy95vSPg1f nSB0pDRXqbVTfo/3zzat+d34hczH//xjI3eWxLU65XLFr4m865ceyHK7vNOH9lCnzBYVPF45opv3 faLnu7oszCh40gac5S42pFkyCcsR3F/H2J1ksXPf7Zu6prgQYvheT/+sRUvI95s8j0Biw5Z7tPY5 t2Zvx+TV3d3tKBQvloyDKGwhjcHt6BE7qMyLUAGj58V9FYb+WRzsoGveK9moq5uZ9OWukMRymhPr KAsmAjSQJR7o5vmJw+1chbx+KYhRCDCx6H5cWYIFVQJzFdIKNKeKqteMKXqQ236FkmJuQGTkvA6F jmc2osOOsxa+cGWOponmW3nkC0ZWhyi2soim9NgnZa5XOmvRTa2gI17muywLUNnGg/ymemXEdkDn LnO5FEG9tPYrNqmFPfzGZQJvyYhu68NBiyTr7/+J0oCra4aD0msfqLDOx5cuw/2unJDfuYaRvg04 6j8QbwxQabKwsMMGbW0mSh4yKThrqBY/2sKhWAj6T00sRBBx4VfhYvQBzAqnpmsWPcNbNyifeWvs nCWfym2jWgyio5sX6V3zwgY0FHGhacdyifjFuAfhUYRF56AvWSi6ZrK4NU0ACFB7VSf9RsqvVjUO rARW99ybF+56XU1PHNHxn9zATOV0Jgq4Q4CZ71wU/1kcH1umaE0B1Y0ES89k84kIgu32DDQOWrVf oBrF1OukM+AR4wLEdHst+WGbrO/BnkEPOGGfE4b5Pii9A93bjXk4vAnF/8jnePndZIE9Le3fXSj1 IAlIpKqylfq/FHFn2BUUtfAvqz85G/UNTS8OxkR5KEX1cA8v+9cMNj9q6nhmYmYfrReTxx33X4+1 y4EdZy0t0Gtk+3d3DsQItD773PFLO6L25I9QyQCM4hiiyitkD7v6FRPyhthtN8TKauhGnwVR3tJB FwKbYZFzVFVvogI8GOyQO44DAynSS8m5VMF/IbNlnCPsaalB9I7xjSdHjZt3MFqy2inIdrtLqXm7 CKDq76a+C6nSHXNFvJpKoeiCjpslJj7O/LhDWj4GaGn8x08tmlKMfLMjnzXP+5zWFw2OgdVogG1g +tj1DzdwtxiRI20/5OyRQHMJ4LStQxMiAmpkz0JiN/7P0hDkvlIAj83HUuCCtkXsimZkqcbinbGx lgEfBJfpckWnKnXdlXunCc2HtBgJHBS2bNHAKarYWsjgYiHFNlrdOwL3vGOf8iHu+y5ch1RuFLJA 2z97tTECdzDbqxwR0dAi+zLGyzs3u2ZQ4paexWGLs+CpvaI0jev9QddpB/BhzerFY5z6qbJ1k+E+ qyZ0A703kNPyX7J9ALhGc/OCLXYrHCWsV+Z1o2ZtMoqIFqEIBF0q3nbuaxwzE9S6yLXqTLohrNPB Si0jbEfzLu5eWZkaHJSwtWhZLU/5D97FoDRCe5P42FFIpPGfM+LMV5WVM59ri/vfKDZgDuTtV7hU T0D3KlY9hBlaBASYMes0Dfm8gExxdhH0HGMdeCqGI3qSeLIiv9BE9AmzQu75EcbO1/JLW6Kk2MK1 ZXTHYaw2EfQ0SfLTZKYGAwYaNUWwlNFnmuBo8z7sJvX99M6cwb3jVoju3hQDm+KXvtFIBAG3EOv6 NF9Gh4oAt3g/K6oqQXGVlnVMG29/532Muit87y8cAhRHoi6n1D7fq9jVKFnj4f8gYd+l9SiQspKT 8URM8Atelad2DGypcatpBjF4glMjIREVAkl7zybRv7lFEmVGuHlcKATiPSmv/Xy9Ga4IXiDxnlEf 2KZjIfSegl9eG8EEmO6ClTbO4lE4c6VKvx8yyqiOQpv4gq7MM4T5lUpGdjR+KF9mISCxNs/9O6YP +38TpQIIGlJXrbL7ACEAbJxgVEMtwvMFT7cLwOk+No614V6VDtRv88twPtTyq9/MqkDOpxM4xyI+ ZQUmfHVw6k2fWYJlBaUQXuyEJCkg88RhrtWcM4wLeSciJgFHSGX8wEpIfmhSaUp9Zlw56COXpQZR r8IXNxHafJQ+5ujNI6DxjLfTmxCx11IAxj8vnxeNymriX8ZXqVOqvss5BtCTLr5xh+dPzp9VYu7V buV1a2gtnKur56wvjP2tBPTF5QOB7u3Jfbwxnvw1lQZXNn3LL+sKPN6RMEbcf/+Cs1KeBcw5RP95 ZIkkTWcm6uSHY9JG2X4onFG6HT5tGh2QXyc+RYsBqCQzwl+IaOvpZLV2P5CvS2ovCdZr4NF4mRDn c99V+EvZpk3arqXvnhLSnPnVfOiOtYYOeBVUrVvXHcwdtNUdBrAkqeVHq2HuTbf9CHN26ToRg4EL HeffZldCVfNiXIgsqQQBh63WAFB0pH68MmsuQyWlux6DNWcdiUZoeDvm/TCsqUGoVeQZWcZgZJtU T0Y6eN7xQOhQydZZLx+tIl+k42/mCEGi6DxdkJIT9GpM35qIOqWYnFDiqy35S816pr3hAicOS196 hUtwJAxvYnR9BHlz852NBBlzllGhEvOj69BXDSCd75aQz4qGEDEQpZuXWWNofmLqyJLyKQgosLPj oGbjgtdRLBFnv7eduIkPCGxvS6l97iFX96puVb9+Mtmes8rDr5eVWI7N36tRBmiZxlgwFVdgWUSY 39hzAkZvWmBmiQHZJKCIoY6lpAHm/Y/lRsy5XqfcvkjwBZp1Vu+/GYJcyEf1cQqkELL2O80EFzNk rG6QnCS4kFg7bTfTswV2dDdMkwBQ0t2VCXrh9NbHsnqC3KKj5hh1bm/HdZNDiMcayo8vWuwvh7SI RxDaKiKHnTNzmJnI/Ihh5nTCKxik157ZhinUpsB1xzbyDazaBLSPuMo/QiycpQzOh3xfKZPufQLS liAIvZR1AnNa3Xt2/4imi0Zzbhv+6g9TpC1MOeodw/gXr7PRlaGv1zTx+Iz3eNxwzDRFJXrRQ2QG 6ZjmCjaxWLmKKjDgiDQndc3/G3r0pBsx6iaUKgREm47AwQRsrUll3xH6sGVKICOs2SJSdsJGqjV3 ma9haTbAHGKbweVX0UfdB0N/mzCJrXH6WUw2V8uEMjDRb/RXtzLiHsMFxOSJs7BaCEdaecnvLE6F 9trcmO6BU359wyRruYmB7xwxVMHDIHJTNwEdYkJRPKaQrSLgG9UEWMWwpFI0BhB3+/0O7aGs54Sm tqip8dHvoPrD4+5OuPpLKoqRedznoNSQvnzFQqyt9o/3E4P5STyGlhjpD+YKaNMKCUJfVEeV4WFN hRzVsMlgGNcgyrPV/GU7yi0JMjKnI9lrFNUvyY4dZOkNITPc6v36RB7AlQ4GSAvZbrZv0YLc99qq cA+VyWvxZgNaNuGymeNZi0Qzk1AWtRIAQWY3r8J74c4d4lf+8bR1nwLu5ab/gruF4Qkqrqzr9Nor o/vfuB8JpFfZV8H9pM9CJ+NS+GzM9XDR5PmsVe7V8So0xHNZQ6OMrHPIiXMHzYBbyV4/PgLutWyG 5DTbEdcsgfVtXTMgW79ucU7r4gcyTpZqDsuPsqAK9dZeqso5DjXpFgGdPd4SOD1eWGPanOipLu7P bGdN3au+4YrQslVUeOSDd4CRsnqtp4VZBB31fnK7LQSDRTZRNXtQ8LMoSbyDNsWDYrcW59wlvKQj b69oxtIjiAjrkReJlK+6mWkG6hxOFmEdPRPkca9HjLr0Fao7py3Uw2KmWmBlMZxNqUpDwO2LeZiO GAhWC94Xm3fxsO0aFf/CoXb6IwGRshOWx52cb3v0nU0+wJgjmUmpRDgFT8+JHaKa0FLe4q9zml5P Y5GiX07iPJSS82FpHUuGttmgzcFy1gNxZvOeoS+DCz0wJ+jMIaZN+uxwoWivDPv+E2VrLfULEfFg EPRLXnKNIjz7dBz3CzZGDuVtza/K80hoDPnqt59Ut3Ld87csDvPp7tym72m/nEf0I4H7inZhC1TD 9h9oAe14Ol8e4aN1PQvimuu7jIRqQdntzf4G9aeYffLIMiSZkMcbBzbuD9JgHGEzePM4pmCAb2OZ xx+6UPMPNqlqzHyjdAbKkyqqORSbROY6BEv7nxgW8FfeGnSjd/dnQweY6KJI7On1johSx1jBmxxa aFZPAx3pZlGfg8L9qTUeKIHmBKxIxa0iyaLH8Zron3UTld8TX1A3WoCRx1WG9wdWx4eI64njQjfN gLfQK7l0/9noUuBPcn61HYliuB8qp6EN6XxrXfuhn5kUQ9hw/cKhhX+ZnhmIXsUO6f1fekqAWwte NrW+7YdbV6vpdB5bC5rGgQ/WMbSF1vSa2O2XFVdlnXnkWC8uuDCZx01kXnC/2JU+utk5r4NfbLqp XUgKprJelGtU9xcZccdt0sGCTzVKk+rPRUABdaSMLLHePrEBiW75my61O1BFaDQf3BO9oJuihJ5l lFpsWd5T0UQGIccNAdNhbQiDRbgefpCGHr5zPmloHknAl8tLXn9EHzrxngFURwtset/MS0xhP5C8 V+OaaGlWdHF3qXf6w1TAj9bSIkoTeWAwXJJ53m0Vb/FN+Id/m2r/mfuaWj+A2ngcs23Xtkql+iJT lioqrEn/KybjAa6Cdfa5KE0OSY1k0FR/yko0ccnmUUUub4pIZE7pYGA4Nc4qvwVKlr6qQ41camJM hoC/MfRbZ/C49mmr9pM/z/4EButaji0gP/qCTDU1EPBB0i09Cb+rrgX9rRpUWjTStZ3XD66tErDt jr3Jt1G+37bHk1ZyNJ/orrZTvE/RTImROW6GRt9GiPjc+IqfcLt+ybZiuAX3lzRQUr5l84G1CkLN iXsj1pY2t6ttPbHgamhVYceCl1yuyjEWamnvadlI8XZ3Dvj1VjtEdBMdO28DMWU941HxkgZZUHGF LgLAnp6i/fg+4lQSlJuQTV6gR2LVldZxfaOijdwJyj+Gxp0p8GHlDYlBrRZmhv6zyIlRBJKXljcA FQLoS01Dnxw9zGUfeGrkPvHmzgtYoE45TLArZ9G5C0x7QEcuAUuybRGUheiJ5piQpoRfPFySZ733 O8aEo3NMolrINaM5GcMZl0ilarrE0QX9bG2kv2f9YqVer8Z6O7UAy9b2eyzWJUpYfnTx2z/ePBig 0iPyRc8fR2fwxFsUqmiTnu/eQjbJ29gvvmRuDoshs+OZVy5a1lHyLlzYmGbprwxYEol8UqdF8lQp lXbG0qkv7oW0N2b77OVj2Vd/9R3edJ/4BDkCd9C6YY4nc1nDW8DmgsJHK0vM5tFP1r5Mg9FkGHD+ kQf3Gk+Pzk7NvpjhZ0kqRjjChrjwl9IA/AXgMTHBrkp6naMno/Yk5b8nIn8SCBwckkq/Z9IA19F0 SAYy8hbKTkyQMsdBV+zdlgVTKUILvR+mGYiroR3xER2PtgwD2eExkoQJANWWYf/mnVaNgkZNL94A jLOC1z3/Aub/+kxMQgIQCNeErXEpU2d2zUtzsakNFCeB8n4InaNnw9Q0Hxan7dokVPe7E+GkLNRP AmmKY9ThhmM717lghWfHDQXxZmHHzXeVZdNRdl2JANyYHFyUQV096LFIcTRUUQHKw36OUO6d0qC8 SmGcCbm5YbHo/wnwZ2LWiyOZSITq5Nzdcr6dsamWpyv23d09trwcKL3LoEJ1W3AJYCFslf7k3ffm KPRuTHQ/yF4fD86grYUKjKcxFgt0dupPcPSSKJxaGuVAqhdCFES78h3i0gpJwryv0CDW4p6uxeBA qbuF/OT1UWcubQ5qJ3u/x5wrHd2dJAu10nkfhV5gAF7/jp859tgH2Co8QzcPmYurNKzJ2YICLpwY vxGhcOl1UcDetHrnuhWHco7ScQmNS3BH8a+rSPDapKjnlBJdAW3hi4EPZRsdQ6YBy9jLQ1iUeB84 WVhBuvsSKge92FvxyliDCoS5/dzrHxbtXoarprgwGfiyk3GGuhmLwUuFEAuYoeYcxTAT/zeftyOJ zCSL0sABfMhn5W3IC9gjE/X2G5ugfEDrADJQ3P47ilU27zakETj0zHQO3lnJXAgLt3aNf55mcOhx mUJLHSYL5ETl0il/9qqwkThX7vloG7Qcm7pTE2Nw/Ed1I+8ICleV4OjUBqnTMUMEHeeXk0kG9VNO Hoo61Wb/M2dGmfBqRm0MwVVTfJyX6Di3CuCed7nJWz3p1LPH1ShVZz2veqiFevISV8Q3/n8GJZv3 Cqt+dxBmHw+0LDY89JzuKjo9uj4CDqP8Ywi0dpHLbhR+WDA/GD0B7zRfigisGyih7XyVEQNwohDH l/c8u5jtT9xP3CVWAAq7E/IFx65udZbuKSqH5SLz9+g7nuNW9zyMoOI3Pwo8Iglhz5+I27N/h0ul kyq5WOJGfFBJdWX851ah0rgHfvaeDWiMlBfDXaKoRK6VyHnLSsmPXn2FG8qhC8xvaemjeOYHgr8m /bsCbCnK/XW1yScfSSgNAFL5cSyv5QDRVD5xcsegqAdoUdt+qtk7mqki9Hjp7+9TexQBhcUMv9x3 5Ll9XWV57MzxRHENpWWsq5ToOrDxk9tIQN1FOPAn8BWwvXcu7G1K/DxKnTe0Ie/PPcLSU5MOYohh FHj+TTiZhWutHwVKGqzmoG62lO18z5QmmZj9ebo2e6euQxQtqGYBOVIV/DnI+1iK2YS/tGSac82g Da2ivO+9BU40B9JfEExvxdCEXJwPGFDET1gPmfIfscwHhXYInrwDL8xOeY6v5yAe3ADdNUCKxoz6 WvrLtqRWoPJN/pcXCN2JYIMRXiy74luJnYEujCwdgg9+t5rGNf2lMfH3mZQ0lZYzTpsmxJnVmY+F BbBGJ2fTaYVYWQTOWgJeeBlW1N8hUPrDoRpvJ/XNB9ZMDKJDGBeMy9HXxWlYDzQDjxJ261Gzprco 8mHgUbBasAmnBzWViUb8gZQ/jw4aDb/JGQgq3Rxp0L61UyDDTHkR6vi8CdzEjqjk6DHDTte4TUXQ wcim2r04FN/T38j1oJxFUJMJ6YqyLZqW029f52S/pt08zho0AgsRE/Aw/Lo7DLKo9/Gn59Uyzxwn Szf8JMQSvlcVF8xTq/9Gc6ShubPRHFfh+XIYCnVFl2tA2hn8IiJQgWZGd2iQJyICB+SMStPYE2Jg 5t3LK/zHjBf1HD+shXP7sRp9Cff0juad1eGNOP5dDwjzHhfl3zXaTXAozCXIMIsN2qN/327vsU8o 9tIgx3/v+cdQYyqclcjUukZ+8LNBXnrLTnIzL7ZpcqK2aqDCOgW9h/Kc8QprGnTSfbDFRSP+CMsQ /RK+AyjQheXhuZvnqt188Nnv6+VjqSQ0pnoqkY9mcIHxWL9WdHcaAcMC7ZD+2/zMQjgvxHVuGN9y F9jezZ3og3GiwpisM34f96Fx0+va1+ouaQkE7qLlz9Fd+y9xH+s738BCd1aRz1H74/9VF0n5IBYe Ec0YjzoO7FsyrRbDsbrUgo4TIEtuQchxrXoridWT6MANx7ixRPKVqVmZM9shfGp4+jdpTTaUJSPe rrKLriCgljACOlRldn7fbwEqw/usO4mGNsVpjKzGceeoLAxoZggZ77+K+hMA7PMKPfDrL9YfHHx7 dScVYWoTiesy4RdFeDnN4keCN1nTJxUef1I0ghVAV/t+JX49MBqAjG2MzddPgejdpMtDSXMfZZhu 40zF9EtnqyB/P5LF5IIp5LSaBRAkuzgBazq8epOTBJP/p2Vur2HzC17hAoFcRHy4PgFs4xBtq6LT FjTtlzv9iNM37UI3wF3eo0yeyXAWBx8BRXg8jeJ1BY+lUPODVTSY2nIP8soEkWbKrCrNBbXwaJFf ZfGhZMTvEaRi+gHlLdti5I3mf4esJtRBmulq8sPbjVec3Mmxfi5j8uflMUGzIQCTPbWDqeKsD9sy t4ajkn9OAzQwOWaHyXAsfJJiFux83+Vg2rarx+CZaXRZLIr3/RP1hc3jswSmrkVkz8gbn2RbVgK0 Cwdukx0Opgi7siiXNV0pFRk+gKQ5KmdYYf0D9zxcLb0Xt9zzZV90E+mCv/PLhROCbILPWdm+Fy8T nr4LME9XZs55HW/3SlN3m2b41sEmprfZ9eaIrJtzplU4CcloP123+qH9n58LCCn7nHJis1u0PaR9 Fugb9ocmbj+hnhL/B91e+RDPgmLN8q4a2UQt5LLQiIVlt/vh/8abhND+IYU9Xeq038STrXxr4eb2 YUq9FtACzOK/LcoReTs92rjs3b8DyvYCcXwIIONYLJmaR38SbijxtvkrRARlfmhjCeYi4IGxxtAt RDv886bv6iTqGc9+GtnmU8ATb1uWehuypPONU/o9gM8hNsPaCOVxA0+ELshWiAp1uyN1Mh/QPPoC pnespI1NxQvC0ih18ChbwgEK2ErUnoM5KlhoO4+8jFBhkZiiDEDmbN1WcRvqE4XPoETYrTgB5bfc GIwvPDegpOa8Lp6YhFarpVg26/7tOTbUPpxdHO5aTwimkeSTc3ZO7AudcZUG9R8mGtsS8CIq7B1v VJ8v/GErke8PuvyONPks2nWhYtlS1uJLuuOHHXqliRE99ceyrMgCVeY52Xh0Dd1CxQZtj+fmV9Ur ObVTJVLExocLsUPuaUuzh2ThKfAJzCvnTCSud2y5KypVXqF7zBna8C3IgW+i0v4u3t+sbkXV4SS7 xXDMduLzFuhtBSNMlAx7/PDwGP7FU0U0beZWBCtBROSHc0lgE6PZ5HwA2t0H4CiV9fDzHFWSfi0d /X7YbH1FcMdVOroPGil2njBJ9DWL1/uImhkAOOGWRtZkMlNiy9FsXlESMhNL9Y0MwPiyaHfBI86x tlMnozMm6Nmpkpy5owZqiK8i15TxsflxLYQIFewr3Vnptv9xNWB3Yc2i1ERQ022yvuB/hEStSe4b 2FxUkCjVXLZdUZdCsWxJx8YiZRTkYO+KGx2C8iiZKCIqsLU/HjCJvTpSPCdLr5MuA+JKEO1yhnNk E8NHyStQ7HUIfMT8WyEmZK7rYL2akWQrOhWUBuXZWIlgyXjZYYSHQeTe9YUucjztOkdxDQ8+uo4W c2Ax9pHmEMHTK1DHKvRBHFMTD3gL4kqBX3ILuuqQ5IFmT8GTnR6jsAcSRf4d5YEYCrLmqDNGy2Y0 Ieq7Lb5zI9vH1wZUeMknkeHWRXSlsUdkbNw8NejErjlFKT8H/tZ2zNcuo+klmleAygvAphgPObFK 4dIY4gENgLvOYXI0XIwKzaV1TykKoZw23BcwmeNGr33TOJu73PYi/vIUXKJVIL0yNoByJdl7lPRz BEJ5IO80TWN2ljwuU1pkgu/rIiRWDDHZ6EEBEFxYxlhII4uz2LsVn2uC1hXe+9XrRPar9PwSj7ve PuqvQQnvfDjEK8iNjvRctZqGADkxQ/b6gA2Nqw7m7xasZk8hxkqXeKUCSFoSYUOznsQw12/rDJKL yPWthlA4YnUkYaH+lvgPkFAyU1hNEoLPdq2+oWI4gkZ6D4EigTDUWjXT6T4MYAwjNuE9ebk+lFAd 19P0TFSUz4cCwygFRbrcmRlqIg65sJIGNUd0grgg3e9hyfWQoWtn6qLSYn+bFjHWppypMqU0lBbs ZAi+I29f0NdBy/PTi0QGXBGi29nC7e9ahu7JYMENgLM6xnjofgYe/tszPwxKRJZFVfvOcZHciwoB 8vA62iby1JNv4rzP5RW0Ae4V2rkn8v2dHJqTJmRWZm6c9FGNN/kBGOc//NzahIwcFBezSE+ktF3/ yFnr0pRddHdPNKdi08XdQ9dcZ5d37tmpfg4WKUTPLL3IIacF6tGmY+I2/M1+41w9LxJDaLXdU6R9 o4TsUcBwG8wBEt9rYj1P7xVc7TyF20+oezRGOBQWoeH7n7kJVZ20L26WytCHWFcnOUebT34veugt zUknSNU8kOGbqmrESaDRHFBSPg0jWPfJkNhj/ddO5+UDoUbGGJuw4vmmziHnB2hN86VJ38w8q5MJ 7k86bhBnCB+E6v1/8QRHtcAcJklE2pXtOiONcG5A80R5PdkjBUg2vhp4pv3SszLP8kOlmuUxza0F c/SoL5WVdth7ch+ir/TVJFmtMLs3MiCqBo2ZriFk0TF4EcNN/oG6dz1Nb+FVzmtYFKrbX0sUwBCr 8bjl1ieptQsiT+E9EuZIjHF7KheDIXIHkXNxSpumo/n0z5+LKZtAjq5VugNKv4s+22hb0ltbwF5c F5/sLjBWOXZAeGPJdv4TTeDhirxuW5ykP9nKRwm1bIt/941pCKwu1Sul0usEPdfPrpY1QzpNsVpl Gnfiru9MqB/tJJLtfbjUKNbldGvk54ahiFuhXmi1mJcSYuPBLZa6oDEGeJkqUnAuI57FA72aOFug 8ZsfrvqQLVhoV+dts6a/cX7ukEPUTRFrMsckkuFI3q8bauHJFPmWBJI0Z7y7PeWp0NK+VSWt6XOS cmHbwEK6PzehoArZQwDKhNv5DbNkiAN8yRunevJ2mlddKFC/QLZh61DDSURpj3IoojOUGEzrfNBf MVSxBoJOplT71tQwEeh7wu85JetQcdAR4oYFu4RaB4IROXJJZGjUaKbW9xUFk1TJVD4DCS0QBlfX N267xL14QlkcLHSmOyapv0evEWOwlPbQFX8jTFrnuyWYeMr+SE2YOpSj+ZhOUwSTTGkBpTfljlDa 3eHWx7qzEKEmrMC1MGNj9i8YiwMgMwRclPhs4SgVeHMkOom6AQzc+2+pM5P0NN5nzhxiAAqLsfA6 B8hn5geGXG9DC7DmgeUX+8FkkvWOJX8Gu3hPZLfN9WvNJcHHykPyViRYLH1nT3xZxNzXno97H9eX HboG1+mDczTxWnPKIMHtz1WCg9YO3l20LbGJZwWnI98XIBfd/p+FhVDS5AK05qHOAD9lQj+VexVQ jW6jRFIdIbL3kYDtFmUhRrF/3uHhmw1/BQbWP64KM1gdvxroio77u3NQ4dnEXNd0gVu9aMANd+bx yoOTsaGwATevqS9nB9k8V/OXbYuV+HTwpXHShTxpM+d8S7QkAFGukr/8eq4DqOO5Y4mGT+4InNPL VNeQ2qXqPUfnO+7P9ZDCBeqOx51uaN6eUk5wyHTpTbRuuT2PnZ6onD35lmhSR6lDwrKHZ6DXsvxZ Log6QW2ENrKVkAUGFRP6ZMTj54/UbiJD/W6jKN6ahO5G+8RFHmE0aUDDrpaAOKuI6CypcL8lAErH gNjSO5a6DuaGx31YOWdAlzn/LuvyAo3cUcclBWSAH9NW6KHvGNZ5CRdCIh5KPvn/ZkRV0qlotBjn IAi39hTFwcAMCfYHLOFoWmfUzzvyrJUDQ5McNu4gAXPU3GuZxvtNNFFBNLlA+pr9Rp6H1M+nT/Np dz0NzhAZFvBc3juOlctLgXyUK971ubLXDp+awIqddhbp0adnbgoJ8/o3USNL7wPZMErRfQds9ln1 Wdc1TvqvE/HXtCCADejItUZo9Hw6k2R+W4MAnOsUwIqhbXHcIuAe47X9uLutj0ZvzMisWCJVd4qu vNMpGagZPl0o5nwI8/7A+WPFFwOsz+0fvZodzuP5OuffSO+kb1QQ4XWukeb/MT59kUnegNvSJmlw 2j/yErcli4Y5mu8T9NLn2rF7vZWzOSsybAWSHWnrNYd/9x2bqHH+IccUCdGrIGSfnvRcYSoYR7GE rhCVfC9O1AZzjzoUIaGeM1y7HeGM8sN3aOfVtXNMxIKB7VevyqcBALlZNzj54f6Sf5GWk2CaVxOT 9GlpgdS9iFWTgfNzI8pKIjwDCFRtWuuCknV72mimkoKteD2XBFOgnvxjEL92BqrAasLbAXFEuzI5 QpXd1MF4ZwGBRLz4L3nbg01XlbY7tT/9CcWEAOl9QDi5jFEU5b1uP6ixNuf3gKDpJJCo8pqKvekV oVrq0UMLFpI/jmiCKamghlN5FB4n/bdfOZF6EDZLj9bgDsBEjHBE/dnB2Eh2ESmHu9rbHpTlxMZv v/EDS1QPWFkUIqZfQu4KdK2ywhqq5Dkb0csXzrvPXl4FHDDWY15kBBS0heNNrRkAPTnImtaLpw6O 7kiN6RkX4XTY/tRG/HDPgkG6cpLvkaHTqoOyHjs+/P0McnMBj9HuQkRoOBRXiJONWY7ymI1+1O00 X4V0yTPgxLhJhBh4qEFmaqdpLs9Tzp/wE4Ng7IIxroM3ShhA65TVfkbewCg4/T3qWFIOcFDhrM+j g5jd7nqau8zB+57zd0wiG0rzcb6y8CXmdBU2lZ+ua7CzTUbV7M3e/f6gPNKzYMEKUsBDP5HwsUJ0 3XxglvNtdf1Ax4emG1TJf3TJx+CC/sX92irpSmbl6R1K7NDj12T5CwGJwk+gcH486swftbmy0Icu nB4y/yombWOyWnZyV3MTBftW2Z8UkhQG0haNUs1xT51F2JyC8cr9qExk8WHn2/pG026QNva7xzHz rOsF9UUIR7jxHIlixetNYXQRcs5ocZJ2tuSXy2fwurkHOQvBnpX5VHLxzBRj++Pl/Qj7fwnm042u b2yxDJ2bduYQlGcwS04oYem9WhPZB+//4/SIeU8/MFY0EKnivrXCjve2FsXMAFjuhoj9nPABD2jp 8mGFg7KxRvOQ2LcVvqwdW5mNmQe35ZULtl1DuJERQrl+rtJd9vsjnF8uGZEVblm9RN7CxLmRC0E+ DkhH4VdDh1smN+Ms4otHeVq1lD5mw3x16MslNMEXCZ0GotJFHlU3XzeVT4LGRG24uj4W70WFM7wy hnZ8Ce/FubupPrzRVM8HMVQK7xTavCwEn+l3auozVZT6C290dUhBr4B9etdhAYDdRbh52JEU/A8r 8EdvFPwdRUu0r63dLCpVXealtg+YiMcobT/oPX7r0JrOV1aEcAS4hiD1iMV9+e6yvnPBsWAHYzBY iWgaukPeB2lmuqySWTEcDIpG/ibvC4oBUBLT73ncuGcbds4gAaLJ4FH2SQcYWiBCawuRojJN3mLc oXrAFyShCNxiXOei5T6M+Wmd9LXCj8HRbsSa7dcDcfq0NMRvTYypICE/EstA4TjLf4yOHdC0Mc2+ WND4Jj2kiN7J7G3zzW7lXTVny4ItDS/w1ryXQ69ug6iSGPtWmRfTwarPq2Z+8WCQSWKW2Ev8/Dx5 su1sofSU42phJKmzIenIEqNmJKZR9Q+NuKWyrsYOEVR4KAObWTR/lOBGb853wD/K429wHE5OcZ/n XfBnjbzw4CVpI/+tJJye9wkHgIE2qoYJeTn2USGaNAx+21j7x4ACz5VfJlc8+otLFr4KzQOuMRKy A8KSlV96vh1b1pEFEIKRYexoI9npDKjUXxDgFveJy+wnfUiiVLFnMu2b1ehdzK0xmfYmij3FEmt/ 5YatEFEelCVGv3xaKQ5mgjSCfADywgrRlr4IUnEzbJdmK1ydlIX8ehTCrIjh4ZIoIC++aLDAVCkA rl2r6Fy1E4LKncxPp/EMJc4bKGm5bqbaczSmX7FP393zymbsMrJaAlh/GU8WyKF00K7YifOAdudr xq70B5M8d2OD+Uts9/ydGqGZRPEo8eWz5uEZPUrZkYdNR2QqX4BdTE0XyExumiWGYi3FEdWlRPxX Kv6MLSHZcLQVXZNaestn0b/1jXJuFjEyfE0npfE1ujghmmw5DuwG/nWuydgia0TcIxE+uPy3/14Y poJ1jf8/dVVdyANBU8g1OJU8qLHpEe0WmNw1SxXWJQEGcK5BcWX8digF25dJrOBLC8FSRv7r/LUO N9ADKwxecpG3WaWwEXR1vzR1wxy+Dq1G/nUqyDzGsuIZkWwuDFddi1pyzhWWwq8ojs136yT+TOq/ EKYxKmB2vwrxtmPgmnH+RRm/cL3824DCnSg2XV9N3kSteQSJ05LWSeK/Zwq4/OAMtIwwbBUfpY/R A0atCVG2qxROkiwYmhnY6gm4KyQ2hPGn4IX18uqoE+m6VBsgMM9INmYuPeR5tyRsUaIk1Q0AUf+A BqbNeHErck2wnM42GjpZbE6u4Mlyj8Y9LcG8CiZ1JygZM9oaCv7x0ljGOfmgVKCxwgJ9V5Bcf++M aUtUZgx2ZsVw3apN4VZa7ozya97kQfmK6a+B1Huw13xzMVhgaGjkJ6ECbfPo9Mz1/eZfQPU44YdS IUcvUf3GvffHrt5By7wSHHoy9MGcqbDR8GdEZWxHf3S/ocCXq+qT0n0ZO1vlaehBHw8O1v6D6h3X aK10mM4CEMy772Uo4Cm3bh47MKPbLGlJv9+HczCrD83oXDVOp0MVt299ANmb0qTbr1fUTguqzZay BCGBQZ1oyvDxksyGgEO0lu+/yH9hfSffZlRfapkYEWaOy0qLqeb/3f/xq8OPhEPEmILLL1kE6fgC nqOI+qB1I2bLxpNGRpWll24n8p5nvCDhXvTRaa6CVzLg5KcLeYeskVekO+xC/7cQEkOWdoNctxKh pnVJBGgxfFv32pVsC94g7JstbO4LopCtJzwa4XYrvqC0iD2IW9OJn3kKSnn4tc+6gvLwEzGl5n94 /HzYoqhbF3HXe6cQHwlzT3MJTV1H4lvQX5LXHNy1nlYliouq4Ojbt6KcJJqJeICQeqoocaBFJ+tJ lAoRrmHUpbaDaN1ZEEW9SrI32nV/Zwh0UVh0dOZMipOZdVqob1hKn6MycAV6LqyTbcVSMun2/d3V EFYaqs7GYQ5Skkqy6tavxXt7hfYD/11DWtMwa0ZXk573/gTtsiPTklinvn7516hVr8HfzS96GMKE 9R1xTMzA0WAvu4rIFvfFPgrr7dyv6C2aw7ysnrg07UELXJU4sLytvx+h4f4inBNQU+kUIwv+OFgv jw3MF7Pf3hxymCA9ZclEdTuK6DbeZXqshS380RoQ9IbDRAThW/BCp1v88eaZ+ZlY0/bVm5Gh8Mys PDuenZBkWaKjGQXxf74XP1fPEC3O1e/iVsxAngrwYlPJEzkTKO5oDAPeBO+EfZJ9w8r1ylr/g5uE 7gAqLB7bnkHSD7Wo4shME9zmE0rXLBl/0Vs5CgyE2XX9hpHnZHaEwamTPqagGSUaq27+lsaWnWnm ua/wN6hjJQdInlt1zRU6IaEGTXh2rKxvLV5uEb4zCzoWITdI0CsBzB9na+yoL8NaFY8ZRRfuYc8T Y/aR6h7wV0Iw4oqsp8QzVP5/wG9upWnOARziE3bN7AuWPtkgT6mJU/zVJBYReIa/Wy9LBX9yDBbg zeFcHjG29Ud9xnlHM3Lt1aICZjZofpB8qeFGv5UdoECnC9A7JGgHjsVQkJsi55tTEdXTn8i/QEhk Ois4BTBhim5rdIHhXFkdbtWygl5JseC9Uah9U1Ojz1v7rPnY1eWwC5wY2Cv7/cjl2KLuXy3JVFum UgcR5bXxpns2aoyBaXtx3N9aQ3I/CRJmhn2g338GSS8Jw2xKO2HUpmqrf7dXI4yswqEbz45Fd9YH x6s9fNEcKE+Ea/0QAa0tI/57t/lT6xN2FAR4R5igiSIiaaMhS3+wjySx3FwLHB1BRoSBNCFrY/0l cZmAJ0Vfcw2L0FRv5uPLq/UVMkpuAYiy3xAPUIJSGvQDXLsFuQEskPYQ6B9hSx7ropohtSHG55+P ssHqy4y2gPwuJHXqm+Yyxuvc0gfOkyjdRE/48OdllFORZyAcwAMxWEs3mWquHn6h7l4Wt/SFdCLs sh6cGHdu/IEVmLkJg7De86RbDlPVcJoil7Xli/yC2TdBg6j9ifqhklU6rzYOo/Sy+ArpqaWt6rHl JQVYpqiHYBIhEkAm8yGt/TsmWarHbR9xrgk3YbsehbH2BJzxjNLiirGbApvofjjAajfSBYWwxq95 K3pRkhk3Y4w3v0Ik43DRPhPYjQxlgx1qWLrHGby9XGnNEQAXWH6VR8P5HdnhMvn+r/Ify3ZzKYTI aI3fWygHjMER9bpRFJu7o8xKxFKnUIi/wmmxDUfeZzbgnRiIcLa9T0opHhoU7gNnOrqUYQGIe8k9 ehqw5/E7AqAXQXLtB45bU6x6LD92bc8wooTpkIfX/mq9+8/99cfITA8cMTYxxOaFTfGORAoKVu18 Wy/ackVw7qlx5q//ebNgyd7vXOn+tiOUVRGRmABPC6uXQNSsxS3dfq8ZnP1qzSDhhlgi8Yueq793 hMktnNvuXezvlrit2vR80o8PZ/kadxgtclyQ9oj6/FnBUFuFif3tramub9eAaVVLs4ZKAsOpN2rO Sp0j4zUFt+h4TcBcJsk4iXRSPybwpixX64guPjf0TYiLrOu9XxLL3aq7qOs8vQvYOK1iUpb+vEt0 0g5WLpdYzTOzqbwo0W30NSBrFqbuZ6SCxP2sfV9QplPKcm+wetbot8QvqAt2UJ3M4z9xALPWJSMq rx9mtu2BB6wwVS06gSi7RpPTDxf7wz4hZ5xcEVPhCzgVG2ORBeYkdq188huFld7J6h5NSttk17Nm a/wDV4rK6tntQZaJ71w78bhimPzC4TsnjXPSuKvGLJPFNpzcBjLcHu4ahpzbaJgF5OonwT1QHcVI hCPtdfnSOmCMozVk/KTGxlbzKuhehn55wFBU2hTRh8q0fNQobp1WtsDE7nCV1z9/7OA0uz9tnemu OuCtFvqipeEXbgoAoW+RVc7NQQOdoaJfilizrlZpcvrfN8b54lHKKnR+mcF9f7zHkThFjMp9lOWE 4XxLLS6zyexNr2N6N16XL20QFYoDBTzE905SKvQyi0oksmLtax2TlD1LzfRb8Fu3SxQpxFjTDJL3 z5bldvhTP2koAxJ3r0A/T/ahfCKCIKhp0Zu1Gua4WloG1yWD1C74FrAuZieDccEqCJl1RRs4ES20 Q2/KSoJ2grKGBYj0qBsQT+TzaKqzws4w2omcPcw/pYr4UYoI4Dd15oWhdUtAL2kbACEHzULNdJwM 5yEn0eCfJ57pksm+G0NeIqyf+LoZNOkIoNstjJdFU10aQi/giKiqUDjjVhaKsVmSUxoQaLolyciQ UfNos8kNCo+8WbdZdC9daK/CMsfznLMnELFFNhH5y7Kjn8QMVmgSTnkS+rarktMhaBtRgnC69I5N IHZe9ql90MTa+jNwwdDzLDgNaEAbzv50vHwtZyEPc3KbR+xTI7IpdVtFTY4gvTIyGfi78lYTMVxL km+a/Me4lDtQnfhseIsMUwWqysViZAE3uwdKXcHPcQTS6xoTQyQfoX9V5NGTR1allZdXa6/sIToi 3w5nduu/FP2Ua4iIA/XkPctL9DItlm/mHnMsCYbdEpQ7sIxtnG6b0p9WkfXvQGD8VzzJAte2HAC5 UqQcR2Dw8qhjNrQ22MkP54r9oZu4ziuiRdoMxpI0qZ5PfC/FUZySU+FwXxe/UGANu78uTbfa1aK7 nLjFdafhdl4WRvvR2QHbkZVKotccdUrBvX8kMutc4gY42oSbr0OaJu0Ey9brjWY0Y73gs3xgko1a PEi4IAA6CTRqHUbObnNx9VIsPDDZnuEfpZril6iqzPwzF6OXWeMFNAfW/KN99qvGYFolMC1UZn83 KSL/qrLwU0wh4QZl0QGO3styJbXQ/8LvmhIhKQHjSSAgHb10Misz+WDHuwD2bdtAC5jOaAzXorTR CmhqGxXESK3lmzBJvHgD9DNNkUky5BfswcR9Jwg66Z/Gox3rUgm7E5HnXNutSfdL8TCKxTN7YN/A 91ohh/gDM+pryoegUlDAxsc0Xsu/IKkFgYgVPYg1FGR5ZUDUqHiWOPq1hvgK7h4JrjIh/pIk0Tp/ GvAPSAH7ByJiMNUWtYJikI+09OehDKnkf74Igs6colE/E5C64My5yJj1UZgeEYTaGNUlWirUmItt vd8sKQC8usDHUVuez+pnJr6H8IwgdpMEMzWtIiQdqWzgc0DDfrmXlNq6QcpyivCRvptfgm1oGc+T 8frgGjc+iopIdIw1ibHQchxkhaIMoF5uSQHG+6ZkvCrNcJWgocDCkZB6IxCpEXAMd5ufLT4quPle cAVG1LPNqIA3quO74farv548AjFEIKFuCJZ32tVyp9BxAfy3/137sa/BWrlSFnNHDYyPMZvmuDTs HhPfIkDgHDAtpQj+rymdJIzPjafWq47k5mo6ikhsPQpvaYdCs8r1frWjsRZ9CIUCVR2Pi0uLt1ur ADO7thvk56yXm+kEv4xNM5e1YmowYIo3YwP/gKGD43wI+bTkYyyh980urO28/rv9IslctKDyVVr7 QrFmElH7VWCDNokfFjiwg4D6kgEaDPafOpz2+J+ws8DAQ1jbnzdDJnIjvLW7ZGA9xECbCrNmCVRd pIJILXN9o0qFvUowLcYCc4r9aZAuW4U16lVN1BRNnxYJZzrFe1QOIjXDbZt75Jzyp0zyDQJNZiTs 6qJBUXJgyL8iyJlnELMc8W4u9Rm0Y1DaRT2Te6xgLbbLD/8pDMfMtnk+ajksB4n/wl+73TgXTlzm FOIqEKxAySELyEvOmDoFn1cdUvUKZcQayVrAuRXpvbzU8ib2TmyJ+WI6u94/I48O6i+hZ9m3LEaD SHjWfxBOZUIEY6Xukue+TBY1vD79aa2DjnI04Kekhhf/+O20jwxrfFJj5h64rBoxdWAp59+1+NKo D9xOuyo+otZR5WpiTyteuW8XXHQ7OtUNMqvKfzAJIzHCt882Metjer4emBJowhZz3YkZVTI/DQS5 YL+TP1HdRt/K3XzD9e7kWo2GzQfDllvC4rqa5y4Ral9+76Jv0xLPehf8aIlA0z164+83bAmY/xIe Wa6+AzmMmUMDxqDVa6U5+pVdk6b01xx2zx3reFymXU2/hd8toTH9AuGHvbopXtV4F3MEFbtQV35+ F7HTB0PjwR5xFp0JgNSp37f+sDM++cN2wqPES4t3Esw5mu7GUr8EGKcZCUlrFUkI6lqdKo/9WOy5 P12AcIWrCzqmGkIUUcdNogXAkOcjuYrBp4dbrM3E/zzUxKgsQGS4RpANVpDnE+AjdtLfGO0YfN3O RKr2NimHof4eM582ugWJAcER6uPmq95dfj4FBbCKOZCAZzJcKij+IAVREqQOQMPoLOvRbWdYPHo4 IMo7788hI2+mddC8LDyp7/BSJMolPrQbTIAUyUCGkDqZWHh8+SkahmSabfN+U4dO/MljR8N1bXUF OeMqHdtk2xNF+Z1diAgDGBj5Oso+cgkKi2yNV9UK31nsq41EJrxuC+Mc+PKOKSFjoGtLXzOghFrC QEA8RVZRuCpuoDxMz9pzDepbVQSJNMGupxvOUlkxao261NdaIH45poXcggRDNXuseMvbnqd3IbKN O43Nr4rrmYed2AFPk+Nu/lk34hd4+1YD6kq4nQjtowehsh0+zu1vOngLsSiT5ZoXJ+IaaPSPz9UD 6rT9nd1FNEPO55ozPZ5Ch0sljnmpxOR5misqSo1RNCLgW+m0s2aDIJcc2QZ/ULIw1ka2mn8QOLoL DtY/LT7A6+4NKnjIslkR0tgioPv47uSoahJ/Ks1zw34yTl62/4zCOEvpJOw4+uE9bisVsDMC6vTq Gf2zWDMaWLjcCXQlA6tiPvqwOm2mhHFZqrZW+1vZbUv24WUbbNnh7mOlq/JNb4NqmPXgEJ85uOUo 3aKf+kgvyrmCDPETob+X6eZJ2cxaqyqOqDyBS/EN2tSCxpaDhiFuVJfxX2D2LEx/Aaz+SETRCuYJ P11xXScUMpfBt2VikRV92DZ+lDv8IJkMSzUCKyn20f8mwAQvM501C2mNqE4vZhBVuVEHXsdcpBwk eXZHCcSfmQzjCrCFR9OtQuljGGuOhuNFu4eyhV/9GF08Nnzg8I81mgqGbpqucJtc+tX0nf8+bD9h y8DaaQknw0nKrdp7T+VYzXxxTx65tPvp7tMm48AAirYk/DNuBo+/2at8h8guXMzKFyqIPMXiYpa2 2IIkeoluNR8Jp7xLVU7CFHSsUmFlLjw2uq6+EIrap2FhrEyYsyfj3eiq2/bYz+Gw60m/VGk7leNQ xCMyB/YMH10Qwet+3jU7dgvWCiICq9H3GgxjL00T8yvj3gyqaX5exnspJ2E1vSZJ5uZ2ItGDxSBP OpOaWKyIHkW1PnG4mCQ1PisIyQZ/b0zUeEw3be0YaMsJN21Slenlv7Pwf9wLFRIQXEjuNz1Agy8e JWvMYqQTa/9Dt0VlJVoN1zHvfUFJ3tWtVVO0014T+A6iTYSoTFJ67Nae/gGYfyv8e17n0qbOL6+M rZmxPxs21U0equBqzCH429VQ3pHXe3P3bldgC7mq9FdKhwoEIEBDc1NUjgnGwhxjl7HmcEv0ca7R Q7fsGhyWJvNSkaIk7Smw42HxDwI/Xe0mE+6nKjYs9iw5PObcZlzS8HOfAF8HfmOiJEXE4T1hdh7m dH89UyY79UmAqNtk17y4UZ17azNB/og/KK9290rPm3oeo38Y0916U3ZWZDcWz+PPveZlFzIO2KCI P6vvYbrfCLObQVndnqUUCDf0l3BP19miOWWqzTcAb17+2yfGi8+2+PyF6AqllMJnXM36McBdIovL HKuU39FxiQ5Db1VlkcyJc1xDfalm1udkkmjR0CbdKBcH0cuzbRz2cpQgbPhXK3ouF2CvKjn2mDnX v7pJYFPE6Q8UDXeU6HRCRedXlNXzEnmNE40e3S4MuMH0dg57gx+E6im15hlGnvwS5yRgto8bJ+Lo yxuKQMshb8MaJ4R2Ok8WXLQI+8bCIwD3oNzA0rhFQq3kuNYAm38Odu+lpTGw/yEZ+5sHULthkOUV Ja5fYaakV9rZUG6ZgkpE0GlkVuWSSTPs26FUeOIwV5AJ6J9GOJGf7k+r1gFke8RkJSKJTEoXth4F FI4WGhyrsZ03SADrTGP5xCzrRrep7WS9icpYm+5ifTWkCir9YqDbJYQGszJDfW4RJsKyqvxpQTea 8c3S9/m4M4sWDAuYTNvxVTSRlBpyNjcKlq3VF1Lc8HxCaMZD/vINCh5BKEF150f1LRr7Hu0ZjNaX KqtDNcJYXA5J7rUOSqFNyi/n7SgEEJSCAN7zf4u2LXwUaD1h8aGTf3PM1txrHRWzcxtjyhGjUHoq kHEJBGg8zDaB5ajJG1qjeCJIFdC3oA+9SQt/bJ4xInfvNcQ5NmSFc8avt5EtcXs0vr+af0DhiE8l 6LfXRDtngXspgudRqJw4z5fLpk2AVpRATVxGjY8ludXYUyCSdmz8iQIc6DFRiaxHOwjCCiphDMPh fLIxdgivCvgPcxwQcONU/N7DxnOfRL8786VJLiqbU5KpALSecEbNUMG2i68+begUd4Y1092wGbH5 SspdvL0mDQqW8Kxnw03wcrteBcswz0abTtQn1sWiZkFazx1VMvfoZCs1jCHA8607+ZCyNGmN5cSn RqB6NagzF3Z1b9kdjdphJR2fbIX3+itdbobRXnCcFG9NxCmZM49W+zw43rw65b5Ny0zzrAFl9Stg 0n+eE2TeCAv1yWYtsL0EYU6UL9DlFrD39FY4V9iFvI/k4ar5gH6wcoXwEWzaYfl9yVlbd7rv6W6F w1Ss7QwD98YVW0awkV7AVn17yWC3GQuAK6dHyTKJ85C9l63dl35uU5OP+ymrWz5Jz5AP9NmIhCmE bB0uSFpEzLMSQBRVInROBhVabxG2YLZk3szSxyZcphjuiWhPsfRIvwvo/FwjBE+IYGfv90/AvhTq MMKftBftMuWQJ27xy4Eqkv7zv/vYxl86io6PvJKcHu7opPN7XX1X/JJ2m9i5YgaTSTZosZoySeLp Cs23jtEDAJoJQccPqUHLYd5si8ohGCooFKPApwp/BA4IoVZAaCQFx9yQ7L0a4vCrCC5jYBxem0P4 sPOEPpvDg2Aj26nyxdN2FUf7gvQE/NZ8nWoZ0JV3CZ8Lxh19CpBU+J4Io3lsk2yX9NmRY3ZLbRpI 3teNMHrF3r+h7cJwAUjgR4cwkIUgP6CN3+Jwuxn5wxe+H0q2UFcobLPU7VLJcR/uUWYMWDW7R8QP CvVsSaaKOZGejXaf7fpESvj55363jzXOjhbzioUezvR91jd8c7wJF+xQbBcpq0uj66Nv43E4K7K0 CH6yTP+WgliJZEhY+ESvUd45mawz/3YVUUQyhuz1YrMTmaVbC4KPtfmGQ2ICYhx3r7eZiKW34Sj7 1fAa09IZlpyD5ZC7pq/5v/hkDe3Y+Ni2v70v8LzGBQdCUo3NwblJsTm/HcAmS/chIpcdysI2Ncc+ /wwmEVVhZ4d5uLScl2N1xb8+NEx+x7gzuYvNZdLyOn5G7NvDE+dcXBobsNu45FUpjYFY5G0dDJ5e PspHXPpZmC99gVFBzPoby6APkm+/DqaFpiEysUStD1TPJm0ukDB0jXcmh5kcVMDcjAg6I2QpFP6l kw4jhz2jeBfJm2+owvsKSQU8CAxt2oAeCjri3xID8FAro1cTg7ozbOasR6LiIes5bl8n9n543HbX P4+qFhVDvSpsNWWzeVBOd1NWnJPXGuOwB0Lg/4bqdc8YqsCJFNiwYjE5ylmy1CLsCZ86WdjMx2cp NVI6yYpRUk6wphRDHDQu3roxvUH/28JcFeTH7PQaKKW826os0CY0C+Q09EKpaUKVz8cHqNEEiHzB 2cQE+7xHC+Yi3gn6lLrT10pmK6OApvYRkF0B6ukpeAEZDixp2q+F3xN9WF5TDcrwg3yIpZae+Iwb 1H68e6o3xOnNYBpsrmIRhOgT8EDEK/5/WLFA5Pd7tEFQN4zoVzWAZbAau4BChFddT4hf/WgHZP/t re5WW8AlRrIOYevktrw9p71JF2+e/zuE0P7I7I7X9qeTCXgfDcXE/K1IQk21V2XArpdX39B/jrUT dX4Oz8+wENTqICdZqEaf4kiEqHSm2Pxy5i9iQHLFNnA4IdoHD73lLAPxgvh0FNztwrelOuhabl3r epOr7ezJgKyXkzm4OLsXB92/0DWSe+HSJcALiXwRjlZQglXgGVvUZJGLa/RITAxjnX2dcMK7Ulrz ZdazL4SFetC0Kn9ZgZQ/Au9/jCof/b8f1qUfmdrHVoBxoyiwFS7abSa1m82beF/bg+0fcraJ0i2W MYqAcfxEumpLCfEkc6TWdgoynW6uQ5YQg+03q3AqBEnhF88M+Gxs2/x5cjY8/hr+yR6gVu/ETO7i Cd6DxPbIlnHJ1UgAFimy4slTvuqOhVf9mpaC+MZroTE27zaGJxpGJxoRNrrmNztFSOiYxoP2WEvg AsbywZQhwPvAtpGApTlPFWyd6D90/FLW4bBhvLHNneU9bSI7TAyT/vaIueCn1YmppB44XYhMjzgp yoRxo4kC7svo1H9k036CTppwnIXtNJkm8tuqXOQ3d2vnZXk33TMa3kPwIGkHW9Zv3BhlE3kgYZgT 59J/vsPO1+Jkid4yMgaMRCOG6V9rU2EvNWme1yx7gVSz2rS24AmDwflCqZZKuJXpfTxaDJlL17L0 22a/rcjM+PyeEZbdb4E433mcfrcLhWxfsp/mMpW6YQjPsgtxjcQHECNZVwXKer+IPHZIZYlgZ1mP UMNu0lfGux9io3gm9WL6vULf6xh76Z6A6Rf7KxkeoRD5tQ3qrUd1K09Bk4l3wDlLHTKh9R+TW9zD lX69nOeA1D8jL49XFUmPFQi5KQlzG0FbkzwoT9WyFt/lSOrHztKlgbuEhvBU4LOGZLiEWYMDzmy3 tTKse1NpitJWBaLzQLJlxnKRid/CJi13qO+62MtA4DAic+GuspZXiA556jZHbWTJW2y9YP32NbjO 3004rUAP3vFIyCm46wxvXSwXVEr+sGyLg1U9XicDkUg4SL8wfho09QUJaiKkN/Qd+DPK8ivPUspi Ju+k1GWa0o/deIEcHX1ziaGHsp3r2n5Ti0d5RjacZaLJQSOTJPjFwwaTZppOwF2oNGzF+QNJWd1P is7o60vdQmgwnFPxbg6g+4bnmQklAhHnRGqLje4qmjZh6sSelv1Du2aNqufnCe59fADq01wKgy8z Ntqq8nMn6INrsNT99cjyGTQ5gsaHWi/vmN1axaSwmAir4XJia3pcSlDxjL/mBwTTi1zRgRypv9+S K4NAzWYO+1cC+5uj9l4ZZhABBpLDg/W9K4h7RN7abc4FDpJiZzQOlUYBIAWtNeTo7woi6+bsKxzd aaPscgw2obnXrmTvqEC3I4VwP8DtpVaVlWIAS5/Fd7gZCz459XanXZLyv2VcndXUmHjowCJSSHCD 1XrkAehKH35bJNqYRcy0lmzN3ZsZbS0nwNe8ehY6lyOTfkBGPmMwu9sE+nJc/nzFKfKED1ByLPAY DocdZYqBzOz4mOjEbywbfmsCy4KlkRtiGttIs/Gluv/01x4rWnNm06HQdezD9lysUfvICScXiv6K uqJAMxiiyu+tgagMXCmP8ZDESKbFaqO7ZFPP6HYGAK/zSa5yatqZhL1bivsoZrAsS1eAFzaRGCoj 0uPgOVutfBap8ldOwgAOvD6ybfqcGVF6l2H8kT4ENbvKVdbtNDK2QivZFy1xe83HpG8BvSjwOziJ oTYU/JfXMtJ3sS6xX4C9y2vyYs/7Cub54eREJLopgxtnWUkrk+Ol502I3mFGvKDTnI5rs5g6TNRi 5QOFCOvHgo2u0xycwabH8CZkNRvJPHN8UL2dStwZy+iVD3FyD2Ia7zDYWsWLd9G88TaRaLgEPxX8 E0MOr1w90gndTD90fjbojYr4cMfwXA/XXMWru8y5uCioyOKkmn99Mn+UaYqOzW+bjM82Y7WmHNx9 s6Uel4OSOe9xozULxJeNUJ8CjJyRifeo+hV3qnHxbUqeQOE84pqdop/11fg5WqHew5Cslfa5ERPb a4LerR4bW3eF+PdNerHZOrDLqniqCHAjRVBh7VcJi7y7nCylNKT8ekPno5pO8TNqo2nvi4HRvR2V K0NhcDmStn8esjCLxuygsMzxr5cR0sBdAyfg6ohp9DjMspzwSTRMOUOtNnaz+2sG1DhtlX2cvBSY 2kBUXANQ4mH9ygQwsgeeo4oGq+0Ie5bFXrpA2L1yrHZ5C+ToVqSf2ipFCg7VsCVHrgUbiOoKl8NT jng35+IXU6BsiRxTgVJ6wSDZ6JzDkMdjInCXqaQ8w5WegxpUhjzRbml+8xOdvpd27mFciNWX5SR/ sGVPinh/dW5Ap0Tv788rRgyMDu9fKH88ojDibg5uyj0RMIVkKF7+nBFSCT66m7mbbd1LnSOMWLIL vThz3JY9x/CBH3sKU4ooIkJTK2B3ERbtael87VNFJkkKQtQJGtAvS7ggcJ+QD0me11ZnL8DmQxdc hP1nPiKGG6ItPmNW6y17FJWUUa3nLf2G6ul8iH9orPYFP2zDzRC0SC2eiIoq/v2HDIJbEzgDN41Q E0JhAViBHbnH76MigINRNCkuPGnLquzBLUFoCHnn+Amj5+mfUp5ejbycBhO7OqLYPaawz44xn2nj Q3yh0bo0ZhiBX3gBP1pQxJmwlXd6uaVGmCKSRgC7OXJ4lYljwKuViZ0B6XLFwUzJ4/zDKmRxMcFX 5/myQWtYgmYKDCGa26v+92kNR5GuBkzHAgoE3N2Jj7K0UMZbUCGkMa08dOA8+ZVNdlp+M2Nh9n9z JuJQO//0Z6sxGqUckauTlPx/qEoRADk53F4bVDPvY3ULnaqAs4xZ+Z8he7rIhAhM6SxBt0Ln2vy/ vq2BDhSmNBI3AxAU/GkT/j5UQ+BTkRm1lv8hBwAfee6dY9O8a1q6pkpB7utX12fnHBddb5GDQ+5j cNJlafU5n5/f9Jf+f3umrFC/5QDfQpI+pBvnPNdneUxPHAY9otz6QoI4vx1tVKrmRq9bAX0HLgLF wESAFLSEvRO5AwPjUNRuQ6TBLJhaXPLnLgHaW5bErGoOuKowdF5bo8SsbfEPoL1OkGi0u0mSuD8u JswKX1rdlm67pnNN4hJ1i6rV+VQjI7oagZTg+D/ezSEQJcwf2j4cCyZElG+RaoFQaklrGNK4L5vz BxpwCHn4DOMUt/7LG/XKyQGY0L0LUGi3v1ZFoi/us5vqOCEHqTMEbzXZQXjE35kBw+UYWgirhPgx K094tWCtIGoAnYfLterx8Fonrg9ll9JN1u/G8S6/HxoBudOA4Vhh+0BSGnNn5uPpYmOpPCtI+4vC b+64RFxNEMqQfzs5dFbk0jNCF7lu40pjnhCnkAWvGukrWZHkRFtnkSXZ5k71MgMeWPVi/2vSx3Zg 6uLJYZdwsLvyvhjCyjPZW8rqKkcJbFkH4rzQd47p/lwMEQvpy4erFIlaCSlOH6Bi1celQs96qsLT I+B/WY9tEOKoKoE9yk33Fh3UQHxsko65I0cDvZlBn07h1sFXfNqSb/yil0u4XKUeb6XBfrOBhkI5 xVq6X3WgYqIGxKrZw8M+KbF7yecX6li3QnjkSE1w2nHo4kCfQuCQHyPj3MV8fGs/ZAezL4pjaPs8 n/tylXmhOBA3UjO0FH0fDrrundls+ms1MB5TYTwwazCuAD18leXkDMQBRAbos5UUBDQv+9AJMJC/ /hRjnsTy1tHf1/cYDsnHMT2Ov5ka0H79L+StlpoKoc+CVI0+64w8XPmaxWMOTxSE+mo3b6BP7dBD 83AFuglGv/NpoqfbeGtiR4e6EiIhEevKDsuak1GloU3CL6zAnSh9sxjIH6MtKKZ6TntdyQG1wJB3 plnh0HtOc8hR/mUIghXXfVlhtIqRYYvTVtWW/YU5B6Pl36PBuJhoeeAtDMIcDoWFI2HQA2b2xZ7r jH6uMb6JEVnduXjngmeczpSwtr/c/63971vDdsSjUm2eEWbw+PuvRrBEubYiZAEkYt/61fvhr2pa kVGxIg8QWIzNYUy3OSpJkJ5n+Lx8t4yEXV7IEPmbs6RjvkOnZZUKbhsLD6fqJlhGUQWczOOdhDA+ Ayrz2ZC2eb0IJZTIZqF0Qr/y5re5cSgOqwuDLFN0sha4jcygVAjoyY4PqLzJ7Oa+BbM/moWfW2cz kiXCCzjzDocTYMy9pNHHdbfBFFwKvOBUVpyuKJH0gn7JNsUZgW0MRrw144butdj93+tWmwtkbtxf nSHvh+oWKfhWaWYOCdPpBLidLrVLHUwBB6bTQkCks5nhJ2sDH4pIOPaUUN9mGO3faKoBLGsn36ZX wePa5KlCPyK+igWpdxOWNffYmRqbIRz2Rrp5QViejkPzUojYqRdKtyYm+AaQS8C3OAk8oRLHZ8Eg bio91KCSjK15OGsp1mKC+TvFzyRrDKnemdx/kY2IOckfIiflPFclwc7HUMwXr2fqc+IdSxrTwMsv ZCtPTlCpFOmkfit3LtdcxI5JDHksYfvOggml0oLnyvZUTO+NKZYCb2LFnZPH+Zj5pzn3J3kwUbfM vG4ABkWq+0+WociOwV0vMXhjG4Wg2yfB/QEIRD8Hh/F5KuxlOapuFFFLD6UuPFl/2qIu+MgoBGYS dfuIfDjDw9sCRfM06AAQdy8hw1Y/wfZQUH+WUmkHm3wccneubfjXBQadxuMglzgqVbj4/YfoT96B Egx2ZhKzI70eB0oE77IZ7p2QnxiCn01Lw7MC3649EzLC8bJloA2lIA3319zOurcoCe8K2K3BjdS3 SlzgIzMAhjUYONKrtlrm5wkCQXkVmaNrqK0nkBtbv4igZSMCnVMeld39a6oh1Pcg9Xqk5iPJoEf7 0DcUU2/1jcl1aUJGitAGW6IjC37AanG63MQd6OdEpWiJ4xz4uxLG5Z2HwoAU3xk3GPY2iFv8BYRn r8aQ6NxfdyyNF3uENR1ElyYTrBeCt8N0+ALmnwkKm/1Bz2bpSeTmnVgk5jiYhgxMDA9abBF9Eg2k 0p24df9UPdtoBiKqsnd8sGQvRwxn0xHB1INCve4xacIpeQgqbehW3rFwLmJNKtwtr8PNTV7llXfd Ku2k/bpomU/8AFQ69tXosdg2XHmvOyd1Me7zDRFqHrCQMFDwNm8uIMOhQp953DvAf241GwUQzcvs 2ULkekPSNKc0GJ4VCOF8rpHYMhArPRQpulRA8dZ86E1woKrb7no74QmGC9qhTLiKgJzcBhdUWwxs GWWlnqsQSb0u8GcUadHHiJphCMOneT5xYhMC/+2uqwl3+ymUyixWTwP7GzY7eYSe8bbVTS/RqLOO 6HqQnFZm3uQc9R4Gbugf/Km4fs85r1hZdKzAEM05OdJ10ryCm2I/w2mufbwgYExpZRtQo4CTA6r0 m/lmmAFIFep2qFkpUxFGbpIoV5XffXV5F3ivM9ro4wO125gkCz2O1IX42gSJu6xKu360WmTx93lK RmaQ5oON3u58rhtz4LSsZTWARuNMbR6neUmsrUKg13zeDAD7BFiG96pwyqEhKwhyzrnWzf4LcFxH EpsY3f8y4SkOxlMTBWlsbI+tlH5i0JMFvYzbEsHU0p5b2bJREyjy+G9dkp2TW04Nu0u6p8dv4WOY Nhm6YsTssuPmbm+Hz2M3/yHSscjduWHdQqkienZb6Ky8XrSn28fK6S7zZ5cokdPqurzI4LcRal/J 68ZB+Jo1COGaxBcTDRJ8ViUi2furjtb7tozKiJAyEt1SnKSR4k2rlMv2GnaPFGtr5q2ZV8ItRvBw eMpsePXwi0SZ2eSQTwk6oRT/k1CLMm1JC1+/Rz5yglu1OVo3mKE+oITwoShGK+9QT8pX34XKrXM5 GTOvzF1DIEXTRfDmexE5bPkU+Ytix1e9xP9gxYksbIM+nB06nrSWViIe+hdFL4ND5GIFV7tk/ICC jDRbfWFTBPD68jr27aWFEAZSsqIEIXnV885JkkMIB6LEuE+/Z3nKDCZ9ScumRmv383S72zV+RhLS 37L8kVTrz4vfc5PhqwTj7GzVtg8sDdGyrjot/26CFqofDlsR+7rwZy3q5K6sdOfV5jghP1eHfTfh MShZXq1JDOyPuqrjXj8gmTNHGB7C2cw41ROJykg67WprEcwyfFYsaM3HVhssL3fOTXadx/kL+yDs WwAc3JfEZj3YCu4FcuKS/wHIY3Hon1fw94Q8jn6rMNx5gWWbdxJvXw0H1Gfvzh+ONVEDFH34PwOX Nv9ZKZtqvo4nO5At0feFEQIaw3IHoctrTW/Vm4JluxIVEv8D4B1vVcretME3J+oRXfnlKgk0H+k6 Eej4t1DW49ZoqbZvDR8LQXsGpjuaXx2FcgLID+dKBjl0xc3NoPnI6Tr5rT8cOnDKriDJlAjJH4Xf TeQMfET5CgSijxzI6F59kITNIb/fLF16lwi231d404I45f9PRR+gWVaIcbsHs8Cb19uoYD9XRhOY T6SeZU8jDrrYgTCDcZN7xteyXgahTl+Zmo5K0hgEL5vRC/6x/JJr/V2YNwNZsvZVwx+2pS2UqyRr w19mpV1ZQQJYYR21E9Q2ylzKsZjcHgK4Fp2ZDRg+1uW6qaN3Bjbr6XSyplpdGMbUEQAa5+Tnisaz 7HQqU+4unOePO7mhOTAbANH7VDLv4Iz7aciT1rdTgSN4aktnIAZoJ9rDp/P/NKkucEdOcrtCFPLC S0zhVKZQnppqvR963X20Nf2MdmcMZg2qT4FDCmM4HDiO4KgftfwXnBmNZ4CIThWnrJPDpFVNYO6b QGwRg8CkcITOQeTg8qivBiHiznXyB5lN5LagD0IUBCVsp/7wLvB4rcALNgbldGw2iFEVhWJX5utM cjhiaJ+YT4uDInCZsuIeMAKAXVPZ65O64Atn1HYE5EmLjSwUarrG4XSXOXFCW8RsJ5ilp96vUzXs 17CA5IHJoK//X/yc9on+oSa5QKhvPg0ZsGolch4iPpDm8VOZnZW8VEeYtZCBBik8pv6YdF5/YYfk 9aRu+s2Z0GoLIGNOKUu9zwNcQOr1mpBnh4eS1Lh6YpB95/rzxxpKSi7AiHpa5LztkOw6v+yNmZbE w4xhDEAedMAZK8MdjTpsN/jfYHXl1PYoZWxoBFI3Ip3zPpxekeGBiBCqtHEbxs8zy3ziJU7xFOtE q3EdXtmTyQVOOJCbzyryAPNax2e0kCbuX3CnPozEE6OznVnx0RHXDp5spTLiEboLbb5IN2tDr/vJ 15tN6Hf9pzX2y2J6OxIOW6lIJhFdx+OLZ0AxxAyjePYUvy8mJRWJi4TmjOvF8MSnWAo6W2x+F3z2 BVtYV20q34XSNpNUndbJwYAMZ7GytKudqT2h6ugVAqWevVLv+Liq9wMwdamqgMYGk7jXfarNkzNb xiz8hxY2ynMCCEF3rNG8pPJzrdxK7AuJJWI2oYYc2L76G2jWtAfjIH9HuddN1T0XttvJOv7Lv2vn 2v0P2QlihZcKFvfPbMeYI0NcR9fZS51EvisDQds3W/JYSMZyhbeud8zYVRG03vTPnULBmawEKPqz frnJsqohelcTyNPWyq93QcFGXSrQ7ul1q2EJK1EIflzGaARNnchGhHtlPaL5Ai2zRpxkTZJO+6xO VRFzGjySu97wREJcBNq5lav+G+0ZfyxwK7y9yTFjhrXwZp9QHWvG3RqZhMlwZcaiVraHYa8sZwvl HQfk5drf8ylbmPN5ltKZxcErdV4tSpbVY8TrZ1gilYHqLl79IRwApKkibOljWCkjChTno1rsKHYo 5+effLLh8DwiqYLOpRXLT6q7fZ4MbFRDtUZbr+mtD/rK2gLxSBciBB0FI4nb7ZIvjXn7w7SuCdfq TCzdlVCdfsVOJl0hvi5JqFg2Ibh1Nkr32bK7ybx50pxNflWGkLb3k4U//qrgDKKYjnK/bHwf2bGl VzoGtEuRIF1gyMekx8CeBaeKB//foU3pb04/81IXas5JK6TkNxZM0hRERXgLRKKpnZP/qT2pKBbc tdzxnUxEt6yAaIwRfX8MtHQTh5ddnIu6zjXCPWfdhp0pQ6BHwcDVoIeDzPvP/OGYFkrrWJhvZ76E jtKX9jKz91IkIFIfuRQs/cvsQIinB/e9zouaA/Xd0YbQSmnEsU/h84g/VFiEsdNHz+gYMC9xPD49 3Lh3c7PkPdYdnsqma6aq02W78CGC0O+ZtvQnw2SFYMp7zKYYwQfeYZNq `protect end_protected
gpl-2.0
0a8608a58359d46ff441a5ab1f6bafde
0.951664
1.81811
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_div/flt_div_exp.vhd
2
41,473
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Rcxw7I8218tNmGFjrpwXmQihJncccB3K1pvYn6cJSARxpsbn7T0MZNlDJZ68XLameezfv/bmVIHg xcFK7sx6tQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block D4jQSdenzAVnAxnNuZ4M5bfro9ZKZVCZhM3uEVjpWOh9vzbejlYftDA4RNILRLcBUc06IIX7sqdA lLdCIaRmJ/Q5qd4ELc+2uBozv+NVKoww12+0xr/sDYvkAiNKV12AHpU9CTxJaBxB3V+iwS8f6Yfv KHnPL8qAuqC341s5J7k= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 3I/HHLfQ8AX7vw9kTvK+ICx3qitzvxI1m+LGO3R+A5t+KWIbqeYeeUAFsNde8ovLxXCV73PYl/be EsXU0Wi4ACRd61LrXjrTAkdLl40J1kXLRgfH+7L9SByuxc8bzlv9xP9lX7MqO06ViV0rS2EEdxzL OwXa6L9H24JsOKjvPVh4hZz66IaUSFFNO4+S2jKv51FsHycMmcIpv0wotboypE6XhoxuMQ1FoK7j y0rYIcsd9djkvjgfSpoFFNINzGNsrX+PQgPS50qZ0TSj/xpKt/b5FPLndrht/ZmyQBPkvz24XhTd TN2fUbF3inu2vUB5yyh0wK5neB3I3v8+5ZHIMA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IiPHvanG/RpSRoE636+K9dcEtd6NCCtzIl34oFXVdoRezlhBe3+dkJBXbdv9tIEdMm61bNR5KBVa gvCa1Ux3XIARCGWi/0cgfI1kme7pKt4MdTPls6nvEeXfPJWf0peA0E6VP4hgy08Cn6ELFkmSxC4m QKJCAMbKivCZsw2guGw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lqCS5kuVrqFpUlg2bx1V4gKaT++62G6+qXd12v8sWDLs2iH2cq12DiUABUtpnrqJ7qSd66tsnn1Q NaUYPhxmj8spWd1y4Qm01mlZ5dILzppNb4cC6+wb628Qh7mELitpQS2Z6tlN2UGu9Gdou5EQQ1ga A975fB+h7SpqHZivLOOt8tI1E8iqNvMmZssnZXnTd26DU/WeJTiSGEiNzwhh7msYjGE+UQpgGEt/ Y9SMeURIiWOFmcM8axK3d161oaquDF9nU6+FQigf8/HRkyZFbfNC3wCKqhLLuG5IOSIHFWVtz0A+ nZzKXowor25WvIWfbJ6Og0iDoe+A5q8I0C13hw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 28960) `protect data_block 0W1Sq7pmGCNHvrx9OTajF2U+IvFxFWI/tyREu7lRGiD1fa5eFjwgQaZ4ZUUDwUXbJl9MqxSZgObV dTGQqt47GFJJMNobur01EkInFO4Up7u6NP+cmFJaoz17UMfCNEXW0TDJsXcOt5uHZfYGOCDuOHAj cG75+4VF5X745a8Ulk1s1F2QUBmzdrtKqQnjN2ZUliq5i7nDXDiVKglhMxI24kwYGC8xYoOty6sq TiSDDzmvucXdPZDl1BEc+Ppyj+bugyKXVo3DQVr+i+svYL2LXNy/bbEJvsup/K0YnLI5fi4ZDb8c C4Y5cdp12EPjdU5OgBHReF1oi+uSNoIwmGyFYcpLyWszRMYp3tWnmEuq5SfZ/YG+FFoTOxVM9Ntg NrqhfItad9goBuRl8UfCUF2FpThvFK2tGNTAt1JbZYIWB994JodpG3aopYROBbJERfaN88eOIFR0 3kAOYpvP73StJY8hTiWearLN+UVLm9dLmd8G4XDwixYsVJrHKz9G+Q8ttoT89xljuvN1z4M5c3OJ lWQ7DIUUMVolDqk1nO+XFqaWl+zBKYAucpzi42TCOKNz2wHEizNMDSXNHedn6PUoLryMqGYG0dok dIJyrTRH1OKTDt1wemAAyI8IcSIAA8eRhXgaO+VNHolsYN+XWZDF+tPV8IaPOQZwaZWKpKZUXgXO GPplDKE0f9wxNeXRMVvbXnFaO/CsPabA0DWTeFzulK2ZhOzVf3TKFDmGdsppqxwVpaOLJ+giwpBp tKP6+Svj7/GwDs0YYpNMfoPfae57RpVYNi22s9HBRvRtMefMPCEDlSo9A2SXUmXzgZXfbbdOVtCk plvB+A3JWF4oUStwpdSLuT+NjaB3iOrZSX46Y3BxE32DUtnkvgjdYGFkOmtojjKNQiDW1LA8lLNs XOcopsjpWHkbrI6n8xlLG36//SLRrI5VQylerGOAR0X0g2skBwFym6cHsHWaoWa5lgr7WqXduwXA nshNWmwWxqbQbgVzrFmSj/ceKtzGxHEUOIvlbID8ecBBj3Sx5BWG+zymku2dUE7U+dLDpbC4FCAH v57G/CrpssYF8SA1kC9SK5zVhuK1VDaeF24vQR62bqCtXMvIvWTmEvDNHknJp2zVjcwv/vy3yrbF QcUrJGX3VF0IBFSyjea/ZW8qQuHTsCkyfs1w3IHZK5xAtYGzq8SZ+G/tpEGLOpYBz6Y81U+zD9G7 Krl8nvJpfO/fA0s8FlgKQtmmR7aN8WBZT+LwYwFK8oPAS+0/sO5AyDHw6KYlm3yuTmmTmpH+CbU3 dFx+XBxMnWr7xtPngFV3nlOCSUxDh0khVLwyq65OhrSyY1y1hfMz5loHdHsyrP/ZfLD93cT/NxQ+ esxecv/EXoDiThXn5/J9v3EwDBugGQ/y8iV0XcHUwOD0UNBoJlbYlkUYAJqf7Vf3urthZ9ifO29F 6nmghcR70pS6LyE76OMFDg9fLsKrYXWsCVseEamJd91nJ1ksijZ8hyj7UJuv0/MObmbQlpVgPrS0 Tb1kE6t5j7nQ3+eXlEoLd1cJSZBZdt3QoYEXBYgPRYfZRNFuDoYYJt2/OeKGZH050sPFSsXx40Z2 dVCoU9bjEfwKl051YzDyLstIYCCWZORKk3TpAUH+qFfBtF6Ooat+YKM63XW2nOjV1bl0hAV5eOYj DXsqL3g+LOmsGgFpRMSH+xlgjztQjIZfd/8hGb0V+xp2QhzOPNG8gjfLyIvewIS3aq6GxBQCY2bz n6RKgzWb2vsBNzcebtpMVhHYey+l2KizcV8LAB/fUTTcA26i+RgyRsWcaZiXm/qwjV9vdbvN76qG T0mpqS3hGgHwu+Y3Hd4wzdG4bemMOfMIa+F/CwbjgQhEpw2KrYB/Fg7Su6f+2SQKG20VI4CGahFK K/c6+VIAKkt3oYuMASbP1KQOkZQ5a7z1inOus3FbZrk3sPNlS7/OueTr4gR0Lj6IN/CJhKKNK2pB qK8Sg5f5KdEMyWRskiEc6r19l34XyH5LJS2xRWbPAGmhXxW3ieAw76ERDKGQEyk+8+eC9kqlZGWU 0vQSB4sCCKsTVH7v8HmkXByFvt9E5k7OHUqllDKkpyfI38JjBG8z5+GXd8bOwIu84TvSQ+whb9g/ c1dblrBOOp0KlE9hNQXpSyMZiScm9mgO8eGMBF5NunGMGlZJsCo1UdkdikszQugwi3HFGj9NCyIX H1wtzQt7Ka4BseMc6seFR98ZHyjwMywHeOvc0w+rVxsdbPvxAq448/xC/2KR20xw/aPdqS9TJhxc j18R2iR/k/nMQBeRgL+3ijCLgXgSnlcof6X62F4JNJIL6Lt92ysBA9YO6yv6ga1hMmkHbJ48ydZD rsdz3zSMKyqtRYNMNXZXASTgh3QT0WEITMxHlNZf8qvi2a0oRJGTFtv6aPKfxC3XcW5edVsShezK kw3EsWnouHITddXEf9NgtNYvpWn6lNF1pYEBldaEWXFnB1rAWOilCmrGbbhkqUVnkv+A4Z2tGw0a Yv9c0oBjsw/U4oRIpcamk9hzDUeh28v3HAzqyP5fIupopJGLePiUjQ0E19zimgugyziuJl0zFhKY kU26zCuVG9ciUGPuAvFOayN0Lx86w9GqGew6wO6Qtjb7+KMj16E3jQHP41506/Gx8v3OCymmtfji WcKzNKaVl4Tz9u5JCDCUH+RQSiL1wPTMFPnJurb/3/6I1jchvbmz8hbVq+ohJQrvBKYnQ0Z5NTPZ WNQu5wnxG6y97oKm2ta5ksxQc3lgZm1mgJYwF7mqLXWaEqH6D2K1g2/Zfa/kc2tKRFcrh37j3ydw wChmPhbUMO0kRHc2ePQtEW1zonB77qdNrx8/LHDduVJ/PuusQN4wGsWIJJsTJIRj1kmQ2XpkXrXR r33qqtfMhpvYYMOYD8U+8tt3wYnxFaWTU82Gnxuqv4b2nIhwFTqAUjaRKneUoyRIzQ1gFzesLZWA Qx/A6onjMkaq/dgaGTwhXok48zZmHg9vw58Pw4KXZg90AvDk4vAxYZLrY+TyQKdJujkUOWlkkJip 00q+eZq3/nxd6Zn62UaSzen/oAdldlfRvS8P85x/INTwb9nQipyHmoPBoKdwc40iyTzrLR89e30k hrU0C08/tHpV0+4RF6oD4oIl8xXQsFi5gzDGs5XhDYVy4JHCkMZTj2SUJD9lWk2Wlj4UGpkR6HcC 9hyuIdkp/B9d5HrKpPgs1bkilg62Rh486yrb/WvLOyJK+K60nSZFsAnrsJo4nSXncWEmWe8c6SJ9 FD2vMyu0BvnKIZXH8gIRjZAwF/IDxtNi2l7W+ZERDoban9rBKCUPJvMDkpi4NAKAF8/2ipk9HIAH T2AdvfydbqPrqQy2ScUKvVZcP9UNPxOnrsoY7i8E8SGZTKnJfNlHDfT11OjKhZ5mJdbHaLzk4DVY 8FqjrZ4lqkyrz8qdv3cPkVkas5dLuJ3IiqaIiYIBgJxqCJsckudFpLRsCOI2na9DHQ74vGoHu/+9 4DlrSz45CAu903/ek6JkSa2pTFo1lMIwVQ+6zP2AQZNm/sLOkFaXSKTprQZUtSkNY9h8qGA4hYjN 1kCIBiUgILwtQfrSUXDczDn6HN0hAuOmok2JcwPL+9UHG/CVd4HDgzKNQG2cuTvBI9+2qyEZA/J8 iMAvIU6DVohDG9j4BePtfCVmpoeXgCQ0rIAipUSgoKNPANmiif6y9banSxBtzbQCXtQxIaSFm0KE wVB+SyY7AnKy1KoR8VzQ5bJ0YD7FVR2N9/M756galHcj8qolVtTd9UTeR5FfMRSEHh1s/kp8EkYN gKT0/ML1y+yQckqqtpPeCqxs7Vf4nHz1GqXuGbSErw2EbY2Q9WjO7mP4cj1wxu0uHOWOaomH9z43 fkAzRpAkWlPnYvDUsaI9+jZEkQcGLMdq/teBGAA2yZVXypnEzFkkXSuBdnF06Tp4CugdcZ5lZ4TE 2rQjxGkWZoYTWDsu7g/QMATiC/exRi/auQUJcZhciQltbATZJ0Ye9Td/guKZCMfpmgFIgtVrMCKi MRE9dX/ZthjimRSY5g4e0J8whv5ReZOEWLpn1IPVAOhW49leRZ0MY5+OXrKLoOxDAKSjBeQkdoFO tPhV7xYfEDsxgXOaHp5YvLSPerEP4BTjKOjFQOPUpYL+7C+PZD1959iFhjC495vjs+ZHdtE1fvmx XgPQwMQAhe1UB1VHDuLXP06zdCLvFBLZnT8JkL/cvKK8LfYL+JmqS2WnlU5rAFhkafZnS6ZdOkV/ cQhzTySNK45kpYDidI60q+3fqmcHi9G11prtnan1aT2+OPCvXd2LXqQ5vupkc2rEqA+1uip31Id6 W/vCYMXZEvmSp2G7G/JEZqQOyNS01J3uyTUH3E0u0kN1w0k4m08m7DZVlS//7GqXbooXkBbimeoI dy8eXejYmoIDsKAFCn4zOvnv+kaAw4mYhbL43lkR0FqhWhDEZs0TDmiNz2OfaBxejCQnEUQ0uncc nJ4UuV085Ik3S2eTF+Sv9NEYfxC9ku4b4LsfW3IRnLEbUzcr8kvuWn2naQK/plcDVUK0ZMa6qvcv pBnr4fj0dPlaUUIgafy9kflpqIviA6FdeCLutZIpfoZ+vCN6NArOWcVU2D1LcW+Hp1gAqU7P4u3x wYRmFtlK2QKm8iMKHbE62MpSC3TPsjqVqLrVZ25CyQbLEm+CF6LldobtQS2guru7Mgk8Mm/49f3J E593pOYoezSSOZnwhmjb53v+iJ//Q9+csMV8Xq2gBmVrrBLy5ylaVfYsIm+897F6tgYF/NCPo2tj nDpxR84dxJI/uFj2Uf5OwTfXtyY2MB1TFEXiGrrsd3lJpfyI6kgh7Z6Z2M3Q9DprT0FcIF4HG/LY cVLum7oiF6uv5dqGk9p1aWBC+QLicYkFwx3mjG/tT9oBCGPdhXbrJNbz4q6bWp8CvAJtXD6Eui9y NYIwBrRdP44wLRjyLvKwflLThJG3TstwKbvEXegEPK8qT+bU17bd1yatKh1p6KTZkp3f46OyaMhj 1PEzYxAAY8egiWdtGo5MlJMGbxHUi/4sxdmDHNjx9JAd69nJmr4Y9TROHcBtAoVJgdWHVZKLhvzv dg+JSqBlRgruLmdpTpL1vcvG6cfmtJL65yAcxJ6M4Ko69BN24Um+do5pwMLQFZNyE/m8UwSSlGdu tYqgvJlwzgQuSMwx5NfTp3G+ntzZRGGCqnaUcNSJAChWdFgPnZrb8OFsAQpeaJwA4vqHYQIwDzOi aGcpvL0HDrN5+gQQ47PVgx6tMNmNLHynOfKVtbtpXV0/xUcKzCYtPohpzvLVGj4P6tzSnukyFSbU YxpyMRS7fNlpC+fsMDiJ4jxwo6JreIYHhvROGEnvHSaSuEUXfRoD7oLfUU9oMjilvFyQ+w/L9PUZ vaAGppGSQ9FigggrUF8PXAYS21PBEI1hGjuKGfTKjNWKlrZdUOIrqPzkzStfQfgiWP0+5NN/GJSX rUR1XhYcWFqzORSP6OZXgPu0TLhzBSvhjZlhQH5Rqnsri0sGCVODttRkI6QYrSwNEh3mAYPOX1A7 8vm4YX7DydV/pQg9V33MgBO2MoQ1noyDXj4OqDpi06NotcdObXuIO9smIrgL9XJQauFhZzxsuUw9 AHr+bvOW9z6yjvMtrZ59DvIn9QJG7KujfDQ32TrNKGsI9KfLglNGSDftrM5PqRYSixkS9pMRKgPq 5wpDvhDYegUywmbI5ljBfhFg/pAzXOO3WQCZzFcdFyk1sclpz2c+Hw6slmuIriwpbRTYYKq6IlId 6nopXtztVWFdGSuECyvybKF65oP4K1dEM5YzS2yUhi8qwNhiaUEMr4FJYCfjtW2QnQbd1cIkB0Ja QrDM953a2OV2vT6KtxJo8bGkwCi7yCoplK97h9i7KDEzDjQbYSfzEHxqSdaaDUzpdjlmhH7A6UWT KTwzJOF79XOBfRO0cASTlOCt7hUlXJAMgzIwCznUNdNH9bXSsXF737zvSs8zkAA0rnHBAea2MGJc 1hFQFD3N7yYiE+d1gXi2Y9IMat4dP8Jz2zqvVN2qqF6yMHwNkOmRDcWzDFThnOXkfUNj6ZIxj+yl olF3FCK4SohQ304r+JhFcSlJ3xSMa8+CtDI8cEdeQeuoucUXXqIppUcI5H2rxVhv7fidYk5BfS4C rqQa7MI0RwkdI+vb+YxEu7xoDg2cpIUlpXCu38uAFSqa1l0pVRgM5A2xI4fBLtmrwA3oJROMr4jq NsO32TJDD2r6CzAtvP0tqtKMDvPEaZCvSXdaMBUT4rR1BNZYYNn/KbD6hxgNwvr/NRODJsa6OHt8 3OEqF87uCjy6p3ARyJoXUeTsxB1QIYwIvZWJ0TMI2kTe2sLLRPVmp9s+VhMZYv/K15e1iP9/erEG flXp/etypo2T7l6kIX37mKwayFioAJC8MQ5RDu1Kt44+4qgw2QGqMEgKAY4sB2oLwIGJQC2at8yE af2ksKClQ25ciP7EQhJjdRO8djtxoaP8pxOa9kJ73hHrRl4TOxZqUJozpikrSOczGe6zchRvnGz7 rtfQspDKpDkGUjMz3jgOaNymPrSzdm1aKoOgmd5wCs0aLFCacKWuNRMvxEK32nQk+8B6HgWhqO9b juwFAY4ouChl/doZ850OWqmzxVbSNgAiaVTUyK+gLK2yQpT396BtxP9+hVzLdMGiGk1iXP+ButqK d9ZT1AwQXyZ48rfm6RGTh2azYIK3cPFDV283JXA9TVaFm75WoH7lGuL6gc3ZxNmfLr8X1cnjwTya 7o4GQ4tJL4cu+JBPvhLdA4KVjkkSwDxjYtRbrBqH59kIO2JSi4izf3xd1p0d9/ggNJ8UEsobBuzA ZLZpNPJUZlWg6pUaLO5UZpSG3Ah1QIBUEVnjkFHqh2TRD59QOgs/XbuFk9jCfFEKxUVaZsab0NE0 xpXgshG+MCh01+tgdMlk0ACd/I4mhIJ9WSVgUtbmhIFBu1Rt0F0GGldTuO8ue/tkuQReTTnX/7BQ WZnlRMsi78WsRlT9irjkXujMHg89XVnqmgDuP2RlfNCNAkfuA1qm1pdBOFEsHns1y0eABw/wSdZj 8LZSYdxrscjr9P/4nn4z66wD3siA6p4ASbq4wqP+HCzFzxTQppY902g7XqP+C/02JnI8fYhEsGeZ Xb1RPvjydtPEXLIPqSuJ06lFuBsZIORwt/UJ6AT2tVdKZUWgzkLIcsnIQ0QQy0mId+bvhblH2Yew WOsb1PHArVT+pwm/Ld0Qbl/KtCwY6fEeDNEAEwCbuulRF/LNcspeflqVHe0Mp2OFItqtN13G31Qi nkCgjivrb6OOlpYCKOSGTbUIxPPgNkgroMtt6Tf8twK2Q696r6iudCgpqwtuKveQtnHrVTwlezly rRd9XQ0EKuhfljyVEEPMXrZb8A4LMVWI+sh8WIkm6wQ4AT4voryOX6vUf6W43vt2RBvXM8Xd7RXd UmyM3qhgbSnYtKsrWYYh9vJCoDOwHlSRzi0+50oZv/2iZRrjn09gITQ88sFzj19tML6JwULsbHFB vLrAi0E+NW9dH1i9DJRE6kSUNeaNrS61JpCIRfPYHbePiue9EaPSotcNigCIE+zMZfucMrI62KPX wk7X3FpMiK5lNe0EDtimWEvkEgn21fkHPz3UT1mAh3L7GscJogvhvTwD1b5rsFkmbxjKoJml18Cz o9K0+l4VZLsC5I2KkSyFD04upxickgOKrkvXgb7OcpEEwxo8ZHLpg3Lszb7aQxpx+iUiXoW2Y9mN /7clXDKxsWlChQEunwMbHfcVANuJYdP6pcTaiOsDnCPHNY7Ig/DG5ICWLKyV3zmYHxZHa71ShCvm oRkAcGlOPc5/dw8HXUoAbQyZX79+3SUFEZAqdlf4JLB95XVQYRvng4CaDU8SoTfD0Yv+mPYMGSoh TE1qjT/GfO9UAYmTjFj5xOSKE9Qz605QK2COq4ihQZME0ekuK5ulmY86CcCRq7ui4Uckcd6DQi22 SqFNMOZNa8saywjcTVXrxIxH/TxSREUG2iu7xa9aQQg/0U+LN/LVmFy49gXasOwrM6FqXWrYtfq3 lqCdGQnmTdPt2vepbOHwe6pIEfMN+fPoKxoTDv2vpsoUKhIyTysNlx8IQxnQym2S1DMz0n9bLZW9 voJBXoYZVEsksPyDDJ5c3PaV2iokf8XSXnD0GRFH4EwI5c7yoA4S5SxkNjm0zuNCAp/kgdJLjpO+ WzDuL5I5n/jmcasAN8brrDJACeEzTqPgX2c926cplmLNiuFMPEGmePX2oPZbG+L281g3e2XZToB1 aHjovwNtXbpaJYGUv0iFhD/ANRrxGvhWb13M6K/vmFLe5+lCP/CcjlYUXgg9vmpmrS5z5DoBm9eb Mq4np99+36Gw3YNf4MLWmZnctxUukt5mRJ7abcjKlMkLn7EIDj2XiUqUHKSyVNfI46s1VzErcMWk UIO9C7EGCXiU53OKJfHzBRAEO+2vEdKQ4kOt5jl3+pCgGbCUJbjpPRB8GXhco/o2E1Hm+NB82mal zn2CucRMB2+Im79cZf2yHct6Eis/S6buqNPjyJi7T9ms54tDPIcptHFmsS51Nv/unQHl/8Uaa3J1 5D4hP5+TprY4YDm96BvZ6ctAF4wgk84KOye3B0tslV7vlCsQMlDtcRTVrKZEiDEH+uA8mjv1BnZe SPpSdfmyp2UY5uSu3JzUQS+Rcr7ZFXO4L2E23PxwS/mTX4ntx+HVw8s6euyLtMZqnblWqSNTt7mt LUdphyXsT8u5u8Gig80nKYtcKe8mMfvuNQpC0sDXYdKe+bKVvIQCw3pdn3GUd++M5Ueic72smPyX n97JaK2AWFbFsGuUdwnNizP3tQxJhZrojyLT+1VAdShTUSu9HFAQ/8X8vCji+vkeGU0MghVxdSVg Kp0DoxjBgCFQAsywg3WyZQlMrgxZGpAIDU2BwKJWhYU9A8m9QBG0FL5HPZBtZFP8n0vn1H/u7/3O YF3zevrO49bZzd7eVQE5QVbffBxgtwkXRQQezY3U3NV82Fpjs+nRtywnqLubbUx7FRvItftqY679 LtGrsH7frmtatJsmev1EImvj8id4wkWCsNr1s8c+SGVS2nJbuJICWtQCSpRo0s+PXEt8bH4Te/FX gSGrSmpp8XYruC/xb1K90U7wgrKQkZd68dq0jLX+kjycjpWYKmStSjI2qOK0C5q7FraqkCFcENH2 A7TTdJ8hkvLIptAhyTBZlIYGvLODBwDzqgaOFEpf6OvCVpA60ec2yIEYbbd19N9tDUplHlO7ZqU6 9RTO5b5OYb0moM0M/wmc58KIpslgz7D6lv9d2mT1t0O6Bly8yrzBC2bdSMo2qKF8VRuAbc/ggE8P xBtdFY6BBAWtRCyMjbl35j3hRS+8YbdymV2XbIKZOrmgS0fRk4gwcxahEtc9yp7kay1htd4nP0Rs Ul252xXl9myuzcrAtPJrItmXeE2qyf1hXw6whcjr3y0vHeXYgRnAX/78DZLAq4kv29qwoEGcgCWg 3BOE0/zWxCyEGRK77lWj/NmkcNRPJjPCaZQn8K4vd+IRfpPGmNGZmJ2Edcmmgg9Zsor+E8M5uviD DC2MqKLXkRFSiji99cbf+F5kixt2vDxLICXEr/t3E1fyWom7OrMw9ZQWSiOnkOjdFfbnrHNt+Mwm 1YCl/dykLXsTbCqTzREKpHgDCBgBxQa4Yqw/OkCszhSI/2mKmwmv7dKQaeDMGv3lAvTl8Njfk5PD 9lfFS7HZOt4fV1COGx+7JWEwTrwCq4YYlChBiXm5NIFShj+KPja7MUP3GVh4Nyxvo25NOP8aiRkn PrtmTqIKv3MDla2DNnwZGsxUEF6zUeo3816qiYddKASuAJZy/Zs8QlekLx5qHByJQG+eqtIXonmf GKlNAs7+0XaO7DsnQNuvU2l6JdjjT7B4AQ8zXmvQjKUrg5+poAJIupzpv3PQOwfWK2cgRhhjicEt pFN9wEeTqwhOddG+WD+QZEq0tqVU536x6Mb3Ryzb1M8WdwDdV4xvGnHjNbbX3EDjI9J4yjzzr/K+ FD/bBz42QK72acYSPAqJ2RSDEC8nlOgEDuKzKSQMdVct/IQh4lu0dZsppWHqT5ISvWwzPccTUosq WaMvpJbDpgTmczcj1fKzf8lgNQuNLUjzrOc+hWSb3H+ZGLOgQuwDAwwUT+CsOK74q/5VpgSLmb/j ar2weSPGVNQhpISORa6zBH4FGovzKP9Nfcc360ZtlieYHDt1brbF/Q9qIf637NjO1X0iGg4wEU0z RRK5h2QUZDUG5aYeiyh5yAEKBxgKBEjLJxgcfP52FsaTohlSJd9nkD9C9yvkTh8hQ8V0Wyp7uD2b 0oUvz7FFJ2j3BrmYlF7HPS98vjkRX5n/EWOqM9bIVnHSsdGmmrw1YsDrQxWrPGzsaFcwXH0gvEbB JF9JnFvB2ZFFVoZf0do9FCc1DkREp8cI8wWOQmbj/o7VUa5pdyJ8ByVQ3HCTteFwcyHNsBSnctzJ iq8x2pDuTVdkqTR+gOXHgOzxJarLVzYDfViVGK7+LkXXq7doDCXjA2hI/kNfjJ06WG1vt+GkRGmD b4KGabZh3pQOP7JJdJv95LSiNBGGaPRgqdr6LUIGxfVQ87jedBpgq9nc8h+iPZ0jex/w/I9l7j8E c+rPYozjQHU0DnOjmitUMVFF7v8HqeYSS7DD7BzEOnl92KjFCODV7oo+yrDTVwvUVpAB1NnwviOc ApHbVyzis5af4kI4VmUKflQxiZF/MtYhL966rld+sjFjAIUzORdXNlN2Gxjt5HlyvLu9yu8K/mkS IyvyiM8RCCLuiatAqxlJfcF1tdwCeYiJiNPVPk0pV4DD3r9KaquS1c1eBRSJoCb9fAJK4d/MnpnE ZsYhxG4GqZSckIHsA68+u8l6zLMkaKwhom93i2j2aWhMd6tpuSPQQWCJF1lBAP3qVo/fAbStQ2Xl FkyBAWUrZPc+GMc509BKMuPjur7oRbMfvOo5rWYy/IvhYRfc6TQ64zQfe0zrY/3KGLWs2FI9Qp6A Q3huUWd4cgQL4QD0m0TGc05VUS/3RXGaqyo8nc5VbmAi/xXq6VhcXT39THbmI6PpTMQqOdkLknrr 2WPWgDKyXy2izpB8pQ1NcTVvgbv9ezY8V8zGt/p5S8EHSDel7XnqY9b1ykFh0wqZt571hAM1Iqdk L8NM4rZceiedOWs8uRCsQw/g1IIe2VNElYoUlgi89mq7Bwv8hgZu7eSrl76wsNpnPpFHYE4wCFkR R8p8U1l0FoiMjP1dgTd0iX0SCyH7g8E9TRdss8SU8lrvaO0rK1Ep1a+gJEqTwsQzfQ8ObVG7gHDO oL7GqULsRBM4GYXJ+HfuSDd3+ayyXFPghIg7LBRvH14nPTzZ3qCEa70GsY/QwN9UFgm9774vr5aR KghPU0KX7Z3MGL9XvaQYly8MuOm9T8h30EDyy3ft4G5l3WmLhcaj1SXvMCD3yxrksmDrh6zF9AJz b2eWwByTVBiTljnwolC+fEEjjGa8tmwP90TkK2FtOAdpQue9PJCVdwhyPLet6hq5/CAa5IHxxc1L 3tmXwvH1SRpQBeJKfNpVmDavCjBcSzDJI6SAEevQVhvsQ/mi+hpXNSf9XbVSIPjjwtfL5xDCb8rh gl7/ZjHnSKilau3ySkN7gbZsh8TTOv8vMlSfSoKMPpmiSTXn1PggRvYfye71GEkPo5+r7A/cO+ZE 7dMMGvJB0MMW+Y907QCYZA3f6eQuIkBIp9uPHjJqwMWnqb/uINUuDKHufT3bpUffQ2TGxVRD9JE1 TPFxqRTnoxCHglU2r4vS5XXF+93vqxECNLb+6K8jinnPTHiy1JgBaP1Orq45mKFGLKNL/I1ff2Kw luU4lzp/P1T/lpDKzfbqHVHDhJ8CVC4ApomzwF14ZHCQMpiUj/1k5aouOn93cNFj4rjXJ7PzeIdm PIv/pOXLmDdIzy+XzVedW/tX+p35VJxmC//BBZ/jb2USYw1TY86xCWj4ucRsMuWAsT1Yl23aZhpL magjisVTm414qRPMFAqjwQr1mfSAXH81zyXcSfCVSpYsTTJdeuDNZXh/WDKjgqGo4K6e/85jS8P/ hKnmB1R4XFwRetv5IRujiwlu99gGB+EsyXqcyajDCHziAT6QgnqivL1QwdZPzrN9mQiRgKszCLqR jG7FxDIrOPez3fY3iZW1yzMxNq366JZAOOdXAqlx3rlNw34dycegyW6HUCPck3MgOHLojAfTNcLH uKTC7a86MNf7v3lR/GOdftIX/XfUIxFl4A0/qTd42JNJoeHPOenL6blaz2wASh+3qxp2Y/ntDsFS 4jmALnc0C6k3DNglu7D5jLbum2ldoDQSEsDhJnJJHltxxuaevc/SJS9moW3GmuHdhAGMQ3n7AR/4 WQ04SeQgJyp9ySy1LU71hzAsdWsmE8iKkD9tSPYVdnaJStX6J16S1CgfkExjaoCxQfleMLSDuOcs Q6X/UHZqbXNDPBTN7ZoWaZJM7YF86rUinw7bD2kTKxXRFhbYqZPC/CMnWyckyQ/NmlqY4STSkL73 rdXfQQ9Ft2MqV5hGDwvcEJE+/olC5us2vQTP4UIDmLw85p+1mswtbOUVoPj85WkxogsloLT7jdNA paSMZFiqB48Dt3ggU+3kK5JQPZRSvDoK+ElMYBUlmmM+7BqvQPg9OO0IxplLNy4l1YWYWdbUMbcx ete8RiAbNChPTGy8m/3RkqH65A0vVhrGy2rdwnOuLFxVTH2ODb5N4D1/UFcWNZ/xGTCmGh23bzNH A+d5IONeVBwP5myNmTfLgalQQVGJMYPibNS7wQ1LcmqBQcNx77yrbSDokU1VkV0BMBNwKYu2OmxM bhGE8bBaQ2dtoSGN9tHhhxebEOAnCyHPD+Nc41oM4zuyzBa3RCOglgw4lYP2i8B0NZZ5i2/Fl/IK OTdd6Np7rujJvltnrzSoDSr3e2Kv8FndWGH8sOVy9EJMFKYs4JMRu0G8AigOaUd4/LlcF6abX62Y BqsckzlEl9ctuSwa1YsFIYhMjNvffyGWmA6K2XzgXcCClzvJTN/D51s443CCcTZTB2OYSk4mTCWC T02BHjjICYqbH4wZNXRvHnMV70LPC1Q+5/Jp/AVAxCCX0Oh8f0a6sT1ilBkaaEt8UswQXi7UTw/W rk2KM0XOEOCZ06Shs1XByT/qzyqBg9Go7U8kFD3fbnPgHOo4xOTujF20kWRtkxwJoCoM4Pbaiafy DzaM1l+pWv6tBVUzyRjlO1xz4YQOC7qQiPm4ebtSrCQluhlRmYj+Hk3weM4H0X80nGQ4NFeO1UGr 8vMUMLy/P0heEVKa5BSRrhEHC/emWmFG9hdqhZStyjLmknMllyAXFHIc8lEJ7PEWwmjs5xgsytt+ XiyOvTAAS920Cs2zSkkC6QOruxUhnYwcCD92lehT2SM6OxVvXA4LWdurH07yv2w98EJQO3Ners9P G3LSNLn7ABRfrWZjXTKiSvAMhfdQ4RVAC3xzycY9uAWrEwKP8yLgAD+DaM+mPgzg2sjfEdb4bmy0 ALCTE8KhqQ4sirrVUdgkPLQ7YJ48q+YTiEY+2CKluD2mEaCioP0Zgr3+Pv/euE4WYTVCjQynnEbP 3x7dgPPWZHFWCtpDhCRNgTA/f0nh9qty8ardZTsAk2WlwG+LVg028qimrfbC1/qw46SuGpi5Yusm E9LU1hNl36z4vZBV8BNDDH8dbEysBqqBOIY1bQQny0PzpmKj/K1HbT6WyjDDOl6Mo0Nm0qpVkI3s rbKg2yNysBNNKMWFaCX1t5AUOcD9sra7mzv5LEfBB3P21gcMV5xnbPaylErC7p1rQpi+ITGLiFZR pKIhpW29+ABGj4KPptOdclwkEMSn8JB4WGScm7Yewur2sbU5/JBSfhfP3UKJ2fJRD9V7c5SaGzE0 6rX4kMu0pOT7ouhwTdwnrhl2e8yAJdjDJXSVUgJzBt/pFQ8kcsWJOVW8tCJa1tigpyM8oMH1S5up tR41Y72U0T3IFdXAoywv08iaFBEdJFipIRCFyi8d5ePIxJRf3u4oCMFzWioDrQVDJ20CU4Z+k8CC d83yV2jyVAoRcIElsgpD7fqQtHPhV8jac6NZBh5gCwaNPFz4M38Z9tQ3Kwmg86X5beBHx+Bs0+k3 7SEzpuiuHiizFLQ456F4a/hODWzquNc9+ccuXhMG/9DoES+/MYtRNXpwi84NoanBGI7Bj+NkqMfs ZjpKfpUjFmMCz4AlNx7PrN3fu3O+dEDIS9CpIY/ipbtG/fG/N86ODmhuDg3Ty5KFX5/VIUbe6HxK RSDYzQTUEk0H3qkq05BCqYCVz7vtHdMFNDNJpqK3cpOPpOiq+VoVSTJ4KMkFakVs/2tEXy0ZCcrn 7wbqn3N+SkUb/Z/cLyG12ZLikJO2I6msBcSr1hzhHl0uRfbDTvr1x+6SC5FMUnYqHXkOV5S9UcQ7 qT9makPt9pQjvADxsaJGPMgfgPOee2XDnJUSMA6KDtifNKKSRh1gVYc9evO2s62XLGhfYoaM8TSF L08Ts6iWYnZ3cWT6DsYFHbaB/a/NemSTBPRWlpx5pMyC1m7wCh3aGhHnIL2LI7vcTusAQ1vhFvly yCQoPf7qd3QOUriAUBY8LgppX/nKq4+jCvDyFzcgc7DbvrMO5F/M5SQcmwwA/h/i9+o/D997pzgU B8A64Nj5VpFWBPj03uQP335GLZKZSN8CRWeUZsqpOonDYvuIEGtAB/pIBerl/qbkvVkMEhlea7iM QJd7SOw190ASC/t53CIxQjcXeQjQKZxwXzFIWGeloshJNQ6xa/q/PVj9iJWrv5kidOv0QVNt8Yd+ 5BU8XgnfMmsDFLNFK05oA485rb7m6Ze4n3zZ/n7jcNT9hLiPqw51w4Q4GQ7l2tNqpaeYSTkC4K/A AMO3Dse7gI/LotVyqbitsHTHKmow+Vho/mX1VRRtxEp9FVC9x4bew7xI9FseRG5Uk+5WkEcebWTs JRdjoeVVvGjXw/jFMfRqfXoQcJW+rwiAfSNf+Z+J/Ft6ssOYuNUOSwnW8BaJK3zD/mhqhSCpxZ9B v7o411e/SyQ6X2nH5kmJcKQtCQurAtOWFM5EECkPECcYgmq7y74zBMOwU/TzNrr7iQ/DiVysaCdi G3F0aMytBFfQqK/fNNKcevMOGjOrOrFf8hx0NW1SXVGgzm0dPh3oCUtTmPEDHVKr3UOaf4exqBaY 48emOvxc6W1XfqXFly56JbWw5dGOQ/QCeaj/ub+RzqmA2ovBpF8eZdSdzHnLhwqT3eV4KM62ARuk 2N++Ig9uyRpYFQngu86tb++RsFMQp/gwW4mE/SxH/K+hU8id3ZWoJ9/vZsEJ326cVcPMb6oAHr0O jonxEVm9VQu58l0tlVWhTzlFl4WXF6ci/HTAUDK513jhJTosOmAkO1JH7m78hQKVYbz3rs7OwP3j epGlvFwHEMA3qBfjAApFnbRP3oBpYEr6DPZOoTA6WzBqJFCToPlwwTWFpPhjdFkDLyh44S14Vrcy Ueu1O8YaL9rhcGTleSTOAMMvmSE6arz5ZwL85rZgX4Opqnea3AYK3CsFZXJGqOZHhQLhpjaukrma cMDLEBDNxJI8Q/fzNodcoB5s7m9cv68HNB0Kds1ZrX8BugF8ylclHKngfvYSlebJwoKibS6ShwIo fESfSOXlwvd3uxlKWO+jIvTEA3tL253skJxh6ukyPAuCE7fqpjM8IiOHmhYdGSkU/bsT17uGfcXh u3SBAc19O8MFb0n8cncqWRFmj4vkXa9DIXYrAmwWVLKwVTqvNjjqWhxcMLmVRy+TL1tsKZSlOMnT gbtlhXpxzmRIctTVS+fck+XnSBkizLh/cra2fqNrx/5Guciu/9cRnfdpsI5dFlIg3Bk0qbtvyWGH tIhj045/JpTki3Bd1Suv7gIrto0M0oi8AQU6+HHY9szUtCJXv/WJFtFTbisEKegoUckppjyVykva USHHy9dqpG2oqDNzAg4HnSgMLR6hUseKS0zsUgQGBY1nIUKt0MF4u4xBgDEIauQsp/zJTCloY0wb i12DKxrLV82p9ItkeF6VIETB8rBPRC24WCowB9FCzM3wuppKtkvhdnPcGtfxwBCY5zyp3JzbWl7C ByhK+8nckHHj4wII8YYWH4dIxa1wS6JoKxkE8ZBPBSYd4iG02naPqIv+Bd/89ppA8eqzGOL1PWwg uRlFxa6uTf3zgj11oz5fx8onNp+5FnZY10PBnrk0jFI7JhF9BkTPfCx++TIUodYSboFkCCuxL1xc npru6teFmrlez26aOAbLGC2YJrUHEWbt7bOB1ziiio4Ckx+ZHo4Qt34LjIif4s4Z2yhBC3YOE32j tplzQbr/9umriDvzqEbVCpBW7K4EExS55oRKLRcT2FA9wisHhGTsKBhIQZnV6UP56H4HGuEVx7Fe 6YLVspegUlT5GuWVahA1cm997vKD95qD9cdJH1MLVgvLR4NnFnh5uOjkkT0j8rawJdHsljVR9sY4 XGS6WapbiW8msnC24SoWupISLT0UsLDurJs9cgGxYkiZ7PzqsbbvWPzMrKoIdJwfJ0fuQUSjLYxI ZLAVmwmVj5Or4sb0PSeGX+dZYFQLXGD1OF6IC6oXJYfMzu8ZO1pkyIkAiF1gyve8YVTjQlqS6K7S qki+tUy5iXq6JplD5i/jCkxa4C7tdOmEwSBTCg5XHH6wzqJJ5M+5mnDMMEtW1WwSvf3he2ALtmxc Z45dPlKPZXQP73hBEnldH4HpDoUNyMpl4sel06vrpoV4Jwwj7+A2sAyn99qqysUXVsEQxCG8j+VJ HFWXgXXHnPAIQPcU120koqh8tpEFcLlUAWYSUIU49Ogpjueft1zP+cr+d56CrHguzoe8iab/8wJ5 HFVYO+G5UcuTxtrV/3x6FvGW+t52iCaIoPbNnykrsospAmLfEbm4xZIJso1Xw99hqSgH9ieHELIt /+xnkdyxENm8DXsy3XQEPpjiqiFzfEUTZtRn+DcXEvM6wFw8towLygXlWuAIeNpAqjNsDmfeFVTE YVuuxGCqbQAEdGXSo8phjzF89hubaUBfJMFSBjZk0BLyRyGLlkAAwDrf93iwsxc+isNQNTFGjdQn 36ih0iqnM5aTySG0x+s09ZA+nqcUmAZP8MQQNBMh2yYu4mwSrXwhhAmoYc8dFUId7lFIZcHkE/qi w31Xq/F0f8HKuvfEHItfMiAaR1wmQgQOqG6vDPuTW13tVan5PhJJXowj0QmM7IY2HJ87aw/JJuxJ zRFY+uikRcvLNeZgjYCfDSzNnItGcSvYg5RnR4u4duCOasVdp737bOuRnc4/vduJSMvj0yTqwRaB ySkOGglMV0bcq3TtojcXgnG7RWB0433/gfpWWqxfJiaXGrViFSQe/pFtdXXx1KezsrB4b9RAdsFc LsghE96R/OXB34z/eHMNbebCpYj/LSZlBOtH/GFiUqY8gKGQdUEHKbHsG0WrFTiFtEHX4FwJl+l2 WRm16EFrHjH8TLLgu2+5NYQ2YlNkOfIyuKNK4BQhzGsq9BaFKDhFcdtMZsmYkVdwgdIpDcGCK6ZL AU+6nknVLMKEUts6WCaRitCEU0CmnU6JYbm6t6Y43EN4pyW+rkKuT3Gs/8PBL+r/n6sdYYdIzPwq BLmURXVpPy12EklV9BSQJpBYcNwByVrCLbhzzpalcjE0TWeIuruGBEUaQiurX4wfXfhqBm8zVc2v sgPNdwZEESvgvaBx1QIkRi9ZhYwmSWIzciDBP2GLYgyz2/Q1aJPWbizBuGL9BjQXdrQHuv7rTjEn b7M1iVYYHruBASuVxoXBXtXJOj4Y8gWW3GXz5EHw4ODd/D2Gsiz4AeAVln9SbUvV42rbnmNr7C8s w6PPqY/9EIGyCtvRE9pVh2xUlIO8GXuQkl+DIlMLTpqpvbD+gDzb7TSHR1tDtbTCmvEel8SHhSHA nbEnDiIQyEmWIJMsiMoFXUvNtSLDrQz7bce7jSiS29weRpv3YccIZObQvLdxw2wYpyhnCJiKI7bs DVAaz7R9RcIUVebdSZBDo3pxAJx5D4w8cC4A8gBrrUsFGx0ZXfeoXtFnl2VAwLakPZqty47Y/BTy NhGCNs/k1eIH0+JlZUthNxV4bgNnj/OdWMR8BmFrJ39MBDc2OpssDVrHloPArX0bzLHQmBzqo342 MOWvmQ74XbQkPVLvxuUjJamVUKqurNHiWuWuxT9PquLlE2p7tqAfgxqn3JrSFlSF/xoj/sm7WQO4 xTvRG39uJHNftKGhp+0LYJVaubDRU6pc1WP7RpThT6X+Oku0RDEIopDb0EjuEG5+loUQWcbE1OrE a+Nqj7RGQF+WjtlhfnnWUU+p3ShDt0auX7uHOz2td7F5/5QdRIwU4F5az2+nEP1QS2XheaSNlu18 ixb8zx8wMGCjXG80z5ceQGrSgFpBVWeRLHkRluBWcCZQOfIgA1z/XkX8YZLZaDJQjkSZm3cLAPqH hhTSnCZZXUg/n1Z0Lp0cPbsBZ8EmymcM4Zq0Aa8+u47cyRmC2jOth12LeRAKQE80xjW/G6ERlvUM Ppnp0rZIl1bE8txVVU7KBjjXEGc6WvvFRYbWoj5+6uRemEEfbuWTx3/RjLCQFBEK64J+rxV/PkZ5 /XBt8ZLwWOO4+7Tqcs0kwIKT61VI1ReTySJDNQjCQheV1zvEbLYgY+z07ocFtMfd60mNvz9j/WTE owyx1umBNfe11EIDhpaq9FxfhhjIDlBsfezDM/SBodYbciHSHSf67Xdin+RhaI9iy4yM43iUHi8e CYMiyCp3kQTg5yWpNkvL60FNLj2XWwqqo0sac1s2HjxE5vL4NBhlLpG5XTR222Wk326OiO1qteeD NIVOq6ZFXLp72rlyyPROPP4pCrDE3K8LXOiSg8t6rSw/ty0IzBnWaM/ydUPSUWPtaUTnrPiOHMlJ 2jpj25B6nDAXUtzDu9NnpUNutQjDCnSYAYOkGWVasUv3IZepR1NavxAaDDVjyZgmlBXH1a9hWddE rR+woQZA5Mxd0EYiWM55Wu6SRrcS3gZrz0YyCxcVWhmxNDP8cNWj1u3DdCDOug8LbME2IbPFsewy 5Irufw68rz4dtUQ88SzDLQByj3HwSSsmOCkF4Lw2R3orCcaJJTu6fPSIVMuyVoYuiaBzjlEUZwsE lMDBB2xcHjafxKpDPvqSOZuHvE42EY8A55FDloiFwaEtLJ7dvCD0bgpiXGxH7L7ZD3wS09yTwKjS 7fQbGiEkYuH8TuFOfNSjCpqYHPlNe6+qGwcnodjCRm5xJHNBq3zTM7Dzp/s0ge5cgf2B7US4EJZz amMB4QRyDbGH6TLdtsC0RfKMNMTxSXdp1/ieAHmchp+CqRWZL3cVgRCOvklYVZO3CFGIop8jCeE0 m0v4Vwr9EX3yaQXBEnWBMv+XFK61LSYKw79P1E7WZcIuBk2AnvUyoO77ajIDxq0gc1Sx2bsz6lWm TkHx9sZBiPEKMnrzFT9hJP+778XT0KBEQySY10fqSC09XaD457E8PDhJ+wkXb0H68B/tB3sK+JwO rxGxhRdY+WI0L0C1UO+9xFXZUZU/8mzaPZDc6EI1W7+S/FPh2cUEBDFs1FuqQ4NVxZl3xiCRkp7O NwQSqh1hN8+fKbRwhAWhjhaqE9milLozA6LZmH1LvaL78uh1qgpJhLXZ68Y7szYkjmWT3N64WKTM Y3jSrU0TBFwFQHmn/jVczoTxwRS7BSdour9GA1j1pUql9if/90rXYbOFy8C0+yFkGgPsEPaR0BFS P3h23N7iQs81G95IaIcaKLr9dRbL2EOZc5s5QYH9m3BIxH+zRdoHuapj8rGxz9NSDNjAc2/zs+fE Wlso7r4/oAAJkBJl8vkSMIno2QqV4ovG3DXZ9vCX3oMPafxz9Bv5B3Ch8KtT4nLi3dlFGL7p6c4Y Ms2qfHa+vYf9VypzECPmL4pvdvjMi9vFpvf0MZTYuevwz0flAnSaExXiTQ+lvi5XV1A7JF3gLWaI XAhKtJUMWhLTyh0NQvQf4fMfPKieKjzUKWJVA8zCkG32jmjLLf5EOsvvJDZCiFyZaILGjZjNVA/2 Qdd1G/BnaXMith6TDOZFg6uHia6K9BT2wLiWwjEvwVk5qUOb6QnxL3OWcjVa3Z9j2KER1v/7gfAj ZHvOFpcKgUhEl39H1aT7pSS9slJYfYCvYHeHCw5JP69nUt/8ZbS3RFAS/Gmgo+2Gb1FAp1w3Sz1i 90fWmdaOlM3lh7/PNN0ebf4qmWD+amhgftX94aKO2gRB8Oy3D3c1G3tWlwcsTjdG/2IB6qDB2bXN bLrOtOG3WbOARoKbu9/9FHgenG6KWMenzZRhie0GleW4MHgoz33d7SSxvgL4ml+5oRLYnSTIcpEv WBW7xonaEDdSq72wxooOLqOpxwW011nmMnWwBa3xXhdSBgsB0FzoOcIYK4v2yhvUXf+QYpJWX8oW e5xyQ3s94uF8iiUZq49Ccrmvs/Wps5vJ9zjIdjAB/Kd+l1uQ5GxpiJ8QmxhwvfDZ7FUXQOJstHyR 8OahGZAWQk/EOKr9AWldlRLCSgmxP/oC2FNM7J/ApnWZGeF/zo6nCme2dE/f6CZljJ02oozwr32q 3KjESObXN3/a0tG5c0E3sMijb8eVwWjBFVdm3hGrYMPr3EEHYIj9Wokuab3eUlKVX6opPCeBRELM caMQe4NDQFf0fSQEx+cktZtPDAP8/Y7zaSPY0Y+abI1lAXfNXbMswhnQKwDWyojAT9Mwge5b/2Cw njWf88f8m15TvRqUGAkSIsQJkWHMeWz+nx97j1fgTwzRxwNYmiLIf/Frh+PWtJ5dhOXzrQcUlYZH yOp6NjViT4oJNDfjwt6ZdoL8hnPTYZ82AVDaXo5hTgcp9F4CTiRI5YTw3oin7301eey8iplk4f/I 6PDYmY0sdBinrqd1SXViFwii2LTQ2xeIprSSSXE2JizuQnsJ7hbw5kKP8M1pm0QVwfRUFOJa+h71 8VNSW8WujQXvHOp8/KUCzxjl2QRp4Fq6RxeBgRxzXlqPoGwn6PR7Yw9cVY+Sqx4IdLkK32IiWfiY fCaOIYz+mKlGF5j78B9RKQxzSl4ljwaE4kOpspSlepf6u4ypFb3rDTyiHto/lNEjfC2dNJS7q4qJ zEXB/uA7+U3oTvDiftO2+f3nIDkMerRfsA8Ikpby4YDdKNq02882DIeMM4TS/xByJDSgbJH4ug4L F7GnBFSD4gi/KJGtvrQCoaVH+7V+BFFE58YgURY/dg3SLNFLSWCSvzRvZyS/u2rc8RXofIyMNhar p4nwurPj6u/BwgMLpAFI6ar+JA3Kjv7veZ6S8wQCzyHuPnhZmK9dLe4Qo+4H4SKf8yWe44EUbWTr kMGEEk6MP40S/YM3jWCHHztESm1iOGF1KFxfeDBB3xn/zHyiN8LP02/5/EWOlqCM506OSkJFqwNe Vd4/zRsIJWTTmlXEBTpOIt9izYneitZxG/N0UEfZdID9cZ9VJIM0qKjqPV+BvBgYyyiysui6i8Jq wY3k4yMlOhmi6fPJ02tZa+ldP7U0AmSpCi5kHR63DiKl29qIBtyLocpt4unFqFiGR8nruI8TFcYT wby8QZSoSkM6yRFyJltzq1F30M5PAovbojKw73p1UIflW0+e8pmOSN0MdT9YT3qGJ6p7bJV4t2uF 6oqioD2UG4S1H8/oQfQr3fdF7J1JcSdq+wRPj7tiVcavyhjT+/nPNUuZeF99Z83poeP2T3K8KCZi o8BWWoQE/bqa3vk8Ox8RPnAkiOtTgnxKVUceqFMBwSvvArtt+BYNwaUnZ8DREXDdr9+RS9kFo7E/ Ii8mwvg06IX2sv0162cVxVwbQy07285fhOLaIXTKELWGmrxFQ6QCaoQVO2w3QR9tQ/2KWzFRl/UE 8RuLbuBa+3J1tM2bCd6LAWblSpebd0PTJofqaajlr+qsnIiFU7x01TtM5rnDgvB0FPgVSV/XCfEU SsqUiaFQLPDZI4bgSH+5q6WaxmtJDFnx0vPYbaDUNXBFQ14UA1E5yYqFUu/yMdXX6mlo0BldivLQ uhfo3dw0aUuGa2wiOydqnI5aDwR+sdl525QhRTLVJIeexptD7IARIi3KnSqlbcZ/h/w36lOA7FsH oZnkIuQkhhsD6gwugmkMsaEpU0lk66bqdInO0E2xy/feYO7d/ApL+4UhOtxb8bqSJpelGXPtJJTv gFPaj+KEXjHbS+GvMhpeFjk3sbd5gBzrrzphTl5S3CNgrXTHUcC7kdoZNHP8nLY0aYR/Jdh3dE1i 8l77w8MjwSvkKHHKiIrsIkKxyNrI2QbfPQXPJnKcajAlD5Et7Ci/2MzrVSFTcExjF8KmbiS9k7sY skJLsIey3RA/XW+eFJU2ihyFmF73DF5e3s5JHxSSEOA0EJ6uTFEUUK5PkRgT5DlBCph3+AITbI4y G2Ju3cz8NVvRE9aikqF6Bh5RV7XqUJ4e9IH1QauPbCbviNrd4eZQwyUW2yfKvP5jtIREDEEaW62r 9zK4cTRDXkmIghmOeLIrJf8eOOlwdTA76ZWb4hildAPIvI2e8JaFN8my2pHvqvyuRQGliIDkIZMw 64nQ//JpUL5dVAuEGq/5NqpF5/MxnrJJlUBAXySyXvSjARsomtKop4VoihGtW35sn43lM7qv0B9T d9N1GGpKNrhC1g5d3KTNSXKNolnd9LpiINmBUCIju6+C1wo3gueSvn1Lpwe+Bmq/I+Z0wxk+Eycm hneAF0wWMJySnSSwioP1EDZQiuIpJVk95I6PKoPZobqyTSt0I5ye74t7YN8do6+45SCRnxLL5uCZ lnJ0fel+MSX2UbpwQktq6pChkY34s1kLvbXFqRqYfeEjkN45gIQEfgMYyCXN+Lr6EPasCPEMRyZg sYpHDMtvM6/RlmYLG02YDE0EBRF1Xgf5RhR3KLyJIbAZWOWFzncVDlXhsRJbMk4ce612APzEEvTV CaQsSl/mrBLup8VnKd1UipzRzCVBNOaYnIFem5NVvAP39yf2Us+9qktYDGKezVHrWxanns55cVGO o186bI0wZKou60SAd5zJS4nbkgB3tT+30SJEcD74pXFeD6tCaEEfPHLSdnzJ6dc/QC4x1X7O+hPt xmU8E/PrQC9fXS+t/G0rnlGGkRjmD4bvRTttUmUBoZE8ekdQwCJ4R+FrQDdFuHFZ4fD4ZaVe8ETd sHeZuCgQY9qcze+c7p8cO/gklNe5qz0PLwwmBdZcPGYDZYVUfDPx6wNI4OASBGCB/m2D2G0k+Sc+ IKJAi1Mm2lH+JVML+LgRleEPRHZqKPHX00p+jT1r6weQeTUYGmRg8hUmSGXFLO3Db4Ee2HLI7q7O 1L20/MdJVV3goND32W2X40NWxah5TZsASjPifbjKiwtq21/1KsajDawz7NUWziJZEenuau7cQ56n ouNe4w+sN9FrSw4JX42DxD/R7dnjs1OmXf0lB4Lf8+QqWV3gIFnFy1guxhiAjcoflchSKB9A9Xvp Cw6bcswSWW0s4bka23FUrmbw2tUv0J3JnxSJIj+psdL13uJUM7LjKCSDkt2BgkfHReD34Opu3ni+ fg0i6E0il00KTiD899DHRqAvfakYteHTqI6yOQdXQsxkhnXJ90oCichuXvA0k2JTcmofcBuuittY txqXWqxXB5islXbmfbagTKT4H5NDWMr47dqep24+F725BTKw8l3fksZfvaZuNmPwrVAWAznxKQim GmCXWR5cblitc89SbjXba9vDGslMr3Tkz3D5TKayiWuuoxQUDngaEQJ12unQG3810fbG53c/LLIm f/yBc/DRfwDeTb4ishh639V5uDZ+okbooEZ7GvsVxcJ8qR/v5ni80Lrpa38OM5t9fDJDhvUzBQlk 8QN9HEymNRA5qzqrOZKEJy+pz5hXoVocbyzam3lkaZP/BPFbggspGRMKnYSWq/ttJP4pBt9nz1MF /FW7z2sJR9sIFAHfJr7WvddcjWGNkLPl1z+Fr4PBwuElQoTbnePUkJmvEuffbUJrVjpCudNYlWKC MjW0JzrZj7DCyjPsqhrYfuGoQ1zdGgC7h5cQtuCKUvzimEXPxDN7JB7Jy+0mGWHvDUrKdQjNY6Im p/4lf0z0rQq2nKCLWuuNykzE8BWVmVgMKtCssXI0TfRinmA4PUA6Zg5YcwxSuazjjEWaQciadVYS Xp4yiaemHdq8trjGoxTcDFfS5s3jABu4kIaT1yIUsgcMLfXGgZglIbshHefC7cAGerVuM2JMQFXg xsK2tX/awFxPw3VQtQY9CmlYSqeAQnKFia9Nu2FkeQn+gN8Z1nt2oBy02XA8jqqJy06pTTjxrDWC zuCDaoGvEAeVgxb2rOGZL5JV63eHr2KqA/wNnFBlExEWCTfdJ09WI1qzokFh0FqX4zAiIgN6AdaS l9EB/YAGlJwqsftU8fHM282BqT0YKvEDRj+HiBm7uh5cRheuxPIWkNZn+2oZPN1alpNzzpXTzblJ N6zHp+3GIeaPH5b2RSvzZVP7NMfmLaU1AlVwimrZWv9sGWP4qWnbT69VP5xgDN2Dom4uhDN8vWYk 7quD2+Xrx+cHtTFUtwDGZWmuQmW9YIBpuZPJJsG6jXAkYMhfEgBvqjacYxqIHOYRZSfJmDLicY6v a6hWJ4r2KVsoGgSTi8yNzpD3fpWc6Au5bTnEgLDCYVinFgdv/qrAUA7ZaK9n6VWG7XUfurEfaVcg eQhjZeMRJB9AwZtSXzIdLs9T6nyhcwew01OppHnK/97S/X+jkGxygR/uhIqgE2wN/9C1XQ19qrVk R4xRGsppJ+SLZMAo/YXhbRAaC/1wrN6pU+WbcFoEnGqOz78elmQ+ikwIfNiiUxf+HzYNaz1gbetG gQux2DpAB9kHdycWnRFi4a8o0HwpuhU1xLiGemy/EGor7wntB2V8yqE75W2S5IdaqPTqdAcAyjcC dcM2M/z6pqMXyPRozSrKoGvX+ziqhvNO4Aob7QPuROHDHNEBlUk1IdYnZgLuVGWhVmHR8I2Zs06E DtrZFrm3/R0I7ngPDybYQx4VJvjrmUBVU2L2ZNm1UKN5fQ6fch3xs84FMfBXWEJeH1QgcBnfbWHf /Nz7Utqa2NqEA+qzoempebLIwa8D8Bym8q0YmumWuP/PzCg4vNqbSUjG81y32YT/5YAjfQEvp9Kt vi+NGJlIYsG55PINurVKBYLCERGmiCtkKj8nndiiX+mHaf/+/1Y8L0RNsiN9qV2lkPQdFDVNdyuX 5nOMBtvS4JaKbpXI9iUiAqkQ2tHlHfAnv7kzgz3EtARnF2aKImgBp2CcnsbY2UYSQ2p6FzkI6fyT I7yZ3iPa+pK2/desm+awbhrcZBwzJa/GsMSBGakCb2lHoL9LvD87GmBhr2bRF5i6yavRjstx/B9m yuZ9MGuWhNCf2CoC6HyB+eAVZggdQfDtBeADy+uMYMftpgUUXNyYfORvx6a5cWFmRLDfR9MPfbTh TV9PZiPw/fUMwfuLa89t6lac+2X0+G96nXNb/CSbpBFPvy+dArNY6U0zWJ1CXUyb2hvIavtprOXY 0JjuhGilNaWha+MnHo9eju4g6+bcXgb5UvdWOsNdZUN81h3RbPtsz/UMsbaJu5a8E8WPXv2uDaAW gqlWTTc5ZV+qWZsxHIYpUPKqfvb+k5fDkE28zFjLHq15z6Yjt6SNJHPqBGJCn3NSb7k3c95pJ7Dy iNzYqUsR0EJpJJK32nQZSOkTEfMTPJKqAnba7fYLCYeGeqPQ8cdf0PyxLm1RMT9GOCUbg+suhqZi aVHpExyfIGR+82QCqHM3W6x2Qxekg5zfBAmHdTRjhg1h1UQU19hGQhhAQ+rJ0eTVsXq+oWs8+iHB mMF7hhncCfC7eYNYeLPx8c1EuUVByiJmmUq0yB5lkqM8IK0lLnYS383bKgepQY/+rw+XVo816s+y DdvooELu6QV2s7nHIMF2kuiJiPHGkGwe+dwzVahdb18+tffBBX97bdPUMH4PUG2wnuQnoMpog5l8 ASmHIWbQiLxYGbgrG3DkTdWsZyH4wsW6ebeQ8ZNYQsiVxCQm0Z5RsaP+2YDNTUXHWoSmrwRUTDik u+m96xxJk+8msAEFshJbrWN64aXc7Ip8y3pgArYf9Ax9MRb+8QPl09Ob9KxkXXbox+5yBt/QtLkM Rtmw5PgrDtOarpfiu4tHq0sELjpF1ZkGL5myjUbAoMj6QvyyW8UHtZj4EuzpI+FQWwNpugGedUEZ c+UiU0EEm174k0aIcM5+Y/iOe/JzsrVxeVMDih0o9XbtntIo4nAWUb0oSwWBPh42t/Lmyr7Niapb zsdoDGTARe1kulD3mdp3vG8IN14VZLVu+3i9tWaPGMB9ru89pWzLRtaTCNJvG45JQmOXfKNn2z8r +53GLlVQ7hyxKgFGBs+Gp9pkTZwkvbiaWhGdSqv2C1AKnv5oRqYtsugtecJpEHCID2aQwhY6vDkj GD2uaXFAmIwjWs5daf+iVEhO7XPTvOorstNrLn0fxCxrmKtR5fMqPLhxLoNktHrUCdTPsQZXz81i FeKJP38JEhQG35DR8qAhkEb7zOKgYwi/cFuIqrroLkSfPom3TV13JbBCj9nLtfWZe/lwuRqkvGv4 j+4oxd5YSD4snMYPbG7LRiDAEyD3j90ZF5E6J7hu3jAuN7Bg0Ej1yFIvtE3IGNq2xuBycX1XwcAI 2MI9+A6TTom7W8Au6P6fPyr9laSJZ+fgcEHEN7uj/AnYWtyinnpw8zsEWQSty7F3+k/XZRto5vUO EdLVHYIpT6tJnnRANAE3unynk/UvCcEU9yMDK6dWihhfR2uAuPpvVQt9DeYimcVoCvi/r+fPrVsg 6x0lqNP7MAOUvPaIr8svycYDAr/cDAlIa09P0CKM/8J4XTA0sNFrnLrb9EaNREav2ewPEm6avMKF GriYNjfE6nQH8K5Wtmmm0PYCVMrde2K3WRLxCdXc1TBgMdC8fTv/QGKQ1NOA1R1ri18Fl+XoZ4Uc 6YEdvJSLhcoxImYQzS1L0uJLjyaTCmuA3kC9hEN+uLS+bAYwOmT9F9M25vP6Zb8c1IaSBi3XUprq ntucWvVry+1+ohblxdgggAJMdPqeIuj29QBspMJWMWdavo9xwO8dXBdGYBvF4IdRW57txAQxJyjB XknGACK31H6EBGqRgANR2WT7aKm/wvwN7SglIQYyGYIFIHrYKQgVqX0oM/U0XtH/NMTB9D4kDz7W 76ZJRe0O+4n49j1lZNCbt0G9sdkhMpLgdz0hxt/FNsyO/GoT2aLWgJNi/pmi5Itdcqqn90lnH4Yj 5ZDaWDBzly7aBnCWjfYJ+V3fFDYCJE6mcpoDvswYe5N9+P4SuUhKCLVyrStrpezz16SbisdVcVnM 3RM6G/Gn6h65aPdl+KCExyVswTZp11cw4gy60UNMBVeNgbBzfc2Q17xsfusLpw8XQYcdv9omK6ui 7JtWA8yZK4UdMuh98GfL8sK8vsFosSqguCiOduMxmEmt8kgFXlZO2MKFzn+jC8cwUBN++YEuIBqQ RZyJxor/DSHaMZ3l5a5alytnHlQyqhSTOtf0dF/qJEdrPuEEg7Jx/7NIU+sQO+YW3qdNNyee8UBN js2e0ccHYfsokxK9Y0Y7drsdzor/gLyxFOhNVqDqH8cDDfh0gaSoZD3vYdFqDpqJq0tSY5DW338P hZaMbihMsVct7hLb7XVkFG0nv5GC28gMlUNJm7zVBiosr/R0XsqZyPJkXsqSdGlbh0Y6Yk2XmrdP Oc5/+Hp0dlfAhy6dcqKWLNDb4EbOmRt8Qesqs2YKzXYcehCHSI/Fcc0u/AfU4leE4WSs5Z9IMESn Ny2FqrflNyPv+M7d36YkMy222lV+ORsh6ns5WsiYDmriYSmyKKfExASUY6/AhdfMdkxoV37GVc/z +qAbvPtORNjDgMqrb4/b5Omi8A4QnClzNK9Iuw4zODadby204VnPWNq/YGSiyIiDK/cN/eLuQbCN wFJkVTbCoJ6AjhHuwvYIQVJx2ya6bwJR6v4mUST5vu1F3foM5rNajKaCyUgqxIapxaTypSOmJDWI LBqDNDWLKqe+YSoLFn7+m25hKbK1wc+hAyU50DjCjf7gN63N/wIusu/WPi+nHr+9sfZUsRMcoRqE 15vElCIcLLSc/qTDR5Ckx8x0TZ1BS1gEXHBFufPJAaQGGavV7vsrf25NvqB6vNL4J7rb5/Tydt0B ac6dR0T9QWP7u6HIwKzOlIQWie2b/VLfPw4XhQYhFUcX+2JX5662AllKkJzYM7sqqiJPu+nG1a3P X/J8zwgoGnG4hcjzTJaEXIKQvqLMvpismHIHSpm2v8onF2B7zejeFZHHwBLcuiaDHGkdffFU1RvD mfjidHSTEvLIHkjX5BQJIDKyBp50BNno9q/jJ8Re/BFCCSQNN0/vFKz4kAQo8ES5TZovNKDfGzE7 IYE4Y7X/vHirCVS3qlYikKmmcnROHzL/DQn91cl3ENiBoZLTpBYPN9JOrZAdY/EO+QbgL9L5H6uC 1sPPMlJ3uSEWHDAPfVfQoGzZnojxes7azrqV5Gf27/8swHd27Udp0kbmntwdZWcUfVbAmYkXlI95 oq0tFSiAUPvt7mvh/KvM5rYxhD7YAUPVfxv1Qsn4PfteL3Lpm1ry7CuFuKypX4yxWeQk4CEJg0YK Obuj/E9XaEGvcQy9zIRStUARC1aWdHycpWXFKEjM9lylGsb+ltakLV3wBS8+PUK38oHqzkhCBktn V0SWb64BtOU1YqH+mecEA9UCVyiq1H/dD7IOnnWNhEhwJtzsOLI+biHpxRie8eL+ExcgMKXjEFhA aVzJHNrXjdxksS4Nu+KfkZBBjI9dSyrm147PLzzNF3TlyNl80wNa2oFI9VJzmGEf2OAmjEBTvJRP A7OPz4byO2tYdc5qqZYcfTbxLvWd4A4XD04eeFkn3Dl0wxEGcR0ETOImRbwhPs8IzvUzTlZQlZ+g msKG+MeIsiwEaoyAugsPpMhGr2OeFI7OyqsYIx3t/ZMpavuPUP0D9gRmFJLL4CZmJ0mxz2vZZBsB q+LMVSotRNeZv0DnyILzI/FdZAszRZZBtryAyiaGE+lgRfhXSyL7nlaXJmBhFfyTIMe3kdMKqTEe jDPc0D+vhZvC1TUgcgn98njsP2wcM8Q33lSuXhaRN+SKNqxrD4A8wEGX+KYEfFrWt4XmllkjSleJ bCRSRzIoIvR3MFKOV35Rmkk4dGQAFQTzlPC17hXtbM23QL5ggOVN293sCbu/jrOjuXUc0rCoviJs ElWSFZWeMIpLVgub9N21qEhyZSiOndXxff+57Oa5OyMT289MUKWpWtwbSHxhAkzwlOfWwu4kX5I3 xoXZmr1FCTZmQmWRUwK/JXGS3aG3IT5I3xrbqu+NlpEKF6vCBjuL3aL/tOb/NvtN4C/Yq8JLPboH XbXPwgne2bsO7RPBAz5nNuQ6MSqFEhbrEBSKwV/W1fevZp1jyTFerd7d2Ceav3JfImAJeeD7Pdtx H5H4zCTcExk3FO8EuOCpQySenlUUxG/OspBiQ5UA+93JDRnGdnFGTkF2nz48pngRXD8xcFitWa2R 19+w18kw6DKi2bsq5RagaqZvsghtLq6ujpVw2FbMpRrlXDXU4pSEC9FMBvMnyUNNTaSdBt3Llkqf PPCn/7QQrMs70wyn9PY10yDYferTtT7Y7kmGl6vPBqgIWMqYTgaAHUNv0FH2k4aizozBo5xJaFeU JEWwFrTcJp3Hpyak4YVhRzMxxZd+b87RdG4qBsRFvAHz2addNn/Dlz2fO68jq082dfJ+6yS1KOND Bw0Gd/WVon7Q/q7UQPhHWlYmqYVfNK3347fxD0XeJs72w8Vx+zmQM4NuyIKgxRo6PPlrzVxMf8jZ 7snKT/d7GRERj2HGGQrMTrnvw+1kU1A/SG4lmn1Dibc1JH21A5YqIsBqEubxBez3XewZBvqlkXG1 xBY95hdRZj+eNwrMRnIhhXLLG7kJLkxEOIVGgCW2AsHAFbGkSV4iHcC2sKnev/BGZtwwE7q1oDIy JR8u7RdbZJoZIwxWPCcoobxKTEYx8X7VP55EZ3uSreobjFuRz7qCWpYLTwxD7fhaLb/UqUglRUkJ IS397X/TUjU3UvlkGQIQdKXvPBWdBh8MRva4Vwe5trPiYDx6EgEZ7vdKOJXlxCdEbJjES8+3lXV0 RPQdLbdUzmGXE6FFV5q884xSjhmNgDbcqt+dCItw7tewsTSlybPvKJXxdWi/kPJCG6cM/xXNHO6m cQvQP4Uy54ZXOPT3pu9KsVxN1EjvzmQV5Tg8Crc0l1DpfF+ZIs/FzRRarpZiMjSjXWh7gNqjb9AK aduod6Ns3yF436uNW2UNQpOLyicbR2jIiUZ/Orhlqbur6WB7uEqVd8dPaaMVkL7VNRDgoRlliZRH SWV7oqFu6tCZDpBAPCCTfyYXKP0k6vNBm+uUZN7x9b36a6QsLFq1zgTWo7+weXIT/kEiMISpwWVM FPl+fzMtjmjVBnvVi29Q5FRBdKf5mT37jusksOwlme+4+PdJ4uAF3JQOkToneqOF7HvVWsvNvOy4 D+/dCEFbYi5y6knNyC3fGEPD43U5VmZj9CBbl+SyEq8msjy5fjs2umv4Cr/G9CzKwQIjQcdA/V2V unmc8k+OFmXXM9ahYs9LTRCFo+RuGJIrGnAMV2pFPi9wgXbq21uwaQacZkZJQZyTxwAmXmM575+m WFJSSVGp41FoTyt9JogQ5aEdkYIb06urhm+Z+PCzxu8TLDcLnHLExTaRdoCihC3RWSSwiQKLns8o 81XaNg6pJAFh3M9w/LyeV1Yt64WBH6JEY/1+yf6chgA1lgg0cOpQqQ3QEovJovte5BAWEv58XeZF pc8i+lI9ughRipR9nmh2QrMcMteKOohZ5fSY9zXT/FBgbf1Z2gQZOAf3E1voYIg6jqc9Hq1paN5H qP/9r7Sl8JIB7yMIAuQu8Z0ZBky5kz3ApEVWCBlkX6G/DHHA2CjWafOFyQwd/VB+NbZo4PFUODcM dODG7NKItRCVK9WzKgrVh8iBkyORrBMs4H8jJWjjAqg4L9K2GdrLVdK0th7rNbmsF1usfM8mLoek M0Fxp0r7E1Cz9yA+o80bZLUMk8OtT4Ci/JlvzyvLrPI0vIN2ad88V2KgfX/7Bk9yA2yixhsWTUeM +MYTkxDKD60qQTt8l1pOo3AQLkod4PkK00wGLSNZejUf3Q2DmJ4AZmUHmJ4I7UcbrvoUV7chDA+W XxXoi0w0MtkqltxLgevxjOhLjubVAFNtV+T5jIcCsYKxT+lYJ5LN1TbMMIddzxDScBhKezi+D1fR huapnmfiPhImseeDP1nOtYeo5u5iTTV8ykx+XcB4hYJculcW/DHSmoZmonRq4Wav6FB3I1umB1L6 eHMIlMTubHdbxaCL+YpOH79l0pgnEY3HXGlpf7VEluTwB0ntpl2iTNL9xJi+CI661gi8Yn3JaXO1 7UY6zxwEoLpIibrSAV3TTOZcAS/kW9nks0ey6uCvvsyQUXgA7dbJhVIsZ7+8baAfvoHH3BmPI005 8qEwKR2WgO4hKmQ1VTZ8fnirG6kTUJr46eceqgZViuZ56qFqoR84320HWiAyqBsrnuLaE9Q1sRwb 1KBWuh31cVkV5REU2wvBdbJdzP978SSnGlABm/MoLEBO9br96k6v4AgU+0ciJuKfvSq7wXXjs6LH cjtrjvbMkEjvuCO95Pjx8/bS/1ct4wL9b3+YdpkoKgnnH05b01FGum8IQYObCus7N4s09+t0V7AA 8E62Ofi/XiLJ6fGAshnf9hSlL+B5m/HXeHmGaWl2LbKRYxJB8wZuhKpiZ+HzUuRMluiiHP1XDzbK ZsSek9kILyoLtZNwc9yL+NE3H+hj86CXTVDC9Z9q78AcKymNEGejygtG1EzLhNizFTdF1jL3yQNe /0mvcohioMaHlf5JGU48eT7kPNhG9JYqob9yi88J7o47vn2l+pZ4J/HSGWTmQ2/o1M3Y9letFGCy TDiw+J26CdMUovA8AIgNOTbpp5+XK8xBJU+n/VlYxg7Rtty3rnuygRELDlik8tQP4ikl57ttSU/j z+XsknubFjslvSPldiUWNTzXoKzFfVXBht0lxkmouiholVqaJGY4eVQ7mBQr38+K/sSeT6WOJbBQ 6q/jktWwkywjNVLh5/6GjMcTYFo7/4YBV9ds/XaqvF35+28vM/hWORvmhsMg5lEW21sBt9pEdkYe C9HvIivel7igX1jYYeDRZ7CqhkbYSydZalSe98+Lq1qGH8+9iRwZyDV2dpuUQEbJYZqbQ9Q/53uE TQjlhyJLC2QCk2wKjd7BQ/rXvVLQz6sJG9R2o2uh6t7r+7IZ7QKZnLoUHF7aXU7JYYHKVqf7Zkxx IltqgyvAAQFFd1s1UzWXNrD3elljbo266YHrq7WBRkiOQe8PwdftYxj29VeJGt5GhIZ3L5847zQ0 z1PA8KtSacic0npXtZyPZyJxWMTvNqczKpamKeGtge/b/dIVRHO9HYIW0yOqwrU8SNOlNggUNgeP 2Rt2fFq/ojNhWiuRSV6vZ4QdxWgpiWwfEPle+aF1SK6rQ9HjnlBERJq7gyFIQjLR6HQjyLcQofBd dZtDqEmx+i/JDPi5GQwWumCILvwEyPPnay4Qolbak3XK27lBiG7LZiZFNAZZCjqXw3HD+HtsdY3G gVZITO6SqdcF7avY2MA2YhY2+FGXplZB2wMXBXnCY5n2hX6zHWKXLMnhNolk+LL3AL/CNpcNZAkx 6P9B5oOwsXSbmYtBpn932UTcv460/uiqHen13/fuPTko58SfcQnZwlYq5UQtznQYuUzAacGw4Ym9 S9lz6zT6MKN1bmJ4cgtxhKBdV+aplckk5kohfGQ6eyyfVWzQDvhoZ2gIpeqfUGXlJDMrSLE7A9YI 6EnskAeVua3HtOqp+IsrZAyXHpc/YGx3aYhW0oJYvxnYyAIJiYaseWstcFf8fJe8f/CCd0FAdtQm uTmb5O/D6/mfReOUKhILjO4tORdBvQRMr/JEp12dsDgE6i+ZvQmPI5MPwRL7H9dNc9HkkXqpEAKl S62ZZJzeZiMo3WFpQEYQgz7B+EKzEyl9TaNr/pq2KvJJudOuA+u7tssxqmBm/94M1OY/CWKd8bH8 WumMVc3obijzBEaQHRQSdY9zt5yAsW/YMiAGM5YmbUTFJbd3oHtnwUaO446zfInmnlYqU+l+VlfD tVA0lxJrONKdv5oPBeqqEyelH10Q3jZP4Wxltr7DwdQucDvmkhlsEoprHrPO3UCfbh7yupzmKrfp W3XUte5A3nLQ4ggmt5/CUB3NeVNblsGvEX0yw0d4FW8reuqRNI1T1CZmIGuI8PfcUcUgs1iDTkCY xfOljRLDvlIRr5ohvRsYoxGBT97AhbIgAU46iBkX/WLZI3nnRckNPjjOHbiVuoS1aSv/gatXhhl1 kiY0NenX/tastRQrhKTbPyLRA1wZJ4Q5Nyma8vDXNyDZzSWuHTgAdN4uwcTHxvK2fYkn/K4ZeQc7 lRLaX7daMHKCCPVtfonDDGUGz1ZZoqdrQL9FjdRG4CjT9ORjO4LyKQNNa/s9EZ07xvnqwBZ3cIv5 /pe2D0d28b7Pf9bkd7SKLyqDRVd1VIKUSNQX/4IXKbV4GYIzyA4WFpn1T6lxMzGd6cy/QSrZI0gH LFJdXFXy8QVKOujKVgmL8wsSdzQfCHZJjMZHm6RvsZ0wnREwLmDkcOJrH4hGR0mLYw9mQD8rmsHG 9ta+ZoAp4LEhxzMeokG0PYjMFgJp4wvHb7Vp/chcU8RyQuseD/RLEEIRDiOxA7hi6Nn0qSGqNgD3 rJE7VJCgPv37BIejYwtYume7ZJ5+K6r3khF24HyQ0jHhzyisPKUjl44HQnuBwq5ZWquC/XyxgBHf gr4KQFmV1Y1uY3Kx9Ac3nJxjbwYhwn/75btwV//84J5oQScYzrhAgi5kkCq07Ogq4dDwDmwGQdkl 7oN72pVvRYHEswCxzbMXSmOqlGQHSw8NnEmHUPw0O56x8M7aPIDGrkSaURBDO16l63ftpOC6cvjP whATVHt3hZJaF0dnZr272grkQ2rOUA4w63p5qkuGLIafJfsZju2iSErB6ymSM1ytEbIEYIY/8UDr ggSKHaZwgUkT6Bufd2VacLfl1ZMXlycMQNxwzjhjE0kille2MahVwvqP01hOaVaf2DMSI/fBFUkG hxE/CRqfjo41jMcCA16wc3Vjw3ECWC5pcoQONppuRySyJOI124XbQikhDySaGf6vQ8u3MGx00QD3 rvtmvBbJ6rEAdWimHJkkxEk+H5TyS72AhlODMwCwzNkXrP1ig4n1kOrzGsPHFKlNEL01UsQVyCyO wNZqoZsRtrbope/euovXgoWeUtODFUaQ42YqaM5N1uAHHpSFmYYwsaSGXrA9GBmKR8hqM5tjj364 Fw53PXMy9r/z91Fl4LD6aPPtuMHxcEn+kObpVgo4gBJO/Hz4Ikb1oCTSuoPJ7Q6NWnosm2yFK6LS qk9kFD2CWhgT3SyvytH9BgkNN+xhQ//fMS026uXgU9ZA1TPX+75dJrqY0VdyqhBWwhlBmxE5S42N 7eENpkOhZkiSVK0w5wWw9Wbu2//HjiVhsbau93RN5tEPEg9c/5weKHi0HOwBYwbmIgWCb0M0KIO5 UxRtExk9QVobyEEIoliFryGwz4ckR2out5jpBNmS3WPXQ5ibJagqiNbtc028EYJn2VakM6jGQtvd t2WrfVr0ZsEtXldEpWMTPvKi/TjOQMMTWEm3r9+CNMmSDGZk/NyxJscU/5QwQCaoO3mKxHEXw1VS HJqHBxyxt5+epK9DTHvXbcH9mELQrQJExrUCJdZBNvVhv//q/gO6jF/iB5t+QCQNyWqxPvDhewb9 aZ1NIYa49plkousWnHJhpN9ieHdCqFpZ8ITQ49IV3XspaNY+NNQp/ekjLEFQkIZlqNfZBarDZKl8 +wLYCUagZctH3bpDaqmersIIGE1AJfUNEpiXiMUhRqsaTyaDwuRt1ZYZwABfySpUYPT5dgqSOcUW AG64u0D+wtoupSnK3RYYIiIuzslrQ9TyPyYXZZ5tmSY7WNXJ4pAUqbcefL+cOFpHaJos7npyMjPg gVGgxkGoaGBk/J/deG8dHXCE77EUNKJXphMZGEXkbSYKVy+llXOcydJR5A65RnJh9ja/AkKzJmVj onIF2o2m0WqX/4eOsqz+2L0rcWtz4oojIAW1OwzzwoSlrMPUQgNacoS1CH62QlRTjFDzL9z2Zzv4 +Ul7j7RomzM9DW7UJH+LYW+f8WuZ+aF9EFZjv6hEyvgqC621TMZ0toG4DlGzcsGT4I0QEKYQDqql 41UpC6mo1vlu7wcyqT27w6Oel/s2Lw1kS8fSyX6kWxJUWpXQdXQwYuaF0Pp2o0Y6NyAgaF+rSpJz mvM4Ag7Zk5l1vwFwLx5xvamGtzLcn/vf6aBGu2K+YLSYKArJ1pDzk3H4aGzkkARRqJRHmkopBX1D QufczN0xBiQQWlDwVTZ0cAJNnMvhM4Dx7reHD0/IEsr5WbgP43wZIvc06erSkV52xXrkaMdFCWZM 2Ll5NxXn3b8huXd6cz3N3l3UmwerjI/JsRZLh3peY3HdupCUGOkkZb/1HXchGSJGYbEAuhqFaP2N cq/oBTVzQ9YKjvwmdkjhwDBB30brXB4CK5D6BWR6CHSB6pJ4UPj3cuYku7nz0atVBCTQxBYGXMky eLycuSealv82ba/zwBKvd6zkQhqhLze61KWzhaUgg0dkWQelgPyyzizXeruHjCBAwpW50giLwfsN SntKzHYPd1B4ScK3jXtICMidX//4TTCm9ZbCOmjo6o0Jx7zzIU3LFLbt1VnRzCCZa0i6BQLitM3l 24QBv1gA+BwzoRGb2BVJpMkbUSfwKveAeG2uRGJxlFDcz/EHwUA2CU4rg4WGI8ZrZQ7eldC5muik Gr8ZOhu4Ki98A4Jf6qiVjZRgV+j0PJppJyxJlVmhqcXYvK2NdEvX92UtElx3zYiBLPJwbHaNKzcA Sho7u4NzG2DLMBd5T0Vz51yyBd7exUYO+llJGLWgcn8mVlNK+vrTbSPzmvG4DAryroyASqOkWpDM 26O/Y9D5EFMKCsFKjWIGz24mv0FGKISz7xbW9xzlaH8WF+zsvAcjAm8FhwoknqowlIIo6+AfFZdc H+CnxfKOvK+oPZN8CO8PGDaNJRXUNpVY2Qm0MrqLGJONDPpaieCuxXZyNJzUHfOjh2oI6CnsNyNc l1uIn/YDHsFaLASDGR2mnQdAJOY7775a58IxJFvTB7wZcLJj7JgFXdZAvjeYxX2kdB1ckO8IoAD7 w97mn4ogptSwHPG/AC1jDmNgnY9CXaMUl4zXVVWDjMwBNIG8WbGCDKhFBsljkvDfcWPpx9+dmxB9 9v1mOjiotY7+5UZOZKZHuBaveNIgwrhV0bwmH+Eu++wXNcRO0PljvQTMzYyb4h0HYtyTDeykYioX v/0pOzNJta8gV2YMT0YKwiYYz1xrFs4ONRbK8HQL+f4cxqRu1MSrETgbJ9xbnTT642XPeA7dWrEF NZcN3elcFJABjc0WiKBopAjZ4D6fY3QFTjZIYysmnqPawLjeTvwZq+NsyUwvm8luu8r6swUgbuUC GtZdCxDFnXxRBeU06UOz74BlNEHCZ1OumYysFl5lmvnRMeTsWBEhCwfBh1LEx0SIJ6W9Uc2lL1zz FfRLbEw8LsSvD3gfNHacMXqebeGbrhbAofXUBcd9kbW1q5WiI90My2JO/ffkI3UCw0P9XfPAXKU1 SDYDZCSCDXhaQa+BLQCTxhB/JI8iMn/AufS+X4DknEzZC0l/SEm7Ekcq5b3Xr28GeiUdKzrDi/WZ 1tIV0CbpvB72ZuinTUOV54IPeS2LPjuIuuDEwiKUWMBs0qEnxsZAeTKSg1ONgPn0m1jW/NYf9xgd 80Kzf41v+QF+m8On9KGxWC59rwx7nk1CyqXaMGH3JtwCQ8BT4pQxn/+PTma7TQAG483zlgidirJt T7qwbfUo0FxD2yQyPfBlGKV8MnBGz7tsjuWHhi37ppiW+cmK3+ZrM4AStAlhSyXel3n88Db3+CCe biXvMIl/m3mkSI6uD9s2mxKaOeRtX5cN/8Q2tqORkW/USt1qp3OJpGJh9B2pzAGsSCNqfWduJHKi gaZHzcTJYpWIGdvk0NIYJuJmkdYb9FLC1aS716eNGHGoQv5u8hwz/8G1DLYpUW87e4dae5NDTmzM jTC/o/DEcVAS3JlCf3XfFQe7e6Pxg8maUyqns1HeDQsSzwkMfKf8g8gntWHkA0FXkJSR9ObdtQv6 SFSsvsOgRmrmryVQq8L57C2XdWhbcCi/zPqcifKz+SR3uDBu810OlGBmiMxIH4QroKw9II/qCwX7 8x03FL5xbOdWMfMEctLrcQwSRTEg4xmdBWA0Z8vSRSz0rPsukj6m96YtWml3GJNkcwKGGQ/tirtW kfXjaMKpo8OI2hANgAJktT+o5CMFPBdObPUfpxfJPwB1W2TPzAne6raCVCTVkGNgCfQU9GiIPGq7 YhX21KkkWPm6Vjrtr7u4EmS6uBo0Kt0nSJG+cAJo1nxcb3wiEX/Q5yNqXJA+b6rtYG2Fe8ZNO1i9 KbcEv3arzwNTiNolPDNMHoQ4qDF4CIgX15wCP7Tk11hDUlRwJL3TboctEXXqg8RSK0A7XzcKMmsw CcycHGydpYHpN3XTNTijyh8PwLVpy2oJQilVkKJIMO/9AOLEmjVe3bN+XR+d8znO388jb0d5Ce+b RtVF/Mf43QKnqanQ6Fwf1/qZsH7Q8vdpzE04RNEh2lMCQm6KfyumyMgoHh0lDjF//mUs4ZO5wian 6VyQOGQDNZPc2bR5VB4FLg7bhmfLsHlYC3agzKL8dlKQ8pKJ9zXU15XATarFOJc3WB841NLKWy5V uTRaKzuo8jpm+JtoR+wAMJxhOqmcsUGzkM96i56FR9PTPvgB6eWBAql4HuO8Q77cgB5HolGjFpfx 0I1Dkvj2U4ZjSEOQTKdOJs4CrYA1nl0DHenZj+oP1G9Hszg4Ql+7msGIE+jCtxQ4mPunZUzBVdMe NEaOvPB7GWPm1BTkuTEEEkkjT8z5vEETt32eRpsfQnCX2ItzqjQhNGVGPMP7NEffKbZwyACZXyKH zNBdNVt/8JpGz/1ECfaXJuLOvKlcgTcC/wphAVWJLZNYdCu85Q9n+CVLdeY8UBxxhwASH115nDsr AKLv6oE2GLvB3Xm0WAfnBQ9a4bsrfjCFa5o4YVX4bryTMo2wDD78yNaY514rfAYuS+nw/vLfZKWV EoPVWNxuZf96aZVWfGY0dHmrqXgVDf6BUlP5W/fvszVjdsafMMUiGajOJRzWNuVc/FeE9Y2hfW9w Rn/LRR6ANtXTzHxYJcYWvLSThOhMk1mH5mhOPjL02i0DjX7OWG7l6HM0S/It9ntahSFeoEWe92db pFvCsz+h3SnB7UBFG/kYRP+I4fAAF+bsYwD/jU2farOMwYOIJWssF+9eMgFvK5SahEXlXIuPYsnE iZhNsPloy5b8srV3/IN4xpHX1iMc2xhetPBpdAu8VEaN8j0E6Cwbt7a5rVlD7YEQSO2Ws/oShnoR yCffxw== `protect end_protected
gpl-2.0
1630222d4cf1d587d002744e1704ab91
0.949316
1.832008
false
false
false
false
amerryfellow/dlx
basics/flipflop.vhd
1
520
library IEEE; use IEEE.std_logic_1164.all; entity FLIPFLOP is port ( D: In std_logic; ENABLE: in std_logic; CK: In std_logic; RESET: In std_logic; Q: Out std_logic ); end FLIPFLOP; -- Architectures architecture BEHAVIORAL_ASYNCHRONOUS of FLIPFLOP is begin PASYNCH: process(CK,RESET) begin -- The reset is asynchronous! if RESET='1' then Q <= '0'; elsif CK'event and CK='1' then if (ENABLE = '1' ) then Q <= D; end if; end if; end process; end BEHAVIORAL_ASYNCHRONOUS;
gpl-3.0
c496b868793dd9985d7b6067a59ec2c6
0.65
2.722513
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xbip_pipe_v3_0/hdl/xbip_pipe_v3_0_viv.vhd
12
14,128
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block gxJVQBcSQzsbwH1GLrg1ZESzDvrkikrA6vdLpp95ue41M0lmLElFgzzCnPkJPvxfP02JEfCkzu1s pXyOx1+/ZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block as6UL1EJsW9MY/SAJjdc4y/0ZbchfPWRZ1fO8sgcSvmzT8PhDxHiE2Qv094M2Mf5UxTO1pmguf74 HRrcuhkl5xTcz3SUgEh1WqTgvNR8v3I22HLFetAdFeAfwtFZ8WvCSLor3Yg5WhjacxKzsx/R+B3A Ic1e9ERorPLK+2OWDXk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VKtFT/ad0mndSTNYku9P/p8pTsvri9shyNk13WEjbKiIq+gQoaEMBYqKlUj1txesDW5BSN/vb2L8 WcR0ho1RI1AGo8y9tYmqORrdmk40Vs1+gqMQCfIiZwlKBZmVSoyHFg/uvBbeY40omXist3OrVmLk ek7TtttpRm83fmMK7OGVEehvqtEULYY3DOqBcu2re7sG7LxKpszndoH7kfBnWA+R4Uc33vWeadnd g6Oz3503o4HZjTYzqaI83vGJuKxDWF5lpNA6grtaK6MLeulhLJkFI34NJnCbFwlIH0j/a6X/NOK9 kE/9xzaRMrt2DXPz06r1p9zWXUaXULkLZNJGCQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fSxesrZXgYAQp6z3s+Mn8TPb3bLkqza8nC5XgxzWq/mXl1dMa5Ml2g5M80mUOlkXRmUOZymc+Bc4 WM2y1HxgX5+JsAIjs1wpPrFSHzjHRcHcvowsTXhTMQ12m5t3+UzDaDcRPweSUjf18fqi6cqE3nVU Sfah17SHXQ+D8FjN0Ig= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Pw16GfE5lmct+1yHq5aabqWplAJ9NyQFSmc7ZK86jiJfkiQiewcVOFWOaoYaAbWH8NvRlUTWxPDY IJZow2AxlsHQYg/BVCCfi6Nou6nItiOvPTdaycyuC2yVki71gI8y/Hb3VqhrwCJyz+gO8RezkRKT K7icG5iNkHF95Ybco1baJF1EsxTsjmFbbCqBjLdWPS+4hZQmoZ3Ifbb7SnBR+mh541FCQTCGTC2j d/LaIIFECqkijQ+ZFSd6r4keOeZDRlAd90xaWc9YbUz8EcXsrFGvk0cfiELaenio+xWCzcCA4xZj 1RA4VErN6S6k0GdYguQHKTjRx2AHdBSjKkPAZg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8720) `protect data_block eZQbj7BZE/w2yRRmCqT/kugimM8hoNG05eTOM1dCqRFVkd0+dUXdup0xmX03/Oh3IaFgKMXxrnuC fCULAdqNGWbZgQGu1DYuGb89q/ujzsC1mYtQ5rdtv132hQg5JtMyJIMo4OL+QxrEJ7waOFgxqH99 hVDstYdU+VsVHk9MLMt3Sn+DQia1fXhn3bfMhtf9Wyi+IeTd21Og9b2EAVrlEcTkEbKc6epc0E9h ixNqUm2SWsAes7ifxrGwJa4ZGYw2RFtCP9T2UvKEWrIIz9ozGyALBB5KWcxjpfUAZonDqUAjAbuq 33Z+V4qzYdHYiqHgreNylqi/HwkpohnRh2KldTvPfKGM8sU8Bhtl4diW4w3Jawjt8IHIMMAbmEtX ve1dLlEZq7rLginu53TBXA3RmULCCf3ajZzt/heRR8EfnYu8KAW2voRHFoQz5XoUBf6/BqN+OP3C uPA20tfs3ovIFSS6hL9N8hziYULaTdk4tIzYxTqy5lPyoAT3k/nqpFcXgPqGimFSDSvQ9mBgEzrJ a8TBPImEKLxcns0vJ+JnO0LL2zF5/+OhJYevHfsZ+2CL7aPqBDUYUV++q/8JdhJiIl6GMfsPEwch YaBTxUFWVhHQTNA81gPILITyaIxDtwRrI96ikxZV68IUIZM/3/5WQzMF7g7AePXWBAAGCf2eabx6 v/ldoXk3iyWZsSsq0eIe+PoHpz9DPgHht0CECzvMerEnh/kBpnnqdcB658/fgc3jAWz3DUfw51/l IMZfkcmFmvzg/1VROkJhln82SOCN9mavxfB7COH9IhhVow3Eou+JDNGyGvvJVIfgUIzFIGVrRLj6 AvDpTgxXPmLb3Yo5tRvybgTxK+YilDTg+xy7weQYdAkPnyuiy33twOxUpAr2qd80udTouq418ZS8 Qq+bkaRSsa/1QzUjMDWE52Mp7ugpbhExxkjXmPXshmqBwkDqP2Ir5IPZiryTFVFcFgokZk9qonYa s958TIdYa6ucuBPNgR/GZGI3TLjrhM22yQjWG45NYPrDEstRDwzyc6J3ajC2du0xmXQE6fFsjHwp LN5lEczqSzSDnyZAaVDt2Gene0jMYhUwrRalD5YVIL4ZaIV+elT4GsPSwPvSIR6tOEDNtn2UzLkj npeV26Cq2ShrwEUpsuhYL2nPo7LCvMzsD9biFkqXvKYV1Ej3aLKj/713jmRk9T6KhzeCn39uSn9Y 69cO9N6tOSczzK5vk2+ucpy7/dJmp/hR6zfBIBJFqEpqkLrSWUl2WjpTg5zAwn9pI+OmyMCoUTQA HL7gcDMa6NFxP1USsgKcruqyDUxmXmlcU0s5wW5lWchxDOaNgSYD/y2zo0sHNAsRGY5N9KcfiFJQ ojoSkyAQzgdoOP4Ha9Vzun/g9YLZp9KuDO9EP/KHybnUV03OMMn2aZG57GhUUX+mdbeMaXQ7LaAR zcyfpxRbcN+fw7RWWDMbIpZSjVEclizmQTKWkT7Zgy5HVkxy9ZRQis0/39VTHU2BIQHlkVBGE42X xOlb9GnJ/dIlCWH8eXzQxx+4dlWTevibg9rnwF4vueuQlbZ0WDlvzVl0TdslLayQ0X2ch8Sqf9uq kxdcS8uWlMDeP99O+O6QIMtOAZmaU10K9uZYtvCh5NWhYetzu7MTes1xUT6ue9V/5j0ToCNHlbeQ SxmjW52SU6zKlfn9k7Eu29xscsoUPzPwCi6uK7hZUGFcBv3CQQB0IJ40OS9uDnBnw5Vg9PXh0GO8 pflTev/62bU3NPKxdWu4CX4GH93Kl5dXF16PBOkBtpMvcdmV68/hUyvWsx43LzneXMrTDZ9CVQi+ X60wNgYF1CZqF6/b2knAC3XbvbjWCzGpyoEp+J+NN27RRSVwy11MuU0+5zmqNIpM+sCutF0T2s1D 01imHCpYI5kLmS1BZsO2T84hzhpXQdOkFPGA7r9jkb/Jz6nqJ4M4pNe9RambCLU55yPz6lRJ1XCY 9ICJU3ZniaoUXF7QM1oZnJcnx7dObYNCDuPrDX/pLusTAwwbQ3Z4QEaIAJ3K8v/fNTLqneNxwYkf 7nW0YUjLMTtfliIdzjbk0xzz0JIf26RDawPaYHbr7u7tqwMa3Nf7QqFy9JLfGwaIed8FJF+E7bwv JWyLtcymOxydgD6LCgMSO6LMNLWtfisXpsCW4dcGImJHZ2B5MjEY/JKPdnGbcamucHMG/q+6x/xO /MtywSkQnT2wfJavTAwpZyC98lQH5jn4DVvfYWHz/DeDsgoMMnd1FafahCkmUE2gsVvbBcsLAeP3 RhGqrXjMdi9uTfn6d+U3AEvtxpL7rZYRmx0hMUqpZVZOUGwF4kIUdYuag4KxyLR2/Q6U2xxfdn2P Q2j3Yhb41z9Ow60JALE0nqVj25X38VtUaAxeoSIyJXhFCTu/Wpj/P9dh/Iq9Nspyw8gAVu1UBsVw 1gBQ4u9g23ymhS6zLlA+k6UIE/tWvtVBPDgAz0lGZXN6rL3WtvB3GusLE/CAHlyDWNwZpUJb7YBe BWHbfZX16vwtBFIWUYbUiV5D8IXX8QxA/gIp2iIg2X8kujzI7+1yb955MOEosrpZeQouKlC0pLZU +ud55YB3EcXP8Qu4wO3SzRqZnVTcQGs4Lzj5Om/IIkzaxMQNr84iB8t3ZR66y0m7o7Ozz0U+3e4P vP7ShqOkkY9g/3X/oGln0L7G9jtt63Uo5rrpp0MPiVdS3wEHpvcE65BgbIavng+AThX5/7s1Uff5 1flupMnBJ8//2LnRikQIFfmxvuiiqL0WgeHvZYtu+TCVhmfQayPRU5kFczxgNgkM6JlRAgbqGtyf UJvHOOh5KubhFZ7VKA9GsthH5bwznRgCl7ItwpyIvLY51qWhKH0y3D+LH/dIl02qlWKZTV7tKEu2 K42ZSWcNJVX3L4GRs94TahYcbKGxpVTK62aJO5Omqz5YfviYAJS2t2MgN6VbPiDy9mzCpS3GFeGG q2xjkbtfUREsKGIYWgi0jmN3SME1HgA4j2wylQp8ER64TjTE+ty8B64X9eV3kVJVgynf6ggGNXqx FQmiPFVhCHSdRSxo1HLEcLvaWvCJnFNcf8fWSR5nrclnkBQy34heoMG+wd0qfYt1HOkGw4nffcFE +QKC7NYMCzvKT5agzmZGybsbUeMp2uWTS6RiVVJdWvXVyYk5qfYj9mzKZEU5bdV/4fZYPnVxkaqm Kf5+P0jw0T2exAJu2ldIK264ACN2s5z0O+WDtpbAxbh/jc/ZO8TRGuAbFSf0vwRkNDzcUAsS9CPA 4Cca5nh3DBXvpJc/fjW5SlfScRBJJfWoA2A3bXAjoLSFjcJt9DcbjE4FwaLqRqIWPMDJuw0uR4Sm 8HBcBpkX0ynDeqykkdm++P6biS5izQ40DRbwjzsOtlQREMLMGwLJumVCpdRefG7CfJ4ROTDe72M1 weD/Z19GBgV1pAkHD8tiIB6X6xyXO1fDa9bLbmGzpSi9QiagutnyJojGJyW+wxDib53wg0jpw4T0 JCs7w6fmwAd0zQJ2Z7LXJdEgCrxx4VpBJs4a2gcZICnFD3Bk9cZO+T2FRJc4E2W2Xe6WVu93HqPS hHU4CZUqom3t/MgsljOVrasTKdZEgpRGH8ydo3AjPgrQD2cUgD2I/7wxrL94FfhwisdqXevtQdcr miAlY5VtMWNQcKsjSl9uR05NxFhQ8VuTHNvJ/dnYGzuI+fLkO7qyN83O+wHuYP29I6H6r9DlgEWE wYkqYAehNSMZPinl/Mtwc4PjAYDoZIx8R66zHuNqfVE8stBIYj8C1HmPK4tfDmdOKoh/YCgho9/I 6BqWPzM4A+8r+3gi79R1m4NO2hOUB1NbNprWgjRsACoXKSJfcQQzMwX2D9oMcBl46JmhXtS0RAVC yZIfuiU/mdc3MI6qTyccuR2H4yVapkyWfrz9BuLRy6PEkv8u6uTuID9pQleCu5MSYT0YjAavBTr5 M5ah5wNrkDAmgF72RucffaY6Prt5UjxMABJU4BfTzSp8O2bC3uTAVzx3K6vuADOOMMOeYI4VLltX DPdPcxIH3FauIPNavhYPXs0fZsMsxmiwpHia2RiRKbl6JP1Pkfn3txUFik0U3BkjWNrNWet/o72t Na6kZoIK1gqucJwryjH5WpTTgdwxNZleV/FALkSj948J8/sSj4GzoQi0L2QJ/4xYYZuhnVciNzo2 Al6jOu3WGE0H0iPphpWokpzFHW+mLD8MtVCLGaH0rG4v0QOjojnHlkfwyz3c0QFJ/bx79Fip3JGO i42dKnWPNsVJxieMVdU2X10dxF5j4L9on2IUEVjONvSSjxOdk7+df60vzQY7Khyc6P4y9y364MoN Vt/PLASM/USx80w3ohjumD5xi/Yyd+ruC0cblDUjlywHvUHsd2Z87w+/xOkzk6XFYz9xpICjIaaG T7sG5CQc8yl5S4+bjnoKPHQXRi0Ln1npMQvQ/vI0X3I2maFlACKOeG7dcaVtAj5/BnTBHSM09LIL nc0jF8hMe64FpOW66zYyuQ9o9XN/ukOM5wB6+ATIaagl6S/Vt/exR6ALRPEd9aFnZjfp65aWBBb1 Dh9MQ6EjAAIMdwgfBHf7XctNmvlCHgjdqoBL7II4gC5irhkMFfkyIIDRLRDnAg02XSYiE0eRFR1T 4wU3Dj57POUX7U26r7feArSYt+4jVNXfJazvJyx7bbH6ARsieSW90dWtu1XGO/RdwytqP5FCBmuk me3ALF+xTihC3jBUShsTol8xQZ5M89m6800q7bjVLcXFXSR/1tTPxQ9GTilBqQT1cOcZY2e6Yp7k ySPZYjLwL5vMtVfKq0WbcTQkDFjgozSPiaj/eFjibDkVHgVgmxz+AjHsLMM1sc8//JAMKEtOEQkv uNY9zsAZefTFY76S5h+/Gpngvs8SAidSSjd0UiZhXV/asi6gygw+3ft6NwsdsimFtnMM1vCVib46 i/r9OkHjq3LEA7w3ZZSZAd+8QL50dhT8aAVux+Y3IF3iFNIE7P1dbK5BBWJfh0tCg99DKxjC7f7z MQrq0ooLH9EcJ50A/4drydp3CMDWSFnCQ4ancullgSheoKcxoFxw2+OZsFvLFwtKrGQn/yWzmngY fceKaM8SQjVdxLdbPgLc1d5a25grH+DdzUvmDIX3CoBpXjclN6dbL7Rm7Dq5UW7TFiZQi2Gq7K21 6Js/mnJsj9diHyEULBnYCXpH36JhmnxyE/bweufM8IEFlTHMcY5RXxQ3V/Ic0dyyhzQYgz22s9f7 LZiLJFtGJS4KFoAX1KrKL3Wd8Ix7Y7Ui11YrMC4jljglHMNYTFlBLWB0Qc0nV2UjuURme5p8Qd0c 39aQkPi5gfbO1FCKBm1itnaQDYFT8fpesw9c2+enBmt2QUoNnAtHOPX6let006WBsaEGeXMXL4gG iJYXhk+JyWgARxRQDvqAHDWY3tfhJloXqEAd8J2rT/kgfDrGXUhaXaB43fo4gFlcP3Qoe2WNmzxt KvTvofkRZqwtbR6MHWVdSvV0+3ZTVSx5guyQ3M9kBrrbJjgQF/guOJaThUF+ACdzrFK2RkiCkULh 4p7OumxQgvWR9VKsCd4UvxlhgEU9X/ReuPVs66Z75WxgGRvRWmVU+5TJfQPilPytMawWTRk660DX +k31inIZG1o3BHcEXdgK7oU4SF+1YSBu6TgOVRCKAD0g2mTfKMqv6AN7odtgo9j/idQJWCFV0K2D kmD8a9EFv7HpZyQ3vy0woGbGOeIylNhGGTcYUQPd5wQg4A381GJDMCRTLm6LHOV6zPhPgnRfXjub xeSbd3N9BJkFjf5uMLsKuqr9cOBxJkFQDPzyaRVDMXtgkMxOUJtyB306Ohg3jC5u5nZv7mQM5VW1 iyvDIHvXgu5Dte4rZXxdwQk1aGgXrEN31JI2g02QwzDmseMlvgBxQlb/aO/46zQ+OpQerEIgUakV EeKVMAMcCMkC9fTx/TWN2toma20KicUK2MAIq2wcFAvzYZx+2KaAlAKFhzds4YvRbf6HSKrsRt5i MIPBg2aIzq1W7xHFOhCcG6xopuvSPcmm3PkbD6Zhx8FzcbsHe3nffA4qIWz6V6880bHFw2r089zH 02G+PBmYE8efsUwdv/bu2J5PNojuzckMd0fMTWgCFSCLWyGA+qVf5JXHO8TDZ6cU5//J0ic7GhvL 4kU7IWE9IqaBby5NGs8x1EltyIEgvfpHQh0zAu8ksJH6sRmGvOdridDO3SquwzjSWIhzbGg2J/7c pmWyewK3QL711faRSh3859qa6APiJOFHKXJ/VFD5Q95/74X080x1CqLdsccQ7zx11S2JbFFlFpoA 9LFAdDN04pxS6ru9yY4Euz7akmcXGG7aFbSWMatThoziLzW9dmT2lN0wCP/gf5QAyqN7MplRgIKJ 5Bht4nnnEtrjzEXH53StoiTOTk8rqMPFR3rPHI44pN+AXknQQQxVCofO1J3+b6I2kadNu3/toss6 bXSswvjr/4CG+7EWc9iHn+5WlTTxTkYMLMb/pmXOyhUqs+7AFoU4FJbpNu/Ro7ZRtu+/cu9/wmkC wQ9qhvJeud6pa8IqW1k3BNjBzxOsvaOCgj2OiobEeC7/0uV5SJ0Ap369oKGaHXtf9A7L6NQ10sHX jpyBD+HlGa37Uh/3DvG+YiC00ukyeyAFDp3gIC3JDk6E8LDXVTQ5ogWJZOX2JKfqeSnRgFvAIUY1 vEwqjJsowsoIeE02Wg9gAjzko0YueFDHVroAPKJu9jN8j3CEAc0dRDn1pN8AYyd1XF54AA4XS/49 kRE9oTINXz5WdNU1d0iOcXhUBl92rtjnCGKSNuQ6aVXVqVNtvIxvnfE6AK8mVivbxYKpHHpkCaqT 9gWoE1jwrz25idKx2+/M9nWnYRx22Gb2ofl0BPYWcKr0JNB6uDlTwzRBjE/f8soaP7Vrke3sqHOd r6AbYce50h1ugxQVJ3IQI6tms+j4KWfNFJrl46tYbu+QTd2JKgYddf718lClGEY3hzuzT/cCcXgh tpfvlF1HL2t5OxqhS2WbQct2cCZKzAJ5mbenOFm1z2ajQlFqnhcgEagbx7B+oHjXodHjGcBJPW/k isAGWV45RKI2yAsNdJNpBDfbVJ5qS1vlnf08llOx/L0gHvq0rnkquWnpZDKNgdShJygnoF/mItmA 6pE4bH2Oxs9OQXq1d8C2CUJD44yj5L7oEhee5fCrp6rlR4rr9rTkXkGN4303aiSmCQcxgFABRAd+ pwtVVxZ22cCz/0+H45VXW089bjU3FSCqZWi+hMYvVLHtuGSV/ilgmnqcbQnglsLuImvID9w26ezG ebHAfuxIiwhG2VTUya3DATiKORwJZZmtwRymw/3wWrAmelkXwqQLs0Md7URGSfMfa1YhjLf+Eb7a gBgBnMvPCxr2l5GtmS3o6DNGNuEi2kUZIXfpZkNuaENtamIzfuTO5sVM7i5KFE4bdjYJcZGsGTn0 eXjHpjPm3awf/P/3JuUR0uISUEFqc5rEtOk1l68h07KKiRj4P86plDpj8pplJ5S0ZJmA8CB7A1x0 aJd/ZdF5h6Rz2VJ4DKAvtzQ5Ihj8JGH6iLoYNTG/eoVau+n0A6KopyhQurdmQwvyVCPcj2h1ovPW +WU6Mxq+3czS6967VkrXfG1kOyARl706npzYFYHokJtzDF+5N7sgFd50I3j4KHKQ6oyj+GalCEus b1vzzdLaI96BGX0CRwjxPilbsevVO7QLGrG7XJzIiVkvBsDgoY0gSnffPl4YW3Ex/5ggqM0okpzV 8PDNZQbUo2YmW6U5b272Zimrx4L3c+s+qYTUsV3sMVvy0Q/NA349iQVIjAazuUfW/8WxLXEONvZs clLNSfZStTYCIWQWlzC26A39LDc0mYjzxB+p17+0WECImmiNHL7xfzVVpLJYssy2lALcj+iu0MF2 zOkveQibPKc+xawN6ed8aoHOb0ktzrqkNR5p8Tr2yEst9Uxxa+3EyjLlgqP7L2zAEFYcDCR7S4Aa HwDTZR218ZQiu33u3gTq+Dr0pujZP0u3uu/bz7FUoFOqVGhrCyXk/9sRlU6d8SxY2n+UUZxo6WFz RsITZBGr8yTyct91ECuVNJ/A2+pe2JAdi02QlMj8RO8+vMJo2HUtzAHcUfdO7QJZIlMNyKiDd4QT j8G+8RBstF0uj8dXkqd1nFR1RA4CJi/rLOsga5kkpwlPPSOSSLMsq7nPMc7pa3TMKIYPVhj+rM8P Vf/EFCy0J/bneFhWuCp8jh/GVpBYu3VsPqfakhnJqq1FcFhB0JP4PdsQGN4YUczLLxKbhSBLD9E9 OpOjO1s69qBSTaQqTHAB24rECJbwScX9mFZLQ2m4GNLnXbpZf4QCIZR3XK4x86xSZJvVOp/rkTD9 zEDRfUnwEUNZWF2HcFk2IeeArGVPQKvGqWyovTfhYVZNaQH1H6F9O6in3KFQSXYKeeHh5BKsP9xj Jiug3jc9D2wwAhoNda5IfcXmSWLgvJ9t65vj3u5chhF+u1f9W2X+2kZ6/XXAuRxOwtc3YrkUXzHU /OnUxw1iIFtiYiHEhP1COSB+BmPCwEqIYuo7gZbhJIMb3XNo743dve3onUzo8pXut1S1dRZp41ec kGt2/nPCB0PbGK3UyVa97JkvVBJtHW+wP5eStpNhLynq4Bce/fR/ndRuHXxldseCYo37QS9efmKb S8CNRn1krTtaDhsw60agGu81FAp9e3pw6qmCdchWH2B6fF9TlIwB0ktlAwKZBtVRC6qHpgvGuRkd vypBvm/vzqo55/aiX/6U1GSJt/Vj97RjH4Wq+0DfhGTzbKwcj98KbEKg5cEg4Q3J6cvWORuW4W+8 hogtjET50LVBVHqQBqnCTDaILi0nRNrbwT66qglJeORSj5165ecCbLI/RjhEF/elfYf7Ffr3Vdw7 w5fzTnJ6E+29AP8YESXktaBtFghUuGJFSowTTRvh/gxCNx5x92/wJQcBQpUXDpgGojysbcIKcxqD O6RXucGqGsEl5WA+pdsgVFFysZ6uKkf7cLZBeWYzZfdYBj/Q2qyi24U3Q0SNfiuU97UAZ9jPVLJh 95aMpci4iXAj3W4qshSMNP23C1kQsLCw0wSBKEGVRftMrju8a0D4R7f9QyAEgGbFdy9Dzv14vNhi 2RxqbNAzPC80+TvTJpAILqFH/NRF5gJSY/fN7/x0Xoin9UJlZtmfp/Hc0ShLZHQl+HdI1hHdMK+R pWgLND0cbMIxM5DkjoXfWO7X5FVRNX9E1c+WearO9MSZ7kW302o37NapscwVelOTaaX9Wg2FkLmz 4Z37/7ATxreuPtlC3ev9UBhKV2AO9CBA7KKkObBKrhsY1bAPDb3UowBK2HanXPaKPBY+7yGdL6HN 9xEf+Bj5bm/k3LA+/QUsG20JMoTy8m/zju85V5jdwHIf88WLUKEXIUFjtwri0RCHUmkkVGDKw8fI IZwi3OsQizbwGIh7aIOJGyi3zg9O9lld8ak6L1AOJ8ooKzz6P9fQ+f8jrIf4eKgymeFW5VPPvAKm p2p/8in110udC1B1qU+TWqd/AFtwgxZ0H1PopdVkpUYcO3dy0GS4bRwfVHkGATYCkox8u1TeSJL8 eYSEHmVn+Uuz2FY+S2racrN7eD0Sg9pDLpDrEEliIUaG78waewSet4zZugzuaWDsFn+OxNNRbMz4 c3PQK48Yq2kWlfF4A6knhnRspQ2Q0NfXi4UIpnJsqoAGI4PtxW/zoZBxaFdUVeu7/7gRQ1eMVZzO pCq6hneXM0qSjwpQBueiB9vVEoOZxv7zz3GHS2Wz5oi+a4BrW1qBPrRGwTfkOtsmhJOWpHmsJfEh wB9Z1QYNGcnaUF6uzIEMqWSJyRf2f3+Y278klBUjXLWhXjeXm0p/8vZLqgpYWPnvqwtXTE18iO86 Whoea13fUs/D0wh+p+BRSPxe4t3jGW2Wz09lIVW3kUcccciwvLwtHfSFiO7sOrphyJZt3nOw7LRe oi6ebUvbp7A8SzWM7+AqdSVwHuYZlGMryvz6qWv3LzleBysYt+DEf5/JZMWcc3/bd2x/wjJiGOCC oGbM202/i2G+m9Cxp2xhBC85y1Pebcv3/J7ZExsD/qCZUO+6tp4TDKJsPrK/qqrOSDgBghZpnFgx s58HgbDCpJRxsC7UaqvG2PoYznmnLLIfbZgLGTAIcfO3958uELOIIMco3fFlT3oMh4pQS1/sqQrL 1GNpATcasYHIaFDokE6vOglVCyxlpZRoQpYpSzpRvlx4TuSwCPL95wmoUjaJDntj7fQnEhoI5MOr okNbH6OdJVTNNTAcE5CgpNy9yxmuIGQKdEQphUPLS6ZYP47XjVPC0/oXsa3FPjp/r7frkcWgx/KR 4InyTd7CKY0b/qx+7nnmKHZeQAOqf2J8aAAAgtX7uqqDW1YVbsIeQ1LGPLZRQZif2FXdvzmJOj1m ecHKpBClq4j71phP8erbYZgvzIuvhnbDwxIxaO9IvqHIBpoCFhs8/RWqV4qkSjRfCxC791XhVbKN Xzgb0Kzx4VY/qSE/JztpyPLJqg4hgZkkUHBuslnJzDEiJ0K2pkk5TzZBBaqZPFH0vhkGRohBg60v VpD2faghHOkbpbU0dncMlpMaJw62mKfIuIvrYyl9eXwmlx3nyafjZGUxRX0kf0n/pi6je9ZlWhZl yf89lyqhOBlBU7dGkFxZzdWKPH5wt5WQg2wj8WAlDKm6RoSadwpWP3RvtepA2w9IaEte8sjUOHSE O7BeJR8kXjQZDwajZn0rkAr05rxVnX0O97ms2vtNZOjq3oSnH06lo5dME1o022Y7tz968lmae+zI NaMrX2f/GMbZzj8qzyXoNnD1kUAuTjDgcYf4oNS4AzyRpgqzPH73Qv1eMlJ4vrhAIWnha8MPrvsS c2cgAfcFMmJ9WzUooq7h5MetzHGlJdPY4pmTcoRtf+jI26Qm3wlYEvYVJmy8eiBiw57ZpQ1uRd8y iUezBe2qwyigL9wMPAjyKhKROEC35iXrQUW+vPCO1PrFejyvCoQyWrKt5fbt9fKxPVWZc4eKMG9N rfz9RITKKceHQUJg053mKTk64xsPNU2dyXYbsb7fwzNO905vOvzJGMaGLRCR9gsj0spdfyONPhGo QzJZGpHAd++jIsio2XTfiUKhUchGU4gn1Zdpzy0aXGPqseTBCKH4fUWvvAIFCC91RAZVtdZli7Na AXb7XABg4JpR58Y1BIh7TnIymlZbBiR3pTDdobNQoPLlHOWqKz21YLTwnyxxbB7RY9AiDzekXHAV JmDGXYhOTI3Vb7f9h/U8S08la97/Z2p4lZPcEplcduGA+7fqA8KbbLjlxkxTPXgA/lOuOC1SR+b2 ayr4BR7U1j9q8CCvGDFNfzlhT8mgGOBiZr9TBWfLLn8etF2dtzJiAPPZ/8cJbz6gueMD8EwzV4bJ Dp1DoF29nh/7iNME/3ruCfCDcn7PP57vWwzR1xxdO+GeWOT/28HYrkMn82QJMGI9+YwNUP+4mEst 7Y7gw/zHfcl7w3WJedWjJ0WJfFw4hQ6Fux6OJieEpmv3Mlhix4JUDE8iXurL5xDkB2FnF4ezeUV2 P+mqVCYNBYKRI426uVoDAec2sObD/vS5TRHuaH2ANg49OKJDSheyYhwek+C1dxQezX8FhxQbIu4= `protect end_protected
gpl-2.0
298508a9c328c474cc04791b96ccc2a8
0.935306
1.874486
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/mux_bus2.vhd
2
10,757
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lxRl2QFHTBPHjyPrhx0m+GrPylqjOAcL4Ca6z4RnOkxuLP7B2PsoaeqbEUbzvcdsJ8MeUp8+ZH8P qKQUYCn1sA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QqSQU1gI7UGimffBRSRAokFGdfSnTV/S7b6szHKh9axbpt8gbsIE/vzuX0XhZsXHneTizjDDuBl2 Sc2nGKItMv2PnsEmUl3C/B30jB/ArG+vrPw/WU5s/kRCgSLTeEUFGqaEennXLRNJ9lgIgvl8Y3ZW 5fAejmu/NZBymHc6zuE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block F8acdCecGaA6aXJc3dXL4G/zHN5BsggkrhnpWGNUWel4aUY+1JSJIttT4Ey/1DE9r4zCqCUefltC +SaO0KJJB8HmhQgp8nO/TIrPbAnsQ9iQHNHC6tAUziik5PKI+b/OCKMT4T55wOiF3SSiLFlUj4AV VPc4R4o/9grCPe4lPsP0WaNy1a4KQKm15GWGU+uqIxVLPwB6T/PuY/vhMFj4AUBBaQF8IHNFZREo JpW6azZ2YSmytr+uikmWPD6SV6QkUfSenC/f1tpXgoKS5tKNTApDCOYgCLgTjuxkehzJYy4JB3aq qHyQR4x0fkfmeT1BH7tDYajkzpbTvZCPvHNrEA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RFqEeRHyi9p303i+jm+w97XaMgZWFzrJ4ymI9p0g9uGfOGgFBpVd1Wqy4FRHdd7H0awmScjN4ON7 NbCGoGDlm4UN9xu1uWXpGl8xWkwWXFvSQE6EXMvy7hUVwWAeyyFGuAPe8CPKbkY+IrFi/dKLTcdE /dZl7wMvb+B7V4BBPfE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZLIjaIKVrf4tGo+7dUiP3iiLEYg3gZ3DCE+io2Lj4r/VxPj5//fgZaYmPSlpZuyQlUsQ9ROe5REp nMvztQRFM/mvVCGXQi+ycz4OtY+CJ/n2/7v1yu9Rg746GN0x3MpNwbfS2nQAWv/Vz2FagqNbf1uM 827fI70LroutcYuT8qPPLREnYcUNyy3phYnbNXybuBRhUS8y2v2SnYpYKOIPSojBI/t+V+b5Sgda iIQ0LGAvQavY6hSDC6ExN1XBfM3p1jN43wlae9+d/vg5WQ1Fyroko22I97r3T3tYvXzbdM2/j6W7 tqYB3JL65tCyWzVUaGKdF1ht0dZ44fUD2TX3tA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6224) `protect data_block f9fsm408YySccAqRfR5SwHbNPagByVqDxzh0ifRWxc10BxS9/8DsD53cUmo9ZL75mjh0QTNsa9n4 xrKTWNfES0NEj2q88QtsDuxb0sAnQmkSO3H4U/JCFMa645x0yP48P3WkerrAAD1Tkim9dZbGozqH tr3ppP7/+ZwPbNBEH1EXuL9jtXewAzLsb0gCTEhckZKLxrJyiDobjY+67LIa2SCZE/cKM0hCFPkm GwYtO7GZpkSOo1bN1ntpaYGdIzuHVXuqGqvSgtKDtfcWoZGAP/Xc8im55YTf5ZANaGFOEKxak86X gwUUa8CaQXff92wbNcUOdSL+Rq8hE2KTcXYsZawHRTQe7QmYmcogjpDiwVWWjFIHXdwDsgjEGEPU toDv8kC/DSd65LKUYJgrOESxrXq5y5bZdqErNR9xZxoq+wYB1WV2wKNq+VN0T4yIKN+LXM1osubz 6eMUiggRFSa1CeOsjLuK5ej/ucaBFqd1P62JkjYXsgjmBdLHjmMYn4JC3sG3kwaMmizcFeHMoBNz BCBTUzlN9+Rq86wRPnVmPAtry0oeklZyYDWFF0zAtixn6qrRTaIyVAHg3+ncA6j5u6cgBbgGQE20 g2MDuphPu4OOciWfBlJV3ByWVXu9h6NT/ihyRBtxJaBv1jYhrn+dSyOtvUvPjsjydrkbJqmZ2gOF G/rv7DTkoFx69bsmSKhZqkEjSiSNwzn14d0OOazyvMY3JX7JlMGgq5WuMU226RGGt8nW7k/Rq1Xk 6sSDJOb40OFtKQp0cClEsaxcauG0cD9AeosZ+DPJn4ceKjFXyTpEkT8fKGA7WF30gLAjlyAhwTsN yGs42f0X9HDbMYxphEIftR5ePg8boj1TKQn2rOw0KxNk3YKndrrMAEPSXTPev+dY/YkwrTT8MVrm Cqs3/dpG2+BSE4u3YkND50B6y5ihshS/v63cytV4lQ9kVOlezQjUSdZ3PHI9YI7Tu1xiq44DaTgn YPFTtJq9eJZbD9JRFb3FC+wFolatFLIjQ47rqzezqyM4RdbmEgtd3QXi0kqomu1zadb5VipI01u8 YXsKWNWjIlytGDClDPUbUXLACPFpk/DPuj67ElXT09vXzFFGQMRaCKa7fd06GbtbYAFeO5/NCac4 UOkTUHwAMMJsUIYWgML77sLfgYvoK0aXJpx1SMzYX1WJrUJOxH2w3z+PkCG2G0SjHH0SKBLrauGh QzbIT4VEf+zI74SakXIMQA0mk/HZCZMmmigNn4BJC/nM/LkTrzXwRCxxeAbYNuwtV1MVwYqVkSmn f1E1SOTWM7Bl4v8slFPeGXtnFZuTKlGcYPGvDgV7HMBH1IWu9Rv5uXLSWivMasdbERseWQKdIiNX TmtErGJYzFBA89hF59jD/kjUl9d1CAHTmi+O2uhW6isO/nv4LTFBCvPbjC3FYlTwv40yjGitBXQM EzGM3ZYDJZehAHsox/NOZ7ziW0qK0Tb0m4ntq+Gx5SEPPwONC8KD7q7tkhQA6hTzjghmKRUTXWB1 DeXAYqYY47qjKTZfyvO7bXL+8OJ9VSU10WzWwuN9z+JRXeVFxGYvYkFhHppzpYj7S2IpigBb8Irp c+7khbMPI00k40AYMewkFB8GfrhP07VxXbhRvwLLl192j1O5CKKRuenVRPLB13/EPELqoKGMADwS ZBQxpgyyfcT/E8ojRnyW1hK5l4EQWbxvVgUD4zPAbi7xeagarWg7ztxZo0QG7qN4XCbkQYQO17+H cNUPBStFOmqjhiPUWTJKXtoxFTVygPOpSQvSyvI2Io/ibpaNqagEvIh173toOK8A/LPAiPfJvaM1 1DC4W3d028hPiRPdtIxtOIwbGn5EoKIR4b4S1QpPLhUiK2zfa21xT4lSbI/LPlb/FMCIs55dx2xg Gs6rB0i/JMds4qPiVLZBu0hofFupOEA53WRbuU7r+40eghmq9z3HBe24IlIzFqyr1dkWLsvTcECw OIrMbiV58KTgOm/hbr/ckogCT0eduCdoWYqu+zRu9f84uP0Ep+9k9eE0T8JSux6IRNg6j9Lq3WmB ADW4qLSXyIVBkzngtE24ZwEFOqkaJkohHgl95EI53ZrPB6+p3DdY5fm/rX0H2NKjNoB95Sn6sdj/ svGApjmF0e3/LKZA3/HQyExjmZrR2vAysvJTZYe7lOv89yFfQUsZmb99PbGBMcG6HVGxD7uTNQMR osvKJqVfgtTXiZLZcQM9FVCNUw6fdbU3FyTNy0OH1HlQMI5UKhX54SpVkSbOPphGHt1PViW9qydt +MmkbFHVJ4ZDjL0QEEm1ENmpsoP42SXAKQZSV0jaILKqkiFHklu6DglOhXsWDJZtxiNUqDAts+m4 eSZhv1ES2rKtpR1pOkgosRTYrgXb233oWGL5r03PIFGClAUddef7+yU2/AYoUXckrYyGwh41SJyl FF/SfnH+f+9ELl5XKMlSm21tm/0wEHO/jvaDajRDwVI/9RqpkdfYbmUwPGYWpk9ARDHaEuZtwjnd FLJk1hfpIm2NUBwuWgOPed/lh4YBA2U/75x4VzmUZDi+85/6RTkE2WocVhj7VkxfXW2pNYAcULrj QtE6c7gkVyzRb16rvMtSkpsatOUtZbft6Od4HiJp0kQnS8YZH9tOPviSajj+q2VmvsGH/a4VHKYL Tyyl6bWIkvZ/SJ5EYn00yHkbWUs6LACczA5E8hvH0J/ojXnoWxdfp+slSw0qHHTgqcsqdv/Mfirx 2hVMmYNiUdIWyhpJFvC74nLdDrZUTcvl/EhIm44oPj1Y1Qc63a0EL2qhA3+7ReWpCWuoC6Zv0j6j 4+lgHaFlTjXpCXvUs/vNmgElSom+iGZrN8WoFpFkMuvi8+e3GWM/R3pxr8luJrUGt++8wRP+txIG PJivc7S7/k7nQSdvw83YEj7e4FOq/LBb43S2Y3UtfrrzW2siItR3QatKIPN03N4OliTV3l0/Fqb0 8lqAVagi/BcYXjJ4/f3sgaq4/k3mySm+Q7uoY4IbFtBBBusotUd9JFnUHSfruOxZ4C6cXC5jaOtw 8Zvt2OttbMfPkC3y1OM7XzGE4XcZUTGcB7p21/N561sw+tJlpLSnB7aqxOZkAMDR85HvqflSYVX9 0zP7S81ypppIYmGYve5bYj6BIuOxO3wyM7fbpi2D0lVPF4GxkKRsyEi6gu8DuTzxXdF6dMyMeA37 U9xOkBdj/Fwsog3zXpr33Pi9tdVVT4tqC3o5+DN1rI4jlstWZHw3fDz2wgakZPGo7fdQZgPEAM5Q +776XC/nY6wYnN0QgoFazwsspnU7Nv3Xh6RqawBRonun5/CHUwHjw96ugiBOKZPhcGCu/dQFT9wx cQ6vlUeXWeVdBrGgA4+WUPdXoTtvll8sBaW0kc6kF1CFSF0vjaRv13IADCpXTpcBeOI9QpzijS4h 0t+5W99B8EVVjeW5imaUQdUg+ekoSoLExbdg3rKERFJ+CD3GvxoxZ7tcX1WsRD12ulXJVHcJGKfz NxBuVULsNJLMsOtlc4sL/jQLIKeQztvg9xSwnXRIllbfXbfCfsxEHs28Iuame8b5uXZR/S0TjBP1 da7esSj7fR+rX8zOT7JuFB0AsfOragRDmPaZaJLQfoJqnwx56W6uGmXipBZhc0aYBqq0N6eMDmE7 NqdULG7ypsvWXVD17kI3lGlUGAWc2hAx89Ndlxudzqgj5GEJgcXHrISDPl99Lf9wiHWCvs1RSyt7 9xijolj+R8+2STgoM4hzy2oZwwh2PyZKuKpy5ujd5dC8jxEptlBZVFhEMus+VLB/d1+tufdBg/Pf 0c3LcknAFXJEwsk+Xc+u0sSQvZcddnh9QKLV+0JRY0wPtHyf+wYWoYKUp6fE7ncP3gIt9SpRgwAw TsOPdYY/SLrvyttPDbYqwtg6PdafdMLZy2f2a0VwwjSf+Vbicr2gK/zSkKPofBsrdVrhI7maNT9z pkdFAMJP1/JszprGnKLdachoJWLTzgwAOJwTE3mja1qr00uXssI0JV+7I/k3+Y+8cFSB5lnW6D7g sKddS4EX+uLF3amVcG7oN+odlfq7fi65wtvK8iPuGOIQAs8sEh3y6bPzpMLD92Trqx80cFHVePmX s8TrQbmK7JfFU9TtdnDhyR4EYPIkBW7T0RRbrgVzZErasTRkuLrIsEbEeVE2AKhcrznTxXLYW4z0 xIeZP6EQI2IOc8gjmsIYnUq1SmUvjioX4IQ5ZNe8vuZjpaOr1bdIPnPQGtU11CsN3lUwalmGx7n8 wrnU2hpk4XlHJQuqt7aUA28cwmnh6tk/ozySQ+ahPY8AMvhtOOjVOSP5gxdAWuPfIt+D1rzWAjIW h9tYhsprlUL1cr79zBChwIVSx5uoBFMO2U3+RlKtKXxD01Q9BB+/g2YTutT2N5k5T7I7NOQESw93 +75NN29jda4E9otkwRrBdrFQrSm0KGR3LLXQT9sKsdLN2bpEUtzaDVyCufgvqe/Fzc2917A9AeO/ W6X+fupMPTXZgJWJUOEpgSeyCrlYRCo4WkQt7o8wKf7gp9zb7YQUXr6vYemyOGiqd4yieSbdv7AO vTxmbgDqw0hcX7llkiglmlU+lt+290l20oNYK+Di4gHQb9zAnl3qIXaJhNlOLttRnVR+GaV5mNQZ 4/3h/ZreLkgD5Bd5AcOiZCbly2TDbwZduUG19lyvGIXmy54fhp0gfTgdA2lLpdbY4jPLUJfYeQ0X q+84QfmGkv2vwd9M5tpPI44vwjV2CkOn/Zwm0SxocizMg5hyJLXyJitNjsAjzoZPaKF8gn5x1cAm OQZYmEFMGDOPXBhQLynVBblUD3DsqnkVHQ7b2JzvyMVrBJst0ctQ8af0Ea8zcd/ZM3qUvkyy1QSN 7WpywD7sxfSzeLyiEvHTHgw6Gc0EBV7yO+w5ZA7zjd9V1S7qdrMIhVtG8b/Q5kKWXdjBrp50O5Ho OJDnZ3CBfG4lMZlDOxlThBrxetQUs3sOy/tiYFgFrNofou+fxjAhK3tlTziDhXbwDEJyygdjzGbv y/GYxGzUE+LMX6qaEbW+szM99EGs7th83ZTPllfTKcG9fQ5JGHZI7gw4+9uZAATVWoZbteZjWMlV +UTz9+wpisjcCPxCWzYryc3TQcTYSi/22/Mt+JBgYHCggaJ9n4VUN4hNMUogZDjsYr36Um3ybU9W GRJZLw0Tw/WP1pM0RVwgBNpEVBJYUZjexiO2Y5ULIlTP5bAN7cB3VzruVyBTDyYca9Sqk3FWJDTq WxBc8yaQkzPtslVuAet8WnMhls9TPzMO79qKC/DLeV2+TZPUBsdGRqHhjwafnjMF1aAUrFP766/D Yqc3iwroc/LrXfyIMzQIOF/bgc9O6ixTlf1uguCKHS7dIBJa1pDBOrcJU1I+Pdr920aSBJJiqTzt 2iDEUEPTREtl29waDGWGO9vgnfmiBz3MCO7mxW9wvTfecZTQflpnLbb50cogZz1mrc680xtIKLlf gNtukkQrvlj/cQQ+nvaxaYWAIoOnLw1YIgEHmD84vPnVYINo2kTbzWQ1WPIVT8cV1R93TnncnPcg Y3gyPlfdGzhP/Q0WUxQgiNRjfoV4SB3ZCEq5r01or9ULvPXCIvGWue3GX0VjHf2WlSxRf/tYCmIq StpRa7/qmt4KdtnrkcPjo6qEnaDRwenqtE2zN3j2Xq+vLbKvD1rly8FlOZByTbH7EplqOdp4ce/M m6GHJIh82ui7hde9lw16HgAtArry+L3PdWOq7CbapSid0PgsKYhWN9ME1wBh3HSI9isLMdosJ9D/ I9QmM2vQPyetyeaaAGWxh6xFwBSqjD1VtFxHcG64LsRhAtw3Uoaw1jl7KAwXtOBkOQolYaL/TY2R au/5WghI4casoC0heaOQHsn8QhESfSuT9LN+6tV3KL19fljZmTJjS79FZfEVyAVu4IQm5kbbwlG7 Va2cuB+y+M70i9hg+MdJhNk0/xSkXSmjtKD7kDesJCZi38X33XZIiLVfqb+I+JUkau7IBqo0K5j4 jqXG/1z31lfDKp8btgqyPIMCiRULzBYxuNWIJf90saj+28tdLYdX9ROVuNRirY2T+pzQEv962k+3 /krx3dSddDewn5XvwWXJT9wBv8wvTyDApC5GYTSxEAv0Nuy/YKj/+k+DSBL60bkDYssTvFqslvT1 TbS9KhrtkHmSdkVDt6f3FB6dfUd1h3S9mYLumjRKSuh6hGEee/HYusfYPb7Edy3d5goYAXz0dUI7 K5IM96Qdyl4RdXfvNhxL6ISXW4OWQYqU5zKjXdtwUBAJONF07dTnpx4Kxh51cmTGSQSHyrlh44A5 2U7lxZvCemu7UDLWrbOp5sobh5cazbkhC5yZxkZbfXslLyy2zDG3Bt0SLKZ7crpnzrEfCRmnp9/u 0uZ8nzXNy9GhwIQftaaSVB+vGxwztgo2+gx8tKwcEzKCMWpUxFrQoE8BN6r5Y3CDoKIqJ1t7HsLS 005KVsyKuXYS71gEJEJKQwTeTLYnganY+sjTXePkcDe8L20mC0wCn6+3aJi6Hk+t4BH3I+xOX51h QMhpDyoPR4OkF3AgSEIk0zqCyoWI51m8bcStuEqIwvMw+9QaCBgOOvQhORqTJtDD9tSutHCuD/C6 BGbyPJieEBC5PmzbKDespXApo4fRtoi8rx31kipd97ylEmG4JTiyVLK64Ny9njePKgDa0WGIxVKv d8X6oL4jjA2ZwJz+1pwSJdhJZTKV8+w/4+g4c6Lf1lbyQV+++ElJWSYG++XleTSDvnQ2LMxyNAOQ 1nxFBSiyVKEEtRXFU4LunzmC2qjE/Q7YgJd42f5VEZkqgDHCXVIzhLxNX0lkK8FBT+J5tJO95czv 46Q76OIkon1MadnvNuGXqdqrtMv7rLpNi2QYzUEjuTSmCBFISF4Kzp55FumRpomcaBF1U/cPa3tn /yptFx3OH/nneXrbXw4Cm3xyVSZu5R3k96DLhSaQ2XATZr3UvnRK1XZn6Srvwaqoza1lRakqBrz+ 4rfZsmAEPwNtjtbT3w/qFfcCPp6GjnptEnwNizcpMt/X7CbQJlr0ln2WHcPthqJ5Wu4Ql9PVsti7 10xQL8c/NFom/z0B/MUS5XtTtzVcemb2wQWT9tL7xbJLj7GK/U8yvN0YXfKdnW/QJe8mbcDjL3GJ LNdGhSwblZijA8E9LW7tUwB1d2zeP3JEb3o6z7fGZs/O8PiZvU+QHUSUPpMq9kNr3YUQ9SZQox8l F4NyV9TG4v2Bj+tMKP1kTpazVGOnYnAcbLpPvTIaiG12rd6Mqsu9dUXUgO1s2mdXzSh6Cgi2H6bA s1TnXyTWuzRX8wHvZjXj8jQ9VuVVElbWiVCj44W7RaD6lW1/W7D4KPyMPs2vpjm/jPD72zZKwgfw j2tWn0stF7flMKsKV88+KoArOgpxTAEyberWHuSvvZBE9Qe2NT5vKGOWK9SFiltpyka6UV4GkAKm Rcx/fYWJ09PwKuqmNEMSULFEFuKPsnCafI3QQRiF6Ath1XXT6wm5qzGYM/knbKIfIRIAzLyTNodi UFmqx8o2f/vx7OifiQ4ohEmq69KqOE3jaFICBp7yDZ3cPzLTAnH3B74kzN/nmrt7//qdZR5db1SH +FCacBhq9qO6DPDfkC1nU0aL+V8ehfOlKlzra687p45IMpCPy15c8FgoOUVeDvIUrEhr7S+/J+hR 2+Qxb5O0AmNJ/zi0uRdZzBTqlVxUaW+uM6uaUXQJ8Z75eFF0FaM79n0N/qrlHXvHxTWrBHve16U0 gBo8WCvGFJ0zS/RFZhIeAH9DeDvJnFCyj9BTyzJrS9YZcHyb6oywbAipae9ddn8dWs0SfKAiNvrx p+vjiY/kvWYsnSQNFGAJ93mS7tmcvBQRA3jVqORq0/mVtE1HaDSMlg5FDfKB7Adjhyenx9wYtfjH 0uv2Phe7yXwKA3ZSM1Dxu7wLakBQrB0I/qv2hB23ygr95on+0wX1T6lu9iLQwIgI0LpkwCASs82+ 1HQMCyEkzRMAfTpAaVJKx4GaZHjGSLND0cNMlDBMtqr4rfrE2rbbhKqWtOtwH+zCjrX7EECtUur7 dgT+HiFr404lgVbRF+X6LkJalL/SfT1DocMEkq75TG696p41j1NydktwCtN5NWwavjeUiEYJ2Bx3 CZH6HgymNUyo+Ey+iNYEijL5Q/2Xl8y9RNusIF3kSgO4Wj7ZILl1+saRDCS8I1XXcm6UBDCUKKtb hFUobvIinUxSXb/FJr2lEco1g5O40dzUE6/fn6c/MluG9YPJR8ZFPziUL2h9FrXT8IMKFJwpjSVi PFXMzXrYAI9D4Q4= `protect end_protected
gpl-2.0
09c3165581fa3ed47938b17bb10a1fee
0.924979
1.88885
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/scale_logic.vhd
3
8,443
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block irHIr4lsrE+63Ldj/s8WbYGeEl1N3Wp0IAnV+tG/+lqK8sKADejH27+Q/FF7t17fb42jTzbMf4qX ecQOfOxP4w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block US1y+fpIqLYkJC6eao9nCtQ6bH2FMqgj72MUASXzTqd7pLeYnShNt3eG5KHA2XgaCHAfERZuXnXU +0z1iBasK9dEvCXGEr6d3xvtVDtd+njG698e+D8QVzVnfe3S1N88V/SoOnhM9VXEP5hfXlhWbPaa 0K/HgtdFCd+vZ1wBEJg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DljEk/HJM2xKNNv9bKzOX5HnGOVMRFmXV/fTsGMf9znwxETGCyt4btckuBLnQQPS9JJH93IogpMb kYQXc7wklJTRhR6FQMg2Swte/S1Wb1woGFLvct9Uvn/0j4p2x6zXZcrWYmG4dQyFyzlw7fSmLrB2 FNxq2EsbB7wP2dx8GhE1yC4mscB2JypVBIV2PqkOAS4ltUkFhPcu2OWsdmljkYy3n8xHzECvyZ5X tHTzkJlAjCe4jYsZl+LWvQCVZZ1bh7koDSmR+JF4hzCb4ahuQOfuA3XjdwlDKdlpTyO0YRcdezgl lmKC1yqdTIIMRzxM4a3EThV+LM5uesIniiO69g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block x1TLeTZA4OAAMF4pC7int11FGB7tAfBMbZxjvJO524IXxpYwHEyBg1VqorlZagTfwHcIWkaETfaP hwUiZCdDyHI2Bf9I4ox9U5IlZX+6LrSCvGSNDgq3HBByETemAL6uWyEMbFXKJvAL5t1njG8j/nOX RxCbWgzVarRP186Bum0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KewCdqCStZTaXQIB71ppq161FnQ4oyiqk6Vo71ObhyjqMEd/2VitnaID6h5sOknhsqPqf0PsPe90 bYNGRReSvBNHwq2OdLnJlCzgOP5d3s8FzQyT2xMY61frz65ZdupJsoyCzPudxnf9HnHxBQyhmV6s Xb5CjjcH9D561Es+dHVcw8MsGe42yxqVFBN5eS+JZD3OkJ4T553DN7dZ9rbM6N1YF3fDeIj/eT++ +S34AJ6xwHlK3FcK/34BCGpk9qm7WuKqpGWF0HWLGkSG+IK8SG6rOWt8ca8NJO6P+5pciPSHBdNR /7/dEUaVabn0H+XtWNwQ2cI3QtqoiycCcSyQYw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4512) `protect data_block Nc551l3aBijKB7gANtpeZyAVv9kEegfq5M7NkSdesplvzTvHT73aW9uKYlxdJukcmKolFB0cXaIg qbjfc1o6eY0jAd7tUpHJ+TxicIJyiJWelrkWV3h1gAY695etW/h6KZOG962W5tq9fTwLg53JYRpi ubPBnVvCduISdlTN4uJePXtfLfZSxXDiQOv36MFyFO7a4438rmz8ybD0A4uHp44jpwiDrQlMMK6K KdNvV1erZcyEMzWJQs3ZABiTn6AwJcHdsNRwzGgKL1Gpd/1CT391stJq2Dvppj70niHKb1jBl88T LAPyThLZzHt8A1L+BPn6I8Qhs5dzk8y8D04fSVBOaGxozLPRbvVCESubGa8cW8ERDP7cRDmzs4rT /BdUxkkOrvXEZdEesPgXanuwqEqQhtwaeTR5QdRFjsJaPWS5EyLd+teuGfOzu4Qowp5EqIr7NXjx cxVQTJBlNFKlnmAzaT2KHdxdjgMe4wFJN+AoX5up4GryQgA0sST1D0MzSVu2Gb+cIHYWJToTWjtt 9tD8Z0dk0IO1CJAb7JT3KVMf3e9WB9SKn8mla0/XFmvs4EXvTMYcYYRx+21I+mdeL9fFUWfQ9bXE E3YCQbzTkrgG5ND/ZtI9thER7FD3abSpHROLi43FQc06kaskLTvcdjkjFsYc8+26z20Hnovtdf9/ 9YpS1FcDigusPcP+KubIymtS3bFLQdW2x96JX76YaLFcQm8hQYhd9BUzuG85xB5nTPWBHUrTAApT iyBZk35jpP8xDc+I0jDxFAi7z2TgCxfK+KcC1OtIXfsY78eB42UYcRIkkCHtFrZ+KMADrImf3bRy C6tS3adqO5jKL6nP8JzzQ2GTX2yFblSxnw3cj3c48x4NtNzKCD5OSy/OoV882HuYa0fuqooEkuqe OZjPi94ymETot/HK2RGlksLFFLduEa2sth1NMRmGlr/4b9DG75I4Keq/DIklUyNUosbaMTY/LkvS PLIgNAI/wMr7hleIJHr4QLOTi0dbWgvN38OX1S3wni9+Ajd8kkH6z7X9PrO5W6UAJCu2T/v2bmO3 Jjv3XNBATS3mfuJwK7O/BNc69HbB6WTJu08eHl0KxwFuBhcZ9erF3YAeJf7lONKYiKCGKxrEza1M iMTEUODUaDerQ9A6Hjgs84WKSXIV7fRPPdR4wAu7EiHGteSbV8VefMQZ4V4sEeZ1pKN7kGZ9h3ma lrqFJ2u/LUtLiIaAuqGqesHWnGtZTe4sSvpxisE6edVlO1G0YCgEEsRfLBZeECKm58nxPUzn35vN XtkBgW25atHZKQo3vl1OF7+e5ZTUDt3MbeBIBLuCHm5LLcKZhrQWyybQJJK/5qOVcTzl9lXjZOuh ULndp76R/tt2NVLdzgUP+Wi//CPCkolbm/nUBaYtGA4xsFvfUWFwfmb2glerl3b76nGGU3crcTT1 KdsRJzgh/gMmxLJdFVMrrgLenH07u5k/C+1Oc55Nsmt/9dfYLrpZGwOJOtX1lbiPEmeYvoDz00WG 0G59TsDhGZA/ZJulSRlnGvp676wXF6TIDsIhGOb3Wc6IyuF2XeXg2PSvWnZ89AlVwMesGV730KcO E+zsHf1cLT3m1ATpfasdGs5Jkl/626mVICAKmPv/IXIjSpydDRGfz3o4m4FDHSJ2qhzMBMexVT8X qFY36SL1TTcZ0kC06sLJ51xug4XiiadfEDqiAGURk7lmStjIkcv9c11XwDp0qw06RNbZZjmYed6L LM3e4I55ldjnqeix3KndJ0UNDBDy5s2RqHQtLSVGpKEcUP7uKdTK85SpxNCjUFj68ataq2wTL24Q OsQMPlo5cKSkXHfnsIcFVP7jaA+5jKHzaed/ecNYQQsdr2NRHsmUCsINejKnO4HtKCH2l6zIGsc1 Ar1myxZAnD7AtaZI1tk1S4wVYDLD+6aFcFvhhoVjpkebGC6ggCxFzZb3viXiTUNLCh0GoS+q+o1I 2luf3W9LQ1fAzDWj9uJ132RLy8sKpg8ShvEkSFJt0B8KUDFk/HVI+nf2Pi8pavJtQPT77wxmyKNL 4SPASaa3W31xzgKMy74oorVDAnLexJDX5ikTDJ+yOtInifOyjwtxCmxcuMkH08LYG+vmZz27wZk/ zfcEKrb+/CEKvDHVRXNZ6pBJMs54hJck1SfQTJGPklYw0ZdBSCtvMrsYOIlTrLYrWFtXsAhOdBa4 l8g8ztUHO31fP95i2PlDQF9yNWYcDxueROtRca8cRNgXYoPT745IDN3exOqPUx3aP6on+jkZs2Xf tShZz6VrClsF1vj9pmLw/796Z5x9uMl07w9DwQL5DPcdYwmT6x4S78lcP4ykidRuKIjn81aGSlmW fXwDKWO8OcseaARR4KTdYsc0oxIveRveXLF6OKvFjIIGJORmw5HCk2b1893utap5vLFelSWp8zk8 q6RrB18XeoKeySdi5F9RazUTHlTi7oTgn4BOvOSMpfmO/ue195DfItrudVq6oUQcRhl9HeX5el6w Ywmpnb/LOoD7M2ms+rOB5HkTKHu7wR1RQIKG/rEzHttu6afFHBOtZQeBrPyVRCiD072T3AMlIqti L6Kh7Wh1YjLBtuQgbuxOQfuUDzfOxgATLrp1iqj8kwoBSxA0Dkc3Y/YNSzncUxxjxVNkv+mhUIb4 plM10aGzuiBM+y9tmN87gkOMdcQ7WDXM5zZREfNwVnZs9MEzfgTwjc63ji0fmnw57VLlEWVQ4un9 hgXxFYEYpedqpaiDkRlb/nV3PmRHvbowgHPD2fwCEFPjj6gXNfgGjyIjsy3S9L5+QnrtIf514kAC 4wieZ1Oqw7EasYCOmWcosxj+J/e9/sVwkkzXqUYiO7MqUDQgiEHrT3GttEQxwihf1PJ3VlJoRSdO cC6g/f5XGLaP5kwLVUmEC2XXbCs9XAt80TW/LOjThVg5M3iwVzfK3ROsoCT2DPzFxLNQAPbidsMK UWc/8tc98U0j/Wh037WEF+uLIfSiv4vKbQs4fNLeuVfehPncyZ6AG7Fw+WOLyXbX578u2j/D2z6V cm8RNYTEB/PmSrlz5e6DilrPo9g8zOJsJwXNY9MhljWhqlZT75al89jUIB90NRwCbh8Z2Vlu+2NG DMCYf+ShYzyFr4T8QsQYz992pP2UmhyboljbvB0BGxfW3RX1TguUd4mhe2NYLJoFbdoyWbnH2a6z 4G6Uk9gheClS1YVjXgZ3EIOoUgBHRCyFrv8K19dTxzbk2yfoxzl/6xEzWQJj5LDHobQ3Sg17fycv 40sVUS5tyv1L0hlDE503B8d4ox780IIjWQE/P0jm6/ccyD2qREO8HVhV2Ysol8oThQSZQT0p21BG +zvNMJumIqTTZ6D+yNtd2FGb4ZCTWlU1TrRIopTi6lJAb2f//id9/jVNAAPNirwUzCiIofWHWEsI MZAmW72sQwhMLMmDVXKm45/KEyYep+7FpHsAgotjtQslVWgol4zElKoYbgG7D/KuK88PfJlhnO0K 7Gjq26wG7yRIq7iLqw1liwj87Khi4JkCf9Y7P1LVfyNs7bEHJY+yrpblmKRCWib2LFWsnzZoc6x8 E04RR/KmT0GO1DDIJfjJ2xJmy2aT/k9sSYUWZ3GNGpmgRB45EccIIeBDq4Vb1it2djS/OSwcU6TZ zX1HXMebWDNfzAjkGMGivRSD4VhT52zEOh8ZMgkdbvtpi1B2QIIE0dUSaNAAf/UbHZ0oQA4h04Vn DqRpvd9qZRfq//I/Mj5ggoE451/RJAFnDoCvQCEXUbpvprUgFi0XC7s70znACc2ALYihnTA/iWpH inH7curIMGKCXXStyEPkDJdZZuy9H0Rgw5nNG8Yxzi/dQAiOud4iJm7ImM316SjxLDDljskBe2Uw 09+Tw0afzgKxmHjWtuEoGHuD4l0FUJtz5aeLuNHbgCKDNdtYh7ZAMZ5ftcix/Rd5bfJ+/r8NXwfh 3LYCL2l+JTDp6VPCCYMc22oXVlxwZh8x06usje90LFL55tNhRqHVURKda8Sz94aNSv4ZpbSnVWJd MiQoQ+C+ENC1uUL04CRi68iTueyCYRlLvhxCvHk1ghczREGv2ej71utvUcJ8HgQfualYWsyC+oWE KKB/NV9DEKJemH6d8/Se8N3lcal5nOOzB1hYOKOUgmUeZMO61iEXFWXhzK7fdZn3WRgxrYhRZH+L Q1piODqaFPq/1YHbYayqFoCahv4eGJqdJ/51K3AUtKHz6WEtK4Y+i66SVjLHd1rBwuZozbiiPH8C Lun4ntR+SITBT6e7B9i90x1OkqTsMd/RxBuOl+D5OxM1l9ZOdqlv+aulj8oMTwXOUKQ9Zl11wI1T Za6ac1laFYGQqhL4gtSHn+UwJtHI0VrrsNR3mtUm54+olT4KVPjAFMQ7R7RFSVbt42330do99l3T 14tPlkPebzzqOfKzAOR25zm+TMGRSirsIPxRwbpTWOBS/gUM3qW91WqR9ep8msE1ws9JLhLQWohb SB1Fj4i5L/5AyQIbcl7QvFDpELO+KCWeVwkiU2Q/E52apRRjtDztJr1jgKvVxVCJLde9Nqta8xTb 6hsqNSp+QmHhMUyw3f3yoP2ke8DEjZjTg3lRTXIDcs1baLtV1dWR9YZ2nTObttk+PCE0xQLfZqju eF6es6v0Bc/T4WzAryIXhPYgQv16bRFAXLpRgdz7el/mRVRFaJiAJcZlFId9Sy/iUwSfr1M0nLAr yhcdtGsaRhwpIx3glNT0VxkKj479cKtP4yRhxedhnmj/qqtjOP3gocD7rDY7z5HSu6APksYQOvIv oTcQnA2+cI/fzhM/NyGUyrHEqb9ALuV9EbjMN2Whozace9cN7QAIypnHwbY6MFMr9Huo8u3uWFsr F33SWZLD7GiXCPrdvVv707N2SIGAl5666EgynyUSRLPLIvzt6eh7kHKRC8dEQBcazSTkGoYMrgHf IlUWbO/BpUGSAuAmfbK5a4XZA8EDHdP04OAthYZTkYuRmJwO00ABWBEo0LAEJ67k6qPYdL8ZUPkp +v2y/FaPtdP4HnhqiYuEeoe4zrY0BuSGWosXhoc8Uwg8iZxi0Z2CyiN1qi4ZaYT7Isycd7MZYtX7 76LfFikN5D4K4rgQMNWuiP23ZFbF/RRPUjorjbK98wT4ldRpZifWjhy7wKbFCayHJcH8QB9fGv12 Z65WJhX3NlDdg12NwV2tPmARCKQBA9FY8DrEl6OlU8H2ISsm6ORyFljWEwgmDp8/RvJsBaU+BTNG uXbQrrG3oeUDK9bZotGLi3iAbKiaZ7LAQa2uuzn7wOAYIW0UvjQ7CAsNJYoxbGXo5qHZF12NaOmS HtNIMcEpGXM88IjhIRI9+O0K5yf1xasyb+9dxd+UK0PFbMEBFvzdDHJTaHbW8EDUb4f3+G3YlSq9 Xrm0BOBQWky4mOcV+LLS1OGCUnOavWEd1h2ldRspjyVG1gLcPUtI4nOye52aRDnUpV6HNemFjsPP uInfUBfISLRwYrnHUcmzEkNI7FDvEvr1b/ZkTO7mxwUO6oHpBV+U1r97pdmezu+yAJmAKy8IkS9C ixMrOuOsa/2fteqyTvMlCq7lPhplPADcWtLuWPi6jIahxK8SFBdOW8ag8RGQUfPEZ2IFwa3bfKcm PYnEzPgRFioQetuu2C3qysGsH8ORGNjbdPE9U+TY7PJNWtPRwW264mc3KEzEmF+ctbtkO/MfcHd6 vVzjkPRM8q421Gf4+DhXcE3iZ8kAk+HPl5SQeVenrNYq34YJ7M/zFx1vOvp2vMCzutHHU8tilf/n WlWEh3dCK8dvX6AYQ2lgWKAY3Bx9+JeT+vIF6oTIGAxUesF/3gWhptOXzsWcGnQxj78kr4hrpjqu +t9FvG6rxW0SmGEjoOj5S5CS2vzQGObVS7FqiR4suXjnO/IJrjt6YK1F5NDQfKRCz8KDPJCk0lJq bE3yVeFXatKVjWAsbN45rpN693eGMjvQtG1qIHUiHjPcKteuMgFGe61Hj+mc0ihMKTRnkx7OlOWc xHqDlaWXx6hi `protect end_protected
gpl-2.0
9e3695a57d7e6a258695ebb560fb1146
0.92171
1.93425
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/c_reg_fd_v12_0/hdl/c_reg_fd_v12_0_viv_comp.vhd
3
7,535
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Aooi41G59u5d+cPSE7f0zvkbBYNtsgw3YKcc+Kb1fgciIQQ/D3p0XqHgWwIXnUTlPGeGAVLp+NRs KO+K37X4xQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VnXBKL66aD68l+hV4eCb6Yk0KYJLekWVQAPgJr2ZsDPyfBmnggycFE1BGCXyaK3oTFaBXRKws+A0 T9POnY49Z+5nxCBu5CNmJ/dEHCONPWcYcF9M/RfywwYhArGt38mQ8PelHZcVTYZKQty9ZZ4bv3xl olpCjLC8+LmnHkka6OE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block b2f/kp1sEXqSiLthkwZZLe1uEUFdxBi509nJnLtm2laySnjv7qzb4lrDvWE2SDHsA/CX+/Xnycjo NVwU05yTcyBxsOga4qgiPIsy0qTJmSZRqYfIn6n8HDCZSo4dB1860pblX07cWSeGlQ89Fr1SfNNw SWh/dQ3eT2dTE+10DEhuW+ZPctALd2USE4LZ82483VRWMCS/Dxgfh2/TY60p62kVecZfpaZgRgbx m1yppFq9UXmYiBxGsZD4r/Jycam0EZtwtVRJEcs4enGRjySXLsjzoFUa3FfNzPFl0g4NQRgELnEw rKqD3k/jNsm0cEmvL+KNbfq0Jl3dV+r3PrQPKQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZujfGGiAP8aB/NuN1o4sNe21B62tctw0gcxgFJ7JxZkNv8NSWtMLtVP33y6si+TzPzIDQPdGTRxo SA9dyjO8ukZXGb0B+frZlNufMadYPFDZTry9GiadvoN4hxvwZmPZgh9hAjuS3u2osskyeHe8uACB Cmja6i7x2NqzSc7OKNc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KRgwslFo+BLWvn0PUjTuDzFZZpPBbJevoYJ2Bx3Rflje5dQI9dlZj56jB7qWeEIi7p2XsFw5jODo FSUXb0zcQae3l2GOHuWYxouLxZWSY+aPrXdX4gkbUTguz24aX9vouMvTFTJqBW60hVKglfIVpNgQ OSWKWFa24tSEDDueDpOTDkgj7dufMyKu0wmV6D7iGH5ZakNoqNh8GVwp5755R2Pvv9DK4NZrkhIL OsP/cAMK/VyjR9HVj9ceNP+2l/oDKbZS7U78w2ly+1goE0S7W5ZLn6cU+/SZgYDOUOwztFQViBQk Phsuj2+cY3Jl0hZiUkIQZUK50MxFBWcPLruFRg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3840) `protect data_block 3XvFhg3IducgN4QE2petg9hmxx5v9++rSXUMMl7bDobrifdiYRvz5i2vo8tAaxnza8beeTyaH2+3 yx0LHGbohp+YdQh3/KAvp353bgZf0ztrsbyNH3OvFxTd0AJh3WmMaNDfT2FsnbwsB+RFAaBq3c7l TDpnOuRHD2XF2KPCKC88kH0b++8DshgYMOemGMnYztBO1A9jOXYBBR2vpl+wqpXXX+4VxAXmEmi3 Qq7YrcamuxaOZKORHdPJx+2nNKup9ugusbrtuvhr4MGO6zDoB9Z6QrUelDaz1HxRhE7PkkFijQMa yN9vnJRx3vbSomoYU9ivqX0rgMOQgIdqDKTKx9jOgdJN4P3PWwTB9u3AmwOlyVsPzKrfqW2/ID+9 BM6zHCjHswBmFPkenStGaMWqUcQCVGqk/ObpjOBhCnq32fDu7rG1iqr3rA91GHHJi0PW25Ex0w4I 09eOlKK8xF2oJwtdi1jRdRXWU5Cxldn16kaSi8xHCJbKtQpOOVTKxNFAimDW1D/YDrX5h18NKjFc dR6yWBDcDtVwujlG3HnwDFJrn6KUdq+AMNhzecwh3lwy/Q+tBCNEqCMGOMNxGUKwpKYz1F7aAyrG bxJ1/eCemTZwAZrTswYmy39eQ1qo1wzJLrsneKsUR2rQ31SE3QqU0hO8+UzzPcMGDe9+ufHVokR4 tWD5+gOXBdc3FzQ6wpgEyjCSGnXqj9yZf34FMGfyAekg0KQisRQyU26U3cO4LSM+iU94yxXyfDx7 qinrFtcL3K7bMf7Qg1dzHLDcmlHdrXHTgn9oB5+K2I81lyPb696QfpmMKFXjhjlBB6mPUcIfAw1T K1rZbPO24WfHjQfPrv6OioeOf+W7yHBbU/Q7Zi/yBMBz6z7xBsQvyte6hhcjtycRQKbmXM3btW7y 7rFCPGZZwFH03nS4CAslaTxJEYokvfDAk3Kpwz69QEKkRyijWwzWAz2Lh4Y/V3u3hN+lOrfVuxjz MoVF/H/D8ur7m5X6WtIVlOec9qtJ1Xhb4SiebRT6zsqne+YfRsvE4QwHHl5USdVF+3RxtxwpklX9 VlDjVqLtdmtH0nBpz5z4O4qQnNz5+//chjZpqeMZhP/LRXuX5Id5HazYRYYvbBzTGFZpwq81KBo1 fCAE0e+X3kF06Pt8kVk81+Ro+nyLDADOntd3nqU13Jp1QfO6IowBf91FkIpLd8wyDYv7/dqSu9va Dfm6hlX52R41D2bX2AgEI/genKrXKF/IsEAsNkIQ3MNr6IHQICK6ipcISmb0hA1K1DE3VN9FJWtH k5OMmeGcR+1UHt8L9bWgq9ma2PkvTxVUg5CNxKsCrbV9M6NjM2ti6UIbNqI9QtKUwi0SX/lhVQy2 1msdnj8mQaf2RcNqUYvvL+/RIQXH80K+VBkhVS6vFvNV8r+oA5XvsQyUuUZfgeMkOV0wmX3fdh5Q gNyReitS+nTf0B00I51RAfspRsNflQRjnsr+IO6u3JrO+TtYtwY5w5wxi1D8ZTUGHbkpVa8GOT+k f8su62KVtfTgfFErMddDfxYQE5GSvPKVNj8KYQsHET7gFqYB5CNCwEii60GaWlVjYjsZPEky2BRp gsv3BAfMkvsVjm87eqXoTJY2ceJgCj6EWelweL1aQsayR6EZ4zwFmQOWE/oSmplhKh+8hzfAKh/B kRVm6U8cUkBV9t05pNyne6fe4qfFDf9T/fr/tb5uJ0F6WQ++ylBYvvbogGzoSS32J/U7zPyJNfK/ ZDqmrVUimHOdURAlu5SPbiluEQriG2AzPW1KKObm199RLT9J3Y3hqfcUaYJbkiDlOCK7WcJV7bbi AEqz2h/JPXSJHT+KSblDTpKQXFEMLjRxCgebUQE7li7c7iSYFibsl3vAY3R0DdrB62wu4gNDnrzn 95+hetsY3Qlr8rtB9DiKUdB0e/HZ9e0xjgUg6kWZB1roqr+LIOUnRdL8EMJjeVGHHPQ8icko/eWw nFrkS8xKUu1s8S6zfP5bh1LbgjEvoM1BbFaMBFxguiaCtHd4xendhEvPAiy8JxZcsFwMPe8YpXIA 5qA3b+BzphRQCRC/nBAGiz6bRFctDBlG0JzvsNyYGmSUEw/TyEsMupPxKddebn40+j36gSYEd/MN 1nJlPFOmguMfBJR9B47hWCdmtXLBtw+3KzgcJu0W/UAEd3TJdXz0v1ACmBz3y2HW1bmbS0+uz4Jz 9h24B+h6S49oOGa28/gNERx6GlYLx8EpMb93llJejymLqXZzAtwjAz0tSt0jJc5042o4zjKAxyIB uwWc+cRnY8t5nXai1eV0ZFS2n8NWSyBHAiT9Eg3UhMWCGDqZWPwXLLCM98HkiUHSpZQGEfAC/zzG 4wNpOgSTmaEZ0pBpKrSvAhNQZYAIC9mpNAlQW/3ooY4dpIii1ptravb/mG3aa6oNnLK/NZ91yijo BWR3sNUMpzEw7xjI81pBloHb1rV3UtE6/9mPuYjWaRvYQ+HU2qClL6h4jyndfuoTHqZy6PRmgIcy arLAzJluPNqp6Gg6Tg1GZivjcEErLY8gnQPATYfDxX7+QGwiK2HJLuXgcnfg0GpMvt1DUCLZvbvJ Gl4NBO0PDkeWK2aUK63d2cPnkwUjpawmyc0Zt5lFRiP+ty7hcr6rPmGeZZGcdNcdZBqTqmkqn5Nd fNwOh4DCSyI8atWn25yBi6XhfVn/lmheQzd1km2AYCYEIpCjz8fbzQVoVGhIJLrT5nc4jeTlpWrE pD9dS7RDdUu5ydmhEkKpthwQV90K1TDduLKaJ4rD81Cnjp01BJG3fJItXM03Wsa8CNFSiTJVNC/K 2bgNTVP1PdMWeYvSztl3mX/lAQNo9yLGdJnuCP0tKjA49sQGIP6VxOL5fbDv9xk+IO7yHnt8uvPl b/F/cursUbnITLjOHvLGO3njbAzMK9zxzopCa4hq8jnevCnkgePoUYimATC7/7TVSkMKJ1MlK/Dq 2lma4L3v8QY0zXAQsfjuqL4PtBvSFfB+csHwSnUt5fIqgd09ApOeOAuSnCL+FQ9fTXWPIeL+4Cl4 vXyZUCil/74AZNx5gXk9WDC9MhQCiuRPGJv7TO+xS1w36I0o/LZQzVOM79vI/kRa0pnN7Mo2cDim dXFARg9sG4JWhMBOq1pH02iTNayD7NuequgyPRlp3fG43BeXilYHfLp/SrIR+mLtcpA4CMNDe0Ir jp2//3ES9//kfYejf5zvgI1OrR8TNjICPLNovFls2gv7P7QbQMNy/itT3IMd5/AIBhmYBNQMvcez zi7JaEFTruYZ78g+8w7xgc0PyC95wxG2QhQJUqqTr61+3Ir6qTI55vVynUJcftugrk3vnbo5PyrC EnXIbBUv1nqVV9IAaTczklxs3/mqC3X8E+BRHr4nUaa/RFxxNVxRlcIjwk583XSTZq9KoglBvG2u iNjDiKuLyT3f0x5e7C9iLYt6vFoH/1KqNYczCXwcFJ68Zk1r27TWrTmxhIcM4l3pbTFQPRVXipOz LPH8CINyc03x7MEH83WGWQRQzERCyvfJm7xI1AYaM3QJcRWho+Qp2yqZjeWUbueH1QHTxSq2OVI+ FqWt2JjZyIrW2W0BkpxmkHQYegOUwPu7E9a4akPupEfDXb4gahldE83TT3UpbVdvABQGkmoJ4Hlb 1JT4WTtHTKt0G0S7w+dtW/5+wGrMA8VzZVB0JDKugIsGn2ie4s8ZBR3fEp1WQiqIuhmeuRQpsxGK xPqL0gc1d19yLY+m7tDhJemghVR5SFsKBqXd4n2t4/0tDkWP06igbZcL+j4lsup7XjI8eMCiC9uB 02izFuPAiuxKr+p0XFIcGA2fZwquiTR7XIf10+6ISpIHrXX/+nflD1gFSyCTBCURK7rrC+2wuAHO XnNNqH6LzeN8C1IWBAWoYmyCD5WFScXbkscFHqGk+fnwtgMCuEQ/TYwmDLL2GAxOaSviT42RX3BI JYs5B0QYpDNeM9iXQZf6bseMdKe3w7tPDcTuoOkUdBHN0SOhcVe9k9ev38XUWt2aTbkogdFKZT0Z cTJSeBPVq9vnWpbzdgybcdFyxX4IzmtOiOyiodLm1td3B7HtK8wVrhmqoWue42385qkeSWLB5Bqg kQsVVARZDikwbe4Yc1EOXeUf0v0IA95h++iA/6pf7KUjR/iz/nV9bqzI+fxfcY2oX81ot9HvkaLp ot2bdps2JU/8qWthU6zXgv9bulUn5TSJSuBWCF3tiE9ucdG2kAfRAqB5vO9MqKhWG4+/If17Gj+Q WYXeWSLh+ZO6H5UF4xUrseRtMVfMwEz5TyH9Sop8teA30RsqHxsbgBTglzdwmt7iUyjP3vNxexpn YkGKMNpfxv+/nR0oOEimuSBwyw9BzrEPscmNqej6E4Pf9y0hiHsoXkMy1rv2CPwxrJ182xhKNjt0 PfguJmnl/j1rqfOKhQ6tWxR+vXp4egA0rTBC9gt2fPFMdxmmb/0jBy7OcgodnSHX72CKcoOf2imn ZUVVm57ILH+Ig4/c9C+1gKTVZ83vbfkoFkcmm+gZGI5iMHuUZoQeecrGTM6NiYbYCjCfE0KrCXgn yb7eYuDVjKEQGPGYFW36JPvcpx5hDAqT/1Y/FrVsFSJvg4AeFEuTf51Q3nAMoaXzSEXmdDzhfG5N mpMM9OzZPrCpLvRhHkGdajX3C4+VxkNqwx99n1wzvjSz76MnLTLKulLcMedJqPvgdAYQEBGU0fS5 nl92Fl3sCaNjfRGS3BPxifS0GygrjjbA4ANiWCfg1lIuEN764WsMvh5oW0jop5K/kDOMhNpv7bsk wCqOV7cZ0izxpdp4Pr763gf4WH8pOYeMcP3ToRNmCRSzmoHX3eenSxQ52uLkOAO28oSc2I1a/wvb Qe+a92yonxUg66agilE7novC+5IkuJct5r7/PIorQr/ZJtYiarGk9hz3d7aovk7EiesEx4NddCIZ yYh802KIVsBpRmffSvSikokFiKfrFDBgDEOn7tqBxzu1ym2HY9D3ORz3vwYNG23NasayVTsKKdAM xlwKR2dZMm4unb9yJd71uHpbMPr2jql5FIjSL1y85GNaucfsLXCZrROopS3BFnldRBtO66K7OOE1 Grbos4ltjBJlMsFYxrgKQ8yKWGYU `protect end_protected
gpl-2.0
9e21fd523864686984de3952dd6bda76
0.914134
1.943513
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/fir_lp_54kHz/fir_compiler_v7_1/hdl/decimation.vhd
8
348,456
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block W9rV6B2SW2SraEbQka/IS8f9DwNNm2VT6G0YCxEr2MIhOsUNJUlhxutaX1BCiNHKwD6SqPLF5G+2 Kg027u31rQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oew99X1MFU72LYXoRAKXAVtUtYKN9r4UefzBZeHcOFJuGHWSkrqWVUvuYGQlAb3C8KMzFsqoavqU yGiLCZFB9ixJFeNvbYqOckFVaAnv9RA5xVERRL/u4C+YbgXIEBL9Vs/I5gPtz1uD2BKlwqvFBkje Jye7QNGqBMR0+d3wR5A= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DLZWi1cVa7A4e9i4pWGC71PBqKEiIUD3IgxPkajj0ORkm//kKRVb1sVzZ/Xd+2F+upUkhfuqM6X4 ufMb3AjpbXwOBaZMXw4qDsQKugpPtRZOubwQPEUHbEkKAFhn9qkKl4+Wg+egYz9XySijJPyD8RT6 N+NuR/I3i1cJf2FTc8nofLSwCiA3OZmdDiUp++Xab88v+eIptDN01GFfv6xv1luUGXqq/oUt/SRn uuFBGeyWCpbPqMMosGaCpXIEINykn2DTUSzeClUgsrJgFxyBSUIHDzSqGh+uw3ZU9GtcqUE8HsGP I7TXlvjSxK51znQ2FOZONI59liQs8yY+K0zBaA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lu00iYsDrfB75XJNPLWuCihvDRjUeK6FLPB6dyvYHGUz9l1cZN87uokLp9ZPgGOW4YhuW1VnXuVw pAcTdPv4fzMWmtzBTCkiAKb3T5NNWojFTbYg8pW2f0rCew8D9rF+mn4tzPK2E88QdROXbIoQdFOP 8jUOCOXHLtl4pOSPxVw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dAT875RS6Cn+WKv/BkvxrwG9MsHhZjNdob3obXz2yBuX0IkvnFdREZdYRwxcbe+zRQ4pZ32LSnW5 vOhH9shcJ6vqZeC0FHds1kfyGYK4TEAaKyTiyH7kOrhENYQoS/lIC/U1zV0lj0iZYe+aRWJfnVFZ ziK1ckRtznQO+HbAcQZZLfJAbl3JNnokjIuq4R0CPC5UxVjc+MofvNpsggy/HN/fbeXjVspCaQ4p fnumxsBI53DM0NX82gaaW6Y1rDU7c8FPQdGNqRofliyFyXlfPHWCvOHVJzTqNvoNaObszXqIcSAE c/nfYa4zpcCjgVl7LTLK7wnK94E8tBTE91wAaw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256208) `protect data_block lp3mEQoYpoqDrMCmPbBsKOFQ4FdoP0+vhJL8c2/FHPfPvM/pmr1R0pOdInZoGWx7dh2l2XS3nuln jZMH5fKRvZVC1pMkYln3cKWsRHX549j6F1bFRRE+24ZxhIOBO3XGw0q0X7K5/khJvrtMVZ02HkIV P9IjtfIJ8BwOU46iicbr3w8lHQNvLpZtJ0dkrWyRw4l8roYNPWRBw9o8amj3OWUg2XX1K8bjfgH8 kqhfXL0BuzkchTaxRQ6ytuOHuv+yoxHk9pkRxIOc8EXpC/bnsDxIlCa9llfUDJqBqgYMhN8wp2Tl sdsXJeZ4y9LB6EffQcIZrwDi3PMmDwoT8isjPQx1vdQHWU5Nxcf3LrqJ6TRXDKdB/levq5RGWy5h XAgvGpFuE4MepHrPixF24efBTyGrRLoY4LdeqjsD6nvuoxWyhxiIA5KvYlWtFtXXSp4ldKCFSWTr zaIvrEgTnyXiPbj++YQoEYWgtWAtn9be9BuL02DT16tN+OQK7SjNoqUnIA3U9N0ZMfvr+Oda8Wn4 vmyYtZFZLpn9dvLvV+I+Dzsg47ESCihHiFVcMMMGLuYhujWO9Wr3Tl1Tcfl39f8yuGJRmo63fz43 qu0mS/kv3bB2+J8pG4pI6s5qbAVyBafBdysEhk81/QLtAlk62Oam3ygHrzFCFD0KrL3J5GdvSwoi ssbdiZZ7oygnom5MpIQR67p0AT/DojkJH7dXOujNjBFkMlia6GxznloVrcngMliZDev8soqDT/IO yXWY/B8oMoTCyvrLAQ6/ymixyT9Ie2U9YmyKRKDD0EKwbx55119PDak2uQnEeSzJ6cUzmmTkEwSC e3VdL1RfMxGnIgcAHkyUl0iJife6h6XyBtsvwSGNs69nwpd6Bt+tqiVAVCCPPFiBAvpSJ/lHyVMd YRZ5E9CLbanmSKrPCHEyp2J7LzGXq9JfbHn1OMN5udTRAkVqvZt2o/IOaMpQl9i3F9ivuWstajG+ eDnStm1oAN0Msp45rwrXToPWwDsZqtGX8Oc3qI4yY+jGAfRoFvO7SimZRZxfNXsusXNbiAXn1bgm 8hsSpu2o5NYvd3lVq85Rp5T0znn8fLLapQqGL2Losfog39vuOMUN9ZVp2edz0wMCZ+8w9266PNYs kdNhVvzmYitSLp0RHqWWhs5BaG3tRhiqbmqG4rMKCuOu5JZxLRBUW4kCs3VugdnBeCoXnA1E0/DW GtP1ne/+CkX99wSIC4p9kJlvZcVTYKDPF/GCEQfEP28TxdfVOgegXZEpzgXrofXaaWn2tBtvgTh/ xyJW9/R18445ivoYEUALBUpp5SPDueaGF/dcPYBYa7U/Adu/qtnWoosojp/v1Wk/trUXNLlYL0CQ SF+nSDK3BD7rSVF2Z4685vRyw3ueFmIsyCrY06K8tboDXHTTNWqTZayw+rO4DczRb9l0lE4sBoWW yyG1Hr43BN9utHrbj0thd42s1CDljjNVMJZHXw0UDeOd1SJFtHjybbe3HQQm/UOVUA+7hHtBbUt0 cZrnxx+trPVifWpWFfH0zgAPfNd0K7zv8uw+lcPWat+HBc0YyonRMBGEYSJPNLXnZ1JdfwS3rA75 xZ54AtN1u/get4HorJ3NoeNNni1vfNmTVO4o5cBVaSfmY1mJNzy6xgcEOoDJ6fqlcEwJKptomN6w Fya6Fj276ZtGFjDp5C2YBBEHopWAgmjQlTBl4Stf4s/0wg9PEMAmzcmmGaC/cZSzfW6X73FdOaVJ 01c4f8T2w3lxYs8Sn9dUu/9rezqkc09WXhv8VPIv4ly74oi9gbN9wHa8vzgZeRM6hzn//oZmThQU X1mrMEPCLXq3IPXSTTjl2aJ0sXUa2PSLfhlHy9XjXS7gd43mF0Gb7WT2JLUCzz5mWqbdP8TbW0hL W9cR4KAsfY3g1vsGsOPaER3snFaNJ3MmBvdLrkAIIf4KI5UYH+5vbdF673YVYJl5XXvfW/EEff7B 2YXNo+aKhdre6DGO0CqXoRqZiH8ucWfKJ7GvBfr2oyYBVAsmTvspSlZNcKoBAvNhGQx7CRCuuitW bOvO7rB6/ghXoikZvUnlVD601/C7xmrTQHRJ6YKOTuyuY2tMrHHiNgrNNqdQmW6GqF1EWoLvVTjZ XA8mwWCTZm65CN104RCVanJd8JbhkB4aXvjW9qDxby9OIYv6S+0M/u02T7y85ZnB6ymDmIhRGzWJ 0RC5PXho0SgUEX0bitd1SDQEythnd8NmloMsElcT3jHSYt827IDyy/p+F9dsl9djXn9tRuQPNvMD jMTGb28b2IV2985c9oNo7b4JLvceFClGoCa7CTl2lKhtImiMoWIfqBWZMizJHK7KLLNxycodESDW z803bQYRZlOO1fpHb2tsvRYNq2b8WXRuFkdDGMhlm/8/UTyy3augDI51XW3HIDG7pIO2JlGTv6IW pVTaaNW9bkfngct4jIa4umkOIlG9PVh6nIL3tYZrwU17fJ7TWyDYAxBfuhEEj4PFsT7fPm9U7w66 h7UxzXu9qAMue/dAak/QXrF7UBLbnBIuf1rCu+6ACwv+p4+bZ87TC0HjSNFMX2EfNRY76p7Lea0l aTflmoCe5nv5GuqcYwh+RbtHE6a4mPO7IfmDHrLBHL4Fp/f/ByPaZUh9OEk0mNe8U1Nt2S3a2wSJ hKMnPlo1ThtiU0keFa8+Q2eoWLLX3q8fKpFDV366gQauG1XllHXLqwgkJlJE+6/ut+bf7rXOtClz zj5pwYzBxxMFbcdg7qLf0x0zOx1BwZnB039qW29IFNMw6RpgI8Ot7TFEaogYxXklmlRNxUSCfaEw S/QsL7BCAVw61gbncuk2ekn91Et4458quB5d8KZiwE3dFJIZcTnMl1jpDMRj59cALVmsy2giHgCC fz3S48m6eDsf0xVYLL3+xOdWM2IQh9/5i/NpJWnlOopxouHxyw6xPEG7Q/xj2wfWBFU/Axklks9K GFqRsxoN7yhfUgOsNRI/xluVnW2UzNU//8K6Ua5b+vkoe19HmqXpk8XDwOFvwyA4idNDEXFNdiYh CyT+iFEkc8bTTpIc9acuXCCVdAQ90BJ4M07K0zXrH8YhWz9bBb8RrNMn6OQIi84cg51Uh+Ekr5UZ NvqiXHTVOMrW9RACvS8xzRQSo37Go2+9KXcY1/pzQ8meFxLxHJB7JhoxXJqOrcc+MBvKL/MVEcPO YRHQszH1bzliu9tQoYFrMoRxd5PTdCIb7Q9pNJMn9SVgieaPzA5U7UWvmgtp/4J2m7BQdaeTsvf/ xZ6omrnld9ikwx3QppI/9s2PkuR/+4atEFy2UHTHFiZQMoW/VG1cdL+8ySivvGUuQUVA1DU4Iv2X sBLr3tpdwRlh8tkDZAb1hNWgXBVuzTkcSdt705IYLarYzuqe2Pj5Lr99GMpU2qKtGDKl3Ee99NBd o3r/s9NUo6WMxdptkvKKjV+5DLDbqd2iaJ0YTJXi3zj3BpI5R6E0BzO1IN1KFtdwKfqoQyDN3Ub0 cRuQTcpvGQn0KJJcZ81J2CJX2iaxTdnAVRdfa3t5GZ4sJ3hY1pLduhWqGZzRLXTDFghdi96rx+iw Vxbl7jGuw3OpY85OdY0Anz27Cefip9kBKDmnVE+fueekQEiXxfG5oZPAerwXojloMIvI16htAERu V8AkDwXkKTs1RCtU6XNKkyiTB4WyjyGlbN/MbEbhklRiGTSgyzXNUdoDWh2R0EhjscPHq7wPOH46 G5ul0KNkc1DcSwidyiEkX7R6R0aFlFqWhNL1olIpHp2xvC4FFGK7N1eb7NCstJGJegt02o5J9SRl myeHUTv+yfSiYe+CLJlvlbeabkRAxGOzVf6vB1VZpQHyRodj7ZghIwcea4l7qdUEYlnKTX3IYPjK LFFc1zBtNXj3vLa2T53Q8ysAtLxWYWHUdGBFcIHmOszriJpI0D4NUNx/rJ39aj4zJwUymm+d91T8 2/zoeYi2bwGDJV3TWKTQzIFYOtq2FMKFiJUkIBJuGfU0jCknzHCYW16XYDPNCNbjiO7mEGwSw41q Xw98eTSlirg+WzbShpaMOtq4ywiQ86M6jRcNEbPVtQgmR6L8CtGvFOiL/OJP55YyFqGvYYtyfxNl IxIVJEY+TKMIHZUaym26NEW4snERWR7vSHTeAj0NY835h8JKamNUG8LGVXAvAP2m2NqNfz5cGHnM lU0IoZGnuFpIF2Fc9jtZ5V61f8+n2hlTaHyAPw6DOmyZeLhu4rbz9AO09PInTjGpBg/YsmyHCBnf 1RpDeP0OFF/SmotUBBphAZBnsqSXdVxQiPw8BWBdJsm+EJX+VrrEJA5zc2AYfyeBnrH/QeHm1t1M 2W4RIha9v6iyHXSSKLo6E5AIEoOejVtAxwUu8x2PCzTMsSH5TuWxvw7QMtjVNtJawyDhd9UKjNMA pe56t9BpU/YaeHxFPHTxEGD6I30iCnLi4vau5mYntIUo3rblPm1losnDlvq7c1V895XdmU71B4r3 PqopNzai/09hFgBUIPOleJpk++vrj3zVK53u41dnO8m95toOUmcvHEdVxbGysKd9+VAMrvGuEUOv ODvoYtKLvEBaSdWgw/C4bK9uxKEYvcO3jLj9lo9UOV1d7WHB2yIyMjFQ1FeZwLvMdDrH5lXBjOid tK+l3/JMeh3wX/iWzKJFjILemouPG2ksUi7YGAJ/8asVf4LmBD/QVcfCA1m1qEPpHtZ+J6wnctgu hJgdtkXBNKu3ZPezASAzJW1rVCJpLJ7Z/L2RWJ2WMfNyTnegasi/Eo9esLsJlYHFzPYRSuTw+DP0 S4L7lJ4lVvy0pnDBi9muTje9l8TMJilTu6WD2K0EugPnLNkATDpw68glwsjUbPXspfLwCveOb1pN wycDKJM+2IbZApXkyvwss9MzAMt+PQQAOSqPGaSzScdAf+no2n8Z3PMyDa43WDH2h7wZl6zs/vGL 6V/tjKtR7rZkoQN0+mEXu5FL9FIgQwNdSOFwnEbIs1bPbHkK9CCdJ0RnyCdIR3slGzF44lTVWdZD /97CPwdlyJwGYnzngbMeFGl4jPkPsHE2g5LrIaJUCHfqwjT6gPf7fzuxqylK2S5ddUmEvIsADGme MBZAJGroCfhaczYm6JC1assQLegprFd5+8Wbf5qdRupqOsQ3NNt0A09AsZAwvy/oNDU2yrWeROF4 dCPCZUWl+8Vp+jLHlQnPMNMqzTnMXGQohw5Q04Zbd6gaTCVLVHRvKwzUJGt22rDKLmVE0gWWBtNU WbOQRrD+KN/9kuMUAcSLUunqqb7PHChzgwZhdJdpbleMofSpudcYnbwqZJ/rYdg5Ryqg13G/E6wY kZ/ea7ljzkon4NcZUxtuZwd/G7hRvpxbmiBeOCJ9UgYG8SGUZr6R0/Q8zxoA1ivXSZIg3akby2o/ RklsnQDi3XQagpo8GQTmBfQf7DkHAXP81gLVdrDK7TkhgDpjWMhfAoNcs3fg4PwOuWP+3Lcn56rw n2gwXv2DvmUiugPRHB/D4IOkZSTa3VNL2qhNoISybnxk7o9y5DLg/J2lg4+YCjP8IjlaPcn1UOHX r02A5MesAqS2RAMVUA7NXpYMz13ncV4nSXRPJwAzf2WgblJmr+SpnTnLSQg/sWzCbyD2Rr//3PIM qfBn8Fxesy8yin9qc8i+zVhvtWjdqjGWa5gXBWPdinK8rrzHu/+s9tBM4xf2uqzEjpVxniqKjxcr dtaAMYOHxeGhkp6+JA3BnZ2VybrHp8Vx0valzFRrhkXifEcTZ+8A8wQpiyx9DexNV3/3DAlkdLQw Fo3IeX0zsTTdXnhO5naZEinUxdUl3AQGfonPgWHBksNxAHvnNZuP5FX0plpwh7pBNSXNMvJG2TqW MpEHKsZtP9+u1XzuurSdwiRIc2BcuwVn3rUToyoN39byLwISplHJv57OR+MEXWKFCIbt+tfDFdw2 YggSfJcJvy3bKAU2LcE/d9H3223IXHh6qnsBK+ZZf3Tvjt4XIPWhI+FuY2EipPb3YulXSKwYGOB8 AxoY6VIfwvdqUF9DIM+7ulcI8skkAxhu08T0+29BrgqUSbEdYOPmO3lqEAE3fg12ExEM/JAXUb41 Funryr50sx0g0wFJSVnl5L+oxAzNyNp/1AbReXTgA3WH2FyYyvA7mbDoXkTTZQLt6XqzPQdqPmUN BpXU0DFaL+Lb8tjLlvzWBP7oTFoptgXLsixyx6RTKrCa6NGk2hpzMlp3HlMtUWSUdXL4cE8EyKZ4 ljPPdZbPQ0eycftRCkCt3kXMBL5qm0B3qjemgiJN2hv+AW9XmCWkYamMBeh66kwUF/RW7ZhBzA79 yKJq3N4JfUZbGQ3Egn/gOOVZ3kBSbB8IOMDNivT0Dh12CR2HQ7WQQP2xbRQpGyRor04HfaV1QL87 J3gKjavqzZzwexzaAGUUFs0lFf75aLlJsQQ0C+lbYQGs2o3YOnLyNGNM8VqMPLDp0BnYiDwsqSaV FTiojUSs4nqBBz4DFma+V25VGrWLq+vuheFwPA0twftVKSZW4rCNSeDgiZyTd3BU/0iQJOtIwsXu lYu3RBYmVfXoBQMr8klBnKMZpE2WMOzizJTvfNMcXMRMxBvAoP5jEG5H5M5fsj788UGglT7C55pV FQmtPqCEWORt2xrzDANEW1dkSUvglUhukNMpnFDlPIwA+2Fi6tTFE8SMNmL9wRvcMihzSawUvf/o NA8BIYS366a0sDFYWJ786DyzSzGlx4xx3+fJ8RimQibHqIscZ49T9SMokczP2+iaMythb50FaRJ+ xr/RMXqh51g17DfntZbJzywc9nobhm1JXhQzOQjxbm7I0zdXpo95SyxIaf7FJF4Azh00VJldgUOr cPfQ/g4XkMqOL5/SHmwg2Qkf97954oPFw6asfdRBm2tVvGqkvz388xCyhZEbFb2DbsVWrD+UAhdO 7BBaQv+ZLbdK9n35CMo5+QJASumZlFCruIRZq8sR8nUsW8D2FiHN2YbCIGiF3mHn/IYlX/f2FZMU TW+qlK6TytWAbBfE0NoWvzV7tMS/p/khlXImdXBwYxexA8nXeOMkAl0ZY43xcRgk/WL3WnGBsxcU Rrc40OZPas7zDi9UnGFVeBFAHLK+ITWnf61+OWz0rYTIU2fPhq6aqRmja+ysjR+VuXLAo8CcgkV9 MBPnmWz9W+7B7hjbIc8m0DcklHdiYDqS/0IwS6cdvVP2HJXL4NT+m91FasPBmgQBv6icq/LwX/nf 2cTFDuUoB7tL35ucKbL/HdlMn308IeBl7c65vFSphYQSc9RiY4S25/kmr7psPeMSblufjvXhDjKm 9FfffXHeagwizU9m6BuSUOOXa2nIiDIbAjzA/o9RoobVAEfofISWLO8GhPMwM9Ky3KyLhmH0y6ZS iw1C6z8axhl9BgKBXBp6LLEedmsVd7o7tZnqXzLpL6GFqUljrNM9Z7w7uKtg6PHhAx/TDANnInvP +fWzcKhkj3H4vAUrRcLrP6k9xtuo9rLVCF7TNK9JLbXzQ7tiWy7OOx0w//LkgELIhyX0psOohdUK O6LxCu8hQv7vrjUfOSPxnA+STK4WvoFAOpYgutPx6U/6Oo7hct6N6NKFb6CEBfflgxC/GW2RoWJn jf4G4PTDTPrVBKRfgRoG6unYzXSuzJ295hHDEW5ETCCDq/jVl9K615W3Tu5rcOa0BLqrkC0uuJaH DAb6hRMSNat8lrs/QHbIK9yKHwy+S+iEC0SpoJVrt0ZJHY5rABsFJc2xa639ij7Yhm6JxOzPiSVy 7wK7tZcUgQlCY6o0Il75b2o6YC2Gv/rwBx2AA8iHbCDYat4DsFiJQ48Gwk55dvAihPXcP5XtEZaY Oaa4YkX8YjZbKEjwLx310F5vYZH/1WxeXOnvgP1m+OWCwk3BemEHd41sCfanOJsNn7HLcEmCqf6O KlzkFP0fKYzOaXC8EI0nCfIsigOFrNpCpT6vWkQ7Wa3/gzOfCjguCZr6/dO6A184aDe6u8QwY0hJ gQFOf5sQvgb84YaLSBk7ZTqD1edOa+QPGTQ/1yNckQFSHW9ResgQaGatbbRiZ/Oyr4lpnIyBWvLz N+0wrmZq09TpweRoT/yn2SLAJPrHdMVpHtgdii5mntqMy1nqkDO8VJAe/tJx6ndSU9k139nPUFK/ rAmFWyKUwahx3+4OBA1pqEUlS2y07YTTUchspx43csgJYQsGEiPi2LYOCk+hRqY9eTqbQnOzvuRH oO3Nk4JApkW43My0SNoCnvwairOQ83d6gFfQ5HlrGDv0tdv4Qp3pQkaZM9oAM6PfoNaRIT6fxc0n 3A4w46Jcnra6vage6O0ePl5arD843JBEVm08uSxk1QvoLVBKPGAgsUagJx2KmB97+DmS41dNXSnr GA8xT/myfuK3Ap03oXa0eJzwVhD8sNx3Wr0c84Llveyye7KJLfQaPCDQrMgdbF7cActptX7l+b8d UsjzTX6uruODi+iB+6Macz5AGfc8eUHz1GsYFEEpsE1vZbnxhpnFsfVRMaXsAPJxFNAeaYHCxX5t wic1z1ebh49uYU9DEiw35MXQVcS2TxwZ/2kAgWF89J/yVYG7kPlFb1hzIvCTe8lkx/jaadZPOzWC RxWoCuVUls7BQ9lo4q8IjUpH9xJ7FSNeF239odOrLHnmGeWH5vIZd/9Jx696JaKGrg11OUC7OJcE IWcH1ZLC5t+qevfjmRMtYktDzOrE9Y2NPcgB9U/5OsoIcdtNUdymdMj1TfJLMwGjsfC8L7XLxM8N +gYOLT79O3x+2d+6FL6dmHZxJglGSuUXE5q/ORluN2YMpjXP2b+mMmQyppMw2t8EIHaLyCuVVI4c uZennjeYpllbHk7WMrwRIY9znlouTqw0VbqvM8KoiZfBWT8dagWJgclc9u+iOI52OABgiPPtaFe5 i8zFGQyQuztpmrLrfMGslzBAjkSYyEqBT6Ilwi9xllRtIhLSmsVXkQUnKBSHB02WLyL4TOHeZr6H okYAUQqskGjI12qeb5Shmbmc5uF/eZIz00J0aOrSmSO+Bi2bqMRbsRHB/0er94F2LYNrzyVcJIBs sUhDc+2gXa8swa/aotebx25gLf7rbro73UF323AAEVLmfD8mj8G9LC2YB8auT4U3rKvQJe5nLdzs R+Tvqyyl7UgwugNznYqh9yKEYk6cjGi7Qu36zMTxkvPy+Wix3pcqgDE77bXoW3+ifdkd9D3RGJHh k1DT60OVfvwuMrKtxZCiavb9I/0NB52OAkyOa98/xcpS21CXQMepAuQEcNtO3qxHlldSPxUKeAec KocWMOPvJ90PgWEZbe2xTUo5HREI9JtatM3M2iK0qrAeXRQQ9qSqCQtk5Gjk9g2MpcRZ2TrFoKZR G/1FXWwz3PeulnNTBHZsQq71JoMJXFGBJCEN273CUe3rgsSy+0j7h+9qY75YvtvVYMF+NiHDP+GB +AcjZCrpBVoDpoaFqDf3wsb2ddw8tgyhR8Zqx3yNcSHeLOKBPEpWJlgOXJJGERi+GhV/hhEzAFQs 4nGR37P2s9k66eIoYMQqRd1/HwXI9rvcVucdU58taJORGtrTFkvIAN1bBPLumocVuly/gVEAikM9 nGK8FZQwKMW56PdJi1am7HJHybspTwvgDsINjTY0MNY37AQYAvLwRSM6C3Vz4ff5PSWObr3iyxbj 0iwKeNBj0MrI7n68YVLZDO5KCOARxx9vQP7qRtqtC6wDa/3Yianlf9rF274t5+zJalIPxPw3rwIG 4wVFb1lgUrDnqmQH9/z3JiYIRQ9KHQvO+l/OSnK5pe9C7c2H9AeCzuca/nMBAHoweq/J6bJf6N1A YG3VH2o5QwJ/JOlYJmUZQtkWTr+eBhIk3B55tMdHlMV8RFd1HNvbfFPwfLWlp4jaeJQ1LqwVqBdr Dv26pPIIcuB0c7dCk2RDAlJyH1o3Fer5P6VUsEeYe1AM+cu7XbdXgRHDPMLlTCti97o7fYs0Si7/ Yj1pbpzOy5ujQNFD1qFagAe7E3XWToBMGTlza3bHREm/owRtrffr4g3L16FRH8iTYSkkwvg2eCC+ i+tsxgA3rO6VKnazRfflMz/4yg3pZbFwXpMpwFf+fiIfyPTNA+40WYAYDRrkm8ADuPUiagzUptQ4 +9GufXZON/MEkEjzV2vEDAq3+NE8JNSeieqeDQrqxPqxIPaAdnjq/M4zWDWyR5U8tbu0of7Io6XT fFcIy1YHjQBabsR+vk9MerpW1UutuBqYydB5wJ9VG4kt5SwR0bm02w/eg+h6KmY52NxBoaQK1jbM RTp84B0ffL9EuzuflcRexuGGJa3Esw3OsY+yYYu/UmSdO89i+S+x+khLK5EVY/wJW7huqZWYGaY2 NHRKCMeEkk6VKP5w3g6Tr7xMKDkVhBu7wGTt7vmlwkBLL/3KysAEAWLNr2aYfV0v74BrzvRI+YAw DpXoXJRYJy9jZpbvLntLdDhXdK1Bl8eABsVIhKmJhLdFn/OrCdXZNJiImJ7d1mjUAhpzMabT2Xsv SGOi9owjpJ1BxdnfQtLs43fTFWZB7tymHtcvRh8ugP4DY8Csl17pXIILwAdCUtK2Q+uiu0mprNz2 id6Bt/mB0LUJyXp/vBLOxPDktdGY0DqyGIoTqIAnitLiEXWnGw6rI9zrBu8e0uwfr5UkRWDjZ44p pymckL8FzbXdq4kqKNV964J8gt+eHiDUXW/pkl9jxuGc2PYZ9KNqD4M+i4Bie78GRKeNi0/vvZbi ixd8d9kVB9HdLJTTvcl1VDEqm7Q67Qc5NU7dJXmjHC7b9uDyDMvl/zaDRuuCqW9ERLbR3sMB8Ztm Y+/DqDQlLqVeBxxtvf8zLdjTb0aZTGLx1gik0ZpkfpLwupwdYrkBxTYPyBgep8VX6Du7YY56E6uC j7ZDfwccbhtLXw85siTkihBbPp3a6mMu31we3fXrWRdjv31kI0mzBjxaHlLYJoIl/+2jgXZ7gCyr Va2B8R3bnZzVeFjJEJ81SwgNWZmxh/Y+Q8TakGTxNc4Ft7a3LPK4IK4xbLftoh1+5t711xzB+xpx W0+5eLDf6YEj4S+f8jo4AC5S6FWENP81TezbRw+KPOSEN/l29jvb5FYxzvDZSl/S3PIdkEh8Mtfk hX+cI1Z2MeGnJnzB6ZKJyH/mOszeMD7KcUtWbf/uVi1P/7EE0j25wJjOcaFVvHojMe6Jq7sxSkpU H2vGbkbY7L0dkO+PyIlkr3K8fLwfdZO1GkxQl6Hb+SxfeAXTerjBaOLlqoaIptYHy/V5rSHob8BQ z/b9knraWUwRrMCSLlyJjJsaKgUdFiKJcn9Oxgx/4cPxb/0o+Cq6OWRqrx/HnAxWoJNkOULRp9O5 w8Dd57V9wYORT9l7frpQ1hK8Euc67l+MuLRD4KH1SaSL8zIy8JUDB8ggkYC6VYlCvsp8TKoZ3cmd oy4jFGXfK0cSQ7Ti3OR2vFNWGXleFsbkA8CYNFjf+fEtIn6TiICWms+NGoGJ6pPCrdA4KMxWzQUW QWBD0tdQiiVdxQzTvR3HhRCit9IMLaD1ANLnazw4tnZL2+K8KcuSWtH9ChaIwYLq4pNva8VHcqwg 27g4bbSke/0EBRvaRXhWrqIWrpswfZA83cM45lu47vlPIgYqhLGCejhJd+62GkYsJ5/HZshUfxGQ oc5Ph0i2WfqbZCKeodQHf2H+LWMXlq4/6mtgnzK+/mvmlScrQ61MM9Dq0yZmv3SoFXbPfu0cJxOv qoslBvkYd/cjEwMMAcN0TrhSDxCAKhTnOssAua84XeUp04WkRCcOSDFWtOmEjEnnm89izE1rImIo iesIRrQ3cJHrsPrn5KCnQmVYnWRDynhscbEzaY6MBWXpRX/NCdUJZ3R5PR6+M4JAYPnF3L6SqT2o F7SLTc+BYGqk5Jyk4/EAHd/cqMh1X+/S3PfABtw4DaNcZ/+RARSlcyDbRv0ViN1COyk0mZ/778N7 /FWrbMr0/Ja7rU4Sx/RbiHppvbGhkc2TOWy504rajsBgbzHn+DuXy93mtUcxq8u093YAluxOVzzg h2mFXDf7qhf/2UlPRwfgts63Y5ibYkcHfgVh3j1FWBeTsfJ7PNl7F13XIC5iWvfpy9QeJCF9uc1i mrIIW9/lnKnmfa0XDjZAqfGi3jxbDhN2GkzeTcvnKV5xHehKMmE15hpo2RGC01aRoI9hqQFFA4tc gtQomXhnVQrW/01y/JPRvsi7MaGQIEUMGvhjMUggF6r3189Nd0DX5qErHPEF2tG4Qfre3u6Wfdb1 uH5v0/XKAp9qHxKKab1FqAxnq8vJeTVDOWBoYKnEpjmVoEi842a7GFI59fAyx/zTg0gVDb3MlrV/ fUru7e0hmgORjLAj6aW/De2p1DJmPPfay+yIPjR9g6o3FGcHgHf0Eb5QIQY8LxSVAMiC8DCmdSs9 ERXLYcJ24zJgmVmhO2A2Xcn0eHH5FwE/VqkKnOvD+JBf0b7G25q+su9Ir8THpM7DLqkrxReuR56m 5up2pUK1OiSO+SehiCNmpalDsdeNKX1bTBBkhQrMD8q5aJR3WqRGyMPFRAaLwEe0mLr2WCOyzNvj AKyCrxVfMh7bCrjmPowdTfwPp9ozz9KR3YOTc2SyvpEdIiVNmp/sUknWMAvWiS6IAWQ6V6WSECIk g1nQYiVHDELwxvbL2FHUDqGzbnV06hSwIq3EncFcTdNxdOhYNjabAMuQSsfVnUj4qhTykeiCbRWh 2SZauldfLmsFLtDtUEBYlc7jZXcADErLfY6JvJrMwzYNLhK2Z+TO48k39PTUoEjd5q68hq6lPqv8 dkA3dWwDXyNLfKaWS+OvUyY8JxXbgWxQxxqeLZiZkmBJfhAsE6wPcCfOuGN5U5LBTiKUVBJ0/6FP FrhoL8fZWnpgES7pPYq22kpqkklXAss++ylE+TTeTDz7DES76SPB6zmjWnzpmPSkKI3r2MT45+sd UzfdDLlDGBWd2bSw20Z60bOQW/Au+8BFO/bBduA5FPTALYIZritY8nDK5VjtMfxtA96VhG4xrl0x T8g1z4Kh3LIUxwWjZpGnGnmuaVSdyt+eaTlHHlsjtz+CKa62YdNH4UJyp9r3CqZ9piM7ymfuhYgK rXZ5A819TJDzP9YNS+2qamdrJ9jQWs4gX1X9i5o1eeLhs7Z9bITYUughdywSn8vtDNsJnslKz694 uL/5rzc7HBZx6VhEOtSkgPXw+UbcIy6FbHzckIWeiRHteLVG9qVm2fpS6Vddbvp/p0t7VJLvLAjP 7sJhFE/VSb+iXO1eAGeyqrDS9FlL+f5YgDF5+HIar9W7sR69Yk2gr/PVHpIMO/7Vl6fY38tlrRcS WZ2DpIuTtmAgHn9B2hlU2liRo14gdthNoIX1a0LhEi2HLPUwNaJfmEmKqsGSOLudm+gDEQs3WO8T nsxcOTjml0/sb3nSkthrIeJvvDOxSSflgf0rvwWVlptJWMHjxazdBaeqIrViw4itHbiq4Iy2DYdN zYdtFvj0Sc0orRxQ+ovy05CWetPjQ20eXsRvScfH3VUnVU1rcqmiOcqdBT+MrSvS/DWWU8HJoTzS L7imTr5T8jKQnG2g6Wm7LmJSDYrFRSwqCX7AcpcI5CtdOQl8S5f+wq2e27L5oc2JfuYFozWOXRdM rBAVwgOxJoCVZ8PGMcSypSPOj1vaiWAOudGCGEQHsUx5Ea1RW9Y8nTFSGXZXfOom69fFmAWdOk9q eiPrCXF7zZJ5f0aIWc8/hw7fYaWrVqznE7F7AJySXoQG0jafx7No4TIVp/lGmTzdVWoi4bFhiJmc iBourlbC8wktoael+TFUZ04rC4zX/ZAtnm3yEdvvSg6qXXJsuCBnqkuRmzwxhXh1fFe2gSZdkhou D6PG/N2oTPum7VyCAty9vGkYZFTbcfdaiPd2GQ6XDLQ3eRIzcBN6eqJX9iVzEB1ED19NZbdflFO+ GHVHSmNL+FZs6h5jmiAlNfk9a2majAAVjK8TNi9OtB9s3CjV9sjkkiHanskTYl0Tf3JL6lxKebAf MDv+ASHpiRap1MBvxFYT8CZXEx6KTFyvgp9NN2UF4vctHssH1UvL1Us25d9Gn/P6e9pay6qvtNLV lBLdlmS9FO2NE7I2NG7/94PnQFAbtjCt3qzzr5t/EManFK9JdE7yKUnvBjr9AmFqXk6DQq8d1DnX 9pPjArz7GdOOnXggt1p2xMLOLCCup4UGrxXor9Ga9elurmM8wREatEgCM7K6ttkkUn5cQY9KDTiF ewYGTYOXkYox+s3ctKHh1BwHOdJ/TCdHjZ0DcfrDrwryKbyi7OXr65aQ4rNwJNKqdLOXoWp9dS3K REjBKBnw/nvIj17ZJJw9LxyMfEeQhQp1BuwOG+RWkd/JEYMrMGtZ1FFYVPg5soFLPw3wrNtjOoCc 9HNyQgheasWRG7aoo8KoGcc/Pm2M2IqvrDVqMWxwxeWGFZvWEG3gl7j4VCNg4WLfykYViP5y6+Ax vRRLq2dXq9DUtv/+IBdfEKOHjY5XyHL123gb7TZgwIcrPftjdxSwbf52j9xqY1x5rAumDPpukP7j twxFbFGczpAk+KkUF59qEKzbcMH52jLcbX0H9VX+puildvQrUk+ilInq0DWh0G+2zKI2haJlHbdh TyB9YGeyc8PrZM5yFq8UwHaYH6+CmbQGg3wsZtLsTzJpyZTOlvLCzlGESA/ncUBZaT7Az76Ra/Ma msvJCj7ByLQBDJBU78Gat5Y4yfnCRFWxXA7azcdyN5t8FT/0ictsp+zGHv8dfdILJ2+1rUJqobWZ l9yUFjQfdCYs+6jwGqaePCsCx5C/pD2ZiD1Q+Kfi1thj+Xq+b6us2wjjpNyWEil7cXfpHDJ3/5Lt RoePP/5ZoSFtoGNusq0kTVcyb+GLE84sFkJD9NRPdX7vL9CY1oB8f2nXRaD/9qyNQRU+xXDGVN1/ mPfZVhuZi/bN27H3SoZhjHJXXCbDI3JqO0qv8DCgrBpeUevfDOzpvZvWDJypPBi4Hh3oQTGnKglW fc9E2S4oBxYAw/Cfa1c3vXNmV9TU+wEWQ+h6HkpCwhXI3YaBIeOWO68a0HSXkvGZ1NKkkg+FceEL N+HAAm6SKbV5sCLd8Z1j85olvFZdkL3YtkEue8pDf4GUqn+Gl9Bl6ZkKqZORouGlw00KQ/da3eRN K+Fct++wDp/eVy+AuPHHrnuFqtx9HB+q1fce7s+IplIt7cCeNpfdliSYJSOHPJpcOd+kpF1beWTz mHiOKz9zF0QxPDn3ZtT/FMIL/J1Qcx94dn4d50tpWhVaWawoxLpblDPMo4mP7zVR1VViuJYmBHAR wQP+5A32PrKiXIwDtsXXukhqCq2/XGN9LRyO1Rd9OSSNFfC/iRam1h/jnLOd0tmU/yqMSJbx5kFM 19vbO3htZQfnlHtYaXLn1wXek+cLkWlkt9GAOC4Ifz+pahCfndlJJRTbhd4xM2UKH+N5poGwtPn3 ENSODLxLP+EJj+Q9CjlMIJpssOKaCPUijBfsu12lP8L9MOk55JscynwciSe0bY/rOTo2BQ3/nTIK oipD59mxZ+hOCiQ7ujlKX6e1zm1oG/U8nLgCz0AJ8H9zS48I+v0U5tBbBMaVkM3NcHkS8QneCDVY DMWvEdiqJFuiQwbdQ/G0tgkD2xH3WtB3VLP7i/LE58D4J4YZD50bapwflmvjlU5PcfIEQQ+Ecb1W 3Suae4y2Ce361r35X0dDtt0Lv0bX2ZYFXdWHBh9kniIP+E/+qrDqONivONbGP87jkZH8krUblW5u T6EdRqbfEYSVnX0MiSVNOlcJTQotvwQDh0h35KEXbAraMZqmBOpIPIz/eKcY8rJkt6lkXlBtGnpD WDNAVX9v/keS0KvffJwQ0B4Mp3oz6v5UdTusoGj+k8B6lRlmi5QhQ9OsLkTESFQlbnn3OhG2xoMo XMsVIuvPyY7zWrsL2VBy1XuoiS9uytupZsfcue/Fd3VPnCLNVaf+Ud2W8yjG1g+NRjdgCD3UH089 jEtUUNl/lFy/X+hyEBAnx2hsAQu/Rd9ChWD/UyyB67PybcVzROBrIM8D6hseev9qFwYwFQ6HpylV aVxdgPkvkkVpbrUFDRWrvAb7L54B/0rLM1gX8eYubmBPtui/Y0+XtWoSByfl14A/n7mz2Vp3GezR z5NYMtIyUkj8+d6OuBFhA3IQC9/nXPyqg2njpTMngyLdkiRqMVSuaJEM6zTfnUHlu0oEzn3DCDZL 7RNBcYeZ8iApn+W4IW2/YBXbmtak3P/qa0DuY4au9+at6N8nPzZpk9wYofYshA/IpybFhCv5+rZ3 3IM7qCvE9pahQ9vtNBeUb7lGGy9jTDDYkkU2STZhle/d5YSx1M6TK2YZHy9jWq9l1GFx7/60+352 2M/05mzC8LfmH7ftkmUtDmOae6fiCVEzJ2GYwJwdcdGucFTDdPmWm2NbWN5xLtd2ALA4JtnhdcLd 6C3GCsiHVmYaSAFiVJcXeK82RkGIqCDgqkPYMG4QM7Cv2m/7x4W/b1umcA+0tFOlg9JVvfDK3xtv MCx415km+2eZGw3eDKJfdCR8Lo4/DrWjsFVmHmJTGTuR9HIrQXLTW1DYAVf3B0q/t1MgcvHRqCkj W0bdo7fv0GffSJCTMfm8hZgw6XiG2/fP2WBMAY/BYPdE7/B9sxm5aSR90S7VXX3+/sug489rEAdc Rhy2QmBaukCjWTKotboqxz/zHawPm3FFLy8QfKCsDp0XWQ9293q00yP+giVFzKYrC42I1VA5B+9w Eji+K8lOodJCKhdLIWrS662IR8fiZON8eoZ9Hlxgizjo/cjx34zoEN7U0T7NXKKPkxz2Kol3a31/ f7dSxp9wM1SAywBnwqJolxpO3lMG8nMXzPTdjv43XKJZs10BsGYRNzzAxWYMSO8h1AL72u5d8juv p/qehOMGQOhMZxGWS/yAySTmSg/F0by8xFvkySjV2Qd2Y7v3jELZBRro2ZR4f5yrSjMH9zkPIjwZ y6i42zOPWRr5Fc7KPqzxZGS+LcO8bx3HSYZKbOkALNQ4RY5Rcf80kmA+gZ4eU1wQ5i8ybacQ0X5A hxeQuIzx/GrHDHmuE1GbgFGWKGYY4+P41X/pW1uK7BKjGZnUXx0B/7NZZ2zQ316+eMbTlrRBeSjl TZkoCkCiq5jx6oYumnM3Sv42/vFDKxwl/TTPsWit1wMI7DIatXD8bueOBvj4Ols8CMmpUhrJ5PLt qemTXxO5U+GoXHkEyn1h9w4zKicemI8vgCHpSanDJrcs4ttM/UTugDJgvqR20T3kMuAnbh7w8540 DdcBsY/fhu+KrUZJesyUz4+nehybg8od8TXxUPvXKYamiQheCdFYWad/H0F0E9EonVep7yRBcl9o 0fUGdAcc0BPq7dVD/IVOe4zE9Dly0tkFK9OQyhzM15lIKUBcNEnh21NpMPueVloAcYQJ6H3me7BX pBTqySzKXqIHH/y/Li51BaiN0T2oqGWzIl5S1h+xDqOpjhEZ8adphWgh1NlHxZ+oc2MkRg/SBBnv 49THm2BmbPU11xmRy9zFiwrh7+uJC8EFFKyhGmdtPLdFH2POEb7Pau9gHuQLkuVKg71AVfkxEwxd U3N51vaqFBwxY1QSqLWpc8ryXBwnRcxu0G+SLj0yqi3au7Wbokb3uTwQRrhi1wU0UXmVcvNx9slQ EByXKFv+dBZ9/Zrzfa02M8F+17VJqs+KthjiGTdX+CGmyrjDtHYs42CywhIgFaRPBvAWPPpEJj43 ra9KZkLYz1/9VAgf334DzF+hNtinmxabayS/VskfOv0UljyoM0lzUB9PdOxxqpq+Wto4tgaE5B5n kf9r2+60BN9NUKcarXowoNb/DZJ71+wRXma04z4LbeIRN8hG1PMMApnTCeBoGkbMOsPPCpnf5CtC XqBKyszCaCoCQSxyrl56lw7Qy1/gvSl0I6iYvRrxIbN+NOH2k+nL1x6pw8IMCBpRZDAjXTOHYzbK rMJ/2lTvlbRWslcnjwZChuSZ4LM+MI9c3wXlos6MGJisixsbcliP1KkHCwu4bGzZg8H3+WU7dcu1 1V83z7LDsYx2Lv0qBp7IgYrMReXwXXqtYykjNLUhe9TxJ0dDlKzOHb7MsxXGVAHehKo8U2eB/THo rpzGp/JhABydJbFSD7X8faHY+l2Z3OGHnqGgg4GGB0iGAOLhRfY8bCGWCSedvChmw50BR+Hvh4W9 cVXMYOha6yEsI9YaLixN6nd6YrQoGtFjn/e9X8GqrWv/eSiDTLEVfd7SU4GwBhrAjePTXBDk2cs2 1UC3kfiTLOeUqvJfkXMhO9kdsCUj1XN761Dw+1g3PuXwU1cHTRP+NLDmZ+AGSi3wpldgo28kAUs8 ynN9jUmndBnBDdLjow2JO6m7ZVb31XvStIiw1Btj0D1sntG1ItUN5FVctnbTh7t3ghcOi3/QOCSf X4xEa3Xk95nqJfgSXNjQQVk4bomJkzhnqwtUChm9hsLH/5BOc1c4U5zkrkwsuhZw8ok9t1rtoUsU 8Sjq7Vl5dcrSHNePQypOH3iEzWcgesijuFhBNhMEVcymlLGPvtAO195SSnaoQnaHABHwGUX4rx9J d+k/9LCRXWGEBOhZNrTCx3x+ws2dYyQuLfOFNgEfWMInYMJriy8/qHS3WzCPGytUrwJmQ4TTXtzq xtFFuKER+ZPxXHeHhsmogi2rLrkzQCHLqlWSceCN8DOs7ODsIHelii+qxeH5SXbwr9HpEtBOYCee HMZDa0wJ+SYWplczLO5IBIcfhFLtUIXaPeJ3Lw2jMHRsDMEEPQqh9Nu8MwNIH93+dD4Z/cG6HZKZ LWFePiEYcrEFJy/409BzEL60ZhQNAAsMciEV8vuCBvk72mCmATvR+dlIUc/ZdVsiq+fcZqFgMWLb f9q5ezwdaud/5P5ywMAj3aN1CrfimaVLDZjIiwceIESyrE3dtcvalAXCnDeNA4eJVdOpCVrrJFbB c5YCUSWLOQy+7ipcT5efiVfgcVoPzkDpmsdtHv2OH6/aWdJ8kzWobNgTOa+UM8Fvb0qLHHcZLclx EgMdNIJfOiZGG8gMBJnlcLPxjHDNXEFsb1QVxzBxyulHBWOHoLDk+ODwcbLZh56G4H4Bg1zLc97D FGc4BHVvkDwHywG1mbb67xcye7fHHm4ITUEqbUnH3ddSLorVvUAco559z8CFNV7rCVnnKYOOlJao t4isso110D3f8erN7oKqVbXrw1laGX4OXgKwpWoe7kGOfhhlndPzlxDeBYw/6x7pL02fjgc9fF0t /WptWW4o2iv3901Mc6XY9oWecOxJ6mJkzbGNts6w/Buz5ApMVF80uRYXG4CKx0+7wU+Ts6Nk3HWa FNrnJBJ3uxn+jY+K+YQjUnTsNNncjAyrLwdlGFnnAsc7BANe4/iq2aHqGRDdwrluOd4ZGxOEMzf1 Wned3uG1Ir+fZ5JMWEmWXjYnKyLLiIXxfNvjF9KGZT7IejFShTQpw2/fo3JT2/xhOMCwsd+tc/+g QFDNaLEYVJlR7alRr338jOVBQaJI+KlxD1YckXeuz/iqY3krHSUjx51TUr7hXBad9aVzs8yhh/z9 ZbtUrnaSPA/myE7+FIXjNc/dTc614ZCLHBD03M1cgXwvlH/MvdcPiYxQUJ9EltIIYafvw3AxA/uj r6jJoXF8zLYXtx0/WR63GJhGZILrSyMn7RhPSZBWte6EEDOLeREMecSikxuQUN5WRI0JxRdrVZEo DFH+CLBJGqKATjBi2CCF/q2agzYBzqo0lWzJXPjeaynCY21f3+kBnCMaeL7CvmOYg0LJiFdS1YXJ 0rk/FsiFNkcf9bnDaCfNag54220fm5miu3fIZ7HE6KCCcY7Uo6hgQuct8mXi98s8kNbT+PgVoK9x Hku++o8eKwh4ezJHqK5d/4+wBxgd5QA9KKZ92qzHjL5T1JvpIHoucnpVCi0tpnSIfcUcQ6widWjo r3XV7TH0Al8stNczhFkBtuzSIyxeR5NTc/RCAd6TzDczm8lVgxm9HhIq//SuSXEPpLhGpmUpPdwV 51pkixKlEWckFUg5i9FlYDGkYCqvaCd3bEibj5dRRf2F9pwYcIuI5gt3UEy1lV+1lLlBbvx8U0zg fFFlQrJmT2BLedxN3qULeBsesf7EdOi3t54wpb+g/57duA6f56yJJnGjToncX4moBD2HPtc2ibis /rb41dljQEqfpAaN/LmWplFCCnqgNCTt4182nCdgSG+SMwDE7abeavKMScGI76QlEzzsMGuOtKG0 TcVYyjB4YWtD2Erm7QW8rpk+XyVpOPS2h6XG6VxmC1c4jIGHTrikG9Hb2VGaM3DocWBl2FOMdbJd EMVtuoLAoS5Pqn3w21JlRtJYqskd5oR6XRARBGgQmlillG308QXG7CaRbAbQfLci7c7aj3zzvb+0 yjlSEcpgixhxw8aVzdXWN/sGAsaKHwBDjnUt6dWib+ZsUFo3icyRRp8hiJG5o8CAixkxa1vpqX85 gGTy8Rmwiz6Qf/v+PMrDIOcudSkbofeN7mel84JFhVtWIi/aa0Uxs+T3cijKC1BRy4T0hkj035sL 29Ai6qf+tOqsR7O21rgmveI0ZxmG7vU7YYRTBnnwTwhQqajvTMaWbwIL2Tm7BgNr1HRtIATsQ/UR BUvuGt6Rks617R/Xn+oFCpxYbsWgTwD766j3I5v+dOWNg/7EZoLay6A1Y9EG5CsPLzY5SSK6wKm5 13FG89sE7RfyrG3XGRhSbvH8PiVGqPLusQ+/E9PQjo3Wmte0/v+Mz01uDahQL3A9nL9/ZL9CZ50r Glq+DUo5cBIuy90KFjbwKSZ6GnU7V5+5yKcKfuP5/jzl7JMRpBeonE2YxwbA5cexCUQonVreB8at eKn4uNQoHLmYRZE9aNrOAXxL6t8wd8887libtmFrq+KAVm4/QYiWoEqYM4uDEc8XNAo03jO1mB16 9ssTe3NW/6PZhPzCiQ5oF7G0YRMedqgdsHl/XvoWRQEpFRwBVLYaFKO/yMMkOu6m2TZLLyQ2psZA QNpm47RW6DGzh3yPp0Vw/RvmFR/thsnsOqda08r3m9X0QKLRnsDiLmpvoxsGTUKvy4TJoJijbGBZ etztqTeypsn0GmF//dhGloRuzNRCSBMw7hSr2iF5aJKvo3ZQh/JBb4K5kIsom65FVSmy6hhkNgfJ PkWlPQ6jdPo6diM6Xyiq0oItXzv6iKgYcXSaOdWzeEF1/uUnooSJjnR5uCGUytAohYqAiXGD+KhR gfB1V/c7h+rbqAuFyYNRGy+c8EeFKpAWo12H5SyosvXbUZZdpQDMyccb3EE3893rPRd1ACLCvpDA 44xsbXvxEd+opAhSaR7c1tXcnHLEtenrThQzlX/ZDH4B3hmiNWO1A4ht135JaMa+2DPmt1OjjrSs gkw5H3sxlC3bS8RBHrWr/43euesqfaMboLnUIYy1gtAAIVOlKbCW2DCqSjcIbWOGA0zRjlOFKDHF MNRy6CDc/PMZts9tzFK2BmTLVGjOyHlU4ktNHAT9zwoRNwjYITxARb2REw6DjEDKyOc1XZZcD7+1 OMu9Q2EbSGh9FrH1o2UTihL2KVVoF+JkQ8ENytfrCy1Z2bAtC7O3v2SBhFF4ZEVMO4U7H4OlmNIB jUEF3UqBUvNQ/eGf8UD+5hMpM4iWCPQXw/AEnU839oCwNVVbxIoWFvFSpfapcfefIYnJkIMTx7W2 LhwGrIRELHxOzh+3MSMilI2RpXQ/ulfdpv0W0WAYdrPViLHvVKvY7iKxqe//tTtH3ep9opocsI3t Mj8OhmVM1+9RAxbN7a84Z7GT1ukSH5j10Xkq1QP9LEmDlclFVO+rCG4DNVnbDGepVXElerFhdVwa oIHVPy15raeV+K6VVFlmkUU6oWtC6Cy/cj2U6Mxci3uLS5LGuDagMF3zrwnbnUgoeQrt47BE4TO4 BVV9Xqxs+0snQkfqX9xxbdVZFm911ScHRQgM+yppcsn6JvkKxd1SLNoLE3LedckGMXb34f9gSUzG ubDfh0OxZ75Y37Ui6ZZ50DnrXMmm8wSJqjldTd5s+DwXNMVPMlzgd4tgEcNfJPj6LC/+qI66pbaw rZXBcdxqriaXr5CLKcTgBriQ2apkoUC5GwYhPKQTkWZdpMB2DVWIrSW2IG/ohGwM1OgSUTT4S1bs 3uZK4nNsjSb1FwxmXk+/MK4GFFr/vMLhYhOOgOv6GrjmzVpzgI5J0vJA78tpkvN2mfzx/x1YClSE gXP7Zdq8IwdeNVkSJnAopGDDMLiv2qnyVth1rDtwk22KoWDZQgEQaHUGsZ+k9hoItFX+Y7u1EICE DHBU2nK5eMEeBEGswCAJYyzT6Bl7gUvhE8WOeH4KVEvD+AtppuDgkKMhRfHuTPLIyVHrFVKpQdlM PJR1gMJW/Yr9zhX65FPlkyxfn1VUgS9HFm/3AM2sTidtXnKiNoS5y91SEytox9oZwmjUixqfzS85 UZJ5d8knBvgW70W+9S4+25FSB0LBJm1AWX4k8CR5MZ+dGiloqd8yJKargarKOQR0zfCeIRsQ6NFt Lbxr43znj4JEy8WaD0ZxReG3fWc6T83Hv4eaoOszrStzEtE+e4IbCpfve3NgaJpVdeEI7ugzo+Ud 8SsvKbJAKLWmgflV5iq4B7nzEngFcgeEWTy+GFl0GQsXkw/nEFB4hp0SVHnh1hA4kKbA6fVSMtnU zQLGAp7eOI2+mXb/AS6fo91exCt5gsAz5sPQLpBSlMpTd4+adZz2Nrp+1+tFuU4NcbOOTKYN4wcD NtKTK2hRqoBUYu3+YWR+UdolZ0qm0L3Ku2rCuTFjAK+uQy0o1YX6FlQYsQekrjB0fAqDCzMGF/9w OIwGA0k82dljXOKOuXdSlPnHENMOLq1xOd3o8X3rv6R46f5TbZFLzWnTKldRHJznBO5CEi4aZqOY r7Bw8qwDBTzbaE4sV9a96t4AtTbgUGA7T7mKnlAJqyCSOPGaGfAcCqGF5w5Y30BJb1Fz+zaPlb/R yQCO22W7yR0gMSnXC91J/qKK5wKdMhLWyn/ZWztOifTmNTqHR1gr4XN9cecx+WfVKTjkbGCQcAvV zoaAAVyQTc2K/MnH9EvgdKqcrG2vWpNj1XHWhoE/GT2brvMf8ZrwiyknYO/ss0LZP5RdNgeok4X5 UD9WSK+XAPKJx/KeOEcVlOZOk6/CDzfUNjn8uq1DY+wYOzyi78gG30OgMfnfB4WpAeNFR3QIfbjD wtv3N4dYqFAoQm2HG943UGJIFWFMU6OvbZrdXADTs37PlnPhtTRfZusnvzwzXajdHC/CUymUEP0s 7vVZ9mKV6xvb+7rJw021Dc5E+w507uw6uLB+e3JwZ8P4RkF34KAs9FVCQNMY/KGjw5hDd54SmUog v+UVjOBk4latuHdeKhxVtfgmE0dO9LXhk6fmn4jXFeB9j3tcLE+mTUuuIC28ZzMz+lgU+NELq+YJ KkcB10wZxK47g2tvDkVtrYKnEJeAkTWXIvbsk8ermnoCAeD1xPBaL5nEAHr5FFO+mKXtmfaK3Dqd 2GWAjgrqSehe1GnCU1z62+vcjgDYsysMaWbXk9LHAsP8XcgF3la8Qtz9nKKB6gP+q72d7zDJSTle 6DIMrsC/zAHdxwaGQ27fnUMcuVGLPJKyQvhRRY7G1k8aA9EvxEmx/6XqVY+ne3u2y/n9+Uf3b2zv gQalpmLzZN2CuVfkkeOvz1txpvUXw8K0R6xiL/iVk+lcsh+pgRFt22lgmqEG/bkg0bSDa+qE1Hrp U37/gJijAOMZDMhAMPbMHeswkXEGEpQbRI/f4xlFseN49LCQORWyCe9hLys0S/QzPw4lDGu6wDjf lT/pAg9iOwFMPWnztHlvjW7NGVJ+uuDZDGmJQH3MUYTLzyKNa+iRt3nXiJXEdKtLFfWlrn6YtW2z sKdlrRW9dxkj6ncM27WH05NHN6SnlDaK6mfCQI9f7Z3eL50Co+irFV/MafBPFki2PlnmGw34d8uj YYdwhfyXn+xMvYvzp21UgTNCx/r3e5QIzY7jat5oT506c5btU5Wgjhqy/NH9ZNrAYuUB30WntYg5 OpbWF5It/8nnHxY8xRdEBBlFmZBhNJKyT69xm39T1ONChyFFu8wu0mxbCz/2yLLKluQpXXgkjW+m eE1x6kxV1QIzf++opHFYPqcy0Bo+5zAWCbBErsbrxt6vHqrVy5ZgbwJutVXvzVopqqAYusICrl+J sMRYi3LncO9HWhbOemiEdQk4CaEjVAfZMGS0KLQubpMiJEPXZ7Fv+5y7zeIYj0pjvHCRRZo0mBMc j4iIRN2G462XRMo7XhXxthlX0MndQ+vMOqOv0MQsjtoXkaHoz4hgUPHYukcQr0dZ6yxOC2RoVnNf V3S8RWha4vdweLrTFkCkzpa8D72A1lArEXk6IKMONQiPJv4IjaCekZa2hSLc9MTro1eQ4IDUtpIf YU2N0oLTc7d/vPzBL/cGJqOvXXbIrg6HYOQ1Cnzba1l5egtZl+oZR4/OXHQN5d2g4PIx8iWfPfCm gpcoEyWyW7HZh1ZYekFa6aQBeSJF+xr8pNfQZRfKe/CMDc1HKMA602m41ZXN57dOa3StJR4ylXrs s1/+KV2OgYau3+p6NPbJ9+wj4QetymT9uSgGkAnKMEhfFmA/qNIIhAA7jzIASkXp6OYC5fRy8Mij L2DEPwBqoHY0O2VbQSniPoWV8Rr3ZiwxS+B9VI4qQ7BImqqr9PL0ytPA/Bv8UJOSa7EZV1vk/l8+ hoFaPOBjCaDU6kYWe6oAbjx8f7JJS3QhO4JSq7WKI3kmRgWpxm273fQ3bH79l+pffflnLC5N/073 qFb9MOJbsjP/1GjAxVATc7j9TTmzV/qFCbZEzfNd/siWWaTUcKIsRPGTH9jetYFCtLhcdF5VecEl K8QKml6Ddn/Foi/iyqaRYnYqVfRLcfG7jSHpjDtD/WDQoKEUisU+SYrSqvghOcbsdetOJHtVJ7Kp CQcc5bS71o+kZHDxQbrF5p8aIXteaN9wdtVBaKjl/W7/3+pift+lNetMLNKPP/cceZJCisZ7NQ41 s2xyK+dPpjPPISvhgD5ZXKriaI0bwDz8oyJld341DDIoc2fI1+9ihXI6W5m1X/katqJb47pYoS/M NrHa7H7Bx9loGEFDiGm1frSYEGmu0HBoA+LTlHIT94IxxTrbn3VtA7GTAebC40Kvq7ap/UlBd4sL ui1/iji3vkR9PHO3xqx2mKxkCx4vOBrQKGZKwqPm4KH/s/l8QASW3iKngHsY/nOSCbRFJ2npusdC 4c7TpJJliMGS7YrZDj9LmJxR924VwZVHOOQHUY9vpORoMD4XVq3FbnyOtyohaVwKn0MIsNTeFE65 SvAmCurC5v7bmrNftB63k7YZadY5GKTPsnBsS8ibQPBVGkEI36oVYBoQE5LV9gmMTO81cJb0fFx1 Fr9VqlpAr4YxT7JGLFc+cbUhv4Q4db6ipMxQGPLtAB8AfTlAkVzy7RbsZBQiddxwIWSaczCU6TjM IZSEmEhyJRJUMw3mMs7TGGJs29hrIL1ZTaV+wetAtbx5++28AXrQpBUT3CURDqcFqaiOxpFUyCb1 Vi5lKXmbvE9LrIMXJ/HhJ4a37ZLy+oDCmH02XkSfRcxxfEfWcrEv8zJaIkzaqHSysXyo6oAoYnsb 1Cfxe6PRpgjiN+WB9poH6bheoLTiqEPClgKKLwLMzWtPlUingv+wFXbalRGq+DgkRZLnDE8VOC6v fUsRhoZx43JF1JRfjr4cM/BiHWJfaT4o/TPCYtBSP6rB3ZVMx/eD7YQOhP9/YuQp86fMY6Chz5j2 uK0lxnm8YT26PeQzIjnTSV3Wp8k9Ktk0MTqmPcFkgfUhaQ3X+JP2Hmi2K/owsg0m3isofdkepj3u rOYmvsXT2ZY+D0zPaqvdHi+odQkwcOenDhBzJXfeVbcL8T+aRcPhr7Fr6Mf+jerrMISbiqdPBKn9 J2+ebErGKLMUgUjAiUaUzsNXZnHb05eI4l/6DLV3+PoHvMJkrLGVrq/rF1TSlxst2lLhkMzjBfvr Va74zkj+KlyLwc0R7CGew4F06FZ4wHkfeg9CVeTrmxwJ+DX8RSBgGCNixoV7H31B5oHZ9vKV7Hrv 0KpiWK4GqTx1tvYQlU0Z9FqQ9dFezNPWle10B6o5mWQaatKScVqa24rgATGsygxZ/1Gc7ljEdJ9P AIlSWqYmNY1f9IOvM3PdLsvxY6viywYjOXC9ALAtO3KRuV5tdHXKELsubVOzbBTLjqnN/rcoUZ9Y tKtQjlSSs1NRuumw8hYDUam5jxFdMB00IAE2XEKnhnspoEqGiprG7b0OGEOtshR48McXSj2MfNfz Af2DJCB9clD10awfuvwEJk6/3vczkTCUsf1DzORSgbR8wjwkmZSERXvShZPQE6o9zBGmS2m7XTuY u6MJaa33Rqu0FNoTeLptskwUEQayRK68JIkrWDYukf/n9bsQSsiRgHqB3MVLC4mVODa4oPx913lT QBASRLdH6FWenpF09HPOjQgYAtCKIDMX89k2OG9VdOntElP5HnyHhgmFUL2ld4qMN28K+0jfbIN7 bSEs20RmAwxopxw0KTxUDIaAxha+m1j+5Uwl94SqzhUsjTMu1ASADYlKwSi1BnzTuE3fIGJSvlsF 976349wOO0UJW4TPfyekuUzEPI+c8nsrO7cJAoQcfIueTsQTHOwwCLbGV4KBWE1Jnrr1raF6iSp0 pkr0L4iAIa5h3uFMoldfJBYjYHBQViBIyJA1ixq2SBY7+pjwZD7A/lQDwulgmplde7+ShLj1ofua HbjevJQIE2SeZ9n/v+Nllnw+x611VUCBChQo5Mjad5yI2P7ZLH2moKQplTylTwQQ1YCpBYQxrTpR mpnKzctWtdXp/DHjdd9WqxNydXIvkLKXltDI3Mw24aszBBYe5zVJ2Rqxbu3x1waOIB+VsguYdU5A +VjC+lPDvLflGNvSTV9x8+ftCFPHnEtWLmvCMbx/ZvXcd0dTYcgy5oiOmUGe0a7Ard1Pm9GPosts uM6y+1d7t5UXcF6NVFAckq4wwHsOSutRbSFPXGCc5V6g/FCBXIkdjigs0DqCSwyXm0akHou+CYU8 Sb+M7S4ldL42cUkbZpvd9LPj2kj073HupJ8em99/klF47WmIZMc2QMAzmhwHXE5J9ALQCw6VU/Jz bMAOhT3Q8RZDrUcTvHMRhkHefR8GOstoi7D6O/MZH2lHtv+QxPPNNXoGLwbqwnRJqrADbxcSm96d WGyVA3v2MCyVeuORZBN+rtovlyA2s1LDaocmcllEN6YxyqXIOe+yoqW0Yw1V5YdvIxKHJ0LM9i+F 4Lv1DtzEFA0uKDDzJ/ILEMaPUQwFp1OLem4vsTXZO6cEoYhuXtvZLw2Uu3z37LyL1Ql+XWZhVytO Sh9Zkjnoy/ggcgc9r/MwkirLuxmhTe6rWAievN4REIeiWFE+rxX5tltsmybT7OaSOD1h17JIRqwS RmeLTF7NncxEnL2gRTagCwGheLbEO18ReAPL1Ozxz+U09JpnIc/6C2DX6qPFbtoHYdpeWgizeqgr ZWL3+lOoTBGKtPbUSh/yRxVQ3qukOnXWbXmgqI8XXTfTz9Yi2H65EiaYlYT9WTQFwO/LaI/udiyO haK9PYAqASh/cRLU0I5kpFECZLnmbrSVoow0lA+rWgIAtmNTCfB2d288qoRxYjLn4C9BsU7Y+qb7 pCj+MNHXdpV2qTaCAvoNlwWO3I++7BggUY2kfJV2JCQvqKtUyKcFrWwB+P7OoIF5gOnXGCK/6kHy ZgqCr1u7YJHOE3ppvYPyfWe4cmcIkTTt9cUKWI+Gd4u0C0ed9XjtRFFPzN6hiPnR7FpSv6gvsiOL MnflzPBg8Z8kflZb+/I6lPZDsgmFGHdJegfHmygQmtilRIrxvR1IejStAdDJuljQjePRKh2kgpg/ fSh0g0orCE/ys/5pqTxcYCwsejv8SvNjG/VphQAS10Bi8KsvP3dNWKN25igG6ffKh1+fTjs7RdUV /2sw+NjBTGLEHx7k6X1u4DeM/GZLRgsuqdduYQZ3IlPmbzD7PqL1GffvwrjvTMR7SbrJl3h0J49z 0PjLnNBz8NtISyVe9TTU6ZLi5qF3c/glFmSSEvCbJMDqqS7mmzsvYGWunBCv3nimxO7tpw5f1vWq SbAcPJKoS+PgvTtP4qinsZCQL1gxrtWVlWHYQjoLuyrzpTpTLLT37ce3n3MikGtgPUJwD0gPjyaJ 3tL9N9oE+yeknNnG5xzb55WQQxxk6AFDmB2Ogs3JNRpNx3i8d1q2M/iWvH9mOWLgp459v5aMpCND 4aDSMPdDI8O1JeJlhSeQ42cY0CdK/KEiXCIoOS1ePDUhrLH+BQcsyqVQZ4IjTw6kBajdPA8MSz8w FiJ1M9N80W5DVmeXu2b45aKV/aIejYo/CyU0NL/1tzZ/yBGJSZh/90yvTOLr97hRq5xNBCGBjS0K p81Sz+PZCqXQOJX1MeoN18PaFfY27NJan9MhefS+rS255EiNA50zajA/pQqK5vbChMMyX8swNcgN h/oIHYlNy32tHyAbhkb0A56CBT4ynGJvRYuwXLcSYtkfk3Lsq+0iGy3UgQLEmH/l0ilLSsMJ9LCs ucgmZwMWeOb6bQBesu5+gh+uoALE1BeBC3VmnVBu7/iExhmPuPb0Yj1TefRh2TAkE4qSTLDmWHkT dqEs9a8tAJ1cJxns0CYW3lgBWXI6MHiHXp+027hbVX6Jt54gq4NoTdrIavP6nBDHWw/mzZ0whaxZ h/vm8JR7O8M4hMykOdt/rjNR5M+IEH2UXb+1pe5RTukBs3nL4PCeDlkL/eQiSvuqGZQl79KZK6s3 JbVTJQxQMzb6UMEj6VqJp3gyvEE/Gx/amEACuDK6Q2b+2nHfRqR4JyrVJf2G8ICNumVamnANfyZG nMdFWfitb5YzdGIMjpzsUExBgkAcH0h/BjPwQgDjG0RyYEZVA/gynw6Se2bWIz//uFvT1KLQCGrH YsqJRlmkX7afHSSfm98steV2N4KfDBWCVo3SlxTqsfcDKAkE3Vv/KeBuhndq6Y0Q9XfyFc1YYanl vcgTPAfWP3dxNekmxY7P8tCKX/I94Pq3GJD35CzBbV9qvdOWgqCtgCNsuN7sevjgFv3PfvChmm6l axGBUT9Cr801SBhSY4J+xDcfSzGWWsEdZp6cgXZGjm4EJDWDTmgzFtB39vRiw64jlxZjQ93KTq8U Zub+i3o6Cwvq9BirqiKsVxIsIa8BRtLLY9uUQX+FzwB+7mh3xJu19rKDDKw98qg5nfnnjop974Ww UC+9CZNVc95P19EwPSVIhBFflYXRqJyUnY2/2iPQCm78t5QWNhKb0IA/sBnGNiWCoJUdtdWbw8eG nQCz5mCGo1wf67rFmBzpYHNcZp64CgHbfe9iloJttLJH6no/9rqzMygjuk5xrBMb1v0zrEPweHa2 Y2Y1cTnG4nyDqJrrytQ3YTAkWG1UZlWIrSrJQNr6YD10/W9ldhgxkEnz/j2OYawxVMWAR8Kde+I5 yoM47zEnHUQeRxUlEEwfx59HZKJTbjdFhxwWnM5NoN05NAku64yn5sPjAtNIli2AnO3xx5fkVq/7 HprjRSuGTGc1A3qFKP2XIZQCl4MhkH8cJVjwT8ee619RpLkXod763WC0nN3jAgMR2jQCEggWxcMy nE6f5afZl55o6JWt+Vq2q8E7/FdaSQqoe1DN5J7ZNJSvUfjQXtAtj5UMu+4bhchfRvxUNn55HYSM BukfkNzQWyFcUp01EyFRRJJHqp7AVeN0BHlOKlBsHnSFnObcJ81Z4Tw3p1jZaQbWDSdeRoy7Vpes PzMWQEnYsPa9DWDoJPeSCUkTv0B7znIvBLyx/qt/ZLEK2yYBJCyxCV988CWTbPD/i4St0JTqN3lp KRP+oaXuh+SzeXpOi0RDlU6bqTSHHl1pTL5KtFXvlblKGiZ587jBHvkImgBZek7ka5LHN05UBCTU qFyFH3l0pagyG07azQV7codb93KCnGAvtkTub8FGb9Yk1l9RNeHJO1waMls37FFsfMI5RlTFSqCq IuwtbQ990qvCizes3G9HvuRdosHVDO/09NygSC/XZqqYLYsdxAkj2YbKb5q4qNRl+K1DgW3zJ0M6 VMMouOSVOsxO97/NOIlXLgeD6G1grx6y/g2n7nTI370HEBhw6kzWg89TpsHu4V1BOPSRxlf66xax LtI+vFubiGcrJ3Ea1ZkZPTZ/8d7OESymEg5aZURCJ62EUjlWvkhyuwFfzjXxnj6KSOXrQYumUNrE u5H0Lbw7EnnivX1l6PD7MqHE/+b7uGngPl3aRyCj3jej+AYlH3iK08OMc/gQNm0ZoGLrcFl5VQ/D HCXfJ2ueNf30oi7rN6eNDZinSiEy4nn7lQY/dcnrsxtNMAQA2AfK77CUUHMjviIz97vWIi0iASY+ rekq6ET4L+OC1W/4d2ZN73JycYWdFuDt0VRGBPc650NvdDVBVonJG6BnuGTWJjgPZ+e0WjFnVDq7 AZMluqwRd110YtFdb7Z3kBErHJcW9p4TOAmwBxddUtNEq5CnRjt1gvuEulkDMu5D8f1Fm5FvkzNN zLhd2z5/fd4MPKn0kJ98WWbymMWkGQHK/M5lDv5wcnAg7Jfsw37TB5O/ztwwk3Bi4BWRxNufPuMm kTTzt1pzcKygLQkLplqqvGV61+ER2d/nWyANoAlbaCM7XFc7QPaS6UTaFrOazkf1/L1dNFeiytN7 NMXcAaSoL/I9+GLTrCQfT0yOc8qLJVFxuYkgA59zaVpX334oJkuYFdqN/dMiEDLZoHZjtKSr8LA1 nzdvk9kNFmLHdWYLJ+RLq5LZkpC7Jy7gzJSErziKT/kpPN4V3GwZellUXoNYddcGDxLuRTiTSPhG y5v3KNuwGuyGuZwRinaF1vWShoVyRixVIKmvzeMzauQEOqcMHA+WuhdPBk7uGq/HFWcUZMDuqY0+ QdET4HpK04hHjgSy1VRKFfxe9UvRR8HAHsk44TqWnUIdf1iXJltS0Eig9ei7cW1/icTtZ/gm9uDt zyDTuOheTCVR/T01Bop6SYd7G4Cqk5N7JmDXhkZkHSrf9UjOotX4xrGrx2/N6JKv3LyrNwliBOue WorIALUPrgTCZgXKlSxRPtla/ml2lpUR4lsGGtOEAzyWpnzpR3FZDhT3nsjp9rVI6dHwPzPAMawv Jm0ZBnFkXzBsB46RBETWlYO+sJX4s1sJ19F16JEQVxVu0tb9hNQvgSDETNmDb9Z6uskeMnHaMkZ/ hWw/vgtJgOJTAso7s1ksHsPb16WPHAqke89S+lEN5/9GSqYypk5ilwHeRWarl9Zv9xRciHy3+gEs TpHkpMwESL3jy3B+RcKMLZShardzBCm7h1lvHxMSSUohsGcIPfHcJDIdUOVKEeJhAxtVdKxpX+Qf DH5dDy9WTIyHnZCQUAO5CEaa1hLBO2gNFByjQIYvVqfNBaty1N5hwlBC4tAP2gTO0RsDojBkLwIP qLZr3ehRD3gsj3jenZUEMWpAO6aUhQ02UQVTl3zcpbeglNixfu8DXxFVjdjiTzlFJVczIvJBuYed FlIh+moFpoFEjMS9JxGrvqq360/NQI5pW7UBg/jurbMpaJgZrxP52ygbTE8ET8KtB/GOr3oSe9T+ zUK3PkuG3xu00J3783OlSrtl53HMd73E+SrgLiRqT3IYPRmwzzZnjDtwLcybbb26eL0D9nh9ZbcH IC9uCax9KPYSBwIjMn6y2JMW5NwOsItuw85tfXUNE+mEB8/MpapRaPreW3KrDm9lOfP0xEL9+NuO FgTOfJOsFSRajBOukPjSwOh5KspnBaT6ytH20t1JqpUOtH8IaCPj4Y8ThMBSyz6BJDrpVfuyUWPS dfTeT8kHFbJHUG9u92L6DkPRG1lZhLlG2aiLXjV6dgm0vUqtmS0mR49uoPz+G3IwKjmEX4bq2BkE Mq07BtWnQd0xjDTc1mXfkcmvtG2NM92qLLX1e0nn3aJBZOMLFe1aMkJpFi+0wXDvy8mYtJQXRvmS FaLX1pYv81yBWreTeJgSiGIckp7b4YKlkwkLQBB40FKt3hHDL4eiukTRcXEnz7AhV7L4rTSTLogi NwySiYav5sYu3S5yMJZcuBtOOZl4gxRrsek11NeaqWA78/kvLRPUX2sz9Haaml9EwjV1O3WdreGm FV9NlwpScwUhc7KyyOKbzIjhJQpnRLKW4qWNIV4t1o4hVbLm65vtVyOImYYEV9vQ9CE5M6IYzAvY LeLUCcP8qjWRUnJI/SnsmAWeqeUTIMWj5HO4RXoXUdgCdgJeLWm33LiPDCkIMAVYQL6ttKphZVei BhUV6TO4Du+9lIwLfujZ1PpVxnivNgaUjZXY+M2HpuxoRTpYRAULDRyr+Xh7zYQI7eBXjRLPwjSd 66my7YYK4dG2zpCgP5EOIsPxKy71FkUnSyPM8scqaWfIHWKjp+HOLAUEzXRt1GbUK7OlDGguoMX8 d51uMlmPMJlKLNSG7cr8EUbaXA26eLmZt+b5zS8ev3O3D/37FJvGXxGXx40jCpV/nhq+ByuH9ESW 57AmUvcLwky5mwX3frWM4IDYvBbpa/+gPgP97Ax50GkADQ4qEnUej2o4SfczeJa4ZzSQmzK7KdUp kYuHZogBv+qoXKqMuKjTQYvfhRq74DdGlFAAf1lLc+D/NPajg3AXcUZsaAFAKFYEKJD1mkzWR5FG zzVtnoyNfXVkXqg0bshvSx04cI2Rwn+pKoczU+TyHNg7f6zcoNVKQkv4g8cMfX/MQkzEGn9hAvrg fCL6bbVsrwo/PMhpVROSsCI8dngOv5M5Zvp07wkForK/FfzzObxle7yhVjSou4T7TXPyAlW9wZkt cVdHk0ZMeZ3fmfOrFboah04nlSk7kJhZMHuGWD32Yr1vpmMPePU8aSrV3hWCVPqUF7f9nVXu3gpN 94frQB7hy4tp+Y7yjokI5LMyOxPq0U63jqiHSnJ7GK3Hjq+UcSyTPlDyg/G163k/LfehAZmW3f1B yr3LEYDJmY3/AtPpbL8zApDEd7dE6eoseDjLuni/41AmJ7d7HyrHUeWp4Cho7DVqbaxDx/I5q8G/ I6A8W6fXF4W0Hw/1KvC0qbFJkY5gdlv5PiHJ7X2A+IOy96+fiG5J9m3QiI61yRUl4ae8BcVVZhsE zkuFj7+6B/3zVQtjjBinTP0z68gWodgHLy2oCw8k9dmo4pZeK1dGDGh4IWwbioCW9DLa29qHpzS5 7JsCDBKXpN+6CLAIQSzDDPEGCfPecC0WppJZCpVmiA7K/0tsx5n/2eFbGX7p/njrAZR35P01B//V dhvEZeeaRYgIsWrNiSK3CzwgTGY+4GQhSw1fHIfP2rTOljhKKwQnA8d5vM91T2nMGutVsvhnfASI towbBkivvt0A33CiMEOUR7a/gr/hicmvsTD8QFLePhkWGJJkcqfJ4kEdKYDxhYQBXvjARjQEOpxd LrJo1tPjhKmuvgWzWR4bK5QwwY+NLc4aES+Pg2O1s26k9Z0nAoVIrruS1WCwIiAKYTRUNP+1zaBP XtwMW0O10ZtXnDIg93T1x1l6GAE0Tb8uUwPsa0ZvBFbVTqhA4OPyeQ6sfknXZr3rrTp1rXRXHGrJ Xaffr9DZEcsTAKEcnnwropVTNhpMBXCM0YeNGey+sQF1SmRZTaSkJS+32Egr0pNzMZeLQgM+ejTd A+sGmfVIDmSCr6cRlLBuwbzlVt08uAuzXJDDPGi/07IuHAhHjUGXRgG7aXvMJQwDxFfbQfUwycW0 wkJ2rXIpSNoqUUosSJUbWPIvuSE2Mmp062C42vlOyhJCDAANK0OqQUd0IghE+R2ztZDidtKWCSTc BXgOWiVwPm2NxO4fWcIL5kixUINa4srfP3h7uTTDmKqPUU6Zy1o3Jv7LlAXZj9mpxIOzJsWFp7zn VkPfLCrJ5FavEDOmxHP3gPOiHfNqTx0vJXzxt3PqINLsjyhDGNVhcL2LDFYcc+/VD5Z7ZS2TFEMr 1dRdgtnq2v0Z7Q02PHli0sKG/k00JcxfJyDr8FPlLzcuDpcfFW35No+4vBuuqJM7NG380WUatoEq 4CwvStYnDe55VwHMxTuWowHyCPZSv360ZCJty/IdxQ3iyUCVtzhTtAhk40j2o+KJDIxnidvAMCfD cErJds8CVoebfIevVutLxbz/uB159v31va6bQDNrpFRKcL7aNzacc68OS03AY1s1dMCw3bXL/Qmk feP/+R5GbV3yL8ZXm6Aln6bCSJO7yWAID33KASCxH2ui3iDVwU3VoIXfGewQoAkBiBWobgYC8W4A HVrsjxy+AJO46kwkc0Zmmg/hDogYvLtxLxAh0+Cc/K0eK1kqxe4u7T2PZImfcCAmFNm/6t/Vonlv qSwHCBCU7HCsJc7E2tBfpCGKiHNsyW0i+0co22+uKc3VG2Aq95LeL40Cs/IRm+fx0q+2VNjxpVQX 1ymssVp65cz1dEI5VH7UjuC2WV49hWPhR1RVe8kVKzHgVhCTbZb1Zt6fWC/lSG8WMUo8omM2IX9p JVVo60wHgDcTqXtLIcXZlkw8xCKUv/JAQlncun/Xkcd8JJytz5/omNL0S6hIV/t0S+45HXw4wotx g4TsjKlbmI8ERP4mhoxajGkMIGUCVfseKnGNMpmkTjxQ0OCMqpXafLJpsY53sf1u03hGKrJAdoLx ha6mqn8i/tIzDZkylz3vyoyIAbNnhsxZ1eAqoeqouU231TS0CL4tnQ1ll1Pn6lCoqSOKuGTnyRZi G/v1YQi6ht0Ts0uZ8g4HTo65lZhPFD0JilyEHpMYYxMOZNLA+zEn30CiD0+UNL8HqfFccM1UQi4W JQDY25J/tCzxOeRpMaMvs8lMstMQyVHzyxSEMH3qxwMBGbbpxBqDw8bX8D+bAYtyhDAIgvQqo9ZF uQZCoyDj9TwTAH7PDybkGlVXQeHMRwFoicSvE0S5GmBAQ12S3B/aWMifRIanDK+h/rb8P6V8FT2t KdW+cELGeQN6Mj4wlim6n3jmsVPsbNtxaQ76GOff0ZRo1CmqKZfgVPYoHGBhnd/Cm0D1DwZFzYLp jaVopEIqcXQ/RJ79B7t60vyz/Gcgelo+zS513qaRGz3D+sxO1S8oU/VYVv2p6dRbjufXTEdyOgBn N6j5p8TnhYzDSJCN71MhA0eJGsM500vqGoj1m36G9UlHorgERyW7o5iRDbKJxPZGt1JxgbNt0VV4 VtgC85MTourq+w2IaGNOqCaq6AEIuN9zXRFZixzoExPmgkGm5ABciWdWTioC1daGxXG6dfrWE1vH 4nTZ6Ki1RiuTwNm3GeQ5ZAxtE9mvwybkNNkyQnnl3hN6Mqdf+fAap3I/Sv8+coLEVOVCr/UiYibd 5mMSeaMj6UHntyMzHW1ovKmLiYFCE+STEvepNZToeumDpitpFq+hiiA7GL/X6TV9kL7HRBX91aDo 28Yc6+uvf5QPSW9CRx5Btmv30qx0MOXdCI2Hlt/ZZi+0Bb7nfKgk7htdy5k+lGyYGDKxeFvrUf52 I0TYkHYlk3cje11+uKY36N9DhuExDA83GhmqdrRPu3YQkZ46vMwd+B97WuYSRinqGBK+Fky+m/Hs XyLDShsvYlgOGuWtpEapgZfML54cioVe00fZ4zsJ51/LctgfddfC4pxSz7Xla3ptWkIDqJgj8KvM 0iVv8G28SupVjYdKMSkYbMkz2p4knJ4GlA2RxVNV/0BfUPnipsstS5J0qfA1d2WRUPyVWnCZWAA0 d0u5jHvvKVAyx2xw1Q4N8E8QUC5X4/GGtGBlYXmBdUDzlkRzJFk6ngvhhzdJG71CaTIo0au/Ri53 oetRPUocxE4S1qhqh+bINFrawQAH/uK5/Uwk1b5mx0nbXQdnFsF04zXh3Ow2zZXddlAoIckhPoZ1 5PPHT+yd3hRsAWFscQ2yiPcM8CqVKHJc1avxbB/iXU9mi+yhvtxzs9WFEPeY7RQxuikENgScWyLu 70coMPiF+DVANLHMnJcKYUpvG7BV5N5hKRRrCUIDD0YYjAn+NPxeLwk+PLgPUf1kWp15e2l1q39/ HquxaP6USnLU3q1M0pUmd4WOQ/FhVczhiPyy+H6VLrLpKJXAGTHGdIKybfGk4NlBlMRy1FcWNUSn sMedRWrHhB5p0AFyl8jRwylMtT8LOcFHRyp3OAuF84a/oYs0U8aZoSVjXzM/grbTYC7OqyqDJlWI 9ae/xiivD6pYRK31+ha3j0WPZBhBo11GtiWFyX8W+Gun5NispIHXF4IOEPsCVYKKE8lohJ7QZ3Ad vjEWT9oEKbQm5IoVLviUYiILTf+A4y788DvsJEMAb+Z2HMODyl1IJp/ecAPK3uY53f7TComwRNiz MdZhVPArr6/IMUGgunx0vh30x4ikwEnUDk5mHPS9sokDfERhM49D183F8rlHDgceVggZhKdOoAiH vWwdsI5JR0GK5ePgTjzKzawGA4R2glO4Jl+pWvj1+7wyS7X655twRc6J5VYpDR3fcbdBb1CDb4As UBeHCPs/JSOc9qVVjKNB4sE8Y8/COl+1G9UFb2Z0d+e2d8kecBdv4yQlSkfxG6+G7d/QopWm0PiG YXCMhmF7djHEdDntGshhMQ+2OT6jatBaXPcdOmmhFd0rLAHRjtbcNqABCQ4aVZnZWLRA8YvOMgeq YA3aoxku1cGj8bLe50am1y7bQQvTKRSAMHjuSVKklolpTtjAxYhHPRxpsvxMnlLyc+iqd+NOsCLF A0E9XJeJ2L3kOzC+b8TmeiZQOgZezepATwNOWcKIKIHokA5maqbiFyDCI6tQpIggHiGPK4yEFy25 1VmYjgG4wgZv9M/uNlUXWnyMeovwn8Gl1HPJPDN9sVzAevAvNkLs/zTsbwXgNh6O+Z1RgNpQZZbI QryT6xncxhVtGozdz/dBpj83WkIJzErfFQAGKNN0y4iSgnbbXSGjeGda5tKcbtheu5S3rhrwIXki OHEvQ7lAYxAxVMStcP9d2TLIN/jRzucXY+zdRGenj06izQ2XZYVg95xijgz1E8MsOEJCQzpTWgG+ UP78N1ZsVAd+cemItWRyAqMl+TQMjAxOdv7KHC1tpnO/Trg9hioZvUYx6K2Lx/dFhUUyT9IqaLVC NFJSpSIQ66G027JD/2Ic3St8uRbjqjoIZ5T+dM8aaj2rfmKLSnU1x/Ea7vbO/JvPVO6LUrObn/vD 4E0Yq42q0Wk5cNRpGeS20xqTDttehyN6Gzy0kwaO9xVIUbsr9SILxObf8BlAsrzZyTngvPgAECgK hTNnVolESLJU68KV2VDBeyzw6ijjxrbNAhVO86JpMLVXGAXg6dubEd7w+5Zr21pyDGV0o/waqxnD 6MjD9yEjIkjJUQGRdTOp+sD7HnJlAit/RLN1vwkUIy2wHGGOByRjbeV0MmmR5QHIk+9VE7fZFYKY GYQzXV0sXSrIoGXam4pRNBHndexMO96JJzt46+LsElkOjWDhrD3AUzOeztKVIVf7UbwyostSmGm9 pz1faA/jruS80mB49INs9Aubcd8xNTPjzmdMvTmR0w5v+VzGFLTkzqeJm4wWdqQUjuS6k1YvCBGS 7+prNUnDySARY9rpAOpC2FRNfNzU8il+OLgBLOVWgmFsyiTj48WlM1mKCKUwtHALWvQlBbWHypi/ k7uIJcwJ/WuoiY3MYmF3LUVd74fGeTOk2RJYQuKQs10fVw7JZG6AzozHEBSN5UhVFNnZpNrVxp7T GcTrWWxW/VB9RBPJLJeQs4CPX9c3SVc6m1HHQ2MPeYH8hVm7mHLjtZqf5+lD6qQ1TcD8gAsZuoDl mVMEKmyer0M/ldRUmhwfw4558yWjZyUGuar4nOGT4GgCKP/7QPlkIQZcynvVbGC9tAzjfrezw5qx +oZgAmQfxPbHPgzHJIleisFylfsVLGufThWtXNn7c1smxohokZAWTj7hTbyJzYMl1+D2mzBBmJ23 TCss3Rpez+ZE/p0ZhUAAlgXaWY4uja/mEhFPY+1GfckVSScjagB3VYWaU+/dmm/keFElyNVTC9/A R6MMk2O3az9DhUy7/NplcLieauXaPjXShD61ZZR9g4Sjt/ST8wGDLJ0FgJED36hka7yQgY4aq8Ss YqF4JvyrOv6GAVRGsjURaxg8jiTLKO9y2QkXH97UHq751cpyh9+K3fDXZ5ydwdE5dFsYsFY1AJPl 85A0XRQqKH3GgV9Fzg/DXtuxRdUFXLhE+AFG9UbaDbk+tNpIomdYjGgV3jfADpIqTIySTAQlTHWx FMc5CPlPCJE8TP/Qk/7FJXNLnZzoybP0FiNsb3UT1edrgKYFlH+DNJHAfEItbvDTw+DGYo+Hh3Ws VVLqDnHgcqI+bB6J+UZzbg0+Rzmt67VITfKfFtoINaBdq214pROWk1q0tkTmiqQkMgwc4IBcST2n qAof7TcD/wK1il3RjPPQBonZEgcNbMieO1jPcZfwMPGgvqjAc2O9bO3zRSP9M4+jB/kNjdOb60Is ZpEaXe0l+LG5x0Ys7/FxGcv4/iNsG4CkG/7y3WSZq/ba8N2TlCrmOj7qtOCLyIgmsuOzo4wpxMip GQwWYQ4BbgIG9BWbZBfBPlMWh5PVuukE73ZxbEN6XpnNBVVhWGIhQscyYtwsC/qZOrWMzyll2glO NLmildK5R3AsRE/QSdyPxIgggsnJTa3T9gWyA+YvSeu6Iw0nrM8ZmPxG7XbgPcz116Ch6y12lkou 57m1Wlfyzl/xqe70WB3C97f2YV8JEXFKvirTW6Srcq+UkVdQ+0c8AvdvIqQb6jRaYaxLkjxKVJll YetMInMwAPTolgaDd+Ym7vjEC9cJGmMW05yNvkan5WRj+lsCtp+A/Nvarv5rBcQWMDnKUU8jIaTW pDCKeiyrUc/K7k4s5qD8hqHH7BT4TasEIE/FjtfR2/ojrVmPke366YB7zWP4RyOIniNWLIL2KDTg lYiOhEQ9D0FxKjVxRhT5CxRBDE2WePhouxvfUvegqP+NwtvbRjCpnxxJBfjDzGGBBhqKx5i/hmMp iXIFmdgtHBhFDvlkXsoKVCtPDTq4assG0+RFS5+77jPZCxuaSgk6l/gbCcCHEw9/BCFly4xUfA7K j4rWqypbBLfoDMVPMAggxJTFwsooIXQUBBjuqJzrxMx9t8TJNi+it/UzPzIi69XsSJEp3OQDWmLw 8hlBICP5lH7YtH+QaSgoMBwzELS4DoT95K50hohBYuq1RS54NTRdgzIjeySugJQPQlnQ+GJjwsIe YE2lgKHw+XSYN3q2Z7JskieZLW6WOMB62FeV1E3H3Ec5Zr4i8xeS1WQvZfu+KSEG2VHCQK9FHa7H eadzZLh8M97g/NvgqCNkZoiuWow4A0QveBvtzq6Ee+Wc42oZNE0IPetpr1CpiCt8p6c0/UZh/X+J ie+kOu/UTpj7ARvsToq+fdypQwdSOOAS41LNRlE0XkuVr4TlYT96Ny7dXsgIpfGfD5GyijXv+hVw +eMwroC+hBpz/WO8F3RKNM9QWLcvoW/VEVsKcVJUIgRtwBYcgJ6xAKAi8ly2myvRVutZVfK42ahu XYlN+/IkyURoBZT8HR8cEVpvBf8kasqP+wRNz802FnVSzmjXZiAs/WXmJvtgNjlBI8eFCaIzTprM I9QV5BSizto/fPsCPRHo8MPSuOn7iy2OMF15RefHuiNC5RxTXGYTqJl2eu28WCjWQoqI0pE6qfkb 3PhBeQ4tWJ9C67ylGeHkOvCJD6w1B7ee6HuennDGhamywsAxJhoV7wKnkFz8lQENfcgEldMM2mP/ h1FIuq7ImIHOVZUZM+ck6/zpLdMj/mtceKSpaFP3rg/0npGNhytKbO5eI74dHxDAMu9Cb/hEzT5W L1xMVbL4DN8hzIVsMUwNjeTZyDpO62xwUin6k5lbU5f00FQYnDvVqwDo4Pf/WnZVrRm4Y7KkaYg/ RdcSvMzlheJHu39SNcTsTSlL8NXamo109EBAu29iuO4o7akuq9obPS02ZoFuJhMiOU985r9DtpeJ X5+MXV8SU88OrhD5GUDP2tDN/xFFduRHc8985g+4eVyJHJusb5qfPipmwVlHi+E64x8AEG1oxQeV IbWCAzeJWHy/ULa3/nbRlMz404bta2o3qvcp6vkzaQw355UsMAev7hRKnvvFyG66i2nkn4dfjEwL yJcfUFLPiPAJ+KqrxYe0dD3H/r5sk9Kym7s0bF90gMKTUaZW6R5qo1LLPSD/NII4vDKS5EqlxUWg T6Cc1BSR4My5kmV/duSgh+ZrRVqDp/y3Ddo6xMOszBIlbz/nQXC7SyhRcR3W5ShN3lfhAR9xir/a GXqcLFiB0+vgxZEZWyAjEIHYpZ7kSXOrwjLwMaBS+FWxoSSnNfYOvm3pFjnL+6L2T2nw2GNMD7fO 3NRh1Xq2Ap7D3eo9ZfQY6VgpwayFnPyMi1o3V1k/ec99yaMYA859zXCQAo8O5o5dwpFs/Eik4VsT eiWrhu0k7VhJPTF8cr4UNJ+M3/ZxNu5eFdrNNGbviQi4e9vQs/27je0RYn1NgX26xjW7tMwZoU/p RafBRwIaU7V5WpdVwghXszrpOy+rIdeN7mFFLJRsGGFKDEV0MejeUDtNh4y3Lj1tZKg0HODvAk1Y b9WUveiWh3rxxAd2fVpULr5ETLTexzbUB1zUuRjHVya5xGCuDWXuSZLSPKw34wL1cMYVa/MgA0M8 7KEA7lo8KIfTdLUHGeCXIbyHwGrVj/rYO6vpUIMc5TMe0cHQoG4btOk9aCqQ39U+piwVpkpqKkpf xAdL7c8u7ZhuTfDX6OTBrogKrTw4flbcjDI6XgeMZqGpOl+Z5Dmwzsw0TkvkTN2sjB3izNbCwMXl TrvEe+dxSkd6a5CdNs/GPw8lASj1WeC6glNi0OJKzaaw5UE2AW6kt2w4cfkmnvodSQ3l7jt720yB 2l6vBUD8mzrby+vKXckzH774OHPQYBWirJ/BFjbNqjiNVqFGmJUEpXVIzOv1m2Xg2DcGnB2eZpPT IuI1cpTIr2aRwONYBtcV3UZntVtQa00ruocjgHb7mNw8GgVBpLQhxFoE5h8jjADQmfgT57IQFB73 qa6dkGe/qTnXEahaEzuUbM1timIvEC1AkmIcfatG6qS1Oj1IPGxwPnFA27oonvryL6xCcheWr1rL 0V7NmgQ7sE/KskgnF+o+3+TZN+JIUmiO8H54Zv4cK0FSdvWvp6xmCoTOMcP/i30v3gtXrPcMKSQe LtJUiaiVadlxfasC6v5byJJQYYIbefh2kJMNiiwLzggFDwr0DPpnFrQHPWG3GvvADqjpEbdPJ9Sx s21/1/cG2gVfZ3L+cdSD9Xy0d3oWaEBskNvCcdg5yARkw2y9PU56TZfckoXgQSTEtXx4SJSYmIQZ qxvwOxv/A4cTt0rDglNPzuaEHD3YDv6TwuvvZoAUFaNKcDCQEXJzixvS7YUWKg6LI8Eh244LJ6J7 gNsSrUZSlZwzsJyDkvKA18OJTuz+nuf8XqXsfxMjKUlIWldaNUGvbQSQfNbuPigsA0aLHjqT7ojD Rmhqz8RjHsV3O7tdnrT6Ih3qp/Mov+r5sVbCUWbuSwtsVXt4HHSyc760gJLzaFHzo0q3S97ll87g 5Nnazbf3TapJoWDN2vyWPCS8WvYc9hklevHIEXWq0s1C43sSnqIa10r1GDNlWooQP0xy80IgdtTH hiYYW3tI0soqGuCQYjqziOdXXO0eB9CXVqkRbRBlqjZ9fqXC/hE2Wec9xyc2Nvbft022ngbiJOn+ XOdDYfyxRtwd6oT8fd8Giz1HrfRis1JOnh1vvfLYONG95ZDYoybOgJfpYFTlsNw7EKH9ue2tFV2b KtYsl3FWAWufDCxZ3lj/P2Gr7420ea3txH/L8i6BxWqIUKkP6dhoXhO68PrvUiivUPKt4+l2yF4s y9AZmxPSB7B0T/EiliIoQ0LyHOyo3BALXuiiiR5mQtBtjlfjZm4ZCIVVq4h9YR5HxpfK4sTPp0wr kU4nDA7E3Fl1lw6NA2x5Qb8Q5FH8aNAlbSb6utp1gftxJ3HfEoS4GdVjB6FFM2xeGLlOY14k1CNX EsMftC0jI2u3XrlxawcbzE67JQy2mVX56VlLrVhLLMyAncrxJrCXN3wzplpgJQpEWwBeLgSB9Mq1 n5j/DjsNo6ylHRd5Wy5a4py9N6n2GKjK21VwG6BtCaPcc2inOxiXw8n6ZFCEJm8jzpQ5TWSFWeDb e0iFTcvvEuTxq3Fd85gFLjBNTn9/zyhT+vZYQ8CEwNEyf0Olv9ye/EOMi+Ap1BDqZKAL0p+gFyES cXQBQ2AFUp6Gy+xfLFGbFPaN6acvPH2UcnMiUs/Yg3bJSPWspydMXEk6mk9QWfVLP00jWvc0nc8e ZVt5WYyuS4kV1R14xylkJqpiJ+TJr/AW3hcoXOvCpsDkEYW2u2LAkju5fKQ06I073emrYzAFF2Vo LQ271dFo+eYC586zsdtzgZtTk62VR9ewXCURxldiTqR+qrfbfkciSKv+3tSdwxevpSiuJVmp22xr blcRnkvO1bMu1E/+3RKKc1bmqKP7pwFHrwqAMMRYHx/ZxekdgvxFJDrjqlLOHHwnFwxkmfeCvrY5 064Z36hGzNb3RqxWKpqNJU8L1AupW+bsHRWaONT4WRXYMEOSf8nNqOrWXEAMxQF67JmLGAdjE43o g7Xc1DU7zW2Ku4U6hkxqxEVFdF9kBwXWoCpozu2SNPZ6jKjsZ/k0rKuuo1qe+V+XvwkXBz26cgDv V/kvqGqYYudDB889DMVXG1d5qzFuniDR5cgDCQhly/AxXAO7rzQsQ+yY4+V8ahUZsyZbr+SxHn5D MEZZTPo9kk4YDmjAqQbsc95i6mbak82hY0HXYRzgx9Gry31SNvFhLtiqhLS13TSZAFEkoOomxGlO gqDmhG6xGkPTOYfKVjgcVf1U0MCdGRDDgHZ2MNe0d5g9gMH3YjaOui2vYZCGI/zad/I/UnlvIalX HA+1SPGtZSQqGtoUkRXCO+2hEylOsbJYqZz+uEbsRx/pJCb2eJUEYCY2V/MnXDTwWC+nDWERsIsg jwsC/+9IF0dUUwB/ooznYNFK2yPIYsE6+p6+GjDjXTsr+nZKXzI0xoceWt2LAgGa0yvgwof0tN14 +GmGVqRhXF+eGbODSYAaY6UaVdxiubW5Z+P0BNxz7wejzPJy2X1NUqRiamZtcpL+JjMOgNKJilt8 0r3VK1k48a781BQHdbDaHVXwORtAkHP35aZ4sRdKVl1ycuic7Do1DhksWO3/SFYw2KyI5X1VafCf OmtVCbrV13PPEEGxMl5vdqFBYvOy+UqyYCZuSxBN3BL49SLb6eNj2dYFg+XNs56VNTdFF4MbtFz6 8pJmq1MQbtCpswuMBXMWfShX+BHaO7UWdWzay8Et41BKUjUq+zXd87BizZvWlvNSOlWFX9fMMGf2 QLT1fBykI3U6SWJLZhIPDg8pAqJ6cuMrOwnraFYK33qYfvfCnGP34roKiZf/JPzFbaMS41y2rOc9 WyYO5FEnN5/iOH8U6O2+AbwnczX8WiSApKG6G6R9fhtyZ58R6ADPd760h8QDqKDQUFgg5uDZh0/6 jJufOMNfxK6QD/XDAOBm0xRqmEKy6hZsMpEFWEhDuSdTFz9/VhDpnmUPzNYDNHiFcXygwHAUvhdI oWeh+2CaSI4SGhDOhYRuUOPpe2WbBibmZREB6cRrAP/1S6/B/63oTO/ultjC088m45GFi8KAqneq +OpWytrlE70f9Xg+kdG6M1lL0kdLMPIrJgQdaFJYykOg6to3GjQVkElhLO6VpwTUipkJ48DRf8fu YjX+zztQnrXhP34GQ/zfRf/P2M1A/kwACijomKeI90ecOES8KBgumE7QYvU5FWy6SsXs0j2Ux6ew 2P47a5KwLdznmqW/+1wTlYVVS9KdYajtiVo57SLLZ/1XM0A1oQ7WI0a/38whSbn0lJCwnaMpvhhv gYV+I1rMkSKvxR/OGpmwUl9wslBrNOGUl6PV/uSYzXflhLCEluznktHcFVlIWhwpMRW8MJswnSia Xp9RzWjbosuRdwFJ4p3qyynqsY+2l8wn9JxxOT+ZV/NGNULexodXL5BqcC4n3YxdrOvMAfjrCAIq B/I0ig5WOPwdbkVVyPCaLr+6V6OgC+5rmDFEm7OsIP8lay6b+h7zqX9iapBbrQjIqOreqlNZrTu4 JiVK/bs3zaS3T3QVdTRqzNQBSE2HdMc+yf6zLxulilQO5QsPIWlonOWtmwv55Sk3l1GIe56ja0mD pqx3qVLSb1Oc88FbUrStugeJDRTnZzfwm3P1BkNPolvG/3281IV1GZRNQSCoc6I33tqoQTpIZdyi zXZbLLfDRjm3RLOIhHIhvifzYA4dmzKh8M7h6EvluC2BzD/dpARuGERoFq5ydfvh4I1GS2zvIaRB tatUNP2zb4ogAzX5FaPvBneeyJW39jlVKdL6kkmO/wI0iiRl0cs1t/6OC1CBEn9Y5Rc5z/zLHnW2 5JzT9hmZLU8EXn3g6OUeaQorWrttQeVqbErb3p7N3FH3OjItvrsetJXxwd4BM2VjFDEMkphv4qUI Q4iwsqHIq7o75sJq6cXK7SMybumTmONG0aSt86RAG5ac+iJZZldceZO45jN/jUpnG/QeIo1WgoLh 7OISb0LLqRidXOmemO2Jw6wZB0LH22PgXwsBLv52ucFohocq7/AUpQ4ejA0pvL24lGE9Wa8eokjB KKLCqsSYiqcm/5rBKWoSvowe1ZliAvmXaLd6XnymSPuUHLeEOCDYWgYSNJ93xLYZGfzgFnoMepIj AURCxg/a66+a0oyl90XSl8od85Cy6qa8jeHsxP5ReBQHcLh0+w2j9a/ub9B+neUYvkSLqoG2Vpr4 KQhNJ4dcMcXk1JR4KemAJK+8nT6XsUC+TI7PjbB12jt7vfaY8UPqiNhkRXt16GZcZV7kmuktc+62 91SqiDyM11gvowqJZ1qQ7UdklA6yJaJ7TO4DoTYr7E60TU5pPpy5DN8fqEC7oJbjTE0z8YLMoZNQ J1T42XZoVMG3kLS79tqf9bPRs3BxrlSrkiSQRpvnaRc/bWiQF+A2mr9wwpXZTT4Z/X+2MPEiF2g4 6X0+RwT3rQdj0mHd/1TIi2Du0pt2iK4GmPgS+StPtx9cmXTGo5+ag8Gj2NbXid5QrYqJdXJIisMm HNQxoxR7iRM6gpHg8shrommr5xQmYBLqdv+QXwOy0aKQ55gyF8yagfS74rGuZwWPu3E0RBqTQJCu jGwG2hbrfBmprgqjHSzwQgbbBG6n4b3eLuSvIdu8DNGKOC7HOzLbl4bS4qKTj73XPJDvqwh6fYOw pDYJKntBM5VGZAb5bUWHcMfwchk4exFyAOeMZrqS6G8+QGpwSUqHV+oKbWR+I+UV/XT8uu2aywzA j9S9laAQTgdh5gxQqTOkdZIX9jNzsZ9O6oCdM9zcw/FRx0hPSijbRRgEbiuEF+qe63ZHvT49de6r nomowyknR1Nw6EzOvioOD1uPv0dnYwrAUCLgts70PnPBPrWRwxdCo3cTciq6cNexzrqSm8AiPjkD EwUoDPtKlAT/SaqSvC258E3GBTPbXOO/tSX2ot/GoRvaaUn3QtgVBIVMYBsbfyPbi8gI6Ixpk1kk oGvUoXaoXYV4+3fdPfDC0uh+BmL4VkQ6zeavCgQXiKbQw0FdJKI1qxWCoIw85kYjT8BVnxu9fD3L 69kSfCWwqRH4nqSBSh0WOMFBJxRFS4iXEV8qhjE7XK4cZMFFMqR7LsOKzRmJhMdhjDQPn8qt3O2N vPloFie4rmHlhyhBhBvtj5pbkR3qzpUR1NNFlpHz/buB5pzS7wyVfG6i7wFFqwOADv6YJAR6idMg P3AvA4URnzHnngr66jFNuFXxYUuXmt0S/WDUB2QipbIrMW9pqge0eqMgOb+/01PJY1M/sQd9kq32 Pa3zWpEGL5rCZlrJUkqK6o8tK7OGqhMLQ8J/kuRaLK5Ksc+hPcX2D+syLaTWH/MvtnNG+8hREJPL 5B8ADgzgiKQ7FLnbk1VZQS96kTXWeCaZmHjAoNa5P6TJZmaagnOrwu2ub0VdgUCqkOWeGCwEhOmj WwmGkngHjgO34NpDPNnOHuMw/SYR6TMdl1pKXDO75lLCNWohwybdhFrIGC1qMJRGHU55wMZkCabq +xBukkitKyBS8J/Ej/hDFAazRVQ2FBgL2OOFGd0iU/ghWFxFrKgMNoSEncReey29864z3GXC4wMk Gd+dtnCwRou8YBXiHCOS9Dk5aKsmS452iqulidMzyVU2vciuwVp9bQeZ0F0lke4uh1vt5Qb/vLwD VmvdzNfsZssota/+pr1dKhhBPmWFdoYHmPVzNu3OEcM7y5Qfc+Wtbvfmm1mD6AuXsj89GnA103q3 Dv3IZb0s85NGl8HiURZtHdfsqJJIUYne5pkq2jy3Hu3yCiPqMEU9CuTOawMnSBYHJo9JVHdH6APd MVIesOSfpBJhTUdH6U8Zy1j5CotXYf1xx4RVclvsPUP3KHOX74tjwr6x6F6ZDxtvqUP1pU6Z0sYC Cwrd1RAcQvZ8oB6eH4iakMwfmh98WoH+qmsc7EHmTTqUQBBaWlI/E/BwlFjWRL63Jqe6WfykvBR1 OSmWUJQBDoc6uT5FHvnoKmHyOcDVOmr4d6DgDKy8vqC3lCjiv1paIlIohcCr87CjbywwF5q0O0tx yp2t3t3JScdUrxNJm2Zf+PtLFGl+oDF6IYdoZCjjrS+MT6WF7LiP+cQUsL2VUHPN7Dg+oV2p5ls7 tz4LkKpJcB70wxcmlpKfcT6Vqg2deCYYULJQCgaN9G8Kb/ejEV2LuEQs3BrQ/gB+qciFBGdefmby azeP7Z9PYPumwXGJJtm05OwDIIx5WPXcNbAQN4vy6TijMAWO+3a6yCru4CUDHIFQcar9eKWSLZN3 58vJEWyCF6AmzHxTmbERsEmUWjPHyE3360j12t5yKIpWL8tNHDK6OkrqVVtZG3yRMW/VIQsk5Ayl eX370ECxCYtqgtlw/5IO6Jof1EWTNwk5d8C8+6nl9MXO5TeYyk2B8w09K4a8iTgLaPwVbGkiBs2X ctvY/asxCYSyWSfigKtjXebaCDVriicE7O5409QdV0wnnea9NMlyK0bqIBeKM8DNN1qxAGSC3wN/ VAYlmuki88+DT+r+Y0+nws4Y83qySzQcVyiEgGgL9ssItM1C8PTSKbW6yPM8PQYIo/WRRCctv2zW Xinf/lGqjekPlJtTR+ZZS66z+zv+MRHe7CK0Zn+gjf4sOYdZpDlOoRu8SNmwaBMQR22ZkwlekP04 pQJMMYMQfUmYzFbaULMfwYq+Cz5qkj7hTJwFsRYoVn8hUOWhI5613CvCxtST4OOi4G6/TulMaKgK +OzkdBPhxu9yy3S54r1FTF/D/tcavLgzzB4bFYIY+XHRDt/1bQ9abJhVC3Zq349+d9n4L2qHJqEt ow0lyHKUGeYmfWOMHxurjM6MXdAmWXjL/1QF7GhEkq7EKg0gNp2mxI6RMlWkUkWUAS9kRkooj0OZ 9lXpDfkmfRn6Xz6UPEoJgOxwo4/ZeqsMi5+dbVfc5+DULLgYaVMgOapcfHQmt2TdR0dsp5gL0krK 7pqY/+0V9NLm2Mx+lHYSG4e395nz5qphMRiMfd7QKy12A4TXvLlTajK/E9F5jNmiFRro/aJaxvao vEbWS+aqSYt5N3GCJGYUYgeAc+DyA+6lbaWPhyRvL64jocegCDZwI6RYi81rAsdMkpFmlWTRLegB lCr/z2s6gcf9ncxA8RwhN4sCntwtkAtWJ/Ot3kSgYckiHQe3L4+DjbMdMUfaCXEw8GzpOAcW3Q9K sBqafobfmOupRYLHY9BM5rnlq7AtM41+9Jy7IWeKeknRgvu8If1w4Ud4iIGmpEt1QBMf1hGAXlwa r9syJXZQi4qLcNcPmBt7MbMkg7q2CjvEOw60bxu6YqUd6KNCMqyDwFvg/Kou3nfgQOPr8sdcDX2j gfovqwYUfbLjH3QhQtInF2c9XG6ZfrtCB205qeqiWSkUPQqDs3TzrP8t5yNrP0AqdCiAGVAh+eIb 4IiWgGB1g6E3vCInU1NbAfo5l0wG2Rxc06jqBeWp+BV5a8QlHBn22geOMnY6VoR8USX6T7d6T1Ao Zi58/3j1j9KLY3FSJYvsHl9lE9wOUsvdjIf5AiT5Q2oGTsKuNRMT3av8v4EIkORjwVh/5Bigy3Jx vZkr35laUgKQYrov6a1Gi5px0p+S6fEkLoxzvAcM8CdQaICuc5abZoonu8aO0uW8y2AhF25KX53f cPizB7VqLdnHRdfQdHSs0dPwUxg2z1DJziimm7b4sLnDg5NScxEwViBHd4lZFvYokQ1y+PJRIWZs avyhn//dNOtep9yn4q4qwhuPyXL930KsAw5n79VCA4ftzs6ktkNLutnFhs6VI1v1PEpNuMPIsj3Q twujXz8o96hL9l41TAUwcZebSHEGoiBRDdDbxk5s9Z30wMAD/a8RMyzVUGo5Q4NtkAzCprTKPxj1 bhncl2QIYY87BM90x0ch3pmQi3Rg6werFVamRehc1C1lSNCqmyGgK7icqyjx70e9rGB3woeFHhIW wyCC5cAe2nxJhfEZ/qV2RxZ8SRKmBRHC+PfTJ50QjPKxagDOKuiBeZoXtLJXzcVBIYcdVlsMfwRO lVr2+U9ak2YST2Q4Gp/EQBrQkKZ1e8M8bbgGmwm1MpWtU5xxPWFLOQ8V1/MkEUQm4LMPN8bLVHOO pDlE0+AYs7YFK76hcm5vrxb4F/ePsv1DVfUPLygJx0nR2ugcQIxX76in3L7YP7q88LBJb5vxN+O8 /RtxoKPyk3VKr5YxaePilCHEOXV9P9bNdg8jvSmtQMMLSxNPlSIiQuWreSinwR4HoDIoADas6mlI c99tGsnhXKq+TBKYRVmuyZk7YVxuGzP4TDrx9mCy8boIo56zqrYazL4U+sWySrvBp/1AR+kINgHl hB/ePXjOW0Qe+BQNXp48CczPRuHQgFLE+o3YLykUJ4ikbFjHam5OJk5HornaYzqeXkSNwl9YxWU/ RwFgSG2RvnIFMFNbGloUsgQdrkKdvYIupdbQPvqNbNLzF3rT6GjPgfmiICsN2FJtxf4/8oySoxDu YpBjihMLIiqn401rSdSHatnbwECu7uLKRpMtlh3e1cxd+AFQTWFT6LhACZP/IWy4my9jmvUAweHX L9BOIupFEKveFugA9AKoa9jgaWRHhF+/5FpzemLMLIz5A5KEtFGE33ZvyFcTCYBivvmHDVC1QId4 ILsNz+doth3Cp73TKSbCXJXZ3d/pD2PZDxSDQby0tlkT7neb7nW5S8jVjz9XzChAQ1OEHI+71DkP 4BsrSTS45xeciXeiNJg4EO0e81F/34oV7/xDyq5qYznJYRBu+4HD6dsIkkI97QOU/oBTkcPPX/5d 4jN3Y8CjJ9NXwkyslZZQjgoVtPC2WUEA/73uzBtw2G/nNQO8fxLlD3ex8mcNFcv7+XUT6Pd7f/Yf Me5UfOd3r6YfYabrDH7zUMa3JpIfMQ/QZJeEwmexPXYIBIqOHph3ivP4Et2ChtieVRVv/mqRzCH9 BON+bSv9ExAXeFAUbDGUcoNS81c6Unw02tSZ/Yn4xE9edgmMTbJJdJdaAg+FpLpv1g/qTczFnvnG f9ay/zHr+9B5gaYNMkKmv0oVJXKArJj+IoDX6nlzqgCfOfBa4XHoZ7egeCe9vnPjCE5LxS26bNgs WH9/aLDIEN3pO46qgd6S07oG6b603LAjhvlZrN/THzwBNWoU++9KoXFr+QGXEh08mg8E4n5KTrNU Skelkc/pg71MLo6ypTfraXeYx3+/8IlL790VQ/ftqDRgyfnlXDHR554N2Fytk7HaeV+HrWqkh0kG qOjkYAFRf657e8Yv0YCTr/J8wx5I+bjqVbTgDyKv5hvES4DSKr2cF5FlZcBv6ZElUOljWrcistQ/ QVj/uD0nr9Oy9TyLX9UV1rZJSYi//u89AVDAa4b1MAx3lnQF1oj3lxgRYC5m6v4rUyDi8KXlBpTF Ob2vJEWfFrzJD/lLaGtmxnfTtpDa+L/iSOBzpXmhELyXg87no22PcitZREgrr6OIl5X7Zjj1YlrG FvTEB2GqLYbZBrqRu1gxlUnqrAVP10QPYQ3I//KnGGiVI5fje7NTEoFgRHp2kR/X9PaV7hZSxd19 HgHUgDR4UvOEFwxn/A7aAILz+jMmPT5+lW3ExHA6PtzvSi4+oGXEzRcYFiAqjvSPQhBqdqeGN8TC eVkfA9PNtZ5SdRVeRbq5NYa2i+En/QSGuRwnWjfWPdxjeqEPK2l5GxhS4RWUaX8oOzl6ww1C6Hef vn3LwBFQk0WJkSiblOYGIOombUDXrMxEhW7Nut2VsOrt+XW5QaPU2FS896RroAJutg7g+fU0lZ+n FSZbYCiP6X/wb6JwZd5cYphIusT+nWH4XO+OJpKfxUNlzxyDY/42QUwziP1CW2SMu/Qv2ItW/rxd wf3l8g7uz3UjjuRXh9fTacwU4VZuAzbLtSKuYM/iJ9RsPBLjsai5JajQfcXvI6XoUes5eDrO0Gfz GTVeCoaXbNPA8uzBVIAy4XbPjdOgqNMZbpA7jHIXmYidIV9VDpUPqqurrdBLFHAt1rln6emp3gs6 I/187tJNAe3JzU+WTk/KRS7PzM0voxxJAU5W/a44bLA9/LZaIlNke3llxZ4VC+aBuYCmWiKcgSCP /43AOimt/RtMoFHkly2Mf6w69xHPmYIvedgmWLIxIsp3O1lMHQepHIw+udtvlMDjGvDAHD6Fqiex LEXil2/5eFGQjJCkjSlZ7v2P9gw0noR7VGrfPYKBiGholKWEuDPcNJdwRYdetPDQCoUeZtNX+7DC ckR7efpxU6R0DP4Q4CHFFCVEY1r9pqjCVLhqrFeVTVwVbB0xm90kiuQzcoQZPBDAQUG++06IUyQt /7o4jvBcBY9sRcFnCcBTJjS+6WVkvJoGknZhRTzsHKXYDaI+wcY5NJIPze7zm8b8h99m400DIAOB pqPp8bR9FqjTbWIatwDpBlylOadJa9QgD995aoln0sCcUkfk6IpZvU+x1oJu3I7+qZp8uHZ6mzAH k7dIi1HeDh3DRrpowHOjJUyUmNST4yVbfbYMsGvy3b98bJdW+snqce+hq3vatFmSEXhx/wcCfO/p zgD6wsAw1IyAel/w7ltrg2SBOZHepu++cHDfaMop/+gfDvuY4P90DZF0fc943E/x99UB8ZrAxpo9 XYz8fIB2/jFvbe7fHG7fpEU96RCWxpra04NIADeK6lz3ttbas+Z9YwCzxtOVJ2FE/O2f9L8N9tay 31iYrrTuCkH7aAdTbMlKrAIY2PGKzK9LCqew2ogrwULql+Mi+GjOl4DipkwUGPyV1cGRJf77vxF+ PW426z1XlIQTfWL6CePtdQj4bfsVY68n7M2dz6b8Y7rAyU74YvC9c8oRFmnDV+C2ZVdNtacfsvsa +cRdK7YepiwpNkNcS5Dmbsc101xh+lnDkTIarf1BXhhYPelcdQepb4bI1Fg2VCfW44ug8wO2zITZ f84exj+9F144Cyj5u+boLaacsFd3DxDJfSFP/koWUC6a/zB9Rf9fvhBqMw6bp8Dm8NXtxTEiXXyd 35bWJ0y7cPRCNTarAEcc/+8ZjO5RNHCSbDfWqrl2Yrr6h/av0VZUYZFCvN2Kjkw3j9zbGXMkzX3/ QVp1SY3zmmZbhxsqpjQPafp7oxqmxWACITEEGuGsshjy/Mfhb2Z1qrl67/8tY/TNikhxp14QA/cM wxeia9I3vRu+1hNZLJviUgGG6Unub2c9qZ1t/fnMIgutmjHclNe+uZLAhMpapTUJUVKyKNepICW/ phcqWRjwDwgmC8FK7eh38XdB4plBa27QDaIwVRsWtRg1QVmyZp3amv1qE3WTUd0xEmdj/e4slWzg V9dNjXOzfbgFSyddycGtHovRpo4dCFofHeebmAl6LslJ02O0YUjpzvvSNhAYfNFPcUGOrBudChvP vT86NvVePU8Kt5k8DK2GvHUTSAHDgIQ2Vj2p9r6Ul17lGAi8jYuAB/Zs6WzyTZQIfCnZLT6wW/cA 2iSeyux1EpcyBEjWdEm+l8OpQRvHkWZQG6azQpXR0cQwDfZPVc1BCq30lvmJWWSkC8b0Un0o7PRe rsF7sYVtHSUgnVA8k3hoWyePelaRouET8+KFoes/CjCf3wUDHot8R1d7aD0MhshFkUOs+V9HMwMd QkvgVbtgO4PFnp8kHKbJSE63Ixvwr3RdXicKeKp/kVlXu7Sm2tMgjTj/G2FaX2XkZ/9PiNFp95I4 cWQ1RnWUJfTujpz50q/LWbzUD2aSXNe8go6OhOfWmtxazM5VwGt6VAA1MF2FOSkbQZVeROBbNJVJ Bqjrd2qrj4+nLyTC2el0o9LqxObHJRb0z110OCFOaufnR3xeo/GL9h+rMFdj5b4i8ReTLH3Axv/q QQQgCd16/L1keWH9BxOHnURb/Whem9GY+hQ6ghJ5Ie0/IjWofUdKGYhjq9ab0OFtp/Pm4XfPmZ7a 7JYU+4Sj9yDdhA1FRZ9Lc7Ue8jwPVcxjeShqVXRcBCu+96jJfV/u50Y0txt12bbKQB/jN80/wd7P B9zfwRji4pNJwgt8/Uiavsy7BMVIt4L5vQE8lk21oUXHFOM99MyZ6G2urcj+2vD56DEZNtCfVRQp 6VoyYPmZwqE+QYJULhmH0kxS7ng3SG28cVrHVzYGK0gFXYYmEVrJ28plZ5OJF6c0/uTvYmmT23ZI shpwt8CCooh37qqzs71QlPOXIk5161HtCQDGzXa/RpJ5/ELW+yS9K6pk8+pvBkwjupMKzT6Roke6 ZwmDhYecWHzzEA/+0lgjBqdmWYf/URDmvWbhM89XhA3ExkHW7Nbr6UIz6eL1NN2MoXbIjriAjske QvmcdTpN7U7KybR+bGLVX+yyFfPBPhr0TZD5uxug5j1boOC38/Dk0bZ6lHaqeqL2FLnVNPCl7/qn Yp0zAYnj5iNqStGfKOKVc7WrYFo8e1ZPdZSA+t6dnFs/svk6hGmK2ajEIRNh1ZDwXyObpEx7ACio 89nJvTWaD0OGDJkXFXFKU3XVrxAoVcRvG65GzvQvMJOhS86In1o9PZpLspjbwFO/dbCNDmJM5KoN mA5krP+tETty3jrp9O5TdPCyTSoFS5BCpuzO97j3Y9jAaPWCyb7xTmE0ZV/KFJ1XHbZeO676GrQA vpPxD95+0HsXaCsbB6MhIhRUyfydsnsi2Rnp4kfbu+kCGKCPQor76dLrAmq7wpts6ZN8oiVxOfZU 7SX11fO63wNLlQeD9JUlYniSuRGRucAGU4L7yXYAhCsunipysiFZPH7XxLNUFoHeKr5tzDG4aHMj 2NR4icaOYXBWWhtlE2l3uWb4Uh14jfRwJU/SiMUpEoXZwrYyb/h/7oPbgInRZrreLM75XArYrdyk c1jYhe15LKu3WoVL2dLYjwufJoIcbwZDM7sK7C0rxHwb+Fe9CnGkhavOAFUFJKqEKxP14sHhw0iW FCU54PdYkrQo4i92X3PyPgK/IfA046ClHo70vf4SenqyxU1zpfwAgbCXVufh+iVO+n+HsQJ8xl6O 42PASWFwdB7UEWYRMI3eytjz06ue4pacJMxsAip7UHu/WvjB0Tm8bKli3YdA3jRGRrhIn9ofJUbA YUrnT/VyMGFlsbp1UR/BO0BK7K1GpuwL6Ia9KPslskQ1AK0vw9ubFotjN1DsSncilhRbutF7HJsR AuBFvqanp4KlTr254h+zdhejMBEc8Z+0w2l9Ne5a3PwCNAjsC2P3aReUMYEBFn4g7o54eCKAjOtz JQpUWJS7Ie5WGZKoYNuyzifdV3RaSEsI3bewtJfjnCOb1blcKdOWZfFo6DhIlt5v/x4ESoi3vWiM VfNrNuAFV0gnHRYg7OB18JHx4GhIAk2eMlDHucvWQ/WcwVB1xrvbCVuP+OV2DPaqplEovqi1sQgE nssOrcRBIFBN4xf1u0CPKAc0ZxM5wcaxfA76gP/Q7SwPJljKhLanGmULhCNSBq8zS1+FFZigwBqt k5Bi6XrOqqIjRogNG6wpA7hFCMNR0j3+oOHSOOWMxBfXa3ndMpkPO/QqjObB02vay6d3RCRVZwWi ksGpK1XR4GdbwYg6dyKY3fAhnv4WolcYMQxHoj0bO55rbLuz2tPFdYL0pPxCrG9XmoL5Guo07cmh Kb5+77KSawX/mNe1A8B8ion1kO/3jjBtd94vd8IWDaU2XJD3x3SLem2gC76qr6BhJvKbQJYeTFEI gZ47VstK4FidY2fnofb7Y48/T9XHC4gf4wcOldqwxBEIWI2Z+77i/Y74c1RowzBl8kZktml/tWEP 5XJMjQJCrtCdLCYZZs4Ud8GrNWybxWevMZBatJ3w1oUo3BUlS+0UV2TbWhdA0hMlGjw2R7DzKdSm LTC1HLB3orklaLj8JBL23EJxNNAZjtEOAYdwRRvun8Po9vYnXYvm6VIMB2ewjXVoZjrha3DF9R2Z USuEZ5uiOzG8X5NYiTLtb2Ni6cM1BpHaWjJLFjW4rIZ03yayAw/ORNnZAgaVTr0UM7NcyFghStRF T/5iR6bU8jVGBALJQoXM0AkpeEhr0AnQsMsovMOfip+pnkWtvwpyGZvu9PcwrkUCNj6QXphOM3GW tXjXKLh9bbbs3UnOMIo+iq3+kWpjzoAzgikSwlL2jVyWsbhgZDo1uGjwHyaXb4tLP+WqNzpXjc07 2RYBEONQnxcZXpTeMdzS2ZOzWFp/+dJn+Ui+xSclifgKEPyUyXgHBrc4CGOYvFBQDNvNkqV3U6Vb Njxzl2cixjYDkxyHgH8epFBVJpBjoYnAil8ruckPdfkBnQz0PPOYyV4E38MXKoXGM76pc1Vqi8hz NaXpKtphSy6YoC7xB+T2BY4WhsbstplQcXZu/cL+W86B4Rz8GaW4VQuE9v1mLuoKkXh1xKxoulbt YleybLAT03OG4MbSuUj4T8MonRLIfI8RbsqxvG5f54RgGCHnYfsPOneqH/nNOJdPN3ox6ckh34le RW27iJTXg5UQbtyblR9Rw79u0e7SnejmGAuq8bI0Rc4IzaWeAYO4Eh4+dXNIwYa/7ECceatNbYMG xg61ZzSkd39D0pVxSG8QtoET4K5afoTzyIJ9EHhjKQwhgZRinc6lL33dzoEGy4KHgsBw7wmLEuH7 ibLUTSWmFWtq423GNC4CTTKPEQCe6MM6IZsu59hz8m13arMlBn3wzkSu8spBMQwyVt+7QU7Z98f6 ddVBXa70O4Y0Z+4KQthj589TzlK0iKYKzp7d/2BuQ8OJ5Pz/8dNPFhKDJk9YVbgzSp2ZHmfOPCQn 5v+z3R47gJ5ltXouU9v3POGB8yQFFm/L6Smo3Zp1R/DyTjvHXBecvFDfunx4FDKR77owwRGikZBw 7N7n5c6266jTmWkwrJsxHKDMcsu6Yf5vIn+dmPEnDf8GNdPO08++DveP2DjhGwJDLYV4Xl4l7uNO teRvsr2B9F6BJqrBJhnuJoRvHFw0cQRRt0qrkiiVEn98V8EYFiYl5F1ZZWsgKC2UVep3B9sUYV6H gyQNNVco88xIR6iJy9tMfpKxxSFtEfmapc4a8AbJMwfkXxCMJIncQOr6QUIUkjL9XyjkJSBgs3YT miRI64YAOcKzz1GJGD5GCwh6q9wLZOljUSV/ZyPNJfyUt56DNqwLy8k3ufTGC2yrk2fEb+ZX1s6T 9VA+BkUH8aeZccBQePNSPEE3a5P+7WdofjXt7TsKkFjuwQS3PQiKZoCGX9H2sx3pLzctW+JF5MS4 GTIhro7tAxpcy6mET0VPq0TMExCSgXDz6Ua5Kd8E68f4fS4Mu+NrwEPKuiwVEobMb5mI6LRWrIiM hFRrmb8//4W9k2eNkJ8F/2v9FTGDJcOSlD89e5AKfB7fcp810j/lxRTBOOzuwfu1zsxjvEmbJ1+6 /D1a7wRuWYK5R5qtuAkvrpfj+3GKj53P4VTZ2+KgfLpyvZQMnIMy84IXomJ+6iad7y18NDhMD28X kwMZnUQHfIKZrcEwQ2XZZgwGv41XbsfHhn64EiyLzgpfdSwkFNIAVWnlNZyjlk8ckpqebzxdW060 Kd9KehgUWdVbdz4HHCqT/9gwLcL4pE9KpmXPCyirmOo2j3lUbPQ1VORIWW9vy0jABS8pjPQemEQi GLNoYq7dMONsgtUHDVOiU+64/d4FeTofZ3eq0/YNMCrWAa1Srx+9g0RrMhv1EppAoskOubWy2i3E fEFlNJtoCPQd+dBqH6T7ivIhYBPEHeCG7zAnvge+xEsDlh1bAA+sXrX+nRDVxLlfcuEhfCAZfQN0 hrvHczfjjaoZb0DquNFNyGrXbncvBJR8e+98Axi3YQ51oCV+nmftCvn4lC/ksmuGvhcgZ9KQ2OoB hj7moCp6oIKwy7rRE0zXyL9IYbCvZsFNg0yS/LJc5AA2VhnVeuOPfbqpALBV/btj0ebK7+R+hW87 CKU+5xX0fVmhfZJOi9hJY1alt6/AWuEYmMrsSe1JBSxoNm7jEJe+U+OWjEoHZWUCZbhDojN/Gylf B/rSQU3+gWaUdxMtcqLiBYKNyxLxy4LRb90PlrIbApInUCNM8mQ6JYYpbDQ7lLbuN7lLfGm1aIS4 9FfayEmpDCin4i0TRIMqOV0OBgHWQVU1UiMBISzKwvUZeY43NekFGXi6CqPN8mqltajdkLWBx22Y ws5PnPf59LWkMfKay8O+WgWbcXkI5HzBQW2bqWwl2GF7ZeNaNZMGmINX/p6B+ZEwh3PlB/DuJSY+ lqJsZxF+eD2H6CYdF1OWBoL3krLMQwbLBCu4AULUr4VnIJDx4l1I8frN/Lj0muk6pCw/doFzNW+u 1xsEg7RHDtdlL5G/tCVOAgzEAkeMmDI1CKrDwP9SKi9DD2ToPBGaraBu9cp+9tC12zz6Tb6XxAxR cha1lgxfn9m8kcakeMx3ZEjoo/ZKtkN8xPsJjBuX1gU5OLTsWM1mnNZ9d/Lc8bKV9cF7oxSGR5sJ YvxSEN127+HY2aknoRBp4b65ID4J+e2Sz+84H/8VD+jFm099pCyIAv3DaykRcYgfv42yN2vPHujZ ebqtNHLGVTtJqIXEoOKNLX4LMlgr3XEXITLPo+4IogBqfQYksbRcWguCgbpYL3UybZ3AMNC8/m3L W4fBS0h6MtsiN12xIX8xET97SIVFtVUlgtn6RknngJb1yV41UAPk1yT341WcFCul7bmuHmcSuEX+ rhrMbjRqyYkfcj1lFWUXqIjslb10WeQxIcb745b4+PGjfZ9TfvwT5OyBN1sl6fSv6hL+oE9kjXP4 4nJTPgQJ/fhVrwbv2Crp6pnc6lTY6QmOuYe0NGVNUjnKG0DJW0ZtMLHydlkUxYzLsUpA2ChWEoAm mdHga/h7xwbr+bpiC8yF8jeHS9W1XsAUuXK7P+p9g75n8qQ/XkNeScyLRygH9/URmR2VGf4HV7ph LIgvG5Kp+57MkIQFNerk33ILlzD4dvlX2Wsh/SkqP1IMxRjJIxSyJqdmMveaYC4hkULCUgFWh+jl WtC+QLz7HViOfWxVMVSJ4tmwgF+S4w7s1BMyX6njn2XqpfzgRJe9dZuCTJwnFyPyycdjJH4P9OMd PCwq5VW5iYRnANdJuFmsGT0HXLCOtyaFStpir9A+olkiMuCzJ85ee2/HWdzGeHRtFTPFrJOWXiRS h4Gmf25pyBj9UrGEGCoHDvtzHhh5Z+6GJblbwjZ7VSUcKGxb46JnllDxUHf9kl2hjMYSQkgAJJ9D ACvs0yQIIGf8EsyIvf/gZBIJnr/ZfGXx8wnATHVTbouAC48irzRlqeW/TybiaRupH4qdFj8DK5Di EqNtogcY/JaBfnlClfBWbmedQw4cZD3IcP2F+Bj6fZfv+g1f4YVv58Tu99vmlCkoiFbCpsqD9oIn vDGIwmDAFZq1wb2upHYReNE2f1g/E40BlIXLZzKxHir/QpxKGSIjEzIouAxxXPTpvEGNNHFFm3jN NvaxQgA6EAR1s+NjAVQNVAmqpFpAZI2tv8ZBvDvCEQxcf4vbIRNl3FWOlA4DiA4khdmooi8tVc9O V9QWYSgqAqBKXyPsZTJP4xbUNo9TxNSRHgvztDSZGX8yh4sihZo8alFf3f7/qZu/KF8AjMJLWftQ yzlGRcQY2D6KYuTntGUHEmBp8pMQ/n8lsrhdIZAco0/Oei3v1hzFcPdAUOlFvCXdL25hbj0kSttA zKddOtAa8RQKuTAAqayOYhVQlsf2MWvbcoDCZg1BzPNG7XAeOaMxnus0k/ru/x2NSlgD1Iwcsbn6 4UUr/Ry5oRIm0j5JgJrIaSt0UA7cuBnYGEx7PhuQ9w8jcdUScgQkSMQJlcju2E/ZySmu8nujbfWS POgp907Cb0rIk3vdSMaSAOAJ4UC0EA99lhL+NeXRG7wavcsCslFS5EQ74LcXNbH13Cv0wcX3HHQq 3yzjZ2su9dbeHe3l2ZMvP7XF3bW/+UtK670R5TN4jUmnHM5rdsdio4J3jcgnHTu2H10EaUGccn1x rgJ7goVoxCt0g5S5kBrwvOw0xDuBwIy8MLf9nPDYHryLmyq8JfL4LvuJqmX6UWESuCH1pvFBsr04 WdRgQGQob2IJjuZg8VTOx/WBgJkLJC9mleip9lGfBbqZGqPKjbxiRtmJ3+AZT93ia+P6hjjFFr7I GQLDRz2dn5sEOywpOvFPiv9pSw0VTwwvar8yxo2ra1geiWIQyeUs0WcLpB9YEihFWNqxzQANrc+q ZlpKNw4e5MCNetQxBgmFWlwnxef91SgGYQBzwUjTOD8rgOMa8rfpj2hheocGBRwwvgchu0CjPuog q2jJfst+qvPSpOXaSm8tDRF0L4tTNpc9wfmUznfDRECl/ZTMcLum++g+lsBAFQzkQqbXa6Fk8h0c GF1n2B3UrDIFId6yZQMpKFGSUIT61kscEdd+7inTIrf+q/XC2ZBpHaUuLG/0ThC7Tl68XqMv+I4w OmyQLfQXv9Yz1mf+Lw5TNFkM4mctyrdas/CAomlvidyggJzuYbkZoJhX+rniS9FjBosqhk+WDYPL 29ORxvMVtnc068jbSCIShG7ChGsEAr9b9Y2eGdbTGUo+Hr2ID2siMgPElXe5RRr7aHSjNhuev1ha fUJDW9d2jtVHcnIBkfQ4/63UC4SjoNKbqBiw7/bBkwxx/MoHx2awP9Hj7tnxr0ErYETDBltwE/2z imqps03IROTR285vkE5GzhQHMqpy+a+WeNPs53HFGdgXrYbPn+efo30NnJb+yOZVau9S6mbF3CVw 6D5yg+LhQ9WL+i2w984k4INAQOvPF+UB3nY0iIZXk5WTZOqcDMzd9LVZqWX+WrTESjElXJKX42x7 j7ctPA3nXpPyZee1tdaFojB7xe7babBPew0ef6D065wqHrBq6BL60RI2bGngNKQfMZOekX2u57p5 fuoteffENV2Z1jOtIe7Q7lqeujmCxbAlCpusN62ELLgJdOLRWw/w90JkU0xtN92suiqEiVGx4bmd Y4xpVJkIthYUu1pwfEc9a5/oUyoL9LV17SIC8At252eaAOhlmy5+9cIWR78IB+CbFvoKjFBAIZ5h jdcVgrXlCD4JX+nbff0tLZQgsEF+hzhP9w9S266cwWhhsNeDD0PE1dX+4gWM+msI3shhB+eyJFfL ZpTKhwYGJSiBuVbb83af03HcjSBu/eaTt+PoPUrOQkMh9giGYM5r22h0kDFzGy1yem/N3F/4JPwZ JiMRRXKrm4LAfyG2xZthTPl7K8SyCeWURwz11+9tPkiFMUv9KFG1ayeP627WynUZ4ZFWevkjXgVT STF4yw6UvLEYq3w5yb22F0n1DyEWJ82L5vQgVF43ci6vniWWq6eq2jWuv0kij0UPHEulGHk7zI/K 3U+pWeE72zbTEqAyx5lgM1ZhBhwwormhho6fBp1DMPJB44lYdvET92nUiX/5q5mRQLLwzqHeeqiD 8aG6ZIpJ22jDDsQXFSe/JIw81xaRHUg/ruhb4HQoe2lBvlyuPatQ83Il20a2Lntgi5NZGXOhhQLL MoAQF9RdgI34pmwaxaVb0WMD5ywNtwR+6imR4o5QyTv1RA6Qf0lt/x1O/HjcGlxYxqxYcWXt3ypd xqWmAmIwufaBlfVtnYADvHDmb2bhG5L4CREJGPTbQfoPdllZ7KJwxLCDSEHerVm5LU9cfnt5M6Z6 BfHjwUdSQxzRlweT2LSIMJ8dxV/LT2SacZDdkdzn0Rq6Avtlo5UxojTWA1VshF6JniNiDHjWNEID iB2S4MHJKOVuIdGUHpX0H+/8kTb7eswWL4tJ/PnXk3PYecRWs86PT9B/WbA0L9UHOmPsm88ltKKY NbfVv2ZMgAd01BTgnTeE3J5OIzjVEeLYDXj6oNJI3lgUSa3S+Jq/cD3cEgsyAFbHe6Bx9xJmiTVN 78GOpIn1kDLlCoGXD+5LOSXY2hv0CUNGlDtj08cYtjbuWSfCBTDNAXkrfoNRx+rH0tp9HzyzNUMB J9b0vdbGmznrFhhUmVNaMkCW2JAImPzShtgeW2yxXpw0RiXpNJ4Jewerintv+/yCEzxJtiaiILj0 sD7DF1HSfF8N9il3ITo07LVT8quiC9xpFStSOwgKv0y9OgFDktIbSrafzisr3ilz/EAZbwDvUE7D hKxHGhELZ9/0hXUw5V4YMcj7eytAFG6eKS+u15duUFLdL/Pk1E/LnjL4+FXJuH4p0RWqALZvc32E XTz8AUbTly4qG8w4MUMm4Zsism96L+39jlcjVct4hWpHhPkiCdcGi9W/2VW/NwDbktEVB7DjwNmw fD2mUGoPneipIWifVA88H0nU8nuAluoB3Q1IbFf4HUw2bTytcGgzRbPaY8KuqHREqraGsgRSbL/7 XKlM/2PrI27TLQKniLhA7gVVcXhGoz+YAV/w6Ds5Rx6eRQLhmpWExvUMBdzBA/YovBSxul05VHaa GdYmTQzb727wBl1OWQ/JwrP+WAVFK6keTHKr6le9VGJjRo27VVt9+KHjNZGKZg+0TuzX6boWskLN r+aMhu8MY3ZRVsonDGo97hPps8jbJ1QUPUuB44uNDzxPw8CAcqJB7u2lQckmwD9HnAskBqhHy5zO 3W8nugEmSlia0/ZhEhBHusFFrQ08bOejsjE56apMAj1+KPSLrq5C8JATdMMqMYhqDbSmH2B+H83h S/KW2FZUfAtqLeeyxQJBXDCsgHJkAXqia62tRYOBESsSE3hCIA3mOn0wWZkPv9tGECE4AB9Jo4ie hrWGHwJFPaEkt1cixVF/+T8Bu9rMaFH4Ud9jf0hHU6rPkwyQ0l4OKIUfAl0YGw3ZeyiA3lQqOYaJ fyk4m0cUJfMJLB5uBbaRfeTUyl2UUu2yc3DKKB8yIsa3gmDQaukXlvwzYpYRdBQ3Yp4UVxCgW/O5 PIf+6/qiAPHsTkzUnVPee+no6gGBr231cadC91MZvCvPrS2wgA8wulqYaxLzQRuu+72TiQ7OuVOz FNyJb721HopeSuwWrA8LBIxs4sOm7PwBtIZpp7xLYnqMOyxNYUldaJGS+zifiVDx+AosxEygkc7G UoinhAFpJgZnhN0fkiyOZp+VD0126u+U35qLfg0MWoEU82NvBkEUBw6YFluGXcqBKsw3UXrd53DF LRSsSNgHaSQ0/IeU6ZPmhqDFdC764bPvCiGvrJydFFYNCMJRSCU4s2pxMIOd8HjNgKWvExKzCA7+ JS+MYaQWeaR4wKNxOpRz9R4gv5r2XKACvm1STYerRNL/i+dvjAGzggY+zM1kol00OLjQwpzGiMPW //zYw9qpTVhn4nQC5+enbOEh5gbFaeF9NSlbImeSLK4PuT9YRl4o4i9Jao973MlO1LKaYCq+x2dG 1bal6+hGAzfHuVPLNUI5DROV4vcU1gnzgeaBgky9LKMwN3lHEGZJ1hHSurfLRNMzAz1o7OZSI724 F2ZhsYbm73WCBdvDUhFm26kiWoiZ5nsXUNHKsMarWGwVB8W9M3FyXSr/TrXo0uAMCil2UEWhReA4 /YbSWnvJ9x0uwkmSs+2ObySrZPSL2rp5AV9Rr7PYzxBTihvnpj3DEEopukwHul1DF+p9GlUhn3GH whRr/SKhAHWArsLSdrF22tTZmi8olVre3dNH/LRLOdbTQ8KW4aJd23ru7Ng7wHMHWNePbY2a27Lw s0SLOeD1mK4Q4YlYyeQhKi7WLO8bPiwT/jcPadWsBWkI1iyK5z1UnD2PTzl/ez+MMffgpGaYB5rR lQWalEtw/z044QJrI1T8+0+H/lAUtOXJQ9dVUBfusNpDoxOcjPNJKHNSyMh++nvYMgzO+cfbcpBM AOci1+oMifdthNZx71cLzZWjvzziEhhPJrmqP497DU8bmLAkN0xKR6AOMvE3HtA/U0vmyqLUBTw9 2IpHBO6wgIyMd7tnLQYeYqH84ZbkxZ1cdfyfwvXIAouSFhgw46or/drQyA7b3dcKromYDoWDd99k +iBNBZP7vlT/xRvyfN1v8LJgrCnoM+q03kZlx4KnKYGQUV6m2TnP1gafYjXHqJilh03c4+zD7PoO 2yC9VqH9AfNrO+1Q4vsf3bt9HfyI4tC96jRTOkpr3AaFjT7xqYM3XEpQKnPaivDgQHZxe1DwbLLI Nsw0CaZuGrAfHqGxrT5Nf+p9Vqp6qr7MJSyYyoaWrQCVLkg79cOCrnhptgwst4Os7lDlXCR0DNgm B6pW0C4qW1FTgB1KV2ULkUjczeNQ+ujbEf+VHqTHjxRsx8MtrmtavBhXfPr7afoX7H4qE+FoIMFF Pt1yF8wrI12necepWwqTHqEiLJzSVMEau2rtRuojnbaxshsNxMmSL9qv5zPs7hY1xGRJIBuBSToz d1WZZ0+a+zJqMJfn/zwQ0O+lwc32V3gluX77Vq8y8h88AyDs1M5wSdr6vnM4GnINwPrkhJAKZTnx JIMC6XzNVAMfxgoSRastItD/Vv20D6m8X442W48H/86NxVfelCRvGHEEeEJpAfsUr0G8WZ2pK0jC Ei9jfxhBrm6Lgo9/tGhjQeenwgWCFgjp1WugvrCoumaqTD9ERkbvPlEzwXim0+S5q8A8CKl+dX6M /A3dGSarjvIF8XkOZaApT+2onEQEfOKfVnHkARISSiEFsy6o1/XzWkyI+r8+B+ZjQBYDxWOOnfJI wNddw9gRFtu8NhKDnLoRwXO3XkMPj2q/LSaZuVIKvc7TxVo+1kjPfu6smlK47mqIA6OJKqQk8dWv HlAOlhaG24bVTpDiipNTW4sRr0L9+u+zPsrD1A3zxAybejs5oL5RAhys4ruzC8+4jo4Cld6ElbeU kRGHDcmDuHxhHTO7zd88Rr1T3+W3niIEyc9VeFSNTGvN+OqbJ9TjlNq3aj5A2c0Jr93z5g8aev/G R11GXv+Utj69bpbcA8lMj3zjMXDEqlB21E7B0j2Q791lTiS+H2nrxAomGdjI8jBw14au3qlpFYW7 RbYG5mBBfXl3R/vYmK8ftUzfd2Mgi8w/7YLocIWMwtbsDGzWETgtW1BAOhTdjaUDazM2HStBSkr1 FSo9OGx4KPqiKyRjs1eyUyBeAwW75CD7hNh6ebY01ZSOD07JqFfk6vVgtlLdaHJznDQKf68grSOX C45SG6X9Kf9+toWqcR2AKcLTmBfndVcZnbzIOyK7rG3j0OjLxmMVi5bomcM/hO1E1G6JCji6143Q M8abJADJSJ6hsh3tosKLEYiKFZMRwavZ629aXROIrKviYMt6AzkGc7r2YPOg3M0Em3Z7Pxa8F234 t6EmRijmi30Md7/srhLBqQpSjQoRiWVraxrnQuPCNlthoGm9029pXsR0zCiA/B5fbX3Mb5854lOU 10LbDDNQn/BAzSJvEevKZ/FpL26Aj3H79ISth4lBwDwb7bhzMYfq1h+MDYNkK2YEebQ6WkFrmOCQ 2afETb302VogaZQC72nUN48Kh/o5qlQE2BauEMRHYYU/PktU6KFWszdWQ6rdkTORjb5qgxCz8U9F KbqN44R1F5lcyhMAR5E3EhJ9f27ZREBs2XszOSspf+QA6LV/NCQs0NDBKfHKJkKM1Gz4z7vtXn2I WeeilTiweWWeiOYvodLFBOpp1xl/whcY4d6ycqbtvMpxLhVmf0YMvjZuhn6yaZ8ZDrMYuZAPehhK tDKUpNjpNOaifQiUH+iaqpek8+/IifKnssALKpIcsgdhiW5UtH1eNNyRZegeqlcaYFRmGcOY+wUT 47Z1i3rjV9Vktm8qsVP/hZllfTP83gBIOy0eRC55ANkZvowK/C/UA9xvJejb1LfraoWNrLdqX+MI bT8flakmAxHtnFBJ21TH9F5MxFB+paMUXgwk5DXbzhkC9ggfYtkJc/WPnt9UiZt4shzE107Fz1r2 MOgaF4/5b5v31sUmbSZEd7RalbB02OXL6B95OrKGnx2x0LfH6Hm8lEVEZBj1zV3nLowRyGeKc1T9 sFs77rPuQS3EC5NLr3vYOH0c/n73BJxSWwXVcnt1nGxFaLmUma31wgxhe77HdyG5ft0rIHR/Dfb+ o00q9AyFYHBIWgSYQimdyTGKcX9cCyx+KOfxRX7hvUAMVYGzp/Nxu3pzRpWFX+3/7F8SP4Wy0Av8 jMbP8Xvq4TGpHoVUlqKotDI42S+3TuvMm7w/69hV7e+VGUpNruWIlI1qLqhDsnkXAG0za5b7oziY XVYskUubY5ypK4CKgRguuY1QSXVOUhYLPU4uAcOfKZPFeYTvVVusZuj/XaO8lIkUj1V4wQAKdEmg fHlDWnqXXkxkEf7qKP7v0eTlAk0SC/BsaOpcfFvTrQDD5ipNuGl+6awO166X1HWEzSgdQpBkAPTh LdalBaRN7K8H193dWCZTYo4aFF4cesWh01sU9nzfbsODZmNybgpvGCRTuztMEa/hvDjcR9rQkRJj xoY+3bqx/6kSco7Wyzw+U7E4hRuO1Kn5lb2gB0S/nMFWnL4JS+iSEQnC8Ccq5HRhFymHzHvxevTc fQiyzvjPsTl49XmYLFOMgue96MznTGtMWHRtS40TfzfTbS6n5zd+1juWeojXpliX69v/oN82/O61 fQM8czCkMwgexu0amHum5bl7H8Zd711doo/9zeT4smLHDR1GrWcV1VmO2oWivNUoG2VV7ZF67HL8 y6vGwKVdVXldt3tKEUOVeGdPxD65RLd3pTYdw6pEy0s/tY1t2jqoiDCtqiOCCh5FChLzTq6Eq81u SUcSe62msB4NOns7LLR4WR+RfRY7kI/J2cAsmKAvSJFgupZ1UosczR00NkcUujenfEshLtU+s+O+ yFS6t8X2oOypbuFNf5AyR3EaCirTWpR6VJ1BaGup2NRN9gVjuiq+1yr3tWc6/sJp7CGJyT6/+7Ok W4QPSJLZOwGhg+pPxgALmoGDorbeuZD2NoU6RbANpbW9PMvbM842CxuZYPBxmP3OOUpkgqlGPpFv IG742FIxIhYzlRjRPW1JtieX8MWldP263UZJ+eYIqYe2kontHofDtKZYn8jXLvzzsNZ7sWR4GWmR mFQi0G6mE6pEfVNO7qjPAeEVqzN9On58Hue/xEMkRbJjmE7Ljzy+EO8GV8RM26STaSB4riuvH1Sm AwXun7eX6fdZm1iY1yhIF7hpvNCdql3NJFTYfozxZKeh6W9GAlmzLalhSpDem9AaVN2JVJiU3xaU qwdrSjC0iLf9c6wuAC28aAb5RM1tuGg/snX7V18/pylVAk+28R2IgwD0tE0z38puu+tSv5pJaigH s7d/9o8n6fP9x+yrimwQbjRI401q+GDuO61DsB+H0X9j16OSfWzi39HdTdC6xqevhIQgEO9g0pyj zXGGyiDg3dOo+IFSYu4JAUehP31U3n9fTPGtDYfYPNWrVkWSMHB83/nDIvVahojdRTvCWhgXF96p 3EIYwgS2MDTXn/3PmtXMmcAm5UzvmjzUi1jcl+/Z57duyW/8Henao7bBO3/fwzDHaOg2eWeoTZkn KT+CTnoPdiovml5AJ4ykCII7bzhVSRNYAOO4CUh2cvuEd1UsOJ5panKg4zi4FAUPpfpny16DDI41 XoOPMe+xR3q/E/0ltJD23umKwxfbQLA/JR9kOs7zLBISn/HRNJHYdaS0yTk6UFnuDnokDVQ9FzMV awU/V+BOFks8vvLGlFvUxFAHTxBElhfUZErD+ZVwhY1f+ARppOWMGFlHusijWp8UVNsTpQ/tBPU3 txMuTcSOkkKQiOhHPatD8cdYr1fi3y3OkUEuke9ryokGwNEWK6w4u0plefEVJho5J3rmxVT37pFI d73Gc0LssW+SODij3GhOYstFGJLu1q5cw3rPrQGJ0ShmRHDLJ/ucivAt6ade5k7nD3QoCmmw5FQS b/mip+Liu1yxx7b3A1cgy/KuRYmkdrXy0xfifQJVuXZM4DsrgdmC15nID4ryPzFV7Tipr+goKWUY OteneymZvEiHoGbyRo/Mn8bEt/Wobr7I2f9838A+N4LSAYH25jFXxukaNPhhdXzm8RnY2YLJdlum 4DPBiqSXCMIic31LWjqhmMuiDMAgkoNYoIDH1oroNLocHru9gyNhQzhjLgCah1/kMV4ML/N9Hwev DA6kyUaoPQ+lcrXrBQxdVbW32T/oCRFhoxd2PlmjZsQL9HhPgOctC1BILWOsNPKJvsZ9fae2gcUx rXghFXnJu+NUfqHONKRKY3lo90ljNKmUbuebqLI77+mdbQgm95njms0aMHLrteuyFgvIdYwYI1Yz cyGl7bdb92sxTu+8pDE/VzVMnwanC+gsygxklJhxqM6MWrFQmIcwaQj9i+8l3+ckKRagvgIrxFxp HUb+n+5Z+rizTAkQoZhYPw7T1goWXrFXNKJ0btVagkqRlJxMu5Gi509j85zIWS3Qb2dLOZT7z9fi rPfkDY7r2k8ELUU0Zi8fzo7ekFM33ZEiKGA4/XuHp6qA2kxW9hzCap/ytN4E7cgpiLMOy1Yp5z2P A8TjyyZ1rzpkGQF7CXHvMMgEGB/LVLX69t7/gJU/euOD3rGjRMpYX04BWZrkXFqMJygXgM5pt4Aw 7aZzmLtaeo3k2hb5XuTXGOJvx6mrcT1OmkD2xl87aMNH+mNqPY3sd6m6c5j657v/NVIjmOz2E9JS CGyScc8Xx6EhRFUUsvuefpHUEd0Fu/7hFDOfJEBGYCNLmUYjDxwwFc81glpBZOFbmv4XU92ahpFK SFfzXJag9qSMAN0sQ3VNFqvPKAvJgGvrh5yMsnqYw68bntpCSDC5rag82Uld0yw5jajyOPMGn7PQ ZtGDU8jIi9o8f2DiBIezKdFS7bNhLdaxdzYvY4nondSnv52zxfbwxQm2tuBddjg4+3XxeIc3GUUw sJATl/Kkr6YH09ZXVuF89NrwMJuOCchxnINZP9CuQk2RcFbdeSxlUoryeRDU5H3IwRHxFX4BXBFR uqpkkEVXlIv6SptR6oHfFoCcc0zl1FIlrrxCIR/RZeX0IgXmFW+XpeOsdt1MZgbA6DlGm42Wr6mt f93cELtxx/8n4mhCPRE8olar716T9h5TrJo0wuzQMbfF8mqQp6hPA9PNWHZ9iI//rZWoly1FzMEW ghmB071SdnM0iEluQyPo+OS4exUNW4xabtC9ZDLZAjrkA3PDYuZ7vRhwF4YaOSccdrR1dEKK/ik8 U9Jcc/G7FYV1LMKQvQ30njAbBCudTFR513tpj+0LgWAx2I/t54NoMS79f0O/0WED0FOe1Y/mWnvc gRgl5gu5JskLKMtDX3jQfccnFfP5tQcG/Mb4xZoqPAk05kaDd3fjGm3lYvS4Ogtu2qxvEEOPhgr/ EbdgbrWSiyqGn15D/rriN8sGq4uNRGRRY5qi/16FXjuk+ClAmB/0pnTHAJo88hsTlLtwAd3P3iJK 9mHGkjD3+YeUvEA0CygFeXC6puktokHekU0lKOk+cxVcBhEH/7BEm6GAYPBaV23lRdUGKDeCubRl Fw2N2M3pjIseUj0DN+wLePJShbFlwRDX1GRWOi0RE8cmakvYsu/v2rGuEdcdMQ+y/OT8jnOwJsMJ I2fJov2b1uJ0dqN7FkRYaockLK4JKsz99yo8MKqQxVuDUM77OjO2UMBhQqw9pOHuDlEi+LWChh9q EL7ASP9TzzCOCkKrbNeyjBWyco+tRMtTB9UzlABX2i5vrk6ORQuJjwxdFMdoVZEnQu/MB9GOIXQN 9J5EeI5bNEPB0mrFTI9X3608nygeSfo86Ofj5NSC9zqPM7ioiGbJQznjlSfnJJInosRZImtgmXTP NPoVj66XTl2fgTJeGVA4eEpsscmfOvdEnLsvp5m89B/kYyKtXsCTqf1MOVh7tn3USpv56WIlHou2 nP9wlx0getbP90PtdC8zW2S5G9FZzX0w/7+6izwz9uwsnvPas477u8UGgOdWnYtIDqBZuh2uyOLL Ti3zuCd07Kcw0yft15rBloZ68GppO9mfayeziEBGhoXnLg+LaL8EsO17qgkTx7WyYpVlujUQs4RG Wab5/p1aL+DXGpgPG/z5OTHBwdHlT9AgBNrUgTJTxtvOY30xzIVXMjxdUFwbLAdYjdv9XZNMGelX gX2ANMIgN6GUGwt03wgGY0FlE6gdEFftZRkVvhyiLq1pRk/UVlJhTp7Hsq6e09dIaMnFn3w51re+ 45knzFQFOPO6rqdmID4jM+lhuUZdY+x8SF17Kd15dvaIRQtODyURNq6HV09fN2tSAvbEBDVULJlq wUXl/hB4kBdfM7hmrmkD/ExQR/RI/uaKrElSFG4uYaqVhiYcis/ETNBKJrbCmtTVZ8B84ra/6E8Z q4gSbAu65pIWRq0Ai9SQubdjXH7PjUJzrS+ihGWWWCT/y9gRz4FCthAk07OTRZ0dwGIS/H7lqr9p OZTGSKD45Yzaakqq9oiLBdEitg2ptVQ/AqPM3oC6e6QSahkj5427kspkuCVPSNVoE6j3uu70zfqj ygQquWAqKr/m84BYK6hLyR1fNx8nqtUUciLDqJmbbwkl0Ih6RrxzAkz3LTEbjA1JwOZgQwK8lijH eVnFCQjJ+B5/Cr8YwgNly3U20G2Om9cb7U5C8HXbAHxwbUiqCbAokkZLayA1hUVIltLnpZyIUeba cLHYcwmMlN9+5uIELIYTMGdgXl3OytzDAKYtemmWaAqNPgbfZ6KE13YZZq/kKAwfqqoKVzVw/9Kc nYVBE9UUfbkPwEP2RVWPeVRME0oajXIO0xO7EsRLBfxPK6mHTRvPlgVQmWrM7OsEh3L5R14gNqLC 1s84IanfWpexR94uA58x1bjrFzm4tlsCmC+/vWz1/k033R0eu47tZ1RsV5ApXhumt7xnJJ6VYVqf TeIFmGjFd7EycXTLmvSf2zRgo1A4JLMVSocoNI5hAmx2ydr5w24QYIjiHutQUFZRgPXsnI8wBxPA WOfYLgWCT+FCKh6QaGErfTjo9WXMr6FgFtITFG6YHkpKNe1X5acP0SdvDZGJRzbMaxOe8bwEuEpF ncJjMbYrE5/BhJ2V2EYHSA07lwsiW/i/nRLtszJJu8OXfvHZHIa3W1wuVcDwmoWg4vW7NyGbE2+0 Ic5dkA3Do1Gd5qce3GKJo7FCtnvvWognA6jlKZNyH9yDCFW8I5fVy3PvBAyKrB9Zj+79m5mkl996 SqqPUyQu9xSI0pXh8QvGA/znewt08g55kv8/yk/w88FI+s+ho8wnjpUPx6i4fHPUbqA9h3VceDYW iw78at57pA4RYo2eBj+ULtkFivHLICgERG6fHarlC31EJcOw2qA9jwgkYV3PU8dUDW/rP4EVGW12 1qcgui+YoaFEuCxbJvPgYe3MGvpGFa89v0JVjWZhUZrukaT18ti2vAyetlRNNYez8O6lPjDpTqNI PisQ9S8rm2RxFIQG/nZJhvmx/R0X3k3JhEQk+KRc+mHrigEUy+BqOSNQrdvRAJBr0km631sRz5nB ETUwLFLeSG6V1MhPbevAP/gRgDL4io7nFh3CWEj4Yu8OURYmEtdRnlBEsVrtG9q2B393FgDTjoEg CQpQXsglq/+Nsy/XWwtvULrV/MOr/mt4sVENbszYcqIUNF6mNn9EfyJ//u53dTDlcrxGi3cEVRor r0A5J4wLGH3jc6meD2L6CnRunlExCfqqGaJVpEZ4tJFrcajHDdeui705dIhfxbP+akC3g1ykyCdK 6HWVOpcAZVg4NxR2o+iwFKe0B9pVYj8r0LyzAwO/jH5sVWJczx40dUgZvRoAIeH5C8wQNCVDm0rV p1rCQxeXtVjIzv87TA1sNhpXGqS1U34RyX7xLzi4T12+Mm1SR44Sx6RiTPOw4s88ytp9xKfPwHbi Z+yABkFXrF/O8xj5rzzkh0tHo04JLv9Dattph+i++4Ki29SmHqGemhW8c6H+mAXzcHlacfc2MfS+ jgG9RuF0v6xDrTn+hLvPWRhCJvvnWzkDDgm3pb+jwFUqBlnMLtjwgaV14BJzWa3PFVWJc0zhrcTH 0T8lafUCFiRtPk+k4cpdt4CzrL2SkeDvjv76NnIAsvO8KJIfhx3T82EO/9gN56I1uMzB6V8Xx0uQ j4FaRu35/VElNoGTLWOqXW76LDBYnrQG6REqtZBRurEB+xVfOyr4RotzZbBttHi7x1nhwoHrfHwG EpBXDaDCcfiA8/YCz9eSx+I2jX7kJ8vqcrnSZo399yOschgcKSpcOa/JdT3Gt8j2lZyM8qDg58v7 tIGdX95QmWeWUlzKesvlkeSC5OYBWtENSb8e46nyfNyyMfkO7089Tokg0tRTs5DoJVO4klia/Xd8 wGombn/FaB/pV0n4lVeYHzGvmfJmi4F8DhGgaeBve3aM664f+lwpF4U+2tlWaiXNlRzTg8VyAYv6 jYhbZBdOKHHI4RPeS2AD7g2/de3+MEZkM0F8+32/Piz0hwu4tJn6BcnapG4Dyjwaz+8FOiS/CnuF KslfQIimxbronswd250BQn97Vr/O8tp9UjkYfs1sc6YLKC+Bl6PHyyOt0AZPhFVYvucvGI86fu3k ciN3IyqbL2xW+iKdkMhlXKTvd7B0FFDF2dwqgV+KtQ7YQ3I0UZCYetxlrJf1sX+5WeDjG+fW30XH rYdb8lHo4+57CNTQ6gxB4IfBWjmfsw+TWIig44Y0OdBB0CY/GtpB36bcuBF0iQa8lHzNVokKJeUN 3uGFWoDbMma9I8HWcfE0045xpY7153Ru9dQm50uvryC5LTW0P3fJ0tAUvONc+mzo4jJ4IwPAcjew KS/gHDgbusbAfCHNFHOBXmVBhXUlY7YKjEt2WdZMWSx94JTrhhkABq1GgoHfsB3sqDxIJH127zff qYi1Upz4tnYS33qRy/I1EbASl+/2zJFwDCP5jAPmv6qQJ0TkkbKFuXvQ3R9S4pOpexTh1Jps5FRG HHxMW3FSGZtRUMaXE6Ddgb9nSi4CBAX1nHa4XAi6MRLdELJz2+zUIZXL9vysffXBRwshlY19rki5 9250aDCsbaqDRCNRPhV81xseIWErv7mQZnvhmFYrDStMWJOYVvARg9hnsHiQWVNvdW+v/kZ7uXAL 8KdJwbnsQAcM04cfFbVqtCMk22pDztClXLGhtEnzGqSXFNprGWh2JjHdDzOtx9U/QRMqlmAxmzaZ j5PyDGc5iCtlwLE53i5GOAllhWqR0QIzI9Gr+kpRMRMRQay/dkCn/0N3QFMZ39KTmgPmo5UxMecm Pe+xlm/gKPCx0HnbH6MyrQFiN2coiAWzuIEwrMhy+kGwjdJ4HC/a4rOJRKIVFV2LfVMBm+zJ9pD7 OYQpOBf4ZgIGxts6PjTKQti1R3DS50idieY3bGsrs5iNIohX9h4Hu6zuR5Ygxj1vXWX9vJ27dd4N EElWbVFVr4wPgAatk/X/uI4fyVJVH2lssLmBsRrl4cZuQI0P9OajuqvQ6juQjeR/3pZ/ozCdry2/ 78jam0DCWIJhZZ9M2znDR4e7cf5GIBa3gpLMmyCLT2vfTmzcp63SROW3PoJoNhRqIPeYRjY4JWcp AM3l/uNYuXNQ7vIJ4XPJKM0eWtOFuyJ/vBs4k94I2pA/89LrnH7Sl/mH7j4JAMz0kTadg2vu8Nil 7dsLEgNz28OM8NwIiAy+SI6UQUZTWHssf8vEQOgi9vZJU8ZSbHi1176oJ4PY1mizT28csIaUVsF9 Z5vDyP6RZY86rkny/lkJcf8GSqxiKZb/lgyOvn5GeZZTtpk67oaiEJkbAcFsqV8IFZhr7AySPs40 5DhGtHs8DkbyxuFvoP5raRjV2UCvyj9jrf16G/slMhFGin1zRYryxDzBr20fcGFWHpNOt04psHUu YnhrVKwdykUBIoNPcAt+sXR9dFfmrPIwSyEigs21L2wAju2pPcInHW6kHGbo0gpsx+EinaTnakcL v28yuXZSdCuuIDNNHC0mIMRE9WO89pGyK+74abveddA9pl1iL57+5EsFXct/EhGzkGHV5AtSmuex JM3nRlOF74WssMGj6DSS2TH+EfkbazYT0n9iGkIMkl2T1VgzRM/RFQ4m9OeG0BJ+qVg+M1XiivGB 4jE2hxWKGnliBlMqUDiK+nIOBVGs2gHC/CnsVZ8fjiNkySdzhpVxuUYF2DjQWJId+BWPC95VBvHB fmdMYv8t6YbsCf6/rCmNHp88xj2k7LWsp0k6luM0zpeMEapWh2Ut4B9w87fOOl2oHIoXBUxDwGXv VlBckVG2+iHuIaxkdKbVXsS4wAwarI+ljc0Xc4ez+r1xFHa7teGXH+7WNLFOEsOWExvKNAhLdzXD LuhdnGOb4kikShc0ACTp9aqgib5r4EOmT6qabJQ38t59alefQK9k6eTTreAF6PQJCMxk/BIBuSLG bFBg2lDFm7r53dW0VrTtktzVPbhe/jkSrNiVWR8x0BKIJOWXuTYASk28v/2pBpBQXzHGeMESiavZ fsznz2HjooDxelFQbqm8cUdpRfkGB/KLrXZ7L28MZpO2J+v2K0G7NnPINWlTuLO6d9Eoo7aSzqVT mNdhRCRdstQEm8gady3kPCJ+5b5G6Ov6cwD0JosvL3X8aLVTk+voOERVcchnrvwhe5eBTEF1IfTM lIARmHxqD1W6goG/htvLsIRV1xpCHvIgGN9KyoxOO0kur6Ma8bWZSnGFCA0BAbkpjjqzYQjkPrly JafMtjxAAu7BtgJTpFEXOf05MiB3u5+sw04nTV1VV1BQsK568OkLf4hlVW1SZ5fq1kO2qnRKXI2L 4m0E0rsuwobQxj5QrMUm5B5DIU1MFbSo8zbujQlDIIW7k974K7ieGwqsjFkRjYk7+LqchFXexiNc iNjb2bwPCi7PXlUK5SNOafBoblAAI/1JCY7+sWEeki8IiSzuUY17o62xYJ66lPbS7gNTJFtO4i8Q bG1CErEgrJe9YXjfqaeHr2OOb0dkkpGazMHelqb6BiJFDR1owdhJch+5eaNPNAd33msy+CR+e9qI uUuGoVbVuFA3Hn28U6SLjJ7zZKaH7nvFeBUVWjb7LoqIQTJ6At4JNs+xl0HldJPtomE6MxApFinN Tz0hPqJnuCKv+YPRw2uiIc2RZraNXgMrktiA30Pa6oVWN1GE1L3HrY1OlP9I3sLX3Z83q10qfksx r67dOqXZVdHzRHmkpB+ru8VNNcDU15hi6otnszMh2afw/sHnocRDirnejcUxva15Xy63JUqsg0FT qRJHcIi0YM2Gr/B6cdP0x+HBZFk3+qL0TvkepV1NR7KALHvFhCBdEhdrd+4x5VL02gCMevv1emqW q+hu2vDJ52ArPUY+80dxpQJqOLtXZa+Qi4RUFBLEL/4mgnbkASYoessgRGuhjTv7YSkWYGtH8Ddw LmnKAU6fiJmHWVUj7fzxUfzhwYdyd5zXRB5HNCDBxijlef8QGgDBPlKDd6eYGMsCk00vx4KWR6Wv Iu+vF9d3AcjIlBhljxXGRrzIWklWvaE8axhEjJ1co5vb/AA3W+f3+eUSAR0GHUintXZSvYMo6R8O Ahtm1PByemaIA7kbYFhXklvLJ66kbgOrEvWNHHguxUjCR+xoz5d5YiSueKfCZmBhJjjoMVYsU0ov i/vp1Nk3IFVHnkGLW7DWRSNVF1LZcbjxIH7FvlmKGFeazYY2m2ykLZzPMzQUsxa+B7ZLMboMmNjk RRXvzfckPq8tYTCbXebjv7HUlSHK9+Z6N7TntDugZwyhLBLW77Nnn/FCxfEaMyW+DudqAagH334f icLTMKl3Zhjqy2AQd92hSYtZNL13kR6rQMPTDqxE9DYvFSu1olEe5XKzmStQbAQYDfK6HTTbZj/r gDptXwRMhJCtntkE1/sGLiL6wf4YNU3nNRaC1ssZkgWYAZt5Etpx9MEMzO8eFeWxlf49mwoUDzIA wDldu/w+A7bJCUE/fHfUqCuw2vCH6i9PZxBIwpvnyT3FR3NjwMiL+xLi3i0UNGmkuS20DSs9I58Z X6wPvf+GkV1HHPyM5ZSz+szSj/qIPXn00Phh8EbiszFAdscV2i4qx97NmDy16bQwyEwc7kdFQA6z dsCTth3QdWCpjZTKttzt9t0n+eVCNjSrh0jyVnk4oyZy11bgTPoDKh6WHIC778oIhodqP+vKNYAm u8JXvmr5bazfMMrewYPQDeOrCkzhMuX+etoUkB9WplE8lukUeFMBS9npa9m/a17NOI12Ag4FqwZI nmWac5AoaF60t4iynkrb1tAA6lDNE0551y+AP0PPk92b32dtoqugk36f+VivxMXY6rkzknnEd81g n6azq0Rlj5VyGF1/7+pf8tzANi2O3QoHFocJDKhtW29gqMQpCnORyqjMOPK1+9o6Gv+M36qZkvoI 7EJVrofQK1NV27yE0cKYX5/GJUZ4wY4lbzbjvLr7J4k79la0/E/ic9N3ezYUowmIE/UFJ7EPqrvY PlJPHcSxKGFaw8B09ieOYIjRDRN1UOVKAeUQbIItI3ShKEF3FGe+YdvqTepXhW7kgPuoDdvwhXhc l+BeVqrBm2mg06IiAhPIUpVoe/QEzS3C2S5H2jhUwfU7q3Wvu+HQ/l2R+3MGuBphMhtazCCGx1Wh 2I1aYO4RZMEuJ9Q5wL8Tr2IowM+pU7ZdwhtCgGgdxYxi0wIQw7kTTUel5312m4UBvxtPaXVXkEQU XJsS19OKa2FIZrtNSL3n5F1kcbsuS6fCSNj3yfURSTUteT6dbzgT5MTtrnnsWHR6jtnuLA1sPLrE 5drx8tOb0k7oqQnoAqnyv9duRaLWxFghWmSl7tOisumsilMj0/Rv3j5iRixVzEZ4JZGKW75qagKk 5QN6TsUkY0mwLlZSe0VFd9jVmhZOy4RFPY5MMd6zgLMSs26rbOtupSLx/V1oCLMQRiuJ/yeBSIEQ DwO/qpD5SZMOjHrufPjFe6DfyDTtBLR4hHHDB7BP7RgXuQtPFPTWRaLulj3hrWxN9vet9BFYWAX3 NkCgT15Jvij2aNqJVNR4XVZGZKXtIw7xHVgypZHeWB+btK1uzFd7EK3dBZV1fP2GRvSxL8n0dva5 QR8iBSNU9n8ixb0JsEPVFnCsBSBl/RGszNq9XT0wK1NlukjL/v/qmbrbHSwlLj8k5Qh6JGGADnBV 3BMnM2GI4P80ftMuAm3j8ktnOWMxWxMHfOhUJBMTPIXpkHLTV4Ibu42Wb+EzGUrk3LiAccorXEtF fJoh/sym53trvrozr3ex3dqPRxp8i6fdwZ/hdtnGGzsjAUa+2GYTkFtCPHLaWpBpMDo3qSNjF1zf yJftM6hH+flJTvhYfCtHkHkb8zFbrrHSsAFJadUZxt28h5N0SFjdGikj0I2aamOeAUFxpJfK6Ku5 qFy9+b7R9sZV5Wp4pmGATh0oxtFxXOv5XvDIEA+IU8EEux7RFkc+Vb60IiAHxX/g1dgH4ltgHlKS Zf9yEYhZwX+BKAlpvr0jUkcQiDp3SfAqB5Y87VmP7JKLi5Z4RD5jNrOXqwLZJ3Mz+ZDgPfuvEV/x OPNz8fKRxqSBXqxBrCzxzWXnBOGO65pIcqjcAYcPRdtG+M6z4uhyVgPNRhvuqR1pv5CeGxLA3Jih MuEzbel9VABlbouAOPitC93W/jhYHF1rs/vTP5HSovLaX/qgRHRZrGUfT/h07g9YhLZ6aD/oGAM5 ARNDz1Wu/cqZ3pbnbP9w/Qrf42ksFiXKZ1hQoqh7VbPHqxGOrRG382tcWZxNVjM5woeidDRSESqL VEtfwv5LcLnUh8XldTCOeNcBSl3oOhVYh6FVkFzQuCaKNSyyb6aCxxTbuG0mTrCWdKKtTe4mCqhX roSdQ6TQk8E/PMbinGfkSQtx2YoqGbY3pPxpqD4CLnVtk9zokS0ArjkKP61pTvw2UXlpAu5tLmbl LM4w8VMwDBwmOW2r9gxuFMWXm2Y1AcAejjDT0kKqK34HeQtES0XIKvCufugz5OAamGJNisFyCzf4 pjsmrMyxUlYR85oER8tKme7ZXTnOub2WfnncXG+f+M748qrMba0hqRg01dXZ35Ew+GOAlzF6AGCd VbOckaXDnSJJBKY4m8Dx8t4QbahnsZ9CglF8yRrlLx0PYlhiQaPqJ4G5+SykRQGJJPouhCL+t7Jy EINhSYZAMVgDmYcSXh7Yb29GTKQ2kSE+Oh2lYUpZeflNWoq1GEDs7ChNu0Jkl5INB2/1y5/UkPPK cFEnSbrkjat/RyTIJxRh8jZlDAiVy7lR2Dg+zZJB952niVa9LGmFSsFwx+BvFvbyZ4fu3awZl/C/ oiTgInJ2yBlDi4L4TvwSDMtjItrsABwEaNYRICld7C45TFYUs44jt92yHHJgGglSZZvOmOKZKbzY lolKs21NrwcHLlc/T++OCkKGXPN98aM554o7Vy1spaWNzzBQkhs8h+/3Mv0S/2Gqfvb3/spGMUB8 sB9oarAMgWNnWpd3WU7PVHDf2s66wqILbULhw6/afULSr19YTECp0xmLFmT29uCzNpdqZsczbaFN 2Yi6Y6ngxG91w5V/66NM/KKHpeuK8+oLjcGgKfo2tMrIMqR+GekChcZ8Ex49Ses/SSin8GKqjvXm p3i0TRXzVMJZn387i3qYVyAxPsP0Stgoc39MZWa/KmaYmCHC/g7/N5TPfr3EsoJXc8RbcCDHw703 gqoc1UgfLsrHWxX6eZuzbZPVq+ey54I5g/V1yMFbLC5CK9RSOCcJmz/tktKFiCy0eB9hndqJ6/V9 GurGj/gxzspsCtFJrLBQ5jXxf4ZXLKA4gUe2GVtLmVACMdrXyMVL1DjUE8PoO5tHuu4XOtomNrRR vvdjbIUULPEa7qRHZJrFvU9en9EbfjQfbOdjNNhL750hzdowqMa/EsuQWXe/qW/vUUmUr8k4Lqvm MFWFhgC0CSnd7ShUtWb5F7w2E/iX6olZRh0BhCmMXsXzLT/Ao6ClIOmV07W91uHqyImscDex6lP/ a9CIuuoOU94xMJEB3gmZX/FJq6Gz/t5Xj0zCnGsa+ZLpLfmWtRiuA5rqey+KoHIonPZDaxRsfR21 aG53m008+nGpJYgXdbfM2vTDiKAGTMMpxhZK4CXLzBhyHrvNthcWA4TUFmFyIHsxabxp2ShiuSyo LQhFE+bjdIYk9yz4VaN2QswU2KMmsGs+twhmPJl7/IWwQxjIty6gEHf9SAuZ5zZTuPKs4IsFuiN+ WtcjVyLrYJgHNj/V7k7YMKhQ1lkzN1iUT/OL5qWFP0IqqeVLWvTVbAjN38co/2Vh8TeKh/ecfxCA KXuLsEzjth1ikQiOVYgfWq6BmX8QSk1P6WpXYbB+0ZVmwXUw4v3d8Ymi7XSPcfP0ANTg2pchN0QM 0sQ44Bi0GJBWsajFE7G9MdsQWjTOPxHDYdgY6wTkhH5S8fmhiyfNFLgHcUeqgpxbr6HGQ3QIEYos Nr1OJWdEq4Z62haqnc0chMqJ4sJf45TaFuE+EgYjZekVMf9snv5dDzflV78PSSccHD2FHuDckA2S GHrmY7Iasudcuvw8FwansgOiQ3NuUKhPT57uCiAoW7IDH+b83cJPx6Auf9pmYBlvUwQXcePCUGYG oBcQzMoJTDNuzySL8j/wqrnLGTC7jzcBvEQfy82uWkMrbo0Iyn7aQnB8hpBX9vV8XPeoDsg/s4dJ u009yG+10TLlQQMRZevIUpRNRKm49U7gOn1wpWcdxcV2NZ12nyn1l4SNIm4BicWvYdk9CZdhWpi1 YV+o/CDQiMBiKiXNBgMGpgTPMyug4Rb7kyop3GWl5k3VZoFuDMDa8Wy9UydGFnWdo+hEQxCelaJf Jf5w0vnrTdolhHvrGjG6i69AHkheDFSAoF/FjVWZKaYgmT11M0HBCLeAQquwmCuf3CMAOV2NdQ34 d6hzQHtMz9wRVOt0rlmXWZ2UJfvr4ii/FW2m5s49HcXPIJY439mbL/FKVmsgJI4H/AF8+rTjV+U0 MlgW7kZV44MKPGulDv1HApiPoZuKA8CfDRSXDzW1tRQG5wDi64jARVwyYhqz1bmgeo/khAB7w7RF 3yJN6SMzgaIkr6fhnhCMj/AFOCnlwmHD7p6dQIY2THUJ008rNElzaGEc5RnOyNbVshzhX4xrSDcm MdzsQp36u29rM8E7lBu6HOZVV8AE8vfqTPK76jtQ0KYk05Wt2P5d2r5RNNydogiQ4IUZf8vJig/V 5Y6IGB2HGrKDT96cqu53zyu0U48jdcfPJPo2Eqr2C7j6KsQkGEmLlapEtyvRUcpD87tzfHs4EREO CwUNde59QOgexhr41t7pp3DWipnq2q2XrJi1qjjONbdwMXpF+rH8E0U0QoFDJSVBmFjP8n8W85aT ctQWA6LoJks671PjONxlIFrn8HiTrpUwFMNUQhjvWeAf3etpfDjEgeMpcB+BC/CWovAPJdjmKLj5 qrgOUkisNuCHGv5mJrByZjj1mnMDO31eUCSLN37s+6lEwS4MZ2qQcbeqj7WbI3SQHI4MbnALTWfL VpAEHK9cBQq6ebpuP2wBr/BLWls4nRj9+HY+3b3tHowuAj7ZuryFwFjXfwEpNgOmv0SRHRXC/nOQ xAkEJ9XgDMgCYyl0YAaAuaP24q2bFcHu9ahcP9Ce8Fpq5y+wpxAAiqgUjFzPJ+DcfykJHDZ4RAQO GUWmildRrn3eNpdMT6XKiVqPsH7imrFuWbFKNfHMkC5A/tkU/Udful2Ovf8T4fGkGiwVS2nqQh1e 74wf+sbtZV67hyPx3AOyqd6jkj+n+y54xseXEzFUBOoYjSKdiCNsAqA66r01uaRRJSj6PqOlvARf lMRfN//1K4rrhrE7Sc2ZvRZu7+5kpnOrsGNjUbX7o9IMtF+Va+r1c/LP0Gka0CY8KtOgtAJg3a2n pC40SUO4iMn7fewi3FSWw8O0566QpLhEIxIUdAS/589Vkh/TvkOPlfsJSC8L/3I5Y+EhdzMYA095 dXS1S/9oZWfH7rtJ0x4OKyMX9YF3UwjWlCHJjceeyeadQQGIj/5FQtNpghUrNWOgEp5jDDFTehjG OYb2pw81jLCzJP8Doxw1fBVvTMtFTEHnRFMyfpTI7BFUXk7OCN+hGF2QClA5WkGWmO4Gw1ouqCNG RmdA5VYijQ2lQ0FD2tmES9GlNJNWZ3l0OtwJ9jDw/hpjiwDU+edHKlbw4QbEw0ZF4UzOYEwaXpV4 FDh3G7ae82g9e2wBbc0FURgpiHZ3nMN8vU7Guk3q5uJhb12tsfBnXB0OYv+yafPhIP9MeADa8L/N VIdkdS+Q4En8IynT5Go3gJSbeSIQ8o3EboD2p4eLBx+ZQUfiJj9IKBv+ucCzkWwtmpIO96BC47KP 8CBwUbjGWMfNV9dv1TfIigiSOLNWmPohNGzUx6HK8pvR0m4IlyqtrpTM3mDyvhwAuDv+J4/oroVp eMGBJ+ltj6FN9K2YVhsIY2ktt8Ho+dQys0QLZs3UIIToEL0B4w5QLaHLrqzUQ6rFkxRzExRObjYK kKOD4GhNo5+gvAFwV4A9U1MvRodNxAsYhzxylb1DI29Hq+a13AD8Am4U4l26Euxu7vYnXdsqSe+5 QZCkl/bI6BYtiQEwXFxgwbVt2hrBpz+Q9/+AJW1GJF6DVy1Cu+xY5jQ9EeyJsR88AmsJnUFsqHQe vFej93rRsSlFO890MDsqnUB2mYaqOLJSM+6AAp66g2zecyErEK6jUaHFM+QdfQkd5fLtmIle1BbH 1KBXm9a1eq+fEX0S6MjcEJBcXU+mUCA1nrNUbLy3+7Ipxm5EnazQxvVFH1oGzCpROuu6ZM81Yry7 vI5MM91sftZZ13hM0KoBsX0ylQOO+UJHs6Rgrd8mSvWeY7pcFCTZORaxPyvZ7rDMF5iBDwHKl9Ys k1UoHRao4E02zmMJcdm3hXNNoLZphu4970biyVpdlt+ce4lVM7XcTcKxdxS5qy6br29fEZd/TrXc wkTz7QGQvZF3Wr1YcwubgQIODT6AfulVzY7j5RAEvnVBjwr0LxWKY0B11OHB+tRVu6m/g9V96WL4 PCp9EeAoRa9q3SA2Or1tBjd4SslTTICKam0o8inGnUJm0+evsof1keZOJRzFRgSb4abZbwOGxs60 /gWeNUz04F2XTYc+JKVUMHf+pWc6Y7HSWQQFwZOs0vmXJYCIatX0Z36EOzcT52LzO60Y8M+WO68R 9lXkH69VsMYpz+qQKeqdt+0tcmZhY0cZV3poyq6STkjpAWKtn3Sa899pYliJQhl1a+5+LqJ9WOSA tOfcei3g81WPZLT6LkvjI0YNVeymhB3CbcmNVYtXQqK0HGamO/Q6/OawwIfIzGK+0hua33XJj3P9 zC+xXyMTDdwNRTqVx8MtYUCKQB13azDH1My37bxCSNgpL93Xc2u6Gqu+zyY7r3Qvqct9DUzmeUR6 xoVTc5t/PITnqw2QW8HbspkWJiycdnTLpR8PbOHNlfSd/MDi45L+bu5IZIyeUc6P/YmjwCKsB0S8 vjvIDeshAyJKwwBoxgBOz78zOn/3hyCG2yUlc6HzuLnYRnWxU26IXy6fsjzRQGT+csnZOWGET33U qL/e4UcaHptvZjZ2IPMFp6pt5YBpRfkYw4QogzudAuUwgKyoRh/7fDsApe11SuWy17NNYWlJbxHH ZmA29v3CcoF9EJty791KiwkXFujv8QZo1WRrJ1xFvZOxDWaOblaa4beh+2mK4gWhfK5UryzRYrz3 bXMMPCZoe+mi0+I1ygDxsVVOskaf8DoiLTx8aN816MwFUm4akKjVvzafoHTzsAuJKw/At0djsHC/ KsqVqo5gk4weW+FRCUkxw9rPZZsMkYubapmnE1VWV+Nk25s8edkyWCPgmU6QDtZRhyc4Umunck5W vF6L3ej/Dz+sNk1SWd3FG3LMNfjX6k4PGBIMjBFUFH0O1/V0qIQyBCK96Uv+nFBAEnaRM2esDD1+ kQtgNdb99sFVCByzBO/u49ltwUxMHXwznk96Dy7kMDp8AwID0GSuEyarrFPiwgi3aGAjqvW31sKa 6rKrSvel4IQ20x9E0pyW+7o7BU6/BZTodYdblhlzP/x9d0kbzBVY8a2YQAHeWxSIFU6NW/+pFOu7 jHVAHnYjuIqEiuJYLB+wPlPq+Y+TRXR8MZNvNVb8eGTi8WUf9NphA+ALbZaeTfAii2xmMmHHWOK1 EKyf3S2zftide4n4+bu3XGW1JADM8U0GDl4tHy55gygVZ/V905BgHZwsQYR+UqrTmfR85LIWjC6W cgC/WUEIK3gZxqzGjpqfBJNJEzh68atNkt9vXYkCLUbDXuON2zXSkrXQLiJq0hqxGIQbyPSCPyGS u/bycG4CWDs/Ec0r+kFcDtf9RPvEdCdDWfMcT4feo1v3kLD6lzweWICzjk1tw3YmNRj5NTHsBbzl xEMq380FC+HVb+g7MkqOjwnl5MYhWXkDbPql1Q3yurOtTiqH7VN9NvOohVbl46eeoAC/wdWSatqn J18T8Axkd5vQkfh766qrP+GUk6eYwBCYCDMn1TdsM94CKfu59Fw5dE9wk/3Vc2KnPd0FZlG17a6z m8J+kkhnQt+tRciKIXlXpCYiS/40Nf1oUf1alw4Y+c9KJsS3aCR4W9cdSGqntcORMIH878pna3mh ksjMXnMapiUA0uTEa8ZkvT9vF2JPq28wW7Py+vKNl6L904opMgEhmMxvD4HIMWG5i/VHsPNnVZ+6 HvkzJ5hq0tG9GuYDLneRUisSYQb8CUjIRUK4Ozcu33oOuZfHTz9I9bxJY2hz6aZ4faEEzUPsuJZF MwyFekapR4jK6xEuKwn2yEkTIrHjsEsD5BIsZw+0XIAhA4uXVvtzwshaFiCe+iptmCzaoLOiNtNH 4wicxaYak2OPjc8Wuq7r1PaGJ6aTDHqmOue+pm617iHO5z9ke5K6OdQiTRRPoFcH7M04HmCBMDB6 AbK25YrmOGNu4uOlOPMseh+p+5QQFYOjWRFZSg3RJoVyLjWvCk2x+R2iTpAKwRn0WY87ErO5f9Jb izX7gEkRfQxFt2xNUM8uhcKo1ghLLR17xk4+UHsmbGmw0r7k6n1wS+vwq1irnYHW04M4WL2MxtcR zpyjGRK2vRWE/153MG1LRW1tRicnxCgpAq5qfaZ4yqLz4trNQ/mqmjZOWvcXkcaYeE+/QBAv2efo d4j8J/ojFX6oKI6jPJU5finP1QbVTzcVyGOC9jq+5FeFcKL2TQvLGVxe5OrE8QLhWm2bGctpLwln AVPRuAB5by0Ujy5M7ae3QwA6uzUv3+0jd1sPkuWaTk4SgXdgccG6zD42fuYRaeOvc1kWWuOu/XVh olExagynHcbxqkNJg1ei1+BF0lunE6IpWJRBR9y2XfUW395KO9xGoOzKXTj+fjsTeO/8sw8RK+uC qY9LsIdXXe58ajc34PeWY3KK0h1RNR3MFsON6wild7RSBjf+mZg8L+ujMLNsGVzj3sicgaI61F9q NgG4vd2um82F79JUm+tkQkfbtIcHyXG0xKT2nAE5EmWezmYNAD3yoRw9tXqVfJ/QNPVMIwLQnykt TNil6LM6tLqxPMuMH1xfUWWBDbX40jbHiW7yow0fkb/iqdj07X2K8HhUgMv1Bcj4dv3u9qXSALP8 PSCK43zxthEn8OfrKZhVIyMz7ALTTXurXeq2bUGmIWng8M8mQYOdBwWFjtNrQxdzWLlBDHrzjqB3 Xr3BTJrROMJ7ZdHyVABtqpkpLu4DWOaq8rq94mhG7VxqLAjjdeZQFsh82tOgB35heAhBo/kesGEx lfdSAKYOIODjCSfLOkVShXuL9LOHPEqzzIIpsrfGCNxSlXf0MSWxDPttqMvDPqPpSDZieHi1DgUx xN4O3xhSObgeigQuulXje0+naD16TC7Nm6PrjiUblAz/AY8iHjD1ANqbH5G2Zxzct7SrGPxmUxkv 6WTMg3wquhLvfiPl8u6fLV+b0NDS7mApG1FapY6sMGguN0GQgP9AaOb6FlL2TIzeiIIvanOL2U1z zLYttwOiAKSQynCKgIlybYZBVChgzXqgEMcRt+gFRhoUeMzKtXXdYIU4g8VN7sLJuSuajalwVMYw T5zXfyjtu/3sCuN2nBW/G0OUWr4zwc5MaBEkOTBmix13T4B6KPqXSTzBbsLmw/ONaCBWSIDWDRTN Mzmxysh1gmyQux3EI37kpFQ7GoYUfwhDvFIp5KOwToajkNhvwNaUCMxD5GIoD/zXT1Gs6Cyf2k+U Ad8HE54ZqKTQHLmaUljp4CISCo6i2UrRQaU6Ss6EI+zTZsB/5Y9H6T0aYTkPNnhL1q+oKmuDpLaz JD9k+IegFEN3zmhq8YF3VdmKgGHoE+u1bmGPO+JFGTnGhUWEfAx6L2pjrFBz+Q24nx855ZmwIPW9 uGjc0NYD7ZyRORhstUaYCZCvnKG3X/650kAA/Zwf7CR2XvXldavYgaJ/XC2fAI8exBJSHWftYMda CYYI3ohy5+XyidNu1TTaesnVWEl06hQGmuJUm2ynoLzWUl8n0NTU1xZZByNCs2iD0in1HHjN5kot okItseDBpIzg7QnODnZl9ftVvsiIq17ebo05MZpWoOsLEPLFPa9TWex5g2JQ6+qKhl0995pnd02G vBdzbuoP1JJ2PCBslGOA4UZIzQtS/83dg7gGXlM0IZRnZvicJOc9vpu7rYj7ZxH+k/i9zAvt7IWt Xr+H6jbPGxEwVsB+RZxQ9Pw+0MFinLqoNoTfFTCMmJULjcrtKVj0eSUlBBhAP0gkSFVEocHhv+TI TPS1uYCVKd6H1L6tpU8ou3jMkfkPR5m+CuLEkoHAEJZcMKm9AmOaOv2DDWpCnGnOR9bSR6Hz8Vil vwKPg5hHWPyewcNgknhxgWUAM1lWCfXgMX0dvFhTuIOb1ZsuH5hRJsag53ZU7Icq+OhmkEZWVxKt gEtSWJMYqNswimdZH3/P31Et/QrKL07p5LqKdVJ8CvwV4gFIuBWinjIF/UdYKn/PQU0v8YnpVF6j MtH9WA4ehBHX738V1XrnnLjW8Vc8EJZbDk8Hy+/7O0QzIy+dt5mSd9MsTsxJb1Bl4hM2/19eBvKX 71qmHEanhM10R4/oWUhKamvqL/C3MPh1BvlctcW8gRDvvXuYX8qwgzI7bIcJ3q4Yjbew0TForT2x EuAyRzGbL70MRxmIv5r9IZplIP1xe+GX5//zbXfXVbTTQII2aOk/vG/puYrKgLL6/KDYyjrl/KSM YIkz4S/c6RrHQeu1sKggidxNsk9Fs0v5BbiPiPT9nbekPYjI/OqRN04QKQmYL9f4IkfrtI+A6wNE 8auwDg93vlxhMcuCS4EHcLeAfwxr5aGiyahfKT482qDz0jf78lyIuxUwWu26ZNEx0hM4QYN40Jst pOaiUSfTMELsaFc5dDPRZvpt796Dlsd4BbRo1WGeV+frKvYDqzpWuX+b3p2SQsBbMTmcMhzkhQ77 9kuz2JmL/1VvXtRQBfFrN4VtppbketRT+YQQb6BCPoUkk1Uk3Zh2qpUkQb2J2FudN+sDPCsjZcZH o62ZuFk9ROL8ej86q4Z7zUHTM9U7JonTG4DFMlt4NKqw3qljZFnlC6/hepbubA/k5hHR1fasqOM8 /yFtOrS9j03jw4zD7j2QOzz4yIkpyRvZcKCvWFUudWSaLn9jEXzv46ktHLWn71fTaCWXq2pyu6KT WYvt1COQZFdeVTtPLg1t/bATl7f3Pchql1icULlq0mwGWgazAx7uwsoBySxtaLi5ysbOfMFYHfK+ RBIjui16IFobygiN1UvyTNkIfgSnxEp6v3K4+wWxjBPoQyQq9sIPA4GH7SmiRw9MHrLebd32NeCs STrRCrCPEFUgIu5kcl2r4CNdVTUEaMljENOU9lItW6Rz5Qsp/Xcf0EH0GJjasi3lr6szULX/qdKV gInVd+mEosRt260KK5bmlR78dexp139V/W8+DP192WEk32lL1GrTizRcjcCEUW05QpCw8yE9/QZm 8NMrBE5qTUpeWp+RCajMyaAM+W4fr5TkxMqsFcJ504x5Wo76+ntmXGAgfsg5/CA/B4hN0NdrM1Xr kZRpPE1Hw6CfehE/hKKY+7rO8kQaY/5d8uVQ23XPfn/XxsV+od7lcJ4Ro0IZbJ60dfYjiY1bhfAu 4o1WXpJBsYEK9oEqs+GRFDWFyrsmiF/TjE+UjxkYkwx5mZraiFaWqlCVnuMK529fGeUmxwcBPoj4 D87r7TTA3W2nLE1TOzzLpRhXAhW1maQ4dql8YXIvnUavP9rR0B/+YTvh0eZ+4Qg8DB8bKi2QHwde 2B4FVxcdc5foHEuqPVOkm/C92eRGwgnTgLefiWQmj9CWVpH2HxhjicJWyhGs/CI6TpY0jLEBatQH jcrRvbhUSqb4wtZ+5Psce3eIsa5IeqRPog4gkO9Wn/wKDbG0K3idep2aIO+88FcuhoJGDIimSoSo k9ge+rQ4rlJPYjboWUJZLdKgxh4a1E+GbAVcYcBmaqR0R3QBC+TPqsRcPWcg+HJU57Ryf9UBpKRv 9OrUUq6Tl6NXY59WIDVig532uevliz0ePe+q0dipfs/wEaUWl+UPBAnLQYMd9xOVBXtFuUaJFuZT r00W1xQMvhG/CadVRMtwT8z9xJBn5CDrhUDc65wz9g18TE7htr/K5+dsy3X/Dfq7XcZE8uRwqbSN bbKem+Gg3obzo8OqSu7IAC5nSDeFOvSABjWjyZLW+IYAG2tyTtg81HE/pketQymrcrwfubUSIyfh fuO0euM/5mvhgPQxmjhvtSgXZZnDXh9Y78eDihtmdjyE6MUThYI9hf3lr/NpfDr8GAisKW47SrD5 yr2zWn4V5NmZcYpj3gHrDuQuy9MTfXJNNuOYVCGuortLB5kEIYHUFmlfo0Z1yoar5rMhgntX1Aq1 YobG+2oxtJlt9hM9yxp4lpWPImLFvMQpmQ3YNqYC1bA3ciOZ+lGMDD2xap82rSZvSoPxNb8NpEj1 H7skztQFlfQMljVXRkEuSyWXgkTQeR63AeCRkv9xjoupvXMi6YtwkI+Ou+Y6kb1jCzwr73qkXjAR u6nrbzZ6F17lJJi2z7824L/2SUQ4wT2pcyxkyzdsXqUclSXcZdeCldWdUfMuXnWegF5BdGqdr/Aj VRRDJm3MIMd4ROW7usqhffL4wyajoL30BHovqY2ayrHNDnJAMrvvRlMRFT6bkqUjrJ35O/8PeVir zgowLRywRYFk0N/v82VXq6r91CYUrmxs36Xbf7r3FpG1/SlCiRaR4qx7W6zcOOdWV6h+WwNcf69e xI1y3JTZnKlus/ADZm2z1EaiCVsDeqC9cLLXhAgkK5cf5eh1tHFcsyPRP0YUyvyGPhIlFuCS5iYF 6LCyBrRUFP+IRYs9rzSgMU01Z/kcR/1dMIBAns0JmACmj3SrAHeTKoXZCaHdlf3B6i6K1Omo4xHA IxA+dJrJWgQaXmoxAOO3chE23inO9DxcFnGc7IkE75zNL+zvwMet0/qIbIghAgoEa9kzUvcnmSvL C1qTp1szLGvSZlWATlotBf7v/bdmMIyicyQXs8j29QLzHUoS+ZvOS1Cn3DpBNUIrXnCfPYBW4lKX xpdQCVySAPkv4X+SJwhVJ5qqryRgjVNHOwdDh8NIflTSr2ah6l9Hvn9TFAm6FT94ozWGA4w9bdca ynldF7JW0bTXUngaiJtaJRvizKE/eQZ/VuUe1Sbi4a3W2sc6xe6lttgFV/1kOGYXYPMhjAMxA0yN yBLX7q+NoWd5ZZolQGArEZhdOGIkOVVp8HtmdC3jMk6s/4HGwaYs9Ubv1asM2TJbAXTRkBCaFvr+ XLTOigPtJKLk5J4u+GwViPQIF/jUmopWQZRz1BY+PymPie3gzVayIKCl5mxO6Oy2kUbJbNXa87zQ XAFlSbzv9k+i+JCMckJ90DDU46mbOe8Mm8HJ+Fs5kuicdgyoBBv7QNAiauxiGYA+G57AjV6fybGs 32HefIYWDm0zevxq+E4Hww5Uo+D/DtotstVAqtTkb2l0Mt+so8ROM7E0jzwcI4WviFqU69Cy4L0I DWQjKet2yH8C3Q0Nu9akkRzWbv4pJ9Xd8Z032ALGFtUfjGWueMTM/S1ZFOkE9zgsi3JaP3Y0sU5Z t/0zCmxcCJ3gd9oQdUwhGMvd+lNsAws1wNbsExQPwTZvUKbha/gHuqa7PB7T13mH8+9z2T6Lt7T7 COLyxDUTvP+TrsbSSrr1wvOXOW8Wjb5PkxJsEeu7Mwujf9ab6qsfHlBypMZUhZnXg6dlrRbPY+wV MfE27r2lP650VynorUpTPqojNnq+yMTwcAw6Q0DQkgiHvo2wrWNfjPnWuthva6G26R8cxwxzBDC6 O95+kOvhiCI3Q2lLVaWRFaKjTCJ7WvCueFFNdit5pWjNyWqVMGxmjz586urj/Aqj0Xz3IPtpyJnX iFPJEIxtfmNBrJSty1+RJLOo0GKhIrJM2qzqy9OwnRxwnJHYWBLkq4trKsPmyR4NP7kaodax859Z fu0t0NKjSuY77tsTaZ3CtoSg3Ge0zOKoyfNNkamK9t01LFRuvkZXpbCoCpA9aRQBH5hed58IdodD tYFODmfMIvfVzCH0Jd+MILEZBcDao3yZaBUOl0JaaRo+haajfr+rTq+A8JBE5CaqPe1d1vt4INT2 w2Eue3VIP3mfBuHdA/jGUgZhUw6nUcbrH5bN1hg7cFs/ZpW7YaJ7N+Fb9KWDPZ2OXJhvV8HuuFBk iY2B5y7mvdzYdiTFq4IQlYiIDvNeO1Rqenz4erjUkwqz+WE+c+XS322MgL7lKVHo7BSa0rjBc9pL Fi+1QfFmJiwooSZ7HztneywnLSoEgK8SZeveM97p+JLHB+iWrvpP/pDqFRCcyQ2QW3DLqw/9kZzv O/iD9IwlJ9TF1Uw3KFhqG+YmI7nr70kVcVH/qn4sQn1yDczdz7Dp5tHexpJYziRHa/P7lKth5KxY Ybyr6ABQocs/ZIKb1na+B6aOx3LbqvXOGNgs9L0aE7rF+AQ+QJENvEweb2Ghj1yIYnqn8V6X8X3w J8AAPER0EOgvUVzQn3txFbc+z1FiE13D5ldxhzqds/NQjbwcj2dTSr911Y1B92XCEvhnoDiqS+ex 0IYDXONYAK1BqiJCBOLzy/9EpYuLt+J/J7Yn81LKehf7D5IGutZJgJ3OyByxaU/WOV1LmqI6dswA 7XfemMmAY0joJB9BYpmmKTzxd8TJ+WOJZNE7MuyBehup0S9SlmBeRcG04K2rPVsoaGQW9FAPX+dO WLVRDYVRTHGfFa6QdB7QLhmc5PLHKq34QMl+bu+h89ym5qJ6d+p4EccoXLlWtH88nQRxsOGE+qWd yqeyydCJaN2/Ve9Ur8M1jlSnZBfAVp/V7eoU488lP1u2TmDqG3U0j6zLziF6qg5SHY94sbfz+Vb9 lhPvBqVmJQNgXnv898afumBZMTyRwDx4dfJGgc7Z8dYszGRUT+dlcUhz6yhN2ax195Blt2zWxQgu 0SaHc5+BtIVUIufPuAuVmeD9mBraIYX7VeNzeBH99QzE54nTW5b0Y7HQKzMvCvuDumDeScP1W+z2 PgCW6MZouz9ccTgoVTo3CliMTK6uZyEpfOkxj0hTUJVA9EdbGNa7VXjPoMYSJm6SzFmsBA1dlqIU U1AbR++cN3Qi66nAcNumD91Q88l1RebvbNvHxXCkjtTeEzgDN9pEaZVwZMj68lOPZwrJeA2I9zO5 5vWa2Fa/wjdl5BDxkLqVdqMOaOtKyRb409/1hIJ8H3II3RbTeqc7pU5RnrPCiT4VKbjrE3NOifGQ ftj+FpK3gT4L4yiMmYOwOZkT3ZnI8Aur9HpaHji/TqTt+0MMNy1beepZ63TZ4G+F1cAR3iE+kzil huP1m9FR5SzVHkBTYBP9lMbhPigP52zQxXlBq+WhPT3a07lDeRoDULoBU9Y+XEC2XdxCIZntZrUK RwCDFqCvFAlxeuWWLLfV6H5w6E4783QBexW/BHZOfq0duAAFncOQiFXSgOa59Gx1EGQWGyneNIfq lr9hSIa7GAfnZQx6XPZErRsQI3PYzpJOULZIbt5ArpTpD0mWugLgJsnMTGuW2SBzQXLX/pGweuFJ psBH4vhEpPhJpXm22DyzTwCjPP/apthnYThLFbmZunARYjFdnOgL/MOL8Pj1kDGr2qPjgD/+B7iy zMTvMp06ZwmOhRJvWRlxBEQF8AXuSlcUJ1sDcmI7ix3vfRxdGZwurzSXfNn0ba/W69MN3K7DMJ/j yng1am5uQCPMi6kYkJR/fmC3bgBxH0ZBimim9aEcb1ZnmEZz6a8VjQxDTc2xY0w2tVCyLpRu9two nksrNenpsZkpepLJbDIw7fCgc3Dk+c9COujGfIaG4QU/JJ7+2lvuyMClMIOGuSlu7hp1jxUbMegD PU6/WsVH1BrsYExr+0sTkMRIaIpgExWBGBQF4WWQy+xBAK4tjTMFpaYobFuT8Ra9aIUXUDoWLcwv 64EudFO66snfjgNSdj6Mlr45OWUnFzRoVQVzvaH3elMlsIWS/8oY+C9wU8V4k7QzcRt5FmKhoeY3 oXoRGmXlNOcHzU+mLZLvIi+fvYbDwyT6ZIRtXH2pUAVuFEhwSNE66ZhyZgmvfmdlvER7MxdWYrGh WGlp/DhLB8DqdF3BzR077zBXUwxmqGF1HZFtUtkYUnSQ5uXfAS8gPT82GTAeteGX4NFWtoZS6V3y LWvrJmimIcT5EpB8ZWcO9/4n14MgVQR9f110ze39JaZJwNYgors9d5ykSCH+W0hDfQp/JrHwf+F/ eKIWbXPkFi4SUSX2qNtXkjktaBL/rDJ/s/bfPqc1gkJ/626gQwU2p8rvQ9QJAF/Khpng3HfodNAo oFQDbnkmh5O8dluXxk2wJCtENwR8NUPDs1i38gfpz31xafIm09/0SdDmRiEhR+7Dl1Q32cy+nSIN iWENroGui4WrIdFW7Afqy7YIfz4506Jv0lk7NpBPCBRX1n7ibck059/uxIFlFLX8DN8RXi/fpthW vwdM3tWtaXdmG4EgBSJrYQi7gAoohDiFuDzUsYMlMr1YhMqVsLKUt5tRfBj/diTUGvBzTl79wHyb kJDPHmT0uoDfqgATvupVbqDGp26KPKLbtC4VXzSBRkDPCItDRxBcGzddBGKIF5EbYUfXA/mUMR9C snppyLcMLjfYIGv8o37KoBESWjsTkfMtdwTSnFDNTnKQaAlTQG9hrmafShQjc7QnXHNhYvc6U1h8 xFGkYFDakMsXe2jsbygXrxGlDRf0q2BEh5EoambNTVCoDKQJsMdmxMNiAzUgVDHzPiMeHVg8rRoB 7XOykHVLAAsZdKRHrH4GOnBtNdHSV2u2ef3pLRDBa7HowQYemdCRiBJsK3KO7Ws04yM6ym8TfTZF sRaSCYn92pW8qAgOt2HOIX27/o/jqFNLRcYECcTTAJj/OpKoKMq0btIgv+UQA3BWc5PBOab7Zuz7 4eR8L3VxvjC5emxjBF+NvohUSLoYBttBbEDNNP9pZ24bIv4RH7AS40SdinnBKO+3Qi/5JHe0aaDO EUaBYr1ymbe04gn1PAh1eAopsRlMmoYCBohvKb/gIKegCh/b/YMtuoQqOhpA1jhMuXL/yZFT/kg5 SC8jlfXnFtpsC0ZfmVyjSWg9dRa0miJr2RwfIDvja3+vxGmm3mer/RnwCDLgsLTQ+h8af8rhQxRP mJZKI3gYRswRsJgQ3ynlZ1g+Bn16u72WIi03Nk5MOGbekBvnDx4rak0ha13Z00luQ7Z1slsDkKlM 4M/FYT60F4bB5XKVU/XzlX4rDUml64tpwsXnFNZKLPab1LDiTGK5eNXBOBu4R5uIpdsNgRiPTIMO QMxApKsAqFs3Hjs1FMMkDb5DmeFycbLqAQ66Y8L52roJ2VnPjaz3B7SXMRYWZKHRm4omXvh5ChsO CYXOVQdPe0+B9YgYfv8DDNr+ez8vuEwv+MBtv4T8LyCm1sxJthou8H6f6mTlMEcwyuKfEGGxkigu 1+5Fedrkea/LAmrDqs4Qb6zK64rxGYS+rdRp7UcQwlknhNNoXhh5U/rAcUul3myz2/q93DMu5M5E rE68XRo/NM62gAYj8+qrfdlFyGFwgRRE5E5xXObJv0b9fS6qDUd0USKmt/NIckWB1MwDgzbHDUJu lPL8bHJ8utsPpJ7blpLWga9ZsIlCDaWrWB20xLkJlc564U3KwuOk7U06ME5VNGi57V+5XlbJQl56 NHBU01kLFbmtw3gIEv9olM9hHmuxeQuyqpQhvpnzK2W8OVl6CwA+Ler/UEb/m42wGSiZXKkc4nM8 1lYgGuqJsEhE7TaXF4TD/sZ0DmKg/3V9Ay29JKCjHCTIt5aeJ1qLV+GtApBbX94r8PMr3iRKOvQ3 2f4I5xl/rIh87/51uEzp9A3yhUJkjDXAoSz+uKX70WyNrQP34F2Rb3KwQbGQpkqoacxOpeUqimJw B3n8EPwd0xzpuwemMkWVqX+A08k0YXPFp4RDsepstDI7tzUNdY53HLBm+CiYPvv2X6kSjj6CvoTG 0hJZh3PvHeW1Lmv2uarFpdHoI4hi8WVaP53bnVhb7kD5OpW43Rfjkpj8CSnF21D/j12ebGgBRvF0 QVr9cTfP63A/aewuEK/j3TOq9//J+nOesee9odKtuZ4FhqztFdwxc3J3C9MtyWpLWWMLLy4/LZjo HwUtdk0u739YBUHR5Aa0EwszHupOBW1Mk5iuujuGk6tG+olsbv+E13aMY4ZrSeYR3Wkt1MmAVm55 dxaCsmnW/whmTd7gj9k7e5vggDZ8OaMtnhSDuJ3QDWvozem4hc1umSHSOfTVwXy25Vvcg1DEGGvf RfuHMk+V+sDzqyB4KF86hObsyHor0hlIvEAnPbQaS7nlikZOWf93Lh7k87kRY/mR5L8036rTcTiP dGBeXQtgv7Kc3ERBJWbhoPM+677im59bJ/gTZYLMuIkCti/ZJybve69Dr+jOXRuFgRWmJQUXinrI E4jU/oCzASKeJsKPwIuHFyXOQLQjsOoWoQbSOlDDdQMUYwvI3sxA1X5Qy+wx0oKkB6UX29nt3A+b aw3e+c7GJ/KziZODL58ummkAzelpkAlwFKhCpZZEWf/zyTCP7npfv1lvvab3OIocmcbyP2OCTXul qRBe2H7AIUh9GCYU9Q+PMDrhL7qIcvvNdNnklNgS9nAwh+YRK4UZ4bRNsPGNiyoblX2MkNWEzawz QNpRnnu9nfmV22hnwzeYU9uqDznkDMiDSVOqbaHnpmPOtqf/B7t8G81kANWoBRszlAPV/OafeEts Skwx9CIvgl52QaAGigW5tpKn/N/yk+RDA9PwzAiAz4trXB2nhz9r8qKB6IpWOUsKOaJHRX91L6wv xxnuf9mpkxqmYIBUSINoA+bC+V93EBlzPX1GJ4e7CoaaYw3YsEBgaFG3HT9EqyHdTIj1XCvnme+O VF0Ljq6DZzlQqkh0asaagi+xUyrKQ+k8e+gLhFUVzIcTuffbgT5P1A5Wq9hOOwRucF4gZ5d/7AZ/ y/msqJMagRs3U3/FqbbF+MSN5t1q8yJMyMrsMQHuVERtdESJJimqAHXoX4fvrof56I1WT9mJfOEV 6xNClikt434Lv5vdWbgHYj96+75tvdpo19ouN0WirDANn/xgBE5UyqX4DMd0egMDkxRDzSM8AY+e bbRBXgHrw/ynVBLAJ8L3uzcr5X+H+fBQ9zc9qOkl/McBhBUELImWhN7a+2VAVCivlkqmu5aOm83P ZCX/Y/ttryzfgEkBsnvt63hOg9ne36qTCw8g1GD9Z3ZbatKxB7CbMVtsp9bbuKcy4S98pOjAbaNC zksw3Aix+pIkALEDunr5TOg6FWha4DLRoYULz82FlluK7DRIVLJ3oLBLCciXmnzV40Da8HqJlKuD AMHcuiGC97TvspqCp/zbMUV8FDkbz60cWLHy9svNXb3ADBMM+7IrSne7uHDdmOl/w0TjWOaEqXSq OZcTdhfp4ko82gjqEZsEbmUVdQ7xUzHlwxVjT+WLkholJ2foO8WRxOcAu/tMtIJ2lUp5RYRx5Gvk +9MhcX3ew3S6hnIpsq5aohBBuDvXLmBngCyVoj/I/y3Old7i0MeK16njxj+lKyuV1U01NUaXj2Nv 3qZ6oqgAd0BU+lhOXIXAGZb+aA3CJoN+fX0pjFgQRi2NTEOgAKL423AsHvdCD1JHEiMrdo4JuW2h 4VKBaKwpGfR5ZjopSMN9D91ygcSX2wFcw7plbTXqCQpO/qM+My4TyNFthLdHWQXoCUf1ABKf6bZR VzvptuLeUA0w+fRNHVF38YNpubBErZIqGSfWKdJg5GLIGpWrOBjXot3u7bQZSK/CjSmyq3jeVr1n WoqQKFRk7qOo4Camm8QfTUP7Ic93efWsxXFoFRZm6FrIZBy6zoUGCKITb4UCZ2lfbYMq76bPKEc7 GramEvUgdIKjXZ5Ut8woQKKtbAOToH7qgM4juQ5Hc7myz1Ayp/jEB77dIsCR2800te2LU/J7wn+k NpD3KXX16cVA/m1mttKXKS8+GexuBSEq9TEjztASC4vhkJ04knV/As0lrH52gPhqkl++LnsH5S2K SE6KXbTz0ffma0XCF1uaC+mZWstOtfTzeM8vSsyF5oGDj4E0FrMqA97qRYKE7qwX77UmmzqvXMJ5 LtUBjI3wkv1fmYfpXjjZvGzhhrK5S0bqbVi4pCMnE4hMVwcjfAWpjfmL2JeQmpX3t2lIeXC9b9/N y4SpP4CUET7fcakOGCCikJgwFve1afoNBd+sBV9C2PhmS43/9Prl4CqBHXRsC3qjDdKpM9Te4vZ5 rGvjlKqRAN5S+AKOw0c4yxGXgBmyOLfcfjQNW/tBWuI1mASPjUWaQcsyMLlVZ9fFVsn8psS+GqYg JsqQVxgY9+UmcXCUEZ0/NYXuICtySe9c9wAmthu3qKRcWAT5XXb9UBGo7gmD4H+YeJc2YDObCvs1 5r2H4XFm5Ay+9pHYp3ZOZxN8Fy0sTB5tX4e/UbWe4fTYN2HIGjns28SA+qWFwxA5YAlkTWzPvKQX FjKje2I1K4D/EDspRxdQ6r5aJMKQVPVxxBJzr1MNX4wHa3wUidJwzbfbs4t8g7GWU7gj4lAzvF7T BNXya5bBYJM3h5aLPJhbII3Zfa3o9Vem3dj3+dyj+9vFO4+qadjwLeHM7E3p3npvf9fXOtmY2nRx R2Nt8GG2HGoU4Bf5XUe7Wd5k8bCs1jmmyimqnOMOZg4xyDRBvl9CkyrWVQDv6RCFID6peW9IFsuL fsdjAuAjPD7wBs4xw2NMnmQaf27R9pqVHJJ4aM1dk5V6p3Y2MPIOur9Oe3oDsiufacDJKXx2ZPGi 7UBnDVB2wKL3/NqaoLcLw6Fh/JEGEvTqzPrmzDo4KUP8g0fByT8TTGtv2mO72JZSoOOFSBpWRczo 0oYt5ni2AZ9Ra+WsMCq0nq2YjuyJEM2WcwtfSCFc5F2cCT4/nDD68jQITC4JEdbAeslKgwVD+o1S PUYyk8HJP00PestdoyY1nkUk4IPt5f2EtVAqReY7R6nvqYYvPyF1qi6hLMdfPKBYxQVlD3njX+Nh 5Y1dguuU1te+IISzv3k21qjGR6/uObnKTiXpHA/6U/QVeEikSlgAkd6LlJnkQzMlVZm5ilzkzn7F pkQW7S6ERDHCdrP2Ow2NQcT80MxTiuZi8krd4Ej4g1ayLXb7ilWGM+mzIUSWt6c7k8jlEl3hAhSy B0TEV/k6ji1iJuCShtOJzTtj0sGEgagpREpzFcp97EabGkWAOGd8ETSY+9KiFEDSOEQuP7kneTdq gkk+6wK54QSI2IiFpN5p52Ky2px2gkKgOTAuKHnTijE20KPxpJrzdSkDcT2VJNKOh9MeIHre6bAf hmRycgKF4bqNIPMOyYMMFLQ/I0lWxff7H2S0NfIYGrXJ20+4cqZGEo6CrPVJUZoFVQVEU3ttRkEh ogmLLj+ZikJ5Kjn2eBiRS8/LvuDgGhCZSDdOrDwdFEMhj3HUwmQVp4iGbtxJsGK1cvPFpOa+NVMi Wj7G59n/73bqp7/ae2IzhTZ6TWG064jsQzOVQZWihK+8SJLK9mnTvceU7USs7gSi3DVgoynIhzoY RhWx8adype6l85KVTIU55AtWhdmDNi3EYYVYBZoqGN8nTPFAanjZXMb47o2nVEJ9TancNZDaqv/v x4iVizZkn1o4YLGSNSMe/XpVCFf0JpVc9LS+OESPgW99580F/dXThWXeiTok58b09lzT1Iz+8VpU 9bTtoY6zG2EhAFVMmtoL5ZS0/t3dB6m7UAJYyseD/re/ef7FggA824YIQLq/ey3Ee98QmbodSNaZ kAXNMfZ0dX7yvybssdERPD3rouc0XMvdOVCyrBbkMNurYanwW3MrwvP/EBKVbaEp3ipU58Tj4Tjo 81YHcKMnKg3aAalrtJDLJoPnn2cNcTHURhlGcsI3izm6An/xUExZsFz8WTQOg0TqAZ1WfYQoDS5N bQhR3njJiUxQiQDaDUbqZDcreM7j5ZZRVyweOFeMncluZpqSCf5w4xREX5n6On8lYltBm/0r7jMQ 1k254AK8D4rgkpe8O/1DStWKH4saqGhLhtYWcz5Bj6SHa5YVnP/99Xt+vLZmcPUZEEi/xr698P4M 26d6FmKm6FVxSiB6yqNPgklRpAZcsWvaO7wOL70ffsOJGP52V6cmAGc/pUOgpLyU7Z86HUqr7VCe ELUPSvHmZicpXdqhIlLibBrQqJfWkCd+rkPi79pV7o7pgU7y8bT9zuQyHdRmjhDNZHrc0NDJ83rk AYUqWX1l043bhuGLv65Ngrk0WAquIzaWXFLRrYyAab7C92j2/ZiWAN6fXnCAjmmjKj7jZEdrsDqI mwtDSyHgvmOdrKxLarOaZ+SkwblmbpsTl6cfr3m6hnmNtlHN9BJ8OoZdxgm3wsNdCs0ao/hQ+BUd MMKWsu90WnxjDeL2p2vXIhNCeWKmiaMUNDGYE4UrM9al7lvnpUhr56ik7iV5msnSx/phAt77hj2f KTbgctCgOe0oLlqSAUgXlZyuRJYliZJnV+16NiZubTLfh21MvdIv7xpwALToLobvxdqJNIyutT63 5euqneYGahN1MiSQMSezPhpTWlz6GBYRtTOV9mzRrYe2zc0PkzsCaXMiqRQilvnjtjycw3fXLKSA wGaclFwuFcEItCTA0P4MGIEqCNLIQmnEL9/GsH98R/dMcqkmfhNeueDheNBweIP9at4Xb4nQHWIa Exiao0E0Yz5ykuMTY1Au9rUlOvjZuS7FPEultQFHQMecjPUmef3appiHzl6dTPDPPNG66kpSRws1 nKeKtNbQT5lQ/l5z/iJoNMO/1vlbnRWsSmk7MYIu0tzobEOjMdo7394LJvyoBitTCnxA9rlUm16w ZL0ZFgD25BLcZQQXea56ZZx1pP4XjungU/nBVR8+gcUWF4KJifXhxRzmuIeXShzqqc+eepH/rtMh 73UxTyksKB9r8xn7gAB2Ye3F+CQXK82LPB6jxrfTLIgzmH/UK0WQ1lJrhkLF7GGhqcz0Gz3c4Pnl vjlG/lhbDadYwS51Tki98NCy2YUhsA4xHpK0jN8mbEND68u7M3W1lF4k50s4lscbMUlXNHJ43Z5X ntC57jT471VH92QdPcwo19AJaFfPHQ4E07EZzd+GXRBiF/ItYv8pMG73DHaBOQSEk2H/toO4QlSB 1+PwgoWgQV7h/zJqstFWo7/To+BWx5VE5UHkWTDuq8waYA5S3OGz6K8DRGRtKuRuky9Hb2VR2vLt 4/nwClS8FAo5gFT0/6WM2iu+uKbLbGm2i1+Lqib3L/x1M8/nWblqaRj0UTshnNHBvOk/T1JySMrH xzRYh/yrIA/2kNDRqwusNvGwj1ZCPKZoA8ShH87VNFjOgeIfJCQW1xwYQSoGObTeYz4bGkGomZDq XgooDtn8UKhtU/84ouTrDuNY6QHH+84EHEKHQmmxndL2ffLNnYoiHlbemMJ/cs9Vc8iztoIMmhlY LOOivITui0oE6CssnJtb8P6xC7gcWdJGHtnVBKr/tzhBr8I7alU6lelysdZzavjn9q52uIPJsPPN j+k/ZdCp8ly3RfnFihf4nYFabKMhS/icIe0CM7wKradu0eT/5gA9ncgIhbLefBAnoOO0ZqbFuwFs PTKJnHN6AFHmvQgE1kFhmyKd/CEAzTDAgFYZ+VG+rH9h0HxS4Rcq8zjtoGns+MGWlXDiQsmcVsps No8C8/xucfWUBhIRUphdsw5j1OfgT9h8f35Gje/sq4D65ByDDC+NBnUoIs5Sts0HtJcBPESfIu/N 7cz8APtwn9VbXyPdgrqH8KQ9bDXkys+RzHfsH1q54Yr20KgXwy443IjRTOTlol6YnSVI8wA/IB+c G5HQlXNVU5tA138/FGcD14Xmm18LD9qbIBD0ZWvM1Hwczm2xah6yxyxVr4E6it157HTn77xWqJW0 pXhFQwQlWxhCaC0F7pXUQFAltUgPmrU3ImM6QQZ4rFhIu9mIb6YSPKpM9VNrzMl+T1NR3ndq5Oz6 9CHUWIPG2FU0UtfiKyS/uYDazwFlGkMEdb8zM3OSAHiYXBgvrc3jEf7SGTg84eFgoR4VVbBS4mBy spP4HiAUNSqbqFLZmbkcOkRky/l03Ibk5yYFbuQpZIbDjTOD/q/J8BYi3oWjWarNPFLyHBoYc0Lb C1xKpF1rP/VjVL9LeVl5yC6/CSpNRvxn6WBLYAq0r1YzIvoNjcZwAMKuyN2edLxmpTBw0zhuuJGE OwGCjJD0Vcf0cNPP9d8l0KcUJjqMUlXOrqZRGcrFCyWPPzplUTdDeoJCrVzpqCX6/QCor3Il/mIt Roa+KL4PGp59GGcbov48CrX2ZPmzygGtJpzjXEw570TetB8JWtH6bgcDX7ycTCwrGyC9AZAMe0Ri 9FXZ88LQJXEIiYG8sqOWueB0qrADwOD6OE6r6ASo+AT0AO/vEOH7K17kIVWS3XYgFU1InRbX39fB KL2/v0LRWVZf/igi3dp6gwzXAPP6XwE4YbQzriJHg89Cr2/4wfBTU+PgrsU6SxQ922kkzpCbDPeK dFf3waVovGxF1Ry4LAqJRHcmvXDvk9mgJsCi2iVFG2+ed5xJ7kWt75PAYBenrA6HNg95TTvbjzWB d6IDKx8fxTNwFzRR34hiE5mO1LkVIVaLRV3Ks5GwC+kQeD7mTUkNnNPSzcwR5H6z5pR/Em7gLTor UIl85riY0u1NSuwNzGnD3mBuTZkP1F7KWn9CSzracEgjYbvHe4PEaG4Sg/n0Fnv6MXP3R/F9eZOM DfJ5u6qCK36bZRCvNwwLTRxegulTYBZMie5U2Y+R2pa4HTEjAZYr33kl5V2rCKtECilUE5XkZQ3o 9rg045c7DHvHInn98iPQZsIKjwVhWKFE03MVNLya43UXkuKbaYTjSN0xc7nP7ILY6Nth14OpMtZx 92qhXkjZpWAvSm7zNMm2hQ3w66UP7xV4UvSezsrEf2EWPD5DFQ7+Urx/xZIHmHhdWkdbDoYmjkch V9R8TBumQzBKmR+joiRCfjWyu+WBkKCc1/9zyM0/zklOp1FhSvdcN2GUaT05vLEs8fAlktRKqJpK qFlXr6R2MOYQSKK+BwGwASIiG5lDwydv1rMy1X/6LPabdSnE1oMe/A9s/y+s+3MrIkWe+FD4CTwi 38ANUHGHJuV0CLwWyg9AziBUJrAG2kQPnn8iLnTLKEVuriZpCUa0QhCWzaXIphe5ls/wBprBrZ24 x8Inl8rrvwhv8JjXkuyZELZir2QVOPug2dTrNP6x7Vsv1iE5y3ku3AP704ZAbjojrSTTV1G+i11u UHbVly8eMj1o3KKuHyuekii3KcQQs5mK7dOEaAUEJLPaBXmnXYG6jzmz3Wssy15TF00SiD92kjh2 vvJFDhaE5oRoNoD3Jt7y9vGxs8A4AWTpQWA6MGaL90s9ZsIT7OpkGQF9x/I+7RUPKJAhydguk6eP cQitx23zUlj1y9psaLq8TPWIWlUIPOt4hKLag2Nr329m5t3CsdmGPcU46AXK9qVQ781Jb+CeeSiv ne9IT3qL4l34opBF/YG6qWo7uz6+rCr5iDoaGi5DlznR0Yop9Fu4fIMgD/iP4QFULJyC4Hn0kbTO juGxCA3y/Z1M5sZivfQnSdx4cQxmwsh/WZUHTkGytWYIGe7tS/7c53Wx4rxVErJqjn6kxSbLZumZ QZ/Lh3sQc+/LAU8jLu9ySkf5kEl9bJpfI/Warx5A1UMOOOgqC+E1MYwbJHXnFiHra3ECZ+JFzpO/ /LiwSSMxG7UakNi0RDShZU+Vb7ZRZk+Cbb/SW9yGfLqLG6vMTEiZ+WpGRU3rv1MRb7Sru3ZVqwDK 4s1WInGp8GR4vnB4oBkVeI2v4CNB92mzoc/rQ5UdefidXPJOr4RJoroggoosc+nUcaNlUQmg2D7R INphrw1Tu2wtsPjh5H7se2CQZUnkopOcKpOSyumYqLgkG8BjlpOxys/l1FzgRdBq0jh3/sO5IiVp qfwcv8WBDJ1Gg85p3wigDWHK1eT6ZkBmZsKs6nB953s44V02bbUM3jn06uO+zyAe3FATSrzFkqNj Ks9NAOZruO/DpmS99BAQY21L00KyRFQcgTubvL4Jq4y7MHS9bjMRDgjPItZeEIqTKqQy/tOKCRHL tcLxVpW4MeNeWuYi8YVw3BFhaGRzGjV9c1bP4zUDOpdCBSr90+S9AC3NUUYtoVZu5+3b78jdm2YV XPbRLL6NOdVImHxpOykkEpD+My9Zg8BgPMGDV/U9D+jHMvEmZy5xv/vzKI9T1p1IZZjIOliE/3U2 YwRqPQfMjgAOEy9PPF71vja8zuYi5whG4uPPYNQWFHKBSoyrNqXtCc6q5s/KiceTgggoJkXM1MMR sbumgJBEb/IiEpawKvwV3PWxOG+k6c5wz40CU0A3/bjeSJpdx3TXLWPj2AuLh1PMPenOgvAWpxmn /kxXO0L9AwsZqHIFX6O7EvGUpbOLYPou5cMc8gz2GDLdEO/uh2aTSt4HgmyKNNJNhNbCNTq1luVk 4cZlOyQw5fwd7IKJAhkPOrwG4V2FhF6HHc0uad8qEp99EsQztEBS40vgJQROwI0j+NPU7funhZzu E84WPxrnIlFYgcbl3Pu3GgkhH/MP2Yd4PE6VZwO8R5KiHBZhf7LxiuJhUSqsTNV60Gj2jzaScPBR PqEjEPRdQtpJjAIkXWZCY3kkFmQxDzWtgvqMIwUIeK01GHje8y9a7fL8m2qROr00Dv5arGKOQWUc s/Co2b73/qzKwwsi0zhEzrvazUpk9TB4/0L7SUCus6soBW35EDTWHWBeylDlFt1Y6OctRBB62Uay 2tVgHm/x1El0PbVhqUAhQz3KuqKq3NFTsDXZ/kZds1+nyw/NxhyyXPT8Qbf0Orvbfs9nlXtTRBEQ zawl+UEcaJDkhQOxzoaJaVM97ozdFTQRzu6v0c0g4SHWuEBIH9+odeA4xjFdZpw7eargt/affxJF 13Bx0JHWOIjDS24Zj0rsj0w27+xPqyqiKZIAKvEQt94o4lJlzpMZEfy/lfBUPrplcAVWJUcnUyur hnpQ+Slf8OBi7IjMVtjdGmHpg3NZqxeJODPvXJUw0VboBi3iFWEkVZVmSVHBEJK54nMaK8JtWAAq DP6OEQkuDmSSFuD+QXtRzN12NQrNfI7iyld98F8797VrTmwEheg0niwkXZ2ZBAZ+ld9pBHGgRF75 LANgEehwvJ67zAY60B/y590jWdXs2x+/G3csgbDMDH1+PjRHohsOjIBscYRJxohUH3rHcj9DAz3M uEwukBg7uy/cLOqKu7LBBb0KH7R5agVJcodFaCpUc/S3T5vzlt2TF5phGHLn2m335LrubZd1SCwr l1Ei+QHti7LfRotEEYzYowEGAb6VClv2pNiGIsyG01Hr+bve5bTa19qJyvVs6HEQET2dtcuA4Hhq rCAECJN6O/qEaG5rbFfB0pIr+sx1IphcfOOCZFmtMijYg87zOO0oRlSgmubmj7NaRtqEUdP9uD7j 4JZvPiZ5iVrjtCFUpx8zwlfVpBkAXCktKFgflHQsmyNG7JYfMWD9Prj1orZtnz4qc0fiHzbXhADR 4Ldm9dtnmwcTsnxQfTd7g2tZx6MiDhuDHBbzRxW9CONFXXshZIimNIvOPoofTLEPDjdO2OGxTNhW 4Mh4NWHeo2IBVKCAhyx2jsiygCDwzWnGF6Y5m6v/t8HSVWq0vQbMr1eWVULa5fER41sFOa+EcIUB /n1ONMHlNFbFQBTEh1HdRBgHK9nkr/mkhxvOUHe3c0jEONYXNgqVEUDy72lkOp8g0E6TYjOr3zhw Nrn7l9i7FlVBM3VphPnkXP+XIV1h1eOHetyFWbgSKYkVbO13k404iv5uXnHZrtK1CCvnWCTPm02n C4tdkR75oQ4yjMQHYwTCJUuotd5X1iVcEnRmTtXX6QBqI8/rCCwmfv2oa3GKw6JIB/gNYyNKsznu Pm17K+y6n5t/RArgCXWiKyC74DWETtkE/ob/1Y8O1i4GCftuW3O1FWDuM+OWURyAKjAOUh2XfBZA WqHNHEwMAntaNMQr2inOpXmeuDwzlC5wXiwZIV2xWqNpVSB/ghGHFzFHyga8iQg1mq7mMIBmnl/2 BLQpP8+Z0PfANih1m9ZZs0vR7FD0wfgFn2TZj9tiJDop5uP07/47zaul5a48/1ZsT/Mt1ydpDLAY O0nlvULJtatApcQNvHM8ClWs7OPGjlt/5n73NaG5gd5UnsvM25p0ljJVHtHH5R7THZXNVP0SeYJ0 jPnUtb3nbH+oI9y1RnHvvC6w9hBQFZGxQnDWdaTlj54RnMamcabrRNUzDLDZhrCCOoCWqBB7Ujpb +1GxYNLWi+1kiMvfjhzlyO4b3WweHGPg97tnAjUBS9Wwfpfiu8mEf6xhy4Lm6ciiE5f+mr6KMNMR pk2j5zv4/rE5j4ANwnN3FjSopmJu6HWH0gffQZ9ySjjFCpjk+AnTqMpQ5XPO08Mj8LtvEj1k1AQ6 N+Ln4hDRKPPLNsH0kEjbvSjXMb5HZPYCGe2DXkkS/TCTDVeT1DuQm5EFyCN8NSmUb4iH55gU6y3Y Cw5qcd5egrF/dSJkIAHUiMTjMAkEDa1SqZOMp0CMneefutcAI/o3va/iDclwVPEhnOOmJi6R7J5+ EvaPadkEE97+2YI36SH1X9ET094/Banxif4jPEfdhpIeeSqOcXUxfYwJfjDpGmcNywePewSEO7FC gh0Pl7e9Hi+ZjNsOFLBT3Y9klQ4yMFqfLglqDeUFpbfITuCF0rE6WgaJMBOmx38I4e/ANTA/Zyy2 mGgAbBJk+/Prmg15q0r6a+CsvNN5S9HCmXsv1qZM8B0M43KOv8CvkTtCz4G+R+fiJBTh/uNWIx1w 2fF/yj31XIs6YqDxNpl2MfJFbrPJG0jdAVb1HKNBN00hSn+jxlDP0/T55AwGHIYhWvJ+P+JBJFgb ZUtlUPfeEqsFBHeWUgnNxDneG8wsUas3Q/iKaN99gbHi3jFyjE1FRZmr6y0IfdIcV83ogPsA1Mw0 oA0qSEG+Bf7KzwB4APkhQPhRI8JL1vqd5klTYnGz6lDnohGgX8kv/ZTQAqSolCMi4ma0DCijbGFg nDgK/PN0G3ouhhbn4H6NKNGA8l+dhFxcLkZP9hvgzb3Biv5451Ru5il/5TBMOm7lfXIjjpwNOW0R toiE5C15iTYXwVazDOEB9Yfil2wKG6uftZNEw6GO5vABSwx/zK/ETwCnu9QXEdWq/ASlLIS4+iQY 6UwPxYlbTCpmpDF0WSzrYq6WDx5H9folfJNnUBd2ZXdGrHHfLj/hZ+y6T8NQAWQrX0MIK+WKSwkv zgpLiO3phdZ/13Cnd0H5n0Trx/Iw2XofBdHfBg8T9zVk14KNZKnAyLqXCVXhHNb7zstwjwlE0PI2 wJeeSJTg4B/I8blUrt2zcTKa03ASaDM3TJOArkTeqLFXIv9ZqFKY0oF/a6GN8FObLEDNkQ66QKr9 wdspvxyJZ+0DIza2XxdB/hJJESVxUeGFTa/bvB30GFDgruDMM4cwOa1S8EjA/o0cBdVImdMf4fmy r5RBxBffBik61regFN4quPpTnB8uC/6RcHZcLiMqo3/MA4IRXd1V1NhHk0bx3X0OKfDSuRqnd/uM +WUQPlGT4EchZRAw/dhqo8BQpOQVdaCjAyCoiouu5tDSe1hxLxq5KlbYkMHewoReeWqmjOy2NXmf PcuNZVSJNSz9WCauJLdIQlc+cIvUoEdQFZJbMyAa++dZZrRMUA/cMYr5Di0tzbsLCfyyK+ACX9z6 T2ZUb7bAAAcs7E1MNnfT0bAhsQBEsb4wPSMtT1hFBEM9AStj5DyOFZehJqlWIU7WL/YLQzCs028C nGgTUjjUhdK/LneJWVKiS3hMy3187X3ZI36hdZy+9RKgJ8KAdS1JGl4YbtqVSBHjwnUGhPpCR6hk /yjkTBBAkkNHWXIfC3smNfe503FzBzFMx+kTWAkclQX+l4JXcAqA2uc01lxZW3/78UDY/79QPFJN eIW6SupxRiv+AE/BEhE0FM8yq6s0j1crrN2uNzYAEe1w/Wc+v/u16sFF0XPxegwZs2eECiRKk8Ft TlYsX+bo377KrB0bMVqxa87hpsOzB++3yDTqnE7Uf+TAEwM9bfjt+0eLnrOJyupWGxA4hnWau6qZ yAwjX6zDejAerQIx782sdTl/fmXBFuFfirEvqgTZym86xJ+6eO1UmJXOffwwyTvmYdemGSwYiSbK InTxr+bALwSintcJfba0lzcdYIi9VPyx0bxXQ/Y0n2YMxnsUHLCT5nawOSJ4qXA3AIT1uZUVqYBZ ZNqHCCJ1d8yF3BlWS9Gmv8o3D1wbpx1xtb93AHJvKPhdVnvL5c8h5n2758q57sz/6EwxfR5fAoGw 1eE23z1rHd+xNle/UNUZIw0uE/M0JL4vLTXkuWoErU0BBxxIJ0ONzruFi0eYgBCm8vnOttrmHBKW x9ClHZ0d7eR3Qe6Ekk6nECz3KxInnGDOU+0E+DS2+sR1kwbikJcdcumDcLhZnkFlzMPMpZTb9q5n nBdHZT6qI8sV2C22uG7Ji1wwL/cZlDXdfXbf2xmyxBQVM08mTwx5gtqglRz65Ix8AGTJXFkHrcnU JZtPbE+g0E4mAiDBIPOBrvf0VYjxEdCUuoSH6CyTuKLktLOwxOOTRTrCDteuhY9SfjbaBG/CDsIK u/RxAji6BZBZIBkwEGZVA3WP7QuYLTz6m6HapqR0DtttITIILgZKD7dVGlrSTMn0O2iSZ+xI7OSZ +Jgl2aAeEqS3ohWJruccjcK2uxrlNxLgJ5u2jngjnF1zTayalL/yvMUdd6fagvHIVwWlCCeWZ7gP h/XqKVunvdYcV2LD70WdoMFck0pgofkZJSRetBeWMcPWEfKMmOc9b85mJV3RqYy0EkJBr+Bpp7zQ n2fLt9lMZilrN7gS4xoFoD10+XdZl9LIukadHFRx31gz0xyymwTKpGK3ymEiy4wEV0NRT0tVcyCX AIjWkU4adwLJ6Xupdam8zCEu6EgAU3F+UvUTSJXNMUHmKqQsp9to6Cus5W/zpVQK7oHj4Pj/dLtX zpUAWAdWzYfM3YhsxSCIumFNE4XpQ/Uc0HrVTR4xOhNiaoU7X4a1TutRbBaf3AlRMIzGutCLcAnD w5zHTcL65QB/3XKS2cE21W1QAxf3UmctuEP+XUOYp7PjGREbABZQ5aAFWt4wtGQiO33m/SiJAX6D cX3OrbF6spIKpOwRRthLc2znGpni4XTl5rxPeaRC15uJsVjJ3D7XSKGz5Y/L06YCafm/ucX1nwih ubCAhQrDt9m/imAixhSmAi2aIbWbXSFBSUJJigd4OFyH04swFPlzrcOTA2Q9iIGgoBUv58oJmbqH DF4m2ghE8TErZ7XmMK1x9gU7LEp4BVzU7p7+MgKr5upne12X9wRJcmN93/yV1CIH6ycv6vnrNn7H 67Q+jt4a2bWhvGhURzLljqzn9w3sQVbHqmLGq8DfSo3ZWAMGO54FMzYCjxIqarT/a25inTzuLH7m aAvSN2t5iJi36xqdvn8lGEfMdij8MnrzyyBNSCA0chajBZeJYWhkV8GkT6IOZQtxp7BrNgmxUj5y tdre1N5Igi3ZlWp6Wr1D7PiUSiiXIoGLDCQA0qBQ1/7743xttgd3pM9TiGYscVF8dTcSLZg15aJc MsnYrD0VZnMZT8DrmNtBpnPyGV3t1P1K/cZeb29yN8N+Tkj9IPJ9bCh8d/yxm1vn9xiiEq9XdmGA eZNyhcPRrG4nuqO9Tzs69Lt7Ga5u7+1E2CE4M3NyvRwFgl8sRTSBu/Yg9nGFP5S9c4ccESEBmvvc r1M998rOcjbNLFZkZLNU+HvQf+7h4v5HvMAAciCWkRuoyXrzIzo8cKyeSOmJawobvv9iZtNVhVFm eUgkWppY25CCYwvJP6180jAQC9gQs05Wo/qCICt4Jto4JZmWl64QZO5GRoo6iFgqWsudrLIqgO2m J8ZVTkieeBV96lzdxV/ADUyEwuTeuwfuY7kf2TEM+GhT5kp+ZV2tmlKyHptP9FGEfS7jRWAcRo9k BcHqXZYHNCJ1zJfMWUmEOG0lRODyMiHP0K8kLQ88D8vK2eIYRH0TbKcHIz59x/SwquyP29jU8d1j BfbEIZmRGCfShI/j9CrscBhWyMnPKf9uGACDoR1SOvi69h5aeNoPthkEtzlpPi3Je/SzmrRbF9FP o4js7LgGWy79PB9nZ/kx0OH2Nt9AJW3joGyrYnLfqQDua2390Gyup6a2ZKDnR8YSNjhuT6rNCrYf 63WJFZL/FhMzuWOerqvhH+SEvshCbc4FRrLzQYC8Ypb+GP82K82gnb7G1bJgK0iO8RumYvgz0c1e ZQ1jbPtPY+ny89qNugSWrgB0rdN/v0uFKnOSaJd4A5HEQugdGisqwIWWv/GdDnNVfbDA3MtSP1Ds /89ZOg0987sGk594AvGfQKd7Y1jzlQdWtXUn2NEdlWzwMSPFryrJShfWUd4I6TpR/VTOC+67Q2RB EE0U8WQE27JekwZBCHmw8ZDjYRv6wM6tvlBTOo+tiIi9InoO7/hVDbGTKBOZHiIMh8TQLldOLi2j rwoXvQ9DTAuJhCXojRcFgcLmB9DcG0jctwBLCk1pUe2E1bCDUTVrAFzR90DBT/QTNkoUGtpXpx67 xRDp+zjwwuOaB3NLc3hGDVktuuLQEPFjwirCmf8ePaVpQ2qN4BsvWB/Rl+0N0GgY20V7uvO7Tj/X OVeotzX8leQ70IjU8K72W35akM8IbEruIugx3JK3mw22knT7nn7plLH+9nMS/ruMjkXofGUZex5U amtukh4EGGE80Ufn10Xjfd+9Qbit4qkZ7SCNbd0wFrZTZF8fqnzLDeCSGsnfQdKb31gDItGC1f5P GZEcdUQec6oTseqTm80IPGfT1oQAExWfMMXDPx+IBm4sh2uQGyyRAb5OMbGcuKWvSY+TvJnAeN6G NzLestTARqN2JbzEm1sJQHkqtNZnse22Ej/UlnPaCrOTbJyVD335csPPRuyZDPXhnKA8wIY5kmkT a282ZgnfiIVN/x3zhyVnu4fZC7au/h84P/G241uRU9kTNhnK69OodQYCJQ61MqA2ODr24maV3mtS /wI1TImB3iSe9rFRtvLbjsesCRToIan+zDNMxJg36BiimbhW9XEPo3mgXGidkX07QZtVAQEG44ap 4/ZFAVoq8S8FURC7u6XpFHAjqzk2Z7Y+Iq/0Q1QVIQlGief/ofV/l1b8jyit5WITMCz9Jn+ADOa/ koTUxmWQJznknFWGsFTZ02DjIbk9YX7/Nd9niale/nJESj1CwWYcW5Whh8i5clHOa+DxzOIiPF7v hvCV53sVGPWn4r+MSmEpBqsBjbf+ixQvO/zbjnZE1oyKXxuTO/CuAaMIYOcUFhaFmDT47I5y8kQ0 qJDfdkVXD2VYlwJiJywUF08+PamOvz5+3z/9Kuq4nbeu3RDBr6SdnmVjLRE0eWjZ7wm493Gfmf65 FaVa6RUulsl7u6spbUbtUw2wJzO1OchBIpJD1bC91ctXiSwfLS46B6yxzP5PNZML9ngYOUWLtoex g3U0Akr4Gy6HELrI1/kROvotNowncIURCXjsb/XbwyYxrFbvbWaUWqxTGtNlX1nkzW4wsRShchf2 kqDCpAT1ELFNn45BY889T5OZdSUCZkmDV8gE89F/mnSIxHcq7pifGWdCMDUQnLrq1gBibohd9y1F W1EvOX7TeY6rVj4upTvdWlpQXdBKKTmRY6gM/tz9XwA90Xgg5MrjC3HbyL0zwoJruWczBlzG8gbD EqH2z+/fiZPEdMOOiJKh5blqKEFsggqzyg3znUrH4f9IusKNPH0FbAY1dGvl0Y1wXKCNr4WTb+I7 IXcG1WNzKK8pDk0yTxV8qIrqGs+P9+4W0dMGegqyNmAFbc2Vp4LXmQsMtyO5b7ddrXkxYht7Sl3B r72tjAiU4KkF0EDPY+rT3M6e3zsmdolDcr/HSPTVq7vnXeeSiz3OZKotdXrifrb82m5vw8JxmpvO u224mJhhUsCCXEW2yBCYJqZ65qLkbTclAJdHehxdhF3hX4zu/XZye6YNLdFOYF4nmYyFRh9QgrvZ eBBH7ByqC0ct3JppXkOjzolDkKwShMlxQ7vkb/jpMnCw7op9otWx/Kf57JTn2Ph5Zd5f6NpPhLMs 4YlFCqLoVZW/um/2bF87o63KZ9l72ieh9JIEf0IKVQ3yvLXwtXBavKr4yoAWF40m/In1mnk4GXYW tirTVPMsP69Dc7V1xqkj/zC0D2byCRhcXhEdK3bfOPdZKD+lgniVlEnFi/1sl/S8bfwBZ+OMF2/0 vk6zF1WjNUGO/rfkQrD/dDEdZb5/fgxm33sQVnGi6Il3ceYWndAeCRRm/65m837NU5juSArFoPgz 6cnrk9iQiw/8ximTMX3cZN98cStNt6W147ONVaXegKg55qp/e5TjTY7wZJ3cADqQn2Bw6YV5+uZX N28o8DxHRs7sTFI2thf7CN0ArKNUuQ0Hd9mCmAEsqrPQLUT3hMSBSgjVnf0yvF4iKLqs+fSoBcR4 H22n150JFOyrrYW2K1+G1SpzcXEQmmYIjK+IfmlEmdVwuDtnjQiXLIZd3M2sGMar12w3MS7Yq+kx L5cxItdYcxaemSfclO9LryBUGZvaC31d3zozkcmcCh2WhUspa36/OS3mTmO9vHjAaq+dShilwZtI zt2sg9C9DvXQDvRBRXOGdVU3i3w/ZvsVpZeu1k/9DBy8/I0a0v7NH0zKTsSDb5bDL7e2lyXvd/Fp I2iV9rOn4BCCP8h6mIVXdccEq6IV/DcfQHGiXMkflV0hAyMcQ83QOKVKaZRBsZpSelm3J1DgDgXL dJggdF9bSBXfbt7bV6TWZ2DGmcDDzGPkTtXWlAFuVE8JuTFLItOve3lHDqc5x3SXnxrnkckKziaV XtuGgaxt20YveELRxH16kum+ONRIosd7uLumQawImaYVovfaEQ1vx5Ax6VRue4I318TmS8YACPx3 XYSYJ/F02IVj6WqbZo2g/7kM7u5uIbgxZxune5FdYOpcpa0FulTkjG+H3dZyI/akiAAhGm6pURV3 gzyAh22ckJuIBwsOyh7RVXc0WfpHfg2fxHCyhjG78NBFTBkZiJVYRv5d9tDlE+W8C1wV1+IyZ5XM VG8zY8biw/U8IISyPBINlXMkvzh/quKYfGPc51J903rQNyF4G4FY7T4XKYgAY/IiJeTC6Lf1BFzu 7YZaOdodz6CiRQjX1ZqmCYPVKXnQGroL8EUdIEv2xjcY8cTg5hrp1mHAAs8pH+oP++zJ8bWzKEev zTqF/qIO1MJsfu9g+/rQNyKWqGjlWzV0c3Sh3+vz3ADkHGUnQJRozWHlpkufmI+tijJM5j6XIIly XuQdYGSGpjUE5nui70zGJD97dN8baD813s77I2V7QOzZjnMFTScCsW1KwILsZuod1EzqukVIkhmu hRP0deImGv+2yLrYwJ7LMXMO4QQ7vNGTdyWL+PJdAZqfOeivrQl2ESV3kOuPGe6m3TjHUieU8pxc RvI7n9KBv4U38yWF6wnE66V6knb9m9ez6T8R8EoCPPp3zMac3tpM6yZVJ3F65sKeXa+RaeObOUQa zZfY1KMRxqF+W+KI9ZFZxAgrMTDxwNS8VglIpsYqe8J0D+iDfReGVV2mjiNDKNwXnijO5W1WfkEG 0+LNgi3iglO2zFXTTr+lRGyYDt2MmQecpbsSY7x/YaxpU5JulRUfTK8wddiuy9MwzS8i1w7RUEHw z5FEXjeplmD4xvrucHcRFUhURjzREhFAqBUVwkcnTfsU7Xklo5OX7fuatOTtg4wNcPVLfA/vuZNY qRyXizFVuenz86cqNzzcIhKe75AvVvuJNaZ8lbGZusVfzeNkQyRkl5eZTIunMJczHXBsUqAZ2laD 2ZLQGeAUGEkTU0yR1USzEOQMxArNvYIDJPZ46455QIkBn51TvExuV+F4Qj61TPg811d2UGUmBkqK lqT5Ok1MukxKk8U6FnRSkCpIU8XtfMRCA1LmFUy/SP1ubS2KLpJzDWcxBwyBokrTX/vwjwcjXpA/ J4l6TlJcBhn0uK/expfL5fsRJkz3E6YmBS0BNUg1BexFv/pVwKFdQ4yKEufe0KTZX7VeGUs1/ZQg D3NTQG6CGhgYiJcz92ub1UqP5BNluID7SKstONkEev7nZftFG/X4TfS1W2vEzjpoY9u/Lzq2lVlN z9WovF0W/E54o/+7zbwlN2u0jJ0JV8d16MzVEuwu4fg7SznRHj4Eq1Pqu0YM7z0ZdViLlVsjTnM1 Gy59d9ltyAU8IC524PeovscmY9nzO5MeYaBxRWGBL+Q1cUxvrKKPdP/I+zcu4fi8rQOD38ipOC/Z KL4h6Udo5WGI7t+d17n390McQPKZUdKbOoeYIMiMtW8y0YAk4hFXHXd6W/iwnlyfw7ASpcRPH79x 3Dw/cKlAvJgusq8EDQEYfcnCJga4KoJrQzuiGLRGwsR2Q39nGoKBE52uWT1xHXXHfpOpjt55gI+A onUfdT4Ntiks2oO+Oq2kHUA+N+pY4D0GragXJW8r2d/UdDdh2VjV9dXH/j5RyPheBU0PzUMPkiig M/tO3H80BuSmlgcgFpNB77z9eaPDdbygfdP5evpKxwge6v2nLfJ2THP1TWA3nIA/VCx/6V01pqcP ofvOZt4o/chC/AsO/dTvQLpaVp9DN4S5ylCWUTuiJ8sZM4UyVWKBA/m74RKEOhvcx7zXSR16laZ3 g5i4H9H2vcrnsgp1++3WCOE7JGAbZFMnStzLPZJ2SAd1wv+DK/Vq0ibC+ESUhOtfWqyDyPZ8XJF4 o/OlHlnnZFOJHv5UwjBil1jreobFGRJTuVrZsdGzlPYhzHjlVhmvUKX7G/ztgYm6VjsGyJYqrlEq u5WwrsN+Ogiytq0Xj/N+/6qgszlIZPc3a2Q0TCOxD5FObCu+T9MnD2G9nJ283Z4nZJUjdY/ZswoB pTWlRhcS1SJvtkN7MtejSFP/oXzAuYjbxbn32spDtwVIwwVUagVgDNMMrevu34xPzPiqvGaRw6cc 7EGVFGHSogBOuxjlc5oxjCeZyd0AwA8K98K2565eawPmBDij5P76LeufCcPFB8RMh/rRuAK3g+pD mnuhutn8O1M7FOpfl4zUESp0gnuNHUDYjhuLzeiQQ5xrQG0/HBg63bjgVO5tpaeIGyoiyO7xGWSr e1KZqDMl4z53p352E9iT1hQ53a27+cjFnd9XLOF37/QpW1xpUqBfqXuWQlSuLOlAzpqpcg1mwvUv vn3yCDqAiN0r9huILJgnA90c6p50uXo8AZefQLxIl2GsCPrchSbM2mKPxzOn2X+WPEm5mOxkB3iZ csOyBSPlVRGQWZEmV+3UvVMQip9zM6G2Qiq9XNzRkyiKpCCZduFoNQ+r3KW5lCgSKDOEhg6qGxBA kDQS+9FXb/bOibTd73xwHb5UPIjyoxixb/Q3sWc8NgB7wk1x5RZb7ZUU1oYKzjlX05hQFPowdvLU Px6WUV7JAyxwVxxfHPm8KFvYbBg88BYCaynZYcemuakn/DN10eiKGr8JvN9S4TifuTtg0a5Bklzp YM/ApGb+yrzjSmAJsBnHFxQVFrsj0/M+AEkrdTiRPYZAsHzXKovwkXEq/c7qYWZ8oW3dLZY2K0uT VB4A+I0XEOVEWSV/s1jQbFoZ6YWGsW5t9IetJla9PLI0R1R4wq2oTO9iG+IeaI/CDI9SwvQ7uote 8jeoTIgfgUaoOZfVAZ/aWMxqDS7Sm5IZoEAC4quwb76OxEeL9HoDjrWINg8x8XQ5bfw9t040Wz5j tvnFXzUEa7uf9eHNmM4OhwLgCDYHxjSa05Epb6fKm0R0xHIHoTewr8auMW0k+tYFjPLG6qoPSzUH krso1h2eGTjZ8AqelXF17CACi3Z68Zh7w60HKTfkXJbWDjpxOrmxWnpxcMN/NRgAr0NpjLq+BEkA fdEprr2tEaQ1sWX0X1loukQq6hdiAFvZguQ+dP/DsK3fbazamKujerjFmjb7OOsEjn2oBYkbXX8n PnKQ3vzZAPu4+75EXJQlil4aZWD2VeFINAJFLQJ/TPx6SAnfmueTY0AUEw8Znw5n/82tFkADgGuM eFx5+uw0dwIwgbypDSQ00AIS/Tz2NL4A4LMxPd9fe7UnkLhFlIhTs88uTMMMxJFR8iMvJmpwRkgK JcmnogmjHe3Z2cer4IZCKlWCJt35PW17GnUVwBbITbC+JGGBr3fD5RS6Q5HGRh1kYZCxaH4/Ye6g 8QEpo6ZmbC7fTngKUTYcVd+ElEZ8ozJB9m44N5WDt3jF7oRrKr7r/QMjbsn5RTr14LwWOGRP4Zsm 1c0+h8UuxUSMZKc7/SVTCNsIDLQOg/Exljaq1UZfqeJoHbqXWI0QIEkShaeol7xVpYsnKPfx8tLW Wv3bVp9SGj5ry2/QFUYBfyxuLmK0g5AIa6qWXdE8brCX3qR3YzPLJAtolC7vBukOL0cMF5tL1j1J LTLyP86Aa9aBINkk+8oLV79ybVHjLhsb9WLx4XN1H29AUNhohgOVuvcsyC3FJkgiMFk/qQZdeAHL 9GTL5ovF5W2zn+PwGBzInjzJLogZbAkXt9aD7hz3oj8f6DoNIc04HC/xHP/k3LYpFCpWohVpmhkC p6H2bfHtaWWnlEZlutBqvfS/k7ORq5TX9bfMiJ95+y5WfgbIl+Q2fzBvCFthOsKxadtzN7MOZIX2 jh01/uGWK51c3luIYXhRiNF7jQ2tYYgRkBUJ1VdRPZlKT/JSFsbM00wFq+XMDthoTpbGqLATrO/+ m3XN/dJr78k4BM0pxaTWRryye4UjE3yNRwjNlRuKXs53R0PMZIG6dB0TQj6f/K8CdGr69+38M4sI qXtPWFhkl2TypfybuK/S+WhpuwvFraC0LzmNXxvQktOzlOqKlJIlmBfIAlFBY4PoFIk5RHYEgkUX q/zI/KRVazrNbdaoiC4RZSgaJ/DKXknSeg7nfJo0FmupnLbOvUPHFhgSiuMe6/sHlatUJMOiwGc+ Hkf1mStpG0Rr6h718atytnhXHnNDscIpMXmsXka+hhNc8IlV4TiKMbXoQj5OJEAgObi8fbja8cy8 R8SvUhNkT3NA/BtMj1gtqi1F0cWTpRij//1WY0NAhRpk3IGR0YPj6Xdsg9XM/HhiNwWVeQEb6eXT ooHRxuGFHxyIkeG4ARIBG8o9duCmIT0dYnAjcLR+Q7/y0p6mB5zKaZld9jBIJdM+kX2vkNAc0mcS QU3g8dyWs7WTUB0awMpQRqYnlI5/+kUi7zVFazpono1DzLkIGAYZLVMi5uzdAw1vPIgJ3OVpsV7f Bibhkd3/8ztu843mnM9kbsObvkelkSiwrPfFZLgCbhUt8eZeAP+V0EO28YLWY6AeXj2JaU8CuoCR BbGYaxEpve+YXqFFhhapWTsy5tmZG6VOKZFNzs8+9nyC2D1mp6TA8uZ3WJNf2XWDiE85FUtD9iqD EpeAND0E9+3fmAUAsrnqK8HEekpi8Qhz09HKZexGuzGs29eQH1udI6Eu9bDKnuPiace6TQ0hTqsr EectHaZ+0Gmt+XFqBSRJ90MH9ibo18HCjJ2eV+9tKxlHMFDqrwhVppekSOtJaxpQmHb0g13SvZN+ S14xYBwZ28xOTJFaxDmr7igFXs/hEwj73ZDmB31kdBOlZgVyb0Fc1OxYpsPrgAHLdw0T52Sg9vFc 9AJFXrhUsmTM9OR7wY9Ncuyy0iuDobGQ4HtR6iSCrcZ0/uhhYRG+4XPDOHGhUrByr6RRG6m7JA0L nW0BkJfRPa/5ibCmW5LV8bReSBNhu0JxcBeJYYGSSrFMwm7UL6a4sDOlwNNMu+0S8oEnXp+mAqGN eNXwf6qrxjmxdhhaSigDIV/2SWuNDXp31F0e9VitsqDvum/1BcVuXtTISNqzpicZ0mKiXl1VuojE hj5uekrGryb07q2KiLJyMe4ZZSRnvUDCYwnQlgszsR8VGJ0tXSMuAeSlCfEe99L/g8cckJXcdyH5 VxFKwgOuBpc/eexEp+IOZGSyMXs4QRMCqdqfVDGAfIH2TRAlflpskBtq5r3vL+tDnLCSlJ+2QKbp rjv0/h1nfajgwtee1sLyK6a8pcX4xDSUa4/8wMlB+6/2M0fMAqzWTCHT+aDrxX/fsYDtqd35h53O 74Ozff5BDuk6UMncDfI+Xh7RGftbW3fNE+2g4VLGTmkkj8b6rL883r4KQtcMEZIs+v3jf4o9xfe8 t3bAc5cUWeyNu8OqiBJrGeVg2h5Lh9KqUWXM6lcI2Zw36SldwVqprEL0t3Xpxo0jiuDVLoBOVviU ywmW42bxBWKUYzM8WQAFZwBuxHXFeI+ekeNUqMLxf/R6QHHBOJG8YGQKo7lkbLed5ujYzGcfl7ht 07Jk+lKSLTIyXjp1TqDZRHJaoWeqBftCYaLOtLShh0M8N6qImQW5g+M/NISePQmSaUzhNEuGnGl0 ElqexHzuW20WSzq7vAVXtRRBjzIcjsegMPKoIDFAEiJMpzhqmxpD+ZM/tUc+l+22tWHRwv0qss0L N9FlkQ9dNUnUxHYqfMDVoXQJ5nCaBCK0liNfF5ZS8QsJfZgZvwib0BDtp6i8+sDa+rACiCz9CirD zVwka2+FV/OrWDVTWtgYyvT9U6Ym38V/Ra6WyzxX33pC+MzHKVBtyXsMC2QRyHuR/CJ8W9aiG+lM M8BO4D+MyF5Rd/j1kQgy9+zSvv/u+h6nYCbLgH3+EaogKtZE3IogkoAMBST5IUuKjtD+xL9JFBXW DqBhHylpk7C6kSmjfw15MHJTdzzKLDKCnZdnL021ez7INbKqwZQbOG23YF+jT/b2VrTEupnQ4tMo v8tDx60LudlibrL+VwmqfpM71lpxSyYH84BYhtGjzajPARgLJpQLJqCOgX3jDpQqeV/H9RbY3pRd 6d2EW1UdcEN1Q9YIPf3ReQkKq7iMpGv+B8w/xsBJ0T5msvNt89FEtxXkCZ0MoK3JAdhEcTM4r4MD FlsPgjhFsvICTOHIwUKKPO04ee3PrejwEt0l9rdyteB3xgw+b6Ri0B+MBVSvOdzcBi0dIU39vYPV cZgj54QKT85W14JgOCLfAYGtRklQ7QcqKGV4Tbsg4IHha+op+VCYU5FhA89WC1pU6G6JaWfwfLQx Qw/tIvL1bX5vcNXIEbAsuFS0fRtWp7qdGa4kWz94pns1s8dBY+xf3qT0tXKZn4V5sQ3w/iUWqDZ4 NT+8jhJsSu7OiG9RuzYcv58Coi2QHKzGpGgHsREY77Ay4Ne8iXulMRELCOpRYfTi1fRR5SIdnwjL 2ZuwR/w+tTGt7rr1w8LaN7gUm2EhBDFwQbjXFIZhuv54LjeFjEZ3mg4FKsNTw0z4/+g1AIUTgYw7 PWn9uRAOtLV/Xn8gVvIC/FdZVKR/vqfKHMIn4HIB7KzsMck17L6nD0UXrEVzrd48s14idgOeHFF9 9bvDiDKZv+ozAg0TeVOiHJte5yN1qA0N2xIOX9/KEHZsoMh79VnFd5zQy4rVqTlZSvy38HzBdsni Uhd4i1uFO/1XveXHA2U8Px4jBqtDkMsRwzm9MNbCXp6QOK1vH3u1Z8GkPkMcFdfS6HEvV5RpLEfZ i1DKZzT2kAMUZOBMS0vQ6NttpizHKSGOg7mLVNckCSd4zW6Yj7YL1BacDIRBynzKVtwzDHATkeY1 8EZmgRyaV67Rkt9U4CncfpKBkAJk7fo2ZbnZRpfDRNzgrfQmTD5ojkXH50MjTBMMdgnaaMkAsmFE QAuPxNvUQASK/sTMyRoRfX58nu5Dq6JPObOZyJ4qgHj+omqyGLf43OqgAx81y3zLtZiRv3zcON8O A5Nm/4GmT5FcA3uiqhXKBlc4z4n/dlUyID8Jg1HlVf53nAgOgmSslA2e/x0pdTLX9DsyVwFYGrPs KzomKHfKrpW6/Uc4/9iZf1A0J+HcfVvVXIlmkJ4gRxCwt/Fr2cMchvXv3W+W2H4qytWB/KJT3i9F 5bQ6T7mVSDUQK93LWQYlmVdrmcOIesLxsuoL0i2iODhiSZbTB1w0LDG4QmhGOEIPBEW1Yycg2XOz K2YP+GzmPUHl/Z/sBTi2vtEeNUuM0hIkiehdX+VwiMMNcZ2RcSmgCGfcrB1nN5ZdDUUFzbb+AarN TqDhQSCblBifdUdBXPX6l2kF7mXXSSzwY9o7fxKkI5Z5wK0oULAl587YuJ4FFZf4FbYjSGp2MKS2 zpqt62vG7MsRr5CgDEqrJ148yILQg2DMqPZhn2xnAMmequo0qVZU+jhDK17vWzkF3KWTQMi3WPyu /pSl22DI7mv97mX/B5/jNNjDJplEMAWdRxwPQ1rewmNeb/Rchnf7SFqRePBlqjf+miWFV4dbPMFr nkZrtRqM4m/HRE3VZyLpUaYmrJGX+ErSBZKGgkSMr8NF58+VLOmI/7CFSKVchn9IrJRrVVe+xlNc jXyJ3eHvWSeKQUgZddeK5ZuXodZL5Dyc121aW9lt0qGl5d49zIoq+gUBGUajB9cq2RB8k5NGi9Gy XkqqEZ6cBbECVSsKpejXAY37fOiNlMo3SyjW3vWs67TXffP+RkfhAnnG0G+tRmHPuMNthmgF0kwk 6Vzryv3qa3RmIEnHCOXo7wc8e9hsJLDtZbXxJf79NdpWlHhT8fC8NjPuRGS21iyBhWpDzy9Gs9zR z+Ug2MrmIIG9uYNs6aJFIEdKWNUD6pti4hqPn+jPOU3eZb2eCkY0Lhq75jwD0z3a36wlz9YuIJYo aQNYZgNnTkXVr8obHPMmM2HLVNPgEZ/7PglC91xe+XqFEhSuilmmYKPjzR99gXAg2aFs+mT7Cdjq wXNtQ/toeq0z+r10LAUZKdHpATonT7DMPuXuwSN7AL9JOKedlguDuob6ukIKeueeXghcGk+cltAZ aRiFuC66t8kvdFf3hqJbghaBRcd/mvCS2r5es9of/Xj69LZjhaBUbSaCu5kfmKn716YMECCXgzpu IXthJ0z6oFLjr6A14H1Ky2T3MAi3Lv65VmTHHRuQnPsR47KVT2Z3DnAX0y6HIDfEIOuPn83iOdIo 5mqt0u7OPBvw838fDwWNxL/KQffEJMHAf6JoAjqJEBXafc30kDzHZDx4wzb4fNnnhlx8aYwkqEYn WomuZwydaa5ru+w+G/gY2JFbOMR98bGMnmt+Q+/NtLgqbc9qiA5PbcPY20VqQQ7Jm+o2DQyWgf6+ 7M8KEBQznnoJctDzN+YRl7ivO4V+YJ+4Xl12fCcp/TFgREiv+Z08g/aLnK6bkDb5IQu7dectvbxA m7gg8mRv7/vnx7wE2y3HzvNoRnLQKx9FW2IbvrKRqPYG5+GZYlZ/fwXDeqS2dwOSdiEzrM0/4tyn PJAz12emQkhY0qVdQtS47MZkfSnUdGj+QpPD9ecIeR//MquZmiahlpoGEeQTxaaHgwBrkMeoY//r As7/tP4UGw1sdlOY9po+gBEPWkFH8pqnuDwUnCCz5fmFuo20HembMJ72HmQhj0/UGiWLm6J5GvBP Ya6aEuJd0jRwB9ONPAP387XlfI6N6Cvwer1eijXAPqxASVnGKPORs18o4XAjyqhUtTanPZSMzqdk SEOjsIj14rEiPDm+Pqz+OzYP42mWVtPr3D8DKhcQ1C9+PBzZkEsvI0NC5/w0FzeUtZO6WQ5SkaYj vPuT59/hIMUV8q07cJkvnWpuOjl0jI5/oW6nnaZgIbdBj26v8bPxk8UFx8rjn/saY6+X/Fd09ztw YxTYn1/JNy7m9PuiOY9FQwe2Xr/HtXHujVxcHoFTi0g1Bbw2+sJVgP7hwpVtmV5LqHrWkhB02O7p uqEe97I/VGYIfsuk8AxpM3Np9gSAATKe0q4BJj/8DNuCskTRu6zDWFUUV100Vz6FZvgCVY/4qa4S G7D33DRPPh5KxNPz6cnP+m0o0nKavARukNuIBjNpiX4KMJ1FcJDxi5Sc9bIwILZ8PqS3N/Rkokw1 Z/AtYOR+okhtKVmJMkeikZ58MPl133wsTR051ImICFfjBWtIUbilpKKWZsa4gQ1Z2KuPb7Ab1IzV LGL/VX683/9CHxulA4MB0JhUVqJFjBH5X6uuoshTIP1Rp3pblXUJvWVy2Llv9kTIjWxdvI1nSxXY 04cNXk8tZpYI6+8tb3ijTri68W1h4Q2Xm7ZkxiSsDeoRdhhSaH71FlnZVrInkfMaSoxjR0CAV7bo +6e26y0hhg9R5fB17ANok7pnHbRNZvzzG9MuBNDmoCKKKuJLiOWrVWfjwjSpgmV9/DZa2K7jRQzO PniZFfIYkLMGmIOJquQsYP42UrwLEOkCNqKBHIeIuDcZSt0N027Vxzxjb5dRKRAQpFrafHmR4/A0 /X8PEiyDGm7kU73NF+bFRwZ3nbCrB975Ab2mTmGXxVfmRCQRmwtuOXRlyh0c/tLNAVXHcjvPlb6O CUO5mZGYQsjTCkGyjAjIV68Y+z1FMbCx15IH5YMm+YU1x5fGrmedfs9nBiTNCe6ZaVziqH2tQ3fw Sl2H+oyPcvRQs/8b61SoEy7OrKEpb3+sP0C6PuYGQMMxoFFhhDtMMX7mkj4+QJ1BTAaWdSJuDA31 6UmZdVwnF/CAHEfqfGkYtPH7fhVh5JfeabBHr2rkJKmIG7pnmolWNU6x/kdgM+zWJus+vhh3va0D 5CpgKL5iuALj9IjHzjJGO1p8DCdBK+evkXmLn0xVYoO+R1fnQilQBfKfedlpJZjC+SkyhNUmJe1L 2HUXyM7dZ3ZoGb8Cm+pu4MaDkmrQBQRk0OAuxnNSaoo7H+V2NHbGGUncRfKOvoFwyehA3LBsAlXX OX5Z/3+h3evKmyXg2ous7sHXmwZjazwM53/9FVB4SNqQQLmnos3S58dhFtyKQmFDM/qiMM+vyqSl d+GQFMwEikFIuzKmqcdTYwciRkjb+bVZN70x71LGcDAz7ZDKm2YQmhCoi5MAMb84rtc0fA5FP8e8 99XcGFQZD1vJ0sHv5Lr+WRMhtTQsjNWWPqv8fN9V1Foe5HF003AKEHr+gTTTgII0WcCi3OkXHZYI mNdF3JJacGPTSuBPiyUiCoulCTEJ+kXb7S3PRjk6KoeyNN3g9RhkOfVx2vqNnWwFQtD7XJqsR/De Lij9qZTR9ojyPT8byrDcMlz6GEDXJ3jKfWdsfpza20JI9pCrNh7A2t3w7Y7QwP1kuwMMo6MI1kuU E5TwhmOhQCR7aaeAhLeNxaO7vi6OqYZagcVK1vfnqy7mniS/I75VXR0l4wJZ5NwjiEKC7/PJkXVN x8CMHSyHZ2ep9B3YOFNm0WotjOLKRK6wEuYK6pY8MDIBYyGmixrKb7tk5KYJ25p1S900XGOwTeav w9ObBqMEx4koiL52X7osY+07vdBgpcGaPzCrvQwRSYLBlJGVJSTyAvvOoeAhpWqgaJOqyfkEZ8Js GMeqaEtIKxcC7kQtMbc6ygYSSG0nnvWS5mQ0DmCMfdR8RzmAYreKsyJ93KR5sb3OZSQOmX4TzsiF 1R+gXrNpovm34aC29Bds0MxeveyoE6B7tv4pmf9U7U0j8EWR0uW1Xr9IhUp/7y9KbsKf0S0vBgLM AdkESUQfSFDTtkSeHgzXrYCFc9v3TMinC1mLZW8prv4sx6rBMBjA/4UF1cdHvk74bx+hMAHn5VP8 IP/0FFFo6B0g86Y/5lsIaE0X9dWonuT8cKSb/cBjcqxQexlITNmFtI4DjjGhZLOy98qrn24saDdZ jBd0J26L+V89KUpvcrAGfM0703DbF5KJX84Xr+CP+g5hzuoPApCr/FpzGNYPwOZgwghI60w3pOsA jT5/B4wtXumZ3cMK3tlfXpTUl4V8cAhqAuVNnbL5ujFcHwn3iN+CEIUE5/E5KrXINtRTdmOFTCNX mypnYbKe2qYNa8WhC6rNhGdc9BmvZi54W/9+6P46YYPeg7L5zAMtKWumdxzOG+qbCdxrYwIu/3hD wWPD/Z/4b+aq/1c7Va56mjtu38UndAGMPg7M6Ih49sODENkYnYFtmZLzD3cJ6U2zULFgg1Q+USSo Aa1LP5s0kMl4fNhMtMgmAczMBaT7tUcz7TIBljBpZb+AcJ/mB7y895tR0WrXAqCJulD9cuD5+t7v XsPIr4l7ZuFj5+uM2MnGDZoyq4c5JG1lFlJghgIZDqk4jxx5sIxlFVkknHxvNFT2099YuoVAC7Uj rQn/Rw2xtqvzd4i9pvmXcOLZSsAB6lInC4Zh3tCiDPwhJASLHtnEeZx1y/Tk8EnFDaIDsBiOhnuu 5OKK+TIp7kSH6jcvfuPELBnYMmCcpJI5mZts2QakDucg0tboSbdCa1P2c4P/VtG0sQUtccGmgY2U 9YOcvdWEibXqlV0G+8HtmQLdrjo4nkQWCidwVKuDYMqZChjPWNTSv8j8Zp5xxvHpM7JEXLKYB+vi UoycGOVMrBuC/zbwA6VBHJSomkUSNUP016Yois/a9cFZKa/ecbGTWibuVFNx6fybf5sWVqwnELZw N59dteIwL5wi36diGtleaFSJkl9hDO3RrW/j0cEGqp/qwNEce0bAAvdQEYvTt7C/4gZnVtgXBSpF b1SRz1ymd6TjQguOS8Qo6ygqEVd/41koU9NdTsMkdcNPY8/lDKR49fJt4gsYFeT4sZ0k3orU3Fn3 zxsYy5y3tceFLsZMQtKBuS0vbgqMZ+AMXsRANeGpPBysRI46WnwYv10kpbkVZ5UzPQMBA7aCU8RK vCzmcUSfSh1dSXeEw0j/6DFFiJmIxdX4jnUN8uUkT6WU0YSI+F/6uuDBupUr8e1j1JPpqIUPZnH1 FbSanJaImuhE8MIXXYPSBJMneGrAq7ocqYIw5nyYj4SPGk542VLAA63bBKzdvhZ9FNALIXJE+S1S xNygHMrVFPSfqEBt0X9RzNTkypqF/BeWRynV7QOdiS+CMw7kdXT2A+2dUmquwQtKsDEx5MEUthQB Wt6M2radpcQ86uoVP8t6HQ9Y4AXDZBq6Ww37G6Iu0lcRBnRfbbAVdHsdie1CtKkn/u0WoIRvWmiS lZSg7SJxdMM3zfAPdH5kz9z1qTyZZm8wVB35FQ50lI5BoQDjfMBzxGJpGfTjHRRxBV+vIzjFM5uz FmPmr/cHA7/RzKFSzDErqPqW5GLtvYKAU6h6k9QGUkYC8yhrOojmROcrQMzXKoD+KNcgKJ9MCFU1 WTSkhoU6C53Z2ULtSR0ox+nosepoHPzrtDDCAnBZkg2aX2MIHAwiPlUKK1k7dRXxSnhQIJ7BhUki MC57j6xwTPgLSMRsJE6gDPCqNXWkAutU/MqqwfBQPELq7qgFIQ7FVWPSfQ4ZlnmTU1IGVGJ094UX lruamevAV8HNtXTMLothmdq5+MvD+dBxJbboQZZHsfcjNvV3LeWtnvO/fzVPCKXTMbd9/li87JyV u2B5OqL6Von+hnQkztJnij9e9rOe169aampW3gU6G9MTLuAD0iLxrrGsqGOsk+G3jiqPwGg3+zeF g7M1A7nh8Z8IbOFy+p7tLtpXNH1GEuRvTl+Q/2xdEZ8fBrEpzIEm+HTIIMiUx02XX8RbQRKN1QEt yFHqzDTW6+9rkpNYKzM5Iwe9gMzIwlfZOYpAi4UsqNQ9stRi9w7tXVtWkNwPa/H+GYKyRqxnJQPb z0xYU/smPhGJPvKNlxIlf3J/dJny/siOIwxp8DIhIHVQXjUl3+BvZ2k/vrNWUQcI0L5E1PQj1FcS ZZdeRLGHed0OIfHK0xqq9+Y5iu3FwOSoXuwVDo1cdXl/AOroiDmDdjomIKTPs5WMYEe1bqr/9e3E VOEY6zHWE4dzTnpVXB3BMT1kBvii79cjOsvPhESfFR1YS4st0cz2AHJMIpKM9zO6l9bXTYlF0TiH XQ0ekBS0ML1CMRHMy7rXIrbGPKhxkxYdci0ghjwKcXgZ5AQ5Z8y1stB5hETemU0BwBPDOtKRknGi qmLl31RuGokgx1U4sB8HWuBuLJsMfMN4oN8xggvvNw71Yz3I4XXB1KflWJf/Yxfz1eWS1q2KE6N/ o8SA23Ecq4wHrUm7rtSQHJgH2pebUarzYjRjH3x37e1DTnYY/v1SZ4MjObwjMcE15hcfsKzlQnjp PRTHEFBDYqSDuXEqvARl4MuDHyjsBUsw1wj+cJYGNP13KrMca+dKSIr/QGlAMZMz89Ohi4EtP+q9 3GWE6joxJIloiUpfbddj9znILz/wmLcNA1grN/sG66v+3PDViCUBs5XXn80OWXFGfYF5xSRVA4Xo IcvLqmvRBUJftZiJeFKy9gMhDmvwbH0A3gkV1I3xnU+mAfn6xQgaDY/3iSpKN56nwbXgSSQ2IKv9 vAwMWRZiyz1ccCBqfyHh7p5QpWyIlw0n/MOhTCiW3/YH/H9IvVF2+zS5KDvCTLiSpl4WzCcjCifI hdqThbJNDN1mATt3DJv9mCZHb7786t5cz8x8ZWKqdIir/T1zlzbmbJH/19mG6k8QaqieMyPxo4cN hP2UP8pLsGoCjXvAR6QnkmlYNXjlyzPFiFLeP2Q3CSTm8WZXrxnVDOpg07pS0d52QLsFee2URSXC 30An4ybsk07zlEnKJqpGH084Hb0cx6PAuf1aTCdMJbul65U7uXch7PJyb6yvdlPephukz4oZ5rT+ jO/a1tffDqV83LYxlHIRQoq/MLO1+XkRkJeBDGCTQTbeNFTiZ9b6ClNwPkyssTUW7SD12DeGRkVz VdV99naIBjKf0oBlc7kE3F8r/KS2LAUDF5fT1kULNhWaVvI5g+c7cJpBEwH+EFOORZqlMHF+sByT fkXIhLuPcDsb2A+BR3P99hBlhVuZaiS56oYo3saLXDqXRDHBmZvpqPijCl5CBBJL0cwrHKVsNdfa 7kVE0dCrd4jqRvGZLtFQUFkyzEXI/kQfupIDBjuIC0n5CSglQcvMljvCLKxUGqomzZwjeXxmXrS8 MiOgiSWQOLUSiDooBOjvPQe79anda9VsQ1KCCYSYkFTw/xqJ3dpUWmrE543NwjmKRL8+rPPezYoO xf39HeOIcmTv7tZ6qg1/ZaLdihFNjaRR1qPJyKzAAQfd+XLdlZELwJ3l2DIHSpemnmnMRuzlQF8+ NHsHC8mvs2xD4PUIUI2rkwjxyOfxp9AMV5DN7BduvzQCF+i9uEAQQsPQ1Nk1qAt8XAAkQ8gBQAEO Bqhe1PcqDe9+9/OJIA3ucNdmATCXPT6tp0W540s4A7Qb7nzyfZ0fOOx0l+1rgExDio+cSQ7joTkX C8iWeLZ3DMhIXfB1riDYY3khzSXSjwWDn7x24ac7ChfaI62x9BtKKZrT/CmXNvr07FR+gOfzxTSv vt4FLzaXjUT8haKjUaRM0pbrdzhc42AAx8bqmlcLXRa/cimId7YaiiSaOrjJBz8cqT2pTuMnr/DN 1jISyve5I5bL5MvOGSYcr1UbcYFGtNKeqjEDgWeqXNR25V3T8TZsg/3zn9XWwIh4MIO97wd4hhpX ddhOZvkcENjtr2J+z8Kc0cQh7G1ksH8yN3w3JIBnIKj4QzHsvf9Os7/68fnkYM+aHyCiiIMKK8Sv v/io3o9FiJ2PKHDxdPxyMRlnb8rKPYAMj6nFRE7aae9GtLOw2TMU6dVJB6O8PxG3NifSLlAg06lj IZ/K64qfXw35QvyyJ88uYhwua5hbYVaZat61LTFr1TlmSGWfnlbWPAicyH8RtgBeDG0rgjps2uxi HIxI4p5echw9b3piR7RNurVXF89AZiNpc1vhGJCYT3Sjj34d0rBITOML1HYXc9cP+O0DwQzFRp4Q zxehnwm7ISriEGUTegztrYewCeIZ72R8owo4i33j3k5mkY2jSJowZCRHo5eNVP7vR8NYzvDVhhWJ xnwedZ2FxyvnmV5j4UuU+96YWhjyBmQXMUkcHAPwmQ95SdsP1/6Fr04IP/KfPJVoOmzgDXKdzoVT BKlHUuKRowxN+/zrU3W2lphk5gG6tWfyFkkbBXic7V6ui9RQrReF4M6nProUgtq4nT1zjR8vZm3j kQXTRBjKQRSxq/PX0l083iDoSWKe8mg3i7chvz/KJNQBkHgSC4Oo/sy8zCVxHTVWckfwlj9BPyug wDQMU5NaDW5ybm8p20gVTNaP4NSqFhpOi8vEU4gEVF9H7asg3oisR+l1SjI3R8PgO4dinDIHroEu S17iJKSNdLK84lohIO/yl8sx3DquYWdFHZo3//V/WFI5IkcOwWj07YtrlcblZObU2+IatWFZzsvg PZaQwO2rkHpBWcuMMX73rWweC+NATST3Vbqp8y1UkK9kFbh41oEKlGLXc3otEx+O3SdxiDvPhvlS yg7okd6UsVYiM3y1Z15Px01NEKnqDyfsURN77Ji5C6Jb793FUJZ79CmLeX8B2GmTD1LNjpI7xB7m mYURpwtCFWh2Qz4w34vF32poJSgnCTHJVApFDNvd4DdDwrfxErPF3yY3ac3Wfr2SotslhC5+9Kiv DNT7TBusrlOHlwQKZ3qOJoVuqlw1XkSBomQQstuWdy6eWfOuIat3dPh92Z/gWNysI/oZ2zldVnOG ilkO25p3Cgna8A5GQ64eYsvfwsZhJxeISvmvRi+OmEFsgg2SDgi0xouYGmvjODOC0cxjqyBtoKGU fYUGQqw1nz2Kxm81fZclSvaGNxcXRkDNgEcSPA+2AhA3Uti2O9szNtdllOnvK8wrG1cPjbuPFklf NKAW4zkz+sw5OVyfWiiyjLC48gHKKbdpnVme+Ima++xinCjqQwIENXOEvUp0umewJl2B+L0kJ85Z IpBWAhXBBqlUeExg/vss7dS8pCuAgnC1+YxsHdWbROYgvzmsz6ais/BUSdL+p3uKNOSpdV7koeDC Z80Cs2xUS5dZOdzlcLAYbNpJS1No1nMCt/gYKQ9bMDNTYVEoku7qAuVUfkSlMZfrrj9IxCQfnKZL sYxDjZdqA0a/dfQjlEU4a1RKxUO6DQO73YTECmq5nB7lSl7irDGXkDRH26vOEdEAZ0Atcy1ZoHXh +jTqW7OEQ2/nao3X2bVq2w1qrtZib8AaAkSvmzcuCt+k3A6eCVHNkAe708dEUOFk7OMbf02j9QOK Bsi0tN0zNUFP1C0NsjEs5UcP3gY+uEUAFcYVgpbu9uvSh5CZtRwvBTCBJfIA7CoBzlsEfFBS2yVy G5SwDfLcU+D2FC/iA3tvp9wMNNRHaJYpg5fgKF8C7Dlfi0xid7h06C4oFixrxv6wsIPXyq5yHGqZ hLD+A1jlfWmp+QfIqUe8CfXRk40AlL3ieMGMz6qI4YH8zRhAXOJi8amyGZq6t3TEDShNbAIyGoAq DaY2ao2BtSFhnGEfovtgRjvrh0Sv0nydV3FRKP++viZfkaxdH6pf/OTSPVvv+AnMxZj2VncuZTG6 gPfziNQRLrP8cVIehyCqWrZGca2NZA4ScFgK96gQV0p7Izdmu0RcwP1QyAChLQbGi4XUB+WEl/UI 6/fg7aeVNT0BrfyGQ/2vbjxA8IrA6c6qYya5cRAXhEwBsYPqJvI+tOvWqT2ehUf0S36VP+0Kn2od JeFtLVjc2JSUY1SPiclzxUb5EQ6feF6WmbpvqjB/TbYcRc4VxMa+/LWl/ozCWGhdIUcWAOlRXEVy vp4BV5GK0jg6QqdKg02DH5yIZrjlaSwD9r+LH0yO50vNUgMetZ4LKDFprKjkHJUx43MNn8yabKxP 2dLuYn/jURj5A1AAgvE8Xcpl+VDo8bgXrpHUk++HT4aEldYXxZjIvhTL+VO2QmlJjT59TqgRSVLx SF+V/vJezNSFb+YtRuopcdD4dB/VC5UdWmQV0dodipd3pIph8UXPtpVe/wcfrAbkivX36ShwP19J ONCi0SZwsYyUlmCfpj9lwvjfQQYJWrQv9QGo9mkVKnX7LBT/KM4xWD5G0q6x9hw+I3KYnm8o+u/i ABTE5FCoBLknFMtWD85ezD3k/wclx46fcI5ccmFO1x6gMpxI1h3Y27XAz5x79Xgs0BzK3B1/nIp/ hUBXGBW6ykum84/wejlTwe9kbcZlJHBTBHFur0Kp27OZWrACsbLKRHhjlgyFOWdN+wvEq9VfZ3wF QImWG8VSyzYQe8S6zSxNorvnkOsUFo1ODBW9gSdtUX/AYxaakcxvgiyvxhQTko1NYsVqXc0oHLvt td0twcoePbq7WHjqHROcH/kV1MEaocHzRN6pev0PikGz71UhoS56mHFZAwtJK1RDLkRqWFyONMjl ERQkUM2WiPn4sgmVyyKreul57XIVz1Q9PVyHmWPOniiXuA8/3Bq6AQKGvieNMZp1inE19waIJsBF n0lEi7CJD9KvIeLuFbhKbmtx1iQgSwGMRPNcOwjnlHyStd6VZKsFB9Dj7F2CMN2CqwO9zmS7d3cN xkGXKa7jpsHETS/PLAYrUx2eqJmiuNCeZk4fkHD4j7UenHYngYOUgefhYDEi1xL73S3vY+uWNI1H eta6WjcGT/5/FMrMYTsszSI/2lvB2BUrrDZwJTEFIpuTePedXTPq1tzGHytScu0pO0IMg3QfhYNX Nl6awRd6n0BvyVpoSDisAvx6bd+5OGNdUwU9d2I6w1N3So4HQ18a7U2h8Km7MnLk8jT8oeDFp5Qj iO0k0sX5SVQWOIhYpzJfbZujwg5n6HjY3ZYJdzKre1+OO1IttxFg7aZ0b0YwIOZafE8t1qozv7d7 8yg6To211TU1FkygRdUx+emtDgT5txgoGlVcs84TGGb4EovyLKnv/kew8lm0wbDC0SVKtiI3+iDp 8WwGiRenB1kDhgPi6JpiIO7BC4S+lJvBA4riDjq8/3bbXRd7YPbRJ1py5Yn8326KH5hJFhFg8OgJ BYt/TLFQ1JzN5SxiyHDpGeI1aUSaHnVb0mJzvjKP6dcx2hezC+ITxDblHLKIIEOfT9Bh7kQYPCDq DLlnq+Kjs+Gh8Awpqg0w/Lbz8k7FhLXqlYS3csMIlWKQEWOmCdreE1IWCVaNQpC3+oaYEAfW0rPG O8geT32s9XJjZ8vIG37CiieYlYxiXdaqDQX8NHorEb/rN6+zrQSudCY5HqGNl6Z1QYhxfc+uVIxa RqpV6p1hJlrmnrFQ+sWhbC6NhpCv0fCPHLX9SD+gQuFejb7BnyUpWSRFeLLlnZ5cAMbVhdNICVVr xRRBRkMjm8IUZpQU98vNPYap/yFbCq4xDNmpot5dPehOjHQa0BUB+2J21KfM91uNlCcFC4jVJldr llLW5mWVNUqhr1sspN7egyJOBoHloISeDmkGEeodZwwwFfwCEMqeh5ku3tj9ytPPUWqp+ljIpDns LljlHfTfBKBeOafB3vpfYMf/eYUiwvjJuTkpeSSZKVhhOp9xUmHhYvKPyr/3wAIQxbmpimOyXCZE Ra4x1752cmv9GJvZurLb1n8cnhYxN5Ho3YVTCJewBYlVBHW5m8WzHrNpo2UU1dWflhgLwM9K3l04 SSA50kkeLZW6YLsslOUptHRflII3Mxhmx4M50Pz1HyyqrJ7S5WAeaSnkbAQ/i4fu7gI4pJF6Vg44 XDie8wry6395YNU8Ot8BwcKheNlVmOSXcDRDdr0Xk6QUsfzH7tEqB6K57cC1XgYwxraoU+45BRwG sZZ0YK/XzHJfnwv9xQ3/EObgbEnBAukDTJAPAR358Ir0F6EkWiw9Vj0aTfrxpr079o9wXC4Z8ne7 uZSLEFKfu2ypDjnlmHyA3/EQBw2U83/xhtdc9OSzd9g3cnmakGQeTNV1XuFVo98S62XqTZ7qETtu /YwiWS9zJSPqg+Q7opkKNkHMOXa10sM9/GL+8B0LrNXwiMgpShFh7nHjaHA8LLSCra7cneE0UZIG 2JX3WH3dxp3xZUNT9vMiQNBGn4GQy1UzV458nWd8E8QeyDaW+ys4ZY3HIn2T/xFv5fZOx4lKmyRZ qaOH9jt32Dv2qu4mMUjQrgLXRuXQKVpZfSsow7Yn0lbfvzwvsuIDhcBitfRgmodqalllZy9Y5QdU pZNxV7JaiSs7ykNABnckwjXjtzw3KzKn7t3cj2PfGthiBItw+AhJVRCqQ37ipOzeIzY98tcTAFLj 8BM2srUTwc4+TyOv3Rc5aobEJVNSlHXbf3cq20+qvw92JHALqtyHSIzbXDZH5HNr+LKdGHuxOPpX rW4P5nTBcsp5OTKtNDdEnKc+lx4CCovtxqG8LBz4LK3fGvHbcvvJqfchEFqC3XIlDmQdZPoYC7/r BFd0cSLG8CRUb9whsFsuY9gAtNSLGlcl6prDQZDOv5Lk5hvh1UBdjix3ZpUCSxF5oNt+pmYZm1R4 A1KfWWTXzKb177kE93t1wGEEDaMgoXDDkmrEH6bK7XDJi7fMApar1TSyy1rb71cMDh+NivgamSVw mLnsAu3ZdN5mD1XWIGHwbIIIKauIcuZe2MUdPIVkYN7lNAu1GxJVIsLQn1xFabgwBKVL3dRJH/T4 Oi4YxHsBQEhkPzDVpLuMsUKZzE3IDnyQyD3u/z88GFOvcT4f+SCibjGfyhwWKCuYPvza+ZTLJvyF 1mIoGvqg+3Yx3yRLU9Dl8aej29l3Jcr5VvAgqvXYGykt4HaGLPNRQi8DkmswSnyb9Z3ZbQ57FxW9 4fzFXBsasGqkwCmBKGQ9Fz4aYARJtVY1KcxxdITeJnmcjFCcwhpb1NK5qnq0PZ/78hfBD5yjCW/p JK7biOiyyUHzNTMSEju0X2Fxzukxowkj5qWMSP1W1qwhCR6apSwAOOWjWMTHoEXR3O3U1fDgauwN RpuDpaYmkLnITvX3STksGKzPm3UQlTBlK9jicz8SqUj81Qigd1r9Bud/fhawhDxqKjaU95+ykbWp MV0ErNH5W1Q3IL523BIJjz58Rj41cL4BxgNWOik4Lnd/rV0GW+Ncxx42DQbIYdBKwf9AgKrhwo80 P5RrJX+WcHH7SQnUHdtqSmQNH3MhJVvdyg8sHetbhz9uBk+Q878OpGChfdVWbIpYiAffrfpko0++ FMypfik+uesy+Io1uotgzyEoXdnYhHz3Zg0pzdeds4XG3+l5Kl6iOydIUMov4DJKemToJooOxBS6 /pLlnkVQwMSA0OGsTa8z28lxIuZnGya5VhhLutd75eG43CmuBlG39j7N5jnPDL6iCXR2qIAOB9fx rEnHC7fjQnQb1XsnR3VExFihJCIlS9nnj32aAK+/KuVt3w/TjRibP8zN6JMSBJvWcL95qxIIYPY1 EDTsaXjFtUXSmy4q6oM7vjmmmCYMW3u6zWE1QhLi+DJoxhe+3DPqs3hZAwddCYgV6nXG/+XyJBRT 7GlAkRRNqc67f+l/L7XMXPc6t5OJ6ylmDYI/3fW4m4a5IMEORVRUQON4BSr4OlZfBbKB5N4l3bw5 DedYA6K+cQMelQFHAJjY4xpvawxgkSvkhPCK7Lvbzvs7LfapjcH++yQBAxWFRz/UB7jebHoX1Rkm iUcDhsDR7l+f7X8ZW0Q1sPlHDkHjpja4jOfc+uvsj3LEoM0qjLJq1IWva+/zZRrrX07Cl8ePI4t+ Pg/cMatcyKYYa59pqQ7OkQRjXd1fpWHVss2kERcrmnTtNDLcvjDNGt+jz6ZST9jcWP0M3obZrqSa BrPHwRDo+l2f/wurBfPhgGG16P9fb6KRi8Ek04Kz4E4O52PAwAj6ddYAaNvW3xk/Fgqf9KH51w6r U3rQwUCqm3koSmD/NU5qGPFH7UpO+KtU2QTOcXENyHTE/5KrQZbFfvHlULjHmvwd5MeXi3wWYtbU XsIwHOFzRBNC7MoTiPjX7AVKZaN7qjpXn8jWb1irwr4vHSGP0zUgbNHbP7jzk+5MNrkdNkQDEcZ3 e9pt3zQm25nOuNfWoOc/zLnRHZDzSc/b4r8ksWzBeKweiKDvnCbACmwkRDZQQuQhp9tbN/aPeVZu iRX3E4ElKTL+H/WjXDAbNZ2Tag1B6/FN0H494InR4O/GRj9UmsQKOD6S+SDB8a8Qd6kGyntBPyOV uVDLZGdO2WyGe1w2/L4AkKQVqObsiNYl2ukwoyscRHwS+9PR04gAnnsAC9sKcmr2BmPhEaDmwCiH g0W8eVspIhxp8VMvlDcq9p91Z/d2gB3AE8GPbbgEQ3xpRZIBg0xWlmniPRMnSXbCVrMLKd6LMB5B 1wEE5eQRhTDzI+yfdKcYl8gkTEQxZpWPXRD0Stb6NYx0N+QIAV0QWzYguLuixflHhNCdsujKN/Lv ucSGpqY0yknm3XlktqzkLshlR91MlhyBd+6JcoBwj9aEFf9UaGEw04HzZNiNu6ad9lMSxilIz19s gwGp84PB9VzEJEnLQLccF9d/KmH5C8yeB/a6s0BKm+NuP5xZ20AzA3/ySGFFGrywvAoi8YxvOLsp Lzeo2egfYrBXj2OiVGXb1eETS1IHB177602wWCHqmF9OiTBAv7x+AC7E5DLCzaqPXP/PEjZYV7a2 cTVXG4kpRKLEVc4B4QifL63madCZgicceLpuSuiVmY31UeqePYc6fs03uzPVsVtSE6WJLR1VaWEs tmLhJPmVc/gqU7Km947BQSS/HupzzDdQ7gHrhQJQQHZvyX2M62lgGpoQ9R9PHvCSY2kGE1QhZqgj upf/D0c4yXv4GduoJlJvkZyZy0T+PmWHiG2rjRU1wQhHLEMHxs59JkR6pgswNIe5QtPGDjcjWgQS yMLp1aEXjKyzlwj144ZnpPysQoKO3T6fXmUpgcJH4xN+Bk2JqWopHUvLFaYSNu++uZ+ZgENtDXL2 JA8ZWlcIzGfoaoStOXcZTW7IHLS0JGwPCwdkTmpTuDlZe8JoWksnGabm7fxsKSmBvNSzCWKJEJOu cqI2kTErzMB5KwTrd01fdxRmspRza1G2AIbIx14Ii6IwBQ2pyl2ao2C9nbUyjCMAUfY0N0jzLnIv BRV6ynIv2dRUNZeV6WhscYStQKOLTDclFFmdwyGUQLSqF/SLywiwH2LVhDIuqy4PgbzGrvqVD1Wn FsrQEQh+PcLjPLkUMHd5rBXlQVVuoBLUtelTSslxn/SqhzeP3UxPVDcKRDdNWNnBCJgfFQSSc5wf RHPFUYrbqdz/dRfzT2/cNSV3MfETQQIODXt2mhja8478I5g877k0eZDmBYjhEzldgjnrIPgpTUdi 2HuUX7BySxzQx+8vQUZiDxcZ5FfUHvcu3knXx4tlmNkPlL0D2Sv8Dxhy3AXUuGkg71vIdmnJqwvB 8INJplSl4wgqKUjtiBQBZQcKqJbNDD+Yw1C4p8kBq71vDvwqVEU5lVfV+m3P494xrErgO0R1HmUX kOB9I1mroathP8H0h9wL+Svkojkf+l69elBbGP/vpofFEX12grKCc/12a0AO9hr/UPdsk3FbN9od WLxeMFlexdb9Gna873hPH2tQ5kzB/OMlE4fujta+wDtWw/Sljn7gWDoPoXsOnRIApuXqtszdTe2e 9wdH//HyWkwKfsG8XIhv42/TQBMnLFeyJ6qCExmdshRltzxBhk7u/L+X8bDQYyT4zRjMaJXqWCGn qQ7TTLnJJ8hDWA62Wx5NgR+qprbSPORWkuky9os8oAPe90OCn2axHHw2cYbH/7WUOhnwvSj4G/XR lJeiRC3B9qQ3mggbqyFchrkpzWZHt9iNiJCCV54y2qMo1G96GG/oH20ShJPI6axX5GHOnlaPnp5u +GPxSNspWY/plv9FLlSAoL0AfCJZMdlDa0DazE5NkzPqc8f6+ywOJ0EY4TdDn5QuVSlSpipyo4To 213lfsMIV7SNml+HqzeRUQH9Dd6XLW6/6d77lY7Au+9+ELovA5V6EO4UxAX5eZr2IMUI2IgVqqtX 7KCxBKBoHJDky8fNPy+dsQeAJzH+rEpAGhaLJOQOlolPFDeFslJ5g0CEUsdQz8+nxE96Vyfa06WT kEQEXPK3hzVFt8Hxetg4P4rPSi3DxCkNZLGp4nW78j1tamza0Rwii15fk0aAHWTG4ygVs88s70EA 0G0szoihn212NRgCXi/HaNq0c8x/1dmPkGWJb+bxMRV2YGdSIYYsiUBFKJhRsV+g6jjZxA5UVqFt TViWoOBTqofSrGkAO9S4MSbyRjTLialSF1qDnKlLM2zxer9LqhJoqJNE2wZTNnhTbRtCTNI5C8NO /K67two9RplbwflgYHirWKu7bt1044UbXjnrwKXPy43iKvo9tgYrekEhZm98DI2iKIQDADrr/kXV DqbLOJECiLFFZ4ZDLeE/9/NlEx7IJIWbIdXBzkkqxmSbNha6SbnwdN7CluM+EbvfkAjQq30xxpyT HltcM2/+Zj6zgq2g/nMzGeohTnLeGDqMI8bGV/N5tOaooPGvLjdZBTE5ofTiKV5FksuTV2AkAsdF 6klj3autaLQshoIVSr5mXXbX08vj5D6+uu8NO2QvKAbnWoTgbJZ3xSASP/A+qrzIuvjwYxPZfVeG 7htXHioEZLVstuM3wnet00UaqAHMGM0Oa8pUvFEejuJcCohf3oWWuqm8ay2hh3vBARXtBPbggY3z flerNGXuBLs0kNnGfOiu2rofges3MC1NaoKL4pRN4Al0t+TvWf4vwaKBk/6obTXgXnY5WWVm76O0 6BvrdMj7kNk2OcY8samEemsUDxeocX4DMgG42aZc7H7aDSBhDPK8Klj57ryKSu8GK5hy7H157nae YZCT1uvg2AXD5AeSLYO+htiIAabG321/Ul8UPBcxPvxk+7NmR0HPV/WqjTET6ae7rPXgLWo5EGQs Gouv/WQrXax38GWsE5G3oxC5PIaNXeOy7CLh5IQeDG5hBIiA3rCp9XSpoyn7/MMOz+zI9aPwJvp3 IYXrXV1xO2QjuwR6UAZNa9YP14zVHW5e39ovuc2ViSu6gmaRbLy6Cyq+UvGgXX/zLt+gtIkF79pT o92oE4l1FKANfcIWF7fwyQROx3a/kJ7IkqFXNFdNxgkvb/lZTWGOU4wck7IVjiZ4AzFDOJSc7NvS ISkdxh5CXeqiMYz04hKga04+zTf0HBEx2TQUiYfrTM+LjyqXMueYcpRXueOR2IPFoapo+FpeXvnU 8RsWE3iTKDBiXUQxHL1xWZUbg1e8DFw+zhFP+m6ewsFWc+xCctySKtzpYlPzMK81MN9CjRouE16V 5W3gOnMj9aKK5K2+p1CxiRGALoM1Ch3I6rhmpIB/rwbgSMRNXARBYOT4hbKT7D/7nSTWpwuDAOlF yvixyIPTRIgnDDEeAZxbU0SRAJDROhVu9cakEkxLDfaVhzeIc3Yha9LsYwWU0Dgx4cTQZK7byjWv IKXg/iTVH7RKIzw8qScSMEUFwF842LGV+cxO0xrgF3CEvoMi22jGo+8vH9QYLW70erj4sP5OO9LV lFhytvfBWQt7giV2CTYmZ0ztQE/1hRNesJp/vLoCVEyeFgTt/jMVgwngOX2Fw1G26vh+SP7f4wnz egVqnEz3DSClfP0d5N9HaUgejGqTSPPi+CjgMsf72kOi6XlyjkAW9Eaqrvz5VYPSmPZGZydFCU/V 6dRRlel+vMBmy57XyNT3jPps7iL8kxVgJ11RhtEUUX2i3ZuIX5vGXEW2ip+vurHbTjQMMx7LaaBo DsRcrK3RnjwUmgug3jcRcWf86CZnEcQcD6AKK0kyKKDCifJhJkLr/4sgAgmk4Yijls2jViHP9J4A W5iFPH2VB99rgK7Ofmm6Ycgv9B1f0C+/ngkewORRnCXwUKDZXVoAbX+akNVLrCXq9gnB54Nee4bT B39f8TQFN2kO6AuM/k0gNj1yvP0EVHmLjYwtlQtDWdC6OuDo1IchVKwiXc6PfT946o2dbctS7AOc bE2a7TqJSP4fDhvx6H9rVM0ierk+xXVJ/pJbZFgweaMyFQB8XLm5Pi6e0+HjVU0qUgWtODSoKonK J1/trdGe2TOJyrpwV7MWGAKHUgV8FrJkip2UGFGbJzzoM70zDUAUvPiu9sI3e5VvT9RFEEGoD8BB bCg6nB425l9nRpWdd8zL+57Wa2dE3TxgQuSdb8Z1fSLrkym92oPxoFRhy35FDp6ui08nFn1fZGcq pc8myXPyC2PEEYmP+SFNrtG1vH6GG6DetOG33RZpWgc4FtDbFE1ifOmXFma47P8IcJkQSIntSO6t xN26AGhmir44vP73FM4J8aJygd+eqU1rBAGahHX3jEWOilXRoOs0zRLL6BBk86Jp1y7uv6X9jgTw bBaQLjFZMpRXLyAaS0dFktuzvbix+/KgoPfiWkQNtDl2dPu0eGO9Nsg0v1iDMlvXBvCrpBrMspCG trBBnuD+FIQLVYRyek5Q/kDnXntvjbls5Wdo6dI1U2d5Lv0JE+pcib9tLaY/gsScWg7TSJfGsMpI vi1LJ7hiBsGcd5t7UrWT505s4SV2LvSe64N8tsanlLBS5jHM24Thch1lqn0jgG2DEMWxHzuals1z EKDX7sPAkFQ7dmHx9rYkmrO4co6y+FLO4Dmcy4gf6wH09oEM3qCet5qO9XHrIZc6yfx1YsHHgiZz zSvyK9vRom8N3zCWHK6xXVI88iSCAxGTViGPT1V/Zoau6R36esGtOdduVuQ/7acKgtZNBtRRhvoN yZ8sLV7XYetR+o7ap3HOQB8LjGAzFbkQNJaZOZ7inEj3XTakyo+hkCWODolntKz1nnwZ+EWrQG5f U9H5KIOQdwZWNYUYtxWgvFXUqgEXyavsERTlkReHZTChNvq+bCdjb82z18TeqJAhwmtvyD015u1h Yo+AoDQB4fsORNiF/iQgP+zm3xLz+Kan8yKWUO5Vvn/5O5x5DMZGSWwFRkpQiQr3sDMv5ZxtFpJ8 IiBRqXulVFA/faSgCaAzj8w2VRKkaXUmrKc8C6bcBbfD+qbE0VQFpTROnsQlyJYz3GMDmlWPrz7d DiXZDW8pkaunj4L/V/QPyQ7wdoeyWWHCucJT/pwf/8Wq9sUzE4tNHQpgMhMQbxuHcfY4vWmgmwIa hFoaO7HPacTHsEmFBC/YUGmXnK2Qve2OwEY59DSrai4B6xYJsUQT52wh6xBa/dg607PmPpLP8r1f 2Oq3mWc07JAAqoGF4s8sT0VOY6uvpksu5a5c0n3/wQ+bh+mfmT+4N0k33p53lPlWAqY61TM93WjT HGmW2mbLyGOXjoixj38DXUYgz6J1d/3q/P5qYiGAjOA2OFFmgXBrva1lzIWe2ivksbFtXGeXv4Tc f4cTQqkhDKXhZTee3IGHgU2rgzVn4WyAIdkP7GMDSTjViE1RI/sL7hrExkqVIunwyNznsnGatebO bEnAQ7owlu/zbsJbKbrbFdVPv8m1Niycs9Sk+Ti4gTu0Z5Y2YanMN+BpONdjNq2GH0xXWvcYsIQl zNkmM0z+XHma1EnDxOKbF8/1AKC3aRIJyPCvp4Il+Kb9sGUedd0Ci1cKgZQI4zoXQC8pCA054aTv ICWF1+v/IVQOv6ep21kD9i5wXomj2ps+6KFFQlq/fBmC5UFsYA+oLMgYH5u8awJPczJXTyJZRK8Y W8m+D1yCO4TR/K8P/xNrs87vbhfcheypioYvTkBrvJTLNKjajg3wE5f38goBhtZHSH4sURjICvPo GJBSdaTk9bA7t3AA5sAxvzqi3ODkVN8regY6gukkQ43QFyG6UzlY8VaW3WcEqRIXnKX3nUPWmv4f rMM/Qn9QaMIIIxHOy4mb5XQxR2oPaZwMAE2GasPUr9ZX48J8rpy5t9SxEw5D80vb3HQR38rwZOO8 jvwAVIxbszdJMgZy0emkJcCM8OiYH42f+FX4dd/2SKHuV3uZAs0xOkYKR26UPXmEzTxUAbmrbHJ+ l3y4Jm+egclMXOxnDHmNQIQqDPUsvwr3u8aq6OtQueTVNPbwQCF1SNXe6F1ezc9Cqs9grFR8sJi2 EIi3ie8kuH/PHevJLM1+cv7nsPujgnL8PC/8H5xnKgJaZAzYXAbqeKFHfixw1dXvxpAn8RqldCco bFETn2ZUmVAoGDDMWnY/63H6stXMORUVoL8GIJttP+8EeJzZMrGsf21PJebNrr4kDm0gp27DFP2r pkbfNGmW6EP6kH9VKPdJLk9/0cZh/GQnfyxRwihPe1m+FFa0O6LqJNodw3xyIR/8AKuy0Co+9nAu IOV2MrYLgfh1eI163bXUm0NzlFZNmCDv+7g71Ez0J/4yvMug5kd1vmgx2Am3bpGsCEyZkb5ly/zt EKxhSQWUpJEtQwpgRUU81YtA+wBg9++ZSFoU+XRMatbDUm1JApEVzot8p5msH+ksD7/Laagq/eCQ +bvNKZazHWo1+Miv4k7JM6qjYRHFCnDAm/7yryfwuH7J+1PEBwcgpfmAluDRDgOescZAVDuoPrmc w9oZFfXi+q4W82v7dqd1yNcUPOopvI/VDYBXOe7aCfS5OOOjSFfswh1xjN6WbQvzZhhcEJYJOwdC kzdqYfyosxBuavCjoudm7vG2j4zAT5g2QGs9bAhIp3ukbyzgnCZAuTVUUtTbh2TEeL5l1qEmCGQ3 VKh1R6nS3PYNobDDVpNwIdvqRDwpGavyzO0oY7thNWdqrqEMJb4/EPoTsf42CRDwFvr1ALYb4V1K tLbZ84hIauVpFPcdqsiTyNxZ/h7IJYZuh7Yn9fnqx3Flv7A9iY3eBPakUbb353crE8R575T7v5yi VaAy6vxAJYj3JGWNnRCW5niT3blHz4xkfUaF6HGg+oUrKKH7Qv3V9TlZImS+IlzDniSux0Nkmi12 2zyXPVBlAqaxwrYATKp/LiodAeM+ARRa9yqYku+smASHo3/0eDt7R/LG4CY/bWeLEsjaNCucOWrM YXLswMWa36iPS39rIHcbvx5J8VTH+blij2FF0VHPkyS5BpADLsNET3uIqlrXml5sVo3zn66+CymS 1cCFhyc5ptbTgjE5BWaTVz/PPp+41RJt+LSiaXMWn07o2+Cy20i8lix9TGvVddKmvKm/2eD+9OWF BshbqPPsszvSaOdYpeHqpxI7V07bEGg1UqxcPnUItDk33uc+Tw4fNyCtZDJVMv0cvOatD8R7B5kI FwbfzALuv8XbHOiiPvWD7FBvJplTnjnsOz+Nvep6sGSRfZ/TG7S4LVb1h68M6QEhQ/jXJ9Zw8UZi Biy6xEh3O6p6WoOyDwSNC/H9VxUsHK8WnEQRMIx6bM0qVexFLEQ04/meN2Qb9M9OWfKCmi4MVnh4 R9cZQ2YQmoC+fl/Y3kSFL1q+Eg8r6PoDUjC9tYDjQ8+hH/tUwSdNUiUIjdQhRJmzFWQgpxIG56XZ S2tGeFcF3Eoss+coV89Xk0oRx7Z+JnHKp/Bj+LlWJzcGCfx6qjjSssq72S3vZno62V2/dgM/gxMp YLYhGeC10Vqzww+6ytqFUE+WzzH86E3tdt1RWeCyLIlOP3HxySKVpMvuUfVOOaf+9oFciBf9r51s DnS1QlqBgzEOomd8ds6NZ9b6kfCkgFQyoOEvKBnQOjJC8Olm8Pkbz25oyKinPaZQuXA74xUgkn3q FEOw+8fyNIEdaxQ61r7sAOe4Ul4dkCdJ0cpqcfXrK22mYWdMIp24zasPugnNQ0v2eW+0rbRJmPQo Ts+oX/U5By4qD81tbp7ue+fjmlsa/YuBzSZgbBwl9bM3rD+cs9jS+jGpwdXG6QT9e9X51muhfvaq jyxiLVMCVTyDRGeVqpwYvUPW0cLha6oxYjVxdab6hTTZb52n9DPyDt3YdHNdTHjoPgsZ6fD8ftv2 fq39Od7bhTRmTg59yWbSNVWXX1q0HWc1q5vWlakwnoEVXPSlxhdUeNJiNq9ajnICfpevyAROZKZx FkpnCuVh0SZAZKqeZjek/A6bnU0jzNJAJ0tpsjF2+ZTX+n1yZ5F9fUVZH02I5Q4zQDDuvMldtSv8 jMiOJHg/5tM8NU1fH4ndS8rKkb+2GjyOVF+fxjxFIe4HpgzxabvbqgZE+QFe2SV4puhsyPakWpR/ z3JK6k8zYMgUYo1CCFLkoz405IVsgTD1/h8hRhHJmv7Ke6vNqZm9MENpg2jrI3U2RA0+G0E9/s9x XTvFDx2IB4uKKh9yzSL/pbU3EZM4iaSd4V9NYPHU00DfW/T8jpUjKhHwLbYFxbbftE5YnYD1jZon jS6jcn11sadFY3yePwNsvN5YK4idYGM5NiaLGYR6uZsXSchUHI8qapKQUBuhaOtl62eoUNLtY62A al16/VUOpxYKc7VthhDlB+nFVpdQKYG1Qb/ZyPxqVbsRV98DMaUM647WR5P9n6SbwyR3sgM/yPqH fpQIoWn9owyGc17cS2H5TvCxSvZKAtfCyQufAggF3g8FLoAO/02AE89u+JzGNzPp/JfLM3+UCEXh e/VQLSaOmyrJxrc7Iu3KekuFKye9kWEAVTr8Qa3nBWT25YnocNcVwWPr5pBZfbI8jc1d1r+PE995 fuQecc+QjvYmhccnYSBJ9mBKvq0lVtHUm33JD0/Fr/UD4ePc6wxP5g3jJ+V4QRyI4ziN/lc3BteV kcUsBPd1kS2dIBjNM/ZcvA66lGYkiFohjLlvqatvNUPodzOMiP8yG43HmestfhHxdT1yyj9QGTZ8 k7OhhbwgzZTT5pCupCTUUx/DBS2juZsw7Te5PCHrJ7Wl3QJgy8bx1UmHZiwqlps//pNO8VRnfvXh SaUaAlLYugOHyJqysazy5H/yKlM4h5rah9HdlfyVhZKykfPhiUiRYqcK/XVhSfmuvB43zMuKdPIm m0PcAzQxfL96P52vPhGfIBT7m7GEv4TtE+uqH2ZEHDr/YLdrM451pFYpcNv3I4Cte5ysS1Uc2psp b+hD0ll/sswAsprsYpwR7Ol0EeybtBKd7fJBx+eAifnri3D6IDnrBlC0NSQDmN75pir+FceT0mvU jM0J+mw6Dw9n78aADgAWjWYPtrVvjPESdiP0+aoUqipMTP/h2Y4dYXlZhgMC8GkTH9ZKFtsQ6Uvz 04IAoJxZo5TSy6WOD0Z4FAl6Z4xXs0M1VrZn7oAmjaNgy3WBh0Z+HMq2DsxXZBsdMaGXB6j7ovuP 2Gw6t5YbTf2x0pv4Ak2pQEMiAp7tG+dzv/7i5w5AvORDgZA9UKEQX4W1jjCq7HOCLJjsTKosQ3Y1 oGohshFjrCB4yf6DUseHSY16aoLWIsH83t1AAyAhKL5jp4QG/Iw0urr230L7iD2CABCkDrTmuaYj 8wgg2Ixp0uXhymAGeockAR2jorNt/ua2xOk7ap1jtLEqiT87S2UVsh2lO9niXS3AqJdv4Baf+itv gP6VaUa+Hb0W8MWcCFzCgBREmYViH5uMD2IJ5vyyWPAAmUj09c4J4FWSazgZ4PVSmY1sN8RvrkPL 6qXmeu3myACcb0WDDAHSHUlSY3nJ+Lqih8TRQhhC7JQ5kxik8qVU/ua0TZo3nX6N1UO9C6SFTTMN 9krefIWUbmVOym5/FxmYj85cRMdoH+iUO4J2rPCo4spTqgcmtX0Xq2CSX9hWl2jRooFMcSR5Ze3P MLH5jxu4iYuRYZVqSzPyzJU8c7KmmL96CqY8cflCYTWWcXIRJro0hCx3mdOcAow3PJU0kQkJNGCj oqV+lFfDSqTUb5QH9UPxrEKLE/6ad1VYGGXtUu7IjvqGgRpDfZjPpmiuvSByaEMVKgA9RqfLAgKO ZlYxCoGgsoUSuKldJyHn4gKyQOmITBI2wvXTDQT8VEt/LBySoZAYo+5mlq1+Ka8wWCgzSA+qnHVk Wly0f5XRqdrpB7SwP/DvAo8f6F/0Sfj3XvlX8Ae7tMmI7PuOImuIwnqwrR2PpgEenbAMo8ChMjl5 u2St0VWyOVfLo9YoPQT6txxPxWH9bURugxQIX2/YHEeYPd0ctA5nLf6a7JArDQjGGA5tgK0Ihce9 MM+mwmGe2tN8Ch9MZ4K1kTgGwiWRcNgEVj0e+SbM+/WEBX3s5qCH62EjDyG/oYdh6OV8v0zLzonj qBATm1Y7sLHZZCuusu9uRj4SdVaQbuJ2z1Qg0udQVps9fera/adeLOMDJKqgxNauHuIKzs5Sn979 kJYDxwrqffsdvUwA9gV+MlX9qmCvZApzpL0F5Bom9Fn4CRjd0xlaA4Jd4sum4VQRHdxTbtYfquFk ekAVTn3Ac9ipfIZBXyfr4sAD0DYLOvhMW9wuOqoMFNJOxg4753MYZRvW++FZXQq4gmPNHMq17c55 taka7cRivOWa/YNhcvwRJB8o/DQBvIM7HoEEPZzRrhNDyUvOWRlSBLFn245xm/7PAQi0erD9O4AX bLTs8iQ0IG5lJ0nZ9lXh9MP5VDmVrdZXLZrcphlUdY7vbrDYtOOKXl1iGsLlIxs6noZWx9XxpnoL Pa2hi2fcjz/3LFH6Heq0uVSkBunSBoCTcHRp+7k7uWKr89rVcjaA6VNjJGHJbsuDGBxnP5a4JSmM hp2d9tw62QBb1BddPtsht2uzdnScIVzVS/VH9t0wzPT8qAz21pNOYI8gs58LXhiZsnYIa3lm/1M3 dzpslqC92fBePkLBXHt3rc2VG2SBXk1dPgF9+zdqva5WWDAnS347VbyxnBhrsgAnclB5/95ohYgr qeOz1F0Xh3x6uXWBMUFgVCJMgSM0fuCPG8NMRES1PzOzZToc09T713xpiT1cyWoDp5xABMgdOXf7 n0f6I78jgct9XJf76pOs2tbmOXNbhZENnxl3M+8XTIkPQP97aSam0Cl08j4iojHuKXH6baww/fni B94jcdHaDDUY3cQf8XGq+PnluIUUa1e/Bhat2ijBIM8RmOjiJMwenIlOvfLPoIVZZPgmthyCPpqX nfa3LNgaqXZpiLhAbXJmNLH9Fk7wSob+OgUw+UmGwox6xYI2EvJ4pfC04YCsGPZYQjNFseo/RFVd 42yiMMnv2F08NL55p4SaLcF2wqDUlB6N+CcX+cONi1w2unUWnEZ4d4H9/qea3g2cFxv2J4DN89Jm W+F5diSRt5TJ4ffqvb+Frm/I/MHnbS5hAG7o5MZyBlvt8paZ03RM9Cdo0fDKZSlsyFypCJF4mCQ6 68trTbNRpQDZxsz7Rp88uptB0rldbEwv21llX5P643+5quFgmRe3LJ/vTeH/itFB1z7pbw/j9eGI Vgu6j2iljoGNqeYSWmd2XCgohwMvOw0tvBgYgERfmO6xmhvJudWmiy3YoH/lNSmQFnrT4cPNPy0h u5Iu2u8L0NOXWMuQ25UQ5xZ2tZ02979sio0yrzOg8UgM29uROhYxVOCydZEuC0QMqQTUJM4sQ3vl j/433W+IcqPu27y4C522au6j3Bgln8+NU8zTpFhSEjwetlsxfPMgwmKl2m75jGRShbxEYgd/sMiV 1MF1eLpO7kqwgtqfTRTvgpV7Z2uD+7hKzxH61ypCuvqqWVknEj1FTaFokEcZuFz0+lzZ26ve1aL2 mEMrLnNYEOM8eReOmpn9iW+eK6/X0WjkLTQYqoq/sT6QpxFXxWtKph21ex8c5GYq7tRYk/v4H9kw YvGR2rID/HRAS/J+xBlAAD0NSNRB+fXHLoTsFvT+LOrX0iSuPq7+4N3LcovcS37IZsCGDJDmJZ1X FeLvA0d2n8FrlUeXTt09JjBFVstk/YgkTSkkKGaR3Zf5WdgWF1++S0pNsCLfZswcKycRGQlM2bCq Gc6U6lsvcSVACSC0fIGUDjTp+6AeVOPLyKFM9U1Ekg9uP70nRC3bzPo+vAKDgs9iqQ7+2bhfzTd6 tDSPFqGbPhiS5f9u7InzkpUuuzuYIPttyKRu2Ij/drpO4TsApgZfAJbN1TDNZlZr92IIqyB3nNCf YZyARXVBlBwtryJ5rdGxsIE/Q4wx+EyxBBimOpHs01Gh/e1OD4WM2rnANdS0P8YXeNRcdCrM6EVG 2F2hJHopzL1lysUz/yXXhknTtOtaVjN34qUGiVyVJZUjUP4Gb3PVs4ORQEirtXI7mWm+1VpA0kIV GH9l4S3MVWnGsklqJHI0px5c7lM/Sy3tPsYzuvMW8+8766XUP6pLyovOs1DfpqOl5tupj47CMaHq BpPh419h302eUg7T0WOBlkslaSIOGhkXXHpj3BtEePu4HwAuaIQw10K+/GUfufyv1lIzkhV0PNN/ Qyzrh8ZF6GCtt1vc3ohg5iNsTFPA0jzSTFNVpN50y6hCOpK4M0rn2G0yznouHoNBICc5jqNHQqjG mIjm6SnXJkQCBPZOI2w/+3IIXyG6hYtPjoAks7kbz0lrcBRJ7B0nM67uGyx4V0hLWkyVxsNBKt7A /XXFgiD+LDftJON1J0FkuS9+ovjnEAh+e1Fk2tXhMTNET9uNlkabeoUtAZ3h57YJ1sTPxdE/af9v h11U947yXpQSRH0ocwjQjOFOOKTORirNL6fvoEL4QkmytU7gMfW6onzlnmmQ37Ka7xRsXm9pziD4 H4/sGK6Nrp0XeksE1xtEocDn+oMpFrpgkUpBBWngY34lxplf9Qi+JdhxwIODkTGToXlJQUhjN1Nq kbULmxqO7BIZ46+WhaEnD8pWfHw2WaHycoQCZHj5lwaTYmeSHUY+YNo2hflU2j3UcId00D7BUZVG QPQmWo3aXguCeDi0IKCdqIgMNlgH5qXj06tGt5gaNVrtymQTDd/pVcfuMu4LBFTYieBQ7BcH34c8 Lwq9px3/0Lopt0MNVEGGOza623uwV4GZFHzPHx6Z9stQ0V/zx8cvrKTKWdILvzgSUg9U0FFxxQvO u9E3+JJyCYFPH1M9U07ZP9iTcHOb/+ROEybR3AMEYspBIbKBUpanOiSbjQMNorV4SqLbYZnOK3Mn 867ogbWG6fOKPL/Ymw1/Vqaq34QEgy5t45JmOyz27LXcwg3X4XJe70Fyy0sNDWCrmeDa8xDNIufz ABXOu3+0hf4vyCl7L3lVcqVgDUx5CgWbkicAALJIGz2sFnU/wCCECNoBq6iy/S5USLgEIFCW5h3i FT9d3C19kv49CiydH5G8kPsHXEsZs/7wNExGnsrtFqciB5UQqFgATIn8YPAYdJB83SR158NURi0m y69Tcfq97+qYhFPwvFIxEosquvkYmZVR9eQ4uNfY6vBNWlnfZStmONQyS0bM79rLw9Ui6k9hXLaY H+K4J5w6olh5qnBD7VNaefhd+ihVaPgK8tFqPJ1BkGWgWuGxm3l/oMkQNrRE/OB4t/jBmnoCJ24c x9XwsmK3p/1BXBaR5SZTuLhufwmhLIqBvdi3DCcDt2zih+xgrR9mDaJIH0KPG02tak2Y/YXYbyBZ tKUk6wjsEdYQjTfs0+R7vT62RN3pYmQINay2UB/2hVVnwHCz3olY5UGyk38Z2WyAyYrqZt5a9OiC 1aRGGWiwqQ3xxh6lcixWtKU75IxHgywIgcfyE58z7bN3wL3Vl9Sn8ILvWkMjW+Xb6MfNbsMXvRm8 SsQ9ivvHKcLYZ6whnn09aaIUAYbeWND163SAjIbkTFdC24sEw2B/KsZ5tPAHy5gBKHv+WQvh/zSG 9AfV3PMXZiNnt33WnFaWTIJJ2CXjYH57dLKIGi0tGDv+bZHoLbA6r6kcmh23UyQSYyRvsmyleu8D rS5HQeyAuR6y/wwmvBSnuJkfXymS9faOoSyPEj121oqdVsYckyM5+bzTGmXuUk3XjHVRK67GlXkf +KhxUbfZUPvNC1iXMTrH68oHcppeG0YwHpbcyc91Mh1hyLK3BgpjBfOdmUWP/EWTqa+kAPn+Uuzo rHg9AoVRjsoO+Fzjb/0A7drDUZ2kKHh2hUJBj23De+9+pb3zjQBXoHFt+SwwDmeBZu+NrMWxMN+7 WpTakwuoVdKh/YrIkiItDCqo3e2serhyD7Xk7G1x1G2WMtlaGLuNEZLKAbJRhmd2Pr6K+AG4UN1y GlNXc/jLz2b7oDK2/8wo3X0wa66lxw/WPEJ9WtX0VRN0gWisMV8SbZqp+JTlYvdYuSw5MmT3QuU+ yEGwU/7RAYLEebOdgsWRVTuNTc7fwtgBNk44Jn7OsbF+/RGdZBsvEjKvYzEP0Jv55WPTHHPNCV+r dmjlO4UmWHA2ko71UBwLmyeNJixwtt7rjct5ARQS2+YYws3I3bmFhw+eLlW9J6sPNtSa5VB9XhZU 3iT8lk8Hlu+LL0At6nCrcqXpwecO+o/wQRBMUCN2D6/gg5HAalZljx/nHpGENZTRJbMi2FuZdJE9 J9OohL77Zubxdf+pIqa0XkPxx5OZLxwDx7aSkFeSxxMg/cUQkNudaaeOYd856/c4i5RtZC+ERPFV u2Tj2TYjP7eEAc+61/41JR5P3ErwRKZlQTn1oFGVV6RqiL0FogcBfjqhcE+Ry1u/SgoWnItbc1In A2YaSa92oT2eDkoMR/ZRUKQgXu/5u58OXw0bIxP1EwCvmxA9aa2PW2P57u86U+7uYS+2Uti3j8IL 90CP3qFi64nROF+mLcS+hBHTNiEAkO6pzqY8eumUTShoemaXGuxN5JE6tCNQ7PKv9CFSXvV3sEHr Iqyt4459XP6TMCsODpJ9ZxKvniepFMVpXgyevwdAz5EewYvzbi+aFf52dcyU2HwgM1p3+lEyH14D fLeb26pxs0IBr5ySuZpv6m6V8SuW6/ammhNDTRatgnYL/ulJIh5ETkpdVkWF6g+hN5rJuPviKnjP svjHxXgCvIO8wvbVBuRy/7LZKppXRQgtOyoE+iqFG5/G7sJDHoqa/seD6h7y0jJ1wuZleP2yoHt9 oaOgRxJ3T0QDIu9xL5/ClB0U5ATc5USUYU41TXiu5hBeIJ6wQQUG5L+yGha/CSxi9Pgri7dulH0H vyCE4TXjoBkQAiZgPZg1Q8FMUQL/Bxc602Z3/CSkOiTav79zpmey2AYlbd7ENUbtepKq5B80pHAx WIdGKY+BOAlimYWhqR3l4sdGw8MxO/YzGfUqnpVLtC4M79RRqaWgFg0P+683xUnbMNwRJ3PH8vIV Va75nuH35dPT7i6RqzXYCSBStO+GO2HYi0wbU1oWk8z7VdYMtrZz5yN4kvQgoDL4wAAFn3BEG6bN qUjI9suk/vxxTrg7SGpt3NhRGAw8GXm4UjJChNnXfyZdRvAmiiMIvSKc9dpDbipouPFkac7nMRni 37KgZkouu5DPc9L5Gs7hfRSX4yterWKbKPhLKxloLJdmU9Kk8785WoWJZ7lS2lQ6phx0dsOPpsXc 4ZUfILqDHSE3BHgF/e9VCfRA2ImX0hFO0nwtA4HWJvesNZJ0/Z/4XBDU+MI/Ca7beFEEWnozqAC3 gCE+yM70nLxRGPxmBDwWOVl5fR+NYt+CDg6kvsLWjsPFID1QmXGW+6QKZ08/xd6ELQeGDw7GuDlt nQfxA9/beiRu8VyP/Kn/B1yx9MbC3sNY/SQEIQdS2OO9rskobD956JBkt2xoQ4EEeejjXvHi4O+b /k77nZ6rZ5TgLSsd0FWk2VeXAQRA95qv9adYJOd8DJZL9m0ZRZvwSGJH3SVT3GjcKXAfRcSiFqL9 66jLzTujDuWM3DzfO/A5fQkSJr9Vc4Zw/Htj2zK/SvKMTJPtGS67nT9qFsOF6viDgMv6m/X9eP3o 1m0gY8tDiquTjuTU9Z42tu9JwPTnUTHI35ag5H49nUrbmHd57r3UoAtlIXPGdqBFpQNpzHAGe3UF zXjoGSKNELtLfFb2PAJ6UdMW0CFBPmbLo5nHPbvu0+o/RygszOfTT2SBY+4zRZn/Jb2z5/JHnv2Z tnzxQn09pJchiXKLmSBoR/sgDRBJpCxOnOM5a+JKyzoF5mVx+Bso5P+FhghkwkGbXCxAnhMl4mF1 7+nZRSKQmtNWbcWDkSF1h4k61jkuVb5gI0CNpNhAPX2/JVxMyg2jYZ82azpOEkmEimCdC9pmGpq/ lOOaWwm6oR0F6aMNuz1vBLUbm0ClkDaINnT7igjWB2YbPm/7hiGUie542eGiizco3LFbgtrw6lH2 cOhlALQzfgVm9iWcUwzaccvAwOvSNT5CaolwIKfxxmeL113otaFdIgk5+acyo23Us6+QemUwfYyB C8NbmpCvaQFuGBtHbo+qHnYmpCcPtes2bn5ih6hgsRbP6yKXRUkgt6WoMNzPQV4Dy+7GItoiubOW 1zXh5KeI2o7ot+F38nBmPhehS0TFrw/qxGYT2q/gmJJIMFn9CfZxGefsYJ+wHpxSzeUOPlzwB0sc Swq7BYGBOgaId42j6wcvj1wEwS6M2IiRLyLZxfEg8GBY1+0OdVhi183lb0Ea7Xhkxf604BaqU+HB MlWRjQzlzpZNwtboqm1UckDpwd8kJKW8vHPTcCU5UxVbeO6d736D4kPlQz9VfVgPApzNJ8y9EVic GRxeI0M98LZl32eV6nMPvk7SplHQ9O9D7Soqn1Hz5mjKg14ySSY3/GnQHaj0q5AOgDk82ECgsds8 hHeN9NdEhEIeI0z5BoRROof5zDIKD8PJv3v79GR6VS9cs2ts1ATO/QgjKIs5HlCq0YxhvA66Jta6 GPxnApYFqGjeOm3edz4BBr7jj69vGQZ3Of9lk1+FARYhAXphTA6Cou9IllwnDwh2sT9zSBRzq1Ts 0kT3tVOr0GivXQjgutuy/AcU88kn3zmGb86D8qapfJxh1xPPfJslng7XiaJXM56ZAuqH7rhsUW1n T+EhDudGMBkJ8RkPcUVBNguROaMC82azLAdr4e8GFOuxYNF3ibxGzyRWlibEMFb6NDrAdHFd7fhI Ad2wVeb91zRB5mA8utL4CzgMbZbdj1+7SNWZg7Yx4jKicKbM57Ib4rxlva7GYKrPuDnWhiBq48HN wQ22thX71PRlWGC5QOjKSvKp2J7JHjZXNS1RNITfRmyBJLLSxFX8y4kBpwAmD5X4tHfoeuK+W8dc ghcjzlTImbnPVZfjx1yQgiTUzOum01sz/dxe2QGADOxaTDmXTQucaN0JNwARS3EuctycPvnsldcJ WDgeBCUuxjIjnyFPjsBTwyBI0V2ZFl/DFdonMj8WLFuPI9n6P9PSXoPsx5hifoo+z4A+MV8wXR4B dSf4c5jucDMm7Fd3f4bog6gYcGjHY1xnbaGcIhKn9g+Nf/Yu5Gur+HkHo9jVsXUi1jWZ22RJNzWw 0dugh2sNf4BuNVtvYzUMy3hT4goqpiTL/cjNbQgKssRPN9JOs6mFsdmBEazPrWMZdNbSwrkvvuci /tlMtT5jz9h9nk65IctGA69KGsVd1wqsHMRHCfgz05cbV8UL1t7LvrqqWi+dyVUzywrkvCjSmMWC WnZna8cUo5/+DGZ8PxKAS7aFhDfZI8MCkrSarkDH7gZ8NKZlwRG19XXe1yClksB2dLzmlDxmmsuj 0U89MD1YoqCiU+A/2B3TnLhzd1vTGmeCKyCWPMr4lK45Njjik+MSALcYUVh5wfrTM0x1WjaWVxh/ m0J1YmhdKvmb6QK8TQ4segjrpp438+f8wxoK4JbIpgo6GvNGb5nfYklw5K/z/5Js1gMiBN8VVhP4 ZDMA2NVfkX6sn960z82S5FavyqY6GqfPPTdT7OeqVFpm9xBZbQvlaGZmmd7fw4OLN6Xl91Zpq18m pnproYOZmzVc5XsOmtP93RiZ+BtndbOx9jw/NKlJyEPjzR/jCsuG2CNGV0rT/p/3je+eDrGoPJp7 h39mYfjvrZG9VKSxtbJIBfgXwMvqM8RplupODlQQfjcnfhNKNEPefj2Bdi4NeWUFDyPMvzUg0ab6 GUZMlKlqsNlYLPxtYjDdNODpE1WRVS/osCSatBSgT7sMe2klzYuE7Ao3GhH3/LV7fWtUxn1NQ15a v60+wAb7jWgU+/n3poRAd7wCY9Nht4gqTmCPH4XCAvmdUo01YvYcVpQBB4heNu8rcwRQDoytUouy NM1qwMVQkoCfLCj1y7bU7e4/2dlyL1YYs8kPXzcgM0ZH3qcIBrXVYL/j4NsfX61TdU8c4iCDhT97 vTMYUROhqqD9b04YWoWWPfTEQ4Oxyyyysn9YbCljJp1yF27Leono3HTEOZlWqZoBs5A0TbbyMVNg nImwMKxf5e46nL6Si+Rm+7Gch/ODZnbbmrYPstfOlFOzStT8yASvTrd17DZmcL6vSqaJlOoRm9HG ozxatbnRFQ3O8FcNa3+BAGAhxR7tZP0Jm/f5fiPtBtDrqQOYdsPy1t8/LJE+LfFwxG9eqTSS+tti UHmSku4ZWqxoqmpFvfcnFL16IH1FMX01krDfLw3V2Ee8QeKuuYA8V6Fz2D9mCBwI4EVUQaTNZEzC 5DPOTOrXjvSfxgm92I+qyBMLsisfJge8ftrEKxb/f+oLkmYTO5FfMtcZJEbHSBshvRaZJvx8qQDA UZjGn187RlFPs8ig22ztrbE6Jvd1x0K8Nb7zw0feQznKIXtpPRqPIxgr7SYVkhgsRgOhihNAo57Y 8VzLFwo10XMZ1Eb/URpye2nAPZSxpUfG33FHdYqWaCRqUZNSatZkOpvpUCLKnnwGYcZbRMf15RC2 2h5OnHMuMDlSO0s8pCBwXlt3PvOuY7EslOKbVdIfYVt+ddFog19OtThvCreuyePVf55Fs74ajAhq 4tXdwWW8lRtT4UkKdZ2XSoq88aD0qBJ3LyXq/xtki4fBYtkrSYadjUXTyK5B/Ggmoe2Jf8NlXtLZ TwoCr37rTiGFxRjjCDPywyQvrmXUk0lZ4LZHcxKn/tONX41Rl30gFoEfQQtmVdGsL8HwjS97dgJL aL/jJfN8Z8aMLCqlHbMUV3xLe3RCp1E3j5ykZpwhu3zeKaYMBUHXZuCk7uYlEJOJ0y63QWcm2Qdy yVhGaiq7v8588IDFIUpgto4Kh2vvQqPGogk3wOQwPtxjJak2RHdpEpuJRZh4GTUCBO6LQg0jVfIq iFPvlg9ePSM9ghHGeo2CHzLIOKihznGrue5jIaa9tpVDcFuhRdl6g/agxlzBZQ7emlCyrImswwL+ mnlL3PVH6zRQvBnt/EF9c1DCeJhrU2FTw/tYB/S5gsNWVw/9iy26AbwJHBJSFlkjXZNNAZhRZo43 XE9RcivWo0v6OcvQUryp8foWG59hVk4iGxs2zAbCfpEpiSG7vnnTZ+9edOAu8G+nos9f0n/8vQqX KGrk3ZavGr5zP2tXJ3wYOVTu3DCDVZjyuifY2DxPcX1r+3r39q1B45zOjIbWuxTwq3aReTWRJZtI Ijh+IgCB8bslUeX6TyrwCRLvL+GhgEr3e/UWhmP/Yz9e5qsREX7d9ZJ0Bgd8pxObqMi3F2Cqyjp0 A+FBCU0F6u6eLZxg0BGxHeXfV+LeLroj49LWTGS0xzNcoLJ02RYi18QwBGyWgActpUgsNYqqLH7+ TDDmZpqrcfYVuzZ2+jXAdXINbs2nwFhd1pdnFj6SRTGKKfmkWdOXCSOUKeOurcrW/hUwaYFPpJg/ I+mu5R7Xq8nb0T+BPOBK19XutOueO++AExWfkDf6AsnUgPpp+W6lHNPtmVgeVNnJicXU0YllOb0Q qjbyy/1Vskpicw81RXZOs1Vz+/+3YCwPEUKUTVzbtm4kpOzbkfTGrt+N3b8VciLPALncaUET8mIF 7ULH5xpv6JB3R0y7jSFqgapDK/rh7M85o8R9kl8wZU9pzZ1yp268K2ieWckBTxvDuAwJFxlJyt69 H/MfUBaKyVHcuRYrPeii38Yp7w7t0CDZOWzYTzXef+EcEgWX9GNsBmct/2rVHwfzg1EBYuPQrcmP 9uG0/0/hAG055lYOlpKjYCoaXrnGa/feX8ENdoGwBx/28mNN32goOxNPX/0d73vNXr675oCMIjSm a43DPK2ikLPQDmHfQBAht0hQ0XYG3DVDpyEEsw5htktEGyaoK5Zfno50aGEH95IFBkLu4fhj4dgN VafhFjOXl8n4to+U3tzyiCM+aPRPp/JDGQfcBCxWqUTHlQqppxl/UKDdsfTOcKQJ5cV/2YejAeFf wmOQTUWe4vDVjbrm/ichPODtL5Up6I+IJZjpZ0uFc2GEFd+GZiR26Yma4jsD8EpS2TSivo/RNfFO adwNrs9PH6f7zRKXHZNM1DVM2vn0RT7/z5sI2UBZlgtD9sefnqEDrUE3If05OLykY+st1pqvzjw2 dMFbI9jaEW/Ag8HkMn5kc6e/TeR4RqJxAQG5QsLfp+BVv1A0wMYFzmUZtWH9GkZLzrpb1neZxNBw 8CuzlS3t+d+Wd7i4giOa6hN2RPs8C7tWbTEJtiL8XpxZL+M0AETJf145RnsyblhT+Le2Ktkf8dFQ AleAvHG9tThq+uPQ9DK0xhjCmOL+PWfvoKS4KrLeQX1T+9rAMx6xHt/F/U0pB108w3UlQjXunJVd seOzu45jvv5TvYurfzveNfbfImsIWoqS1SBfX8UY5mM+uVNapGLOnhN9Yt8pZMP439Cyv95ooxfz 6GqRDbMOF/pS24hu/ULg8yWr5BqGT1rQtk+M62Mc+4O7oyJVfePcmJpxm7PEnosYx48mVFwDVVq1 wlSaPGkTGLFDEK4c1kQM1C5TTa7KPZde/itGjk2sz473j/No7DUzl+Rw5PbXdOMm2IrZ0apC1LJ+ EBrQE751LsYOeDDTgB6fjj6DN10gvp22NiNBisMUllAsWTrE5J/iBrnQIDhXp1G0UVXfHFlsf1Zj Lw8GZ6uNBgwMFHU0r8SUmOcMgZIsBvNcGOzKlT6yuZncmGuGJKJ4fqG8Euw6YzZ3UxfbblEQ3nO4 kRJ6Vwr+6msI700nehAZoTMjRUZrle2hjFk3uAtAZm1FDRekSfWx79kjqPIYFd6BTPsH0rCSR8Uw 000C9wQRiDQoyKxh1/R0Vn6y2zb/1f6Yaji4qutYsBPIqTmqaFq3rMpb9p8oz9t4vT8rg7KxV6tD T75eohgO4rABSuaiFnjn+OwxFfXE3HfoAG7wIai6xwkGYPgFhAt6YZ6P9gSkMOruxWrlQERZ/hIX QSibhlChUYkpP6aI+yOdCCSL2i+XjRY/Az/F8PFJpM2pXZBzaa2qrFIbiFIGvAM33NDj3JEiexI3 4MRjyW9uRDjbskS6/KhNJ3RvWQgGCl2zSr0KZS4US/1YGoUPWI9k9M/JpcL04PdcApkllGuLL5rV yz1lHkkhdgpvKjKNjGJfFYwc1MfYeKRSlQVmIDwM9hGH1bJbBNO9HGBSmU0KBRMQDUooCyfiZGsG +16L6NeR2+ek63wLER74FwVOqixXDn4LBA5CI1Om+YVkPzbWbrlVw6twiOzCzDx3uLuD77BjWqxm gvF2sZdZtNmOyi1KnF2DgED8hN9Xz9heROZrkzQPWJnal7joE9VqRikp3LKamovfc3rBXIx5vFh5 azjUxVf5s+0BAbvFYgwZUXGtktI7pkMXiyUMuUBNaK9yHjesv8dPfi7YU284Ob3LpjLsBed4/huP YrnvSHdQWAHdDwBzqooA+9nE9nceRKYFsRfC4aYu5KSqw4m5jommyDsC7gfRvIvlEMPwP4jBR26C HcvNdc2s0Og2oaEh5IZUj4z8YeK1rwXPocjdKvHr0z/SWABvKSBgydhpfBZzuFCjQ142A0BUlJkP zHx0+AO7CiIX3ztKBNO8eDRPaSA+wXxtEBWHSY0gIVSIeeAcmYxMnGaKVzH8Bk2D8Bnf1YRsmRX0 /P/V307v3BS5tGKI1GkwOYL8iq9yoVfHj8JP0XJ8TZ3LXK/fR06Q5UL2XYWB60+5K3bLdZWkp4ma 2Kfal0UhiGjDPZxqqJYx/XLKkDzPoWErYTAK+oX8AD8loGEid9silMMFjZdY7n+ChINkMCZvcs4G 5NkawXPLE9/FBQse4g7+NO7BYLut+HjegLLFv14cx8AE6ReK2oRmwqH388ruaC6U8ICX3fUAiByr j83NnGmSE3qJQp1Z0pmFC5yHDxd4YFVh/+YU7+k3qyDQCLFZZSLUoItkgTBJPd/PBiF2AOauK8O4 MkqAolmFsJDl+v8wwrp6tfY+8BgAf5FmPaYMcrw2soS6VzxlHmV2LQvkMweSht8b3aMen04ydZXf GEyvAcHFwoBO3h2GwIO7D8SD1zHx3QxR21oR8HBZpJSUvtpvKEsi4KPOZXBPQRPdQlzltT3q8/nb P+OZfQUr92jzut70OQQ6I8lSj0K0IWTSnH2obYZG+eM2uuL+kJqlMXgykc56vgfgwi0yW+lZAov+ AKmUR4g78KkjpVebrRvycP3OVeh1j/pkrrXytPpbXEcRQlxQ2i/wMYitWQNgDhTTBz8lG88RqOF7 9/7QO1a3BOJFbF2516E7CUdikv6xu8glGf07rmLKLa/AAAesSKL1es7k2+m4o9ouH7i5p9rp3naD qNotq8Qa+EpjLcYSfuuq9frZYQFIB4SvT26Dkyw9URLd2szJLArP8F+MgtYftnnm6WN6LZkn+ENT TK3S/YHe5tmc8cuBOQAQ9zy/npma3b35aRW3MDHwMzn5DnNo9jnrXbf1S6L0qT3fPAU6SZ2YXUa5 ktGKdLk0pLcFnZMyDyM30tK9IEdKgfRlvWSW787gInWeD58YbHDbTvLw0X8S+5NqQKO4NSGpBO6d KAfGLXuG+cn58F71V3CA2p5MUwJR4URMdpZ4AlnL/3BL5CgHIhiW17uPhIuqCI4E1pt7SX0rvSZ8 SqUJqBTd7PmMvrlyYfVMgkQWBUOWzWmtcwrQikUBKX1Aiy3N2sk1Jejr0LhrUNqk8Ng5SNAd1jSs x9cQNQIEBXwuPTC/ur/0xCogInkvQY1720V8Yl4D10GYsLTMEKl76ubfJq/VMjJkurgd0MvnDSxo VPSR7Z5FTKpgFUpaD0NXMFjNrHO6s5WUzDWhIaCdQWZjFv5qpD4j4kjmOEy+UYMndFLH2WKMkd0X eJjN1A48biDIEcXKg6YP+BzFytTsL5slTRHkFcZBKTaQGQIr+HB9QZ+KcEqywmk6vuxINVxQBsK0 /jtJRZzNNgnqDLGTMcZSw6eHL3z/twjxrI3DQ3a37Oc3gJGuwD6PF4HSK25FWcpghHvA0+ZTvP7p qh+wL2bNw4XWJNONnOzpXqWt8sLDlZeDD1wAetsOkl1pgr5kHezDKRnCrYCuR2jUpNFqIaFWpPbr Q5R/Cr3xhi25pVO7f6fphDjJzR+Bkzw9yn7j6tBNqQFN6w/2WoW2gyrut6Fq6vNpXpgovOQBx4IR GSvaptWw+2sl3pKA6dFsVOEdrFmGM28P1cmo26HW56aVeBpzbA+7W/Vrg1A82AH+uicSknKYhrby 8LQvSZR9QDY+DaktgakOq7OGH9jmxNa92bCxgaKiXnngQhMrLckt5huGU/HLyUrFpYtTQz5i9I9I FIrqIhiM4izkfJXaYe2w4HUJtjXnSdqt03SiTV0g3D0f6aK1UBrsrJEO8Wb8kmKGAhfdFWrufxvS ZL6HlMaeMy7QUyYh29KmN5vHr7diafN6CzGbkyUJzcxsFjSHJS++rw7iJqnjWYSM+mCUNQATvZK6 UGuHKgEO/l9vTFIOFl8bNVYSuLt559bAE5Tp/hoyxrB8yWA4iAmAgaJcb6wh3xBqaHPCEZV5Da+Z iF21zoe/gevH3UnxAkmDhUk9ltpz6eywv7iqcR31hEEVWI11qDfcrdnLTcYfqmNWJy8u5qFXilPw T8UryaN0b9KAQDXJNnq1ADTB6z4kRqSff/uodGFUG/eQgpoVRleTP05yxyGTq6b7pXK7phqj0Kex DLKeKeMNwpYxdrEIC5pLk2PuG83XnE+nnX/ESNyj44RNxWzSV3YMDBg00c/YhFT1/6BbKRM5EMhC yuSdzEpOVeWYopXkSp5S+FJPgX5rxtSRf0S0riQ4dYFZWY2KQazOCM0AXnUHqRXY4HKklhy5URne 9qrCNOnIL1DQsrLnyAfsayeDtQe7K+3WsTzQXtlIFvcCDDUHOiwlqWiD4i9wFV87zZSu7COZKEtG V2RgycBE+ppg4V+DbyauWH7+bt94gQQN6JmbH8qBGNyWXVGFQoPY2N1bZ9cFGi0g/++dhVlMOLl3 978qLdazWZ9his/yviIFMPoTLnU8zGvgvR7aJ0ZFtLsaNsf8I0RgMGHN3o91RN+++hRv4HyhVrAB Yck/jYMAjZMRGWI/opxutiC0RP/8IQX/x0Zc2SAhBtM1JqsQgO9RcWPLmILuRRNuVLj0eL1AfmMr AdymZ1naYmiTCgUa3TL0/bnwe+TU0bRjZ76+MPnoJoFQfzisx9GHgCDGqib3F6+w4hqAg4zRUiTU Uhg1HSWkTRAD5+POvVIcH5MRzx/SoEmY/C4mKEcuEZV+k4q2ACRWqzJKWeZi0395+TuQximFSYhw u8FWbZJEj1+DR/y8yIIOaoKSBCghsI/2VYrGJK0lgcXlz+fx2cftdhpDCEA5kdj6ceFyNuyRz+34 iZLywYhTJweiqHVV4egOJsNWKDXkxa47sISJdu3+/ydRYd58/0HW0JvO5dBaiH52H68R9NyLTlz2 DyPH26LqrYmGQvH9cZWlKkBe8r+iCS4m8KGnLYDvAjk6rRrYHg89hAt9gRJM9vj7y7YVzv25hGTH DCQpFuCjzWg/IzmyK8Av0KV/tGCsmyUdlnCJwIsXQ4qVMxDii85IOj+3+SUIJUD02p1ceXmeis/p S1vJoW/BCTXPmQReQ7VrXdxyyS5OG58qtEmFBMKblIgojDsJDdnpPlEbhvfzdUZzW6vR5eBs+vKl 8BJNR08l2h04VecMix4/j4rmMGH3vvqRAPlfQr3g3/h6VtYvKKuGBWnQ2EtSEUThaWpgUx0nA3kj LdCQyscWeCZk+q79I/l84YwlnW0SHo+v3AIljGIw4fRAdtDIIUnIJj7SQ1W51iuw23kQu6lqrYWO OOkopWt0esAFelVo198B7k5yoSQjBUSa7UEaC+gt19FxY5N8TXDfVbjBqqeiYbiav/6P5EhiSJcV Q+286ySM+FigfehrysXXsqXLPvB2jH+u8EcDFVL+smSuJnoH6UAiEo8JL+1DzBm8A+SkXF8TRo2i XRmEGs0fKoSN2DTjkpsVMBmeVQfEjRuWfJfDCwfu3PPylHkQiC5/zldL5glCC8AvfGKYnVSQRu0P dBSpQSEtRS0obw+YDOZDujJvB/AQVvmr4kkrYMSbrogdR52cDWQWdnG7+xezwHK+wQNwVn7E+JQV ksouPPaLhvx5UHZpJ7nToFIUdk19LBuFAjKaEGaW2itqm9Bxd21+t68vUFWO42NyuUAIdvyZ3a88 vkDxFfUeqPcGO/oSnDnG7GO7ceHCafReDx3ir7LpfAoAAMfN7BZOEaP3uEIZjZocjB/NoKQie7qr ToT1JROXwvPVCO4ujngq5vNrzzo3Wlkoe4vWdKz9O2/JlLoayyq3uwYcmlUltchsP/Mw8lCjhXLK AGax41TqykvxQt4OrK9brfMfZSoS1QcOWFiCxuJxxEaINq5BZE1inqwizJnxouGIsTvRJWtgrapD 39XSWTV/XAiBtY5tXB/8yRY80NFJi2KB2alnF+IrWlzSik6qVbHYflQXmo3tuwC//kl60emMFBrT ofI5S+7EEYPFe5JNyxhrZWghPG0DAoLJNiKoz0rzz+grG/htSUzHnBUPx1gZsMq0GooE5YE1ccr1 ljSOnJb3udEjCRzGFxdwQ0rDoa6xrVMROv9Y6GkN2DOjxlqw3VB/gcg5CVMxos+L/ucaS5up0dMk aeVmQP8dYa11tC1ZUTZxkKc6hU1UhaZSqA6qWXaWGkdBXboH2y1msT3ZpbRyUFTXiACKtfuAg4vc RBZETF+5k6v8Kg47y1iYyYEJroI9R7kOy2OkD1UwusRTr43W+4o8jPNftkyBMTgnOFQ7d9JiReFI gCu3S6fhAwY6CAGSmt2md+JrPQ8czh4bDfwJ1jlQk9zmsYYhoLVNgiimDSlTvwXsEAw4/NJF2Up0 NytatyogCnlak//w1hWy2lQQ/HaHJ5Tqw8bUSSTobfxvUG9/RlIpVqtYvpwbEynMyZpTt/q9AUhc QIWPROC1SVmGTxJF9S4pW4naUmsFv7sTeWnK0quzTVzVgbElgq7TH7cWAUX6k6LM6MX7+5VbyD0t xU7yA2GQJrEBHtnnZY1p+bcBNjBFKmcoYfuShsuXCZZnrIjMglZsyIK+qKu4bAt1qAeJbtMLuuZ7 YX83zBFKdGC+YECmLv+igkghWKfFxJPsDZn2Hwy9UI+NqmATuwK8ttCMJ2avmvsRi/8T7WKfs1yc J4H4jLutOldgjM8dhVhNC2rST1d0UCyCPLFfdVeb5mvTq8+OgMDhstWi5bnt4USgzLvj+WURoZwk EGtoAXuvA8B1JU7Yyy8aLbWEzAtluP0cGgBmGUTnY5QoZr2XuVrJVWfADf96go9CaQ028j+GDPnW ybWo1+03WogizbwZ/aXDBEB6UzbsidxJg0EqcjcquxvS1a7BHzhZvJzibmOQ/7/FNMfchCQLVnUp JY53FEHnK0/2fmxiz9g5WnwAQzKlwTobEQK/zxHHacfa7ci9vy5L/kAShp5/OOf27x5Kv94An8IL XvS2z0lsKwrXNzNKE8PPRZtCowl5Foq4NrKlXza3MLF+PFOPdbgifktr5s3ZEzVT8uNbGiVGB+GE sgep8tfUWmEHqvRmvBzYT5YGVco1F+g40tsYjZUk+tBav1wAjgYWX+ZWYOs9PAS02XsRAe03swVX is6knlcM2RQqK8nMC7Gj9Kbn+ZIorRqIMR6nEaLN2tX3YkkNhgK0VO+8l39EYj0Z4UfbgsXMCUfX q88Frx+bg4OypBG4k8pwQ0YCEaGGE9Re97pPTdmXlf78OHXqxB3oE6YAPIvKKgTgrr9gZRSd3TY1 zcxClurGthxp3a5J7xtTDT8ZXXej63UdkMmPxvOvh8DMeSJ2SItV1T8a85mA9yC5s8k80U4HMcSm FMBraCXLOJg5Vki56jFnlG7IMmkrg1VaV6YtTfaxBc9yVfggQBcaWSvllseDTDGA9vux6pvIzfjH Y2q3qQrBvm8Ove0cK4z4/l0V3DctHN4P5b7rpLDW3u67OycNM6ZXzyRtVTDXXXKr7502epsIOUTu uOCF1HqXj3/p5eBPUrQRVIyyRYnGQ2RQYA0DBVuIlpSosMe+OjHOyUa3rkiyNFDV4S9v/xUdkq7E q9bAKuF1KXq4OcpTSIjN7UQQsjM84ECZhxmAL+KTr3yJVWaI/bjwYbeuIzRU12mVrS5gKo4i9e0b VR6L4bZFv1s2iquPjucdyWjYxC+Opn+4uJk9ulADZqOnk6OC0tEphlex91GNCZWoWimTnJroHApP Lb8aI4qpmeerDhAuHONdbGkwnpmFQ9UEvxAeGl422s2vgxT0Yke+Eca2Bfq8BenDrSK2Qm0pAtdQ wmVkE4cvHygTVa0tNqETL9pV2fpA8lmEHc3o0H+VCIbzmYftRO7r7jvBKkeJhvddPpjoq1rRbozN JIPb98HWAp+SU2TpRyC1UoRxKjR2CFeZ1IOs3ZAg03JfVsH34TzJlRgrWca4B+ErD1fWBO5rHKYX KULG7+EXgsuOY5uYDH2W7K+6bMM3eS0sT1lSiacXRxyackXCD1S7ri1ju3Z6Aaaki9hp6211EcMr TlHxUoXpkqghney38gCG40b77eCyctENYOv0NUjtOImpHhpX2Um5Yu7MDjraKap4bPxCvS+MykhD tul5ezKOwm9yO+NIx6MuNSRKiFxGhx4b3qLO7nD2vXkutfJa6RssxTnBZeZ20YJGsS8zZu30i2gG CshC6fkGgLxzYprl+1uJeOcBIwBGMl96F+Ah93k+VL9aT5p9BarFXWNBiWtrtBGZS5E4z+y5Pgho fUglXOluebg0/G5219od0zjLQGHWojLWcFs9JXVDPqBY3xZmjh7srGY1c/QsDanTJ7YckyUuZogn Hxr4VzX23+hN3A8kHRk3ghffVMpjBYOk3WyNJMB5DcH8vPantk4XPASjWR2joBu8AL4jk6hmYTcG 7o4M+l9V9v+18QQU/WvhUvhAoWTxayV/HwCNhlg875vZd9IV/YTp4+jfgiplDwc1nnpuLG1DVuwi Y63uBJY43uvI+A7FsydSZowcUVEHXExZKM4qKWLL8h+aWIMIPsuahGw/VGGRV67cpDnaD0ZO5kMw J7cWftJe3rP8dJkItLb1T4osj36ZwsGKu9nP5a/Cl+4bqBUdoQKdP10E2yY2WCor8icwbqgzS4N8 TpUTXN0oL8Rqo2UhUmsjHlKOgO374/TUVTxVR1eo3XmuUvRYlDA6CMNpPIXsIPNQMdCII+S3HBoO Sg6Wlu0M7dSBS3BXToes+jgsZaJhRy0crI5v1lOCNs8C73uI9cLzamyqP1i34ee5szszGMd8ta0B qf9Zt6hUBo78Py6w4UXNLFU+SX4AmRy8HVPxetZpImCJV4L2Q0yjEGNQ/jBwz2GNjd2O1tImR497 0aRe+Jk0kZgX8sKgBpmqrk6HKQ8EMziXcEREybBYI1gvaMKSmC41Myg+PSih+96tSfxJmdJen5sM QwC7NHou8P3cflkHKeTqjNMuRq8747DLSbfjJqYxQ4WdRRnhmbGj+rKzbFxJfSkQvpFXZ8iuTsQJ qmjHUn6DHF91qHPGhf0sSKruQpmNeUIhOKUy6nXvkdWBYDs5yFkpsesB1IUQjwqlukOAoUscBAPC FClntM82hLghukcRwqJ/tD8VLv3OW6cOPuDkqfypsbkdX5rZ8vyNDUSYBUuNDKfotUl5SPWK2Xil 6OyRfGLvfQW0su6IbxhO1BP5jNwPAPViBnDMzidVMkWmeugZdZJLgfliyq+ks8Dqh3I2lggrJAT5 4jlXeHYk1tTO83biY+IoSsgteJMffv3rpQutYh451YR5FBtJA8AHxE9FmbYEOsRhwx3hTtrIHyHn TiQDPLrJ2WLGOIUDFqr4GMi4yTMIxpFvScR4l/+PP/fME9eU0GiNzT3yKzzJ85TWLSxgVhOmQHY8 dthqd1/Uo1ioj4LKgJsMuBUWCgrAgE7W7IKwo0t1uJtiHFzC6YTW8k3UKmhd9K647koOoGKeSonQ kPbpujYCs5J8CJgtbV0DRprxUqdh9Fwn3oAvHOEXyRQwv8CLxdaFF5TN0UCj6p8vgFaAmtgPaawn UqVp8aDDisMcDnh4YT2CepcnAHD4L4IoXdi3b8Ya1s07THUEGcngVurvrYu5shmJ/K1RfCRrwlNq JGegclQoMesZs/TwTfXFYEF5TRoH6TeuCBdErJ6lyFBoygt8R+S1XruJususbmNuDyJfxieGbWap B0zNIR7JpQXe1mV9CFrsW0RaGgHAzSHx0NqU3XUy4kRWLNReZ+xhl/vzphIVJmjnGUmrg3ypBv9F LLcBBKAsdEJ/ljhIvGXJX+Z8rPVmSxvmmz3fbCptCQhuhac6uZ/TFIl7UqJoo7wfyHCb6qY4es81 oHmXYtG0+mBgDOEBLDjOrHfpb+hE3SBV36aIpnVm7/M4W6/26X+ntu9AwBoYpVUIM3RPHUBjOzr8 aae7sD1THB5gJxfj9xE0qm9fUZym3Zck5aCMpBYld9G8qwvCW0ZOBVbQczvylXx28AmO9k88isFT ZEsKBPGTgvCY11Bfi4LieLmBAmE5nyoy9UYxgxGsRWw91KMhDgY6Cs76lt/bSuer04ZjOSC1Tqja ZzH02wFNzXlbREiYfOeIgN+ijyu99DFDGkcQlNrf9OAGE6i0SiB7JBOvNTQb8kvt7elVsUB9I7s6 +xBd7W+U8Le/doSMvHObcxUmYaoQNtsDyb4+g+2RgE3ngTdF5KnCEiHqD3jdgZacG838NP6EYuCM DjOc4llaj8wZ+uDiYxE66EkgzNHbygZ1mjsO8HPF8KSUUjmAuhLOweiXA1J068rsHIp6Aac7gccu X+Ru1Xkl7sz1+uY1y7KnGbGnKfNiElMdvwwgc9jPYyv4h7LYiCjp2ZeLYLsqMCGoOlMxa07ujwAJ B2rKjYJ5hJykdry+QUdnpSQV/yWtihYe2VAiXjRej20RD3WNbLdxCmU6AChM8eXOmRoqgQzoqHzY HV54WR2mN+6jJAfngaHA44AvfKzG3x2ZfwRY7w4qs4rrjPXyToq1A1n81ILcTjJ4yzb4zwuygBQb KapsY4NDk8+pI3bPjKu6BIk3UilFVe0t1ISVLU2Uc8hZMyCrpJ4ipGl/crTZM4XuZtTFz8UBrnAN Nr03NmoTttdPpK+XqtxTOkmuZId9OVQcrGuGKbiQos/+Svhti5AH8ZutR3/Ks7ViKBVoprlTZI0y SI/UUbzxxewBo9rFUQoX1ymSKrBoh0u+emX+qy6d3rEk/XY0zuADU+oR7O5SA6vkawwGmkxOoegH N/7rjh4vh/1wA+h1sSmIgRwM3TKAeH1V7DNvtfMvmZL2tUcAU8G5kPBhOV4ii4NcX6sRW7Wp+XvV wmFfnqeOo6dO+RqQcKqOfFq/wmm8cZM1JR1azasRmkwGjqSt9ZyUUWVmms8HG2O830RFjz2ceHDL MFqELgJUag4dntL7V7QYiilaAajQiYUjiLwVMaG0t8doTlWB/Dqp6XeQ5Ns4qRpczkuN1nAzta8Q sGuX6YnWHkAUGC5aOLwjPucySHma3mvg4GuzTwb3mVqdBTbDBVzCpFzqKAmOMbqHrF6urbL7+x6D gvab9WNnyOG5nWPmOu69HaQFSvr7/tuqRWqIcMRk5///GqzYo8f6Jm1CTNtXLAPAHEpraOfxbHkj vXtuRjdLibntWYAyptRRqxl9GrRlkFB+Hf10UPdBJXea5w6TVxCoTJlClxvcl0Hngr0iGVaBbsvS ZUPRnc3G3wzXMhJVntGeZyJSeZsw8JS1hxmKNTjsXEgcBKeLPe08AvNdZ6EmdvVBmIEWWnvl04Fc BTfc6lJj9BmPMC7QQtZxLd85dmp31NFxEWIjgHZGh3be017QMYNz4BIW/+DW2QOdpq3gdEVhF7bQ Ul6DBakhjJlYHF0enTuwNjrT+sWQpg+fQvxUVa03TshYdaUcsHiBFTZrruw64oBhHvxNxJdDYfaQ fbuQBWzEHvbcsmFKeX4AxJOgABTjNdyYvhD23RF9TvAb/ebF3j1t2XrvnCPLwoEJ6utOtPd7KpzR VkTApNWIrm/kCacDeiNs4qQQksiO7KtVVFxmJSmNmmBTiaiSFEpCmCT6sgVNuTUpLyv13FF45dgJ tADZY8EqcDwP0tU1tFiSAescaSefndMam6KrDQNgTNmhA8SZd0jJfiLi1emW2ROdWrgT7rDkxnW5 zwex3V/PaauMWHpmB4p7FfM1ngO82ucmy+ImUYfitEWkMy+XoqDT0tixJmgU7tPgBhdilXHzbEJo DmkzyRaal6BLq40PJgXKUwUd0HUG5G0JhUYObb5ksLz8VjZD4XDpnPHdNeWc/Quhn1DgPcuj4WLP 8w7ps8jNwGQj/V5+e0YizcpOu4A74ZlpGgfQ/3lKYgy1PzD0geFAUbnsutLjULOMlzYrtkJbGe54 yg8NUhhMFBLW+3dFRkkWnWVRGs3tuhQbndJWuw+xbOghl0LFWJZYMVDjA6awBzOicCEx0O/7NH4P xwIYGlg/z2Am1GeG5J4+AEoz8KO1afyJfSYf+KKAY5LcYWhFauQWcMVlU+3psNUxWYx0v3y0Nb5J wWQ1eLKdCWW7cwnyfD/jqTWTI6DtAg4Gb6V3NbBU2Wwer13k68BFw6jPPrnFSWYrAWOPNvh8eeMs MVtV4Sg/8klaJGR6uLZKg+c0Mr/xN7sdgllgtxg79/79+W6qP+/PdG46OaBzvT86uT5hawb+WSAV 3vjA1WS3tY76QMUzoG8w3gZFXRBLSOpwEF+9tK2C7VvSe7lKagmQS9I96oOm4J9uPpluXAZ9Ny4u 9GFpmTxodIjFpW9hxXkfjB9MD9G6215hCm+wV9SJ250z7k1dQbALhZazhf/sEgL89h9PMQNaKlaY SchifOd37XisosZw5N2RnglTNhqjjar+zf6PQ8RUCsCbA3SuI73QU0xbgYGpFFNAyJn1oUo2SVNU hgvr28gK5FjKRkhAS05jpdYJ8Op5GarXTOrIYF11AtpKjn2jy5yQ4fMzLOzijd8VDeNR8W7w1m8M d2cq+hNJNbu8oSvimI3eMdoT2K2oiWiT5k42vAY2hx/Cgesm79Bl4RFJHdnmSel6lptlCof4LiBg Y0a6t0G7ANpvfrE7HGMCpXU40kpNd8MIHFMf0jXm2OGnJ5rUW3CIGXI7dSJFugf1PGQw4UiycYkQ 9m+7iewtY4Lp4//1VVpGRgE3Bs5IA4WfY6UPN/Uu9KKqqtUeOdtCAokLlavad443q2q8s+2razSt PPvOwJQlHCJJtAgtruhgZd609AWjFB/D1P+R1hRwc0cKKTQcUwzCD6K6/H8PjyHHbKIritbYL1ZV pOBOvo3QKmJEHMWrQIYqc29LcYFjLLb5MataP6EwWX6wvPrvu0sXEMLfbvinKaqTI8LTKS/ilh+d PjBxKlEfAZM4/RrwCB9py6ty+rwP+yy3cFlNQckSWO8WjSh3/auEzHMDDjBNtbuTPficMq59u/q4 fhE4ihZ5zdE8fiuxuM5HzdEgdiUV4+V8cEGiiToq0AU6Wryg7ti5KmpFB52SdOMI4dtN+98w733I 4h1QgkhxXfaliz7kftEtCiscG+ua1fOLfEKG4DEYqopGqV9rDhxEtiPN24/ECdQtiOtsyX7Xap/o RjYTZV8pZ1uAmTa3WgZI887J2tKRYhOsn7yjRKr6lkz/ElBcFXeX5wT0Y7ulMNqjHOFDSgWnZl93 At3edzO4V1rbkcvQRIeYKWwAOEByy+7K4doYiDmEdwQ2GOFOdyb3cwlBo7zYGfjkyZ7a8PInP6lr Id9oVcxPb717BPToo3VYk1XPOzb2YBAMgwfFBeTxQeKtwIFu/U5pMr9Tnmh6sWKtH33aXtqXl5Qa 2GZb12D1HeXCUxvVoli/bPqef2E3e3VinI5b5QNqMxnwEovd1mNtcAUp/1IHnUZpvqL6xel0whOs e8AkMUL/SuBhV99rKIaGajJJgw9/vtStWUMVxUqe5/FSgTvzg4lsbOzdWuqIt/NJRsOewifPlbr1 716WeNcLEml5bRyDEy9lsgaqk8lSSekgdabVpGGLzKm1O97eY2HRkMx6yuregB4RL5lheQ7YMvkQ De7ykFIrYRxelKTCPUhfHS6lWbTi2imTDKNI2YbVDdZV1LyCXRykyt8m2MUb3yKCfPwoaVJKdqT/ KwOE45imWETKoK2ZAmM+F2C70H0LknOImTutfN3d6M9/6i7YeJpDeF7d7rYRZPzc0SanpEdr0Zxf VBGswLQWM+JhJQRcO/ol9Io88B1cMdId6kUKacFuoXBL5GU5m3GrX4xcFJ0jQvr+d0Hjh6fjME5m xHOVcnrDeJZ8mbIbWPFjJyZA3zTt6dvY4BlQOjv0AUF7nx/WqvE31hj7QWZrSl6kPXzdnyQc0NTl nC6qSQSFT9NGpxtOHTNTQdtaOwoPy4yQPnT6T9g5OYOHIy4e7JwRjA7qm/eWCOQKnRwXwbO1XN2g xE5EY1aqzE9nkCcehDAnRyitVQRPAxejyDMM4ykz18JaqVTAAe5PQzXrYvpukWmFZ/AnHVUrjmBa fcxxkXhlEa6ktsBgIJFkDMP5ZTjtqLOZTpKiN93Cu3jNDvivAaiN+REUbWChNIjIG6oVjwcqjkKV GhCuTEpyaXYORSN/WTpoVM60hBwI7COIfSB6xVrElwgsUW23PCDuRfNtBvpVvg0soTRwL4bAjvRi 3k6Wcn4Ot0v7CFhDEZisqHRtOII/s6SdpalldErCKlPmkvzaQ1w/ja1vYfee9MKKl2y9w9qz2otl 6rflMmkpq8OKzU8d5QGDa2KbQNcLMl428xKFMnXaQabHmsxE8ILq7mOo7vKyvJ7GEUZGeHBDFIeO EAqYVS3nWRKoUfRqoBIjIO6n8eKXlVdNl+yD3gUjBEpVqu+4wKJqso14I9T1uTdp4I7MJFBanfc9 BEwE3wLl4OEG6V2Mf+FOLjulz9aEjWu1WE/XYO9e1j5+HTkSqpDT8kjBJQdxYFqbqQ0addalRhuE X67DS938Ynn9Q/aB+RY4ur7b3brxmuigo0CQByhHe5iVnHYZxPehjvOPCnQqQ329F6Ul03ZSKSld 80KlqkHZYf5l+2rWkjA7GgAV8oWZCdrvRxXls6mmo5Pj68wgiCNy4v6u+O8YPcYrOnJHMz1QPTra af2+5o7skXtD3lvLlL9gx84Ao4RtmLba2peBseq4dVDAQVSkg8fLphKd/jIRV6BMoaIbwg6cJ0ua bfMSlF2EsYYI1+W+riS7vn8I+iBaQnFwGP9efb3G6D2vq2lbL3n2pyj4+rmXsN/47JxF3x93I14E Yt5/7hIKBn5pdo2t3SollkRzSLvalI4BmvJPmJOKlnbLEvuNGa+wTCRLcy5OKWEbHT9uh2MEUCOE IQUtci6tePFrA7OkxBAs7rexPz6mtiKrY0qIBC101iGPGPM8smfUu0PLoRsZa4mIjEZHjpImW8yM mCvvG2dCYmeNU9UYkTU/t1HS6gf24n5fiDXZGDNE7wOJz33+rAsBV2GFzFMoJ9QK2Ix4cqk5uvCV WnsRaymum7KVDASNP2yO7zKbszyRcHZyur3f8WwYKcn4ZyhT2snJPwwi/pGPNVh+9MYm9ZabjXTg pVE/0QNl5RiAO9I3yznabL+4PzesjYIE9yc/lvH/B7Vma6rs4XTyfxRNK/MslDBrsDii6xfQE5v5 AJSz7lePgjV7EGvQueUztLBNN5CnxIifmEf+XHPh5gtFUFQNG0aYZF6ecwsI3+TjaHPllHxEtHY5 7Y5zKIce6bKIWWXtoQGbSG0cWT5iRHRVkQ7VInVaTrKaPvgOfwutKW6JYYhoT0X31MYWjIW7FxJJ JsMmzoOaTv9lThTXfYJKfQvvwORCEf+WrjCBtxhn26f2aQrs7Z6n8ysbKf0oG2laOqv23zRNI+nk Wg2uahU7LFc7+fXzKc98FJaWAkBBw5gjQ2AzPLboEir/BP50R3nq4rHJGrb9PCG/xtU/KjpofcaO yBp4p1v0bPr+3DXb/5mvPDvD+Ep92GsJkBam4vJzyzSED1PBRZFydMxN/Wp0cctuiJ6TF1Q0vx1E 6zKAsLEIZPqy9Lk9+swDrh46U3cyyKLBMp01cjFgM46ZuPo4sY5UoGdSZvqSybPPWoDL/eJHUrss hQlovAuyfV5xwV/oSG28KBbKuJ7uRCDAcPSuFRvfowhBnfvWLyuMn2mIIDpCC8at3SanQWjjCbA/ u3qb2gPStyeENFtk7460DeprBE0x5t5rsHbmWBfPU85L/I7+riY76QYAFNJgp4s/RfZHcHsHFKB1 M0rxnOSvgz8GyfIBQqy8ljhCwmpfIf9cGqEEPBQd2FDO8rRKxV5j5zNS8VUPunNWHWdN/Gj/6Hrh RTjlTM9DaBIfxTE6VJlLrkms7mW7958Co8M/vc8pydutlVIyy8ljhO/P728akt/iRSCa1MjOm77R CVdankHWIsvJBfA8TdQ8ZTH0tYOBCxYy43v2DyU9D5egkpSUjWjBLNk6oBA12grpd8gmtUOwRXLz CLqidzytoF1NKeY+H34PWgRAPkGvQZ8CQ4Vkx1kSLiDEipI1olhluW9E7lf8hLQEJN7SCYpOcimu 5QwisPzh77mjBr/25gbpyTZ1xQKLjIZ4zAwfhVIS10XlIcyf7VNUX+9EMatKvFp3bQgkyez+19MP DeJLb2QnjMiHMQqJ9YWvfuTcRvzk5yugtWGFzsoFnULBMjnUZptqFU5OzTBVBfXVVrB5w6DvU0Rg QiijdPzJWK9ix1P3SPZMsH8b75n4x7AdIrqi9jg1B3kFdV8TphjcLx9ZPVcc7ZlSuBGHq+KX/K99 3gziUmbxeDLpA6D+CG9CczXUahNhPJ1KFsG3Mgmzmutg6S/Vx/S3AOKbh0fMu0pLk2SZQsQIgInR WTIvCZKU/H7dtBCUmJOyUwSwwrC3jVvhtWNqfkGY78K1mVtmBZzJ/JjkWb41Vhgp5ovayCFnkdak en1xrQsMh7eknHphCTJ9ci17a9UTphmaN3jEfAktn22BQgTEaPD3gkhQDuUjTNRXWKJUSaMSFnOM 5d+FG8WJPxGpJDm8KpmRf1wDsCzN2V7B2RBo78OtyGHAIP4Z+Vk8bv2oeeXaoqDg+LGYhac8RTg4 TW7UNNYY1/WVfwXNEr5tVVO4Q2ZiQpYRZmXiNWAveiBFWSyCV1yJz3n917gyyh2GdlOewWIdPjSf IYoOtYl24QK3+TYvs4BHcIA7rCkPvl4Nr9OIztIk9VWQTnKj5/v7hFzwjBA4FdToZ7C9eJBeFusr qZebQrBGgilLZUkW0kEHYMYrtI4v0yxVj5F1R0je28DwgD1FJCtk61OXhKoczO77m62TRFnVbGTI HPnyefXzaIDWcFyHMfjIfr+6jK2zJSw6HmriEtwrEnsv/IXcetaATf1sZHa+gVpcYrY4HNHzn3uf wmjz/uG+RC+Ky/aA8vRAgnt/b1mum3inpTjO/H0K+Y9/gH6C/25doqOHP1Hl/PloW8GpMeyBlAid UNY2bMH3nKTHSUVp8rIqtoexKQAvL4UTF/9pk73L2ScY/PwvLJdisfol/aMsu4ujUMNJgq0AE7MK h3i9OZgotn+Tuvl/BB2rwMpCyK+2iAOW74Z8X2of1Pn5tmNWSfKcdOu1IzbReIDvlo77Hv04qDfU BFqwQsPDpgXlsqpUlNbG8PmdD6rvTg7MxlD/Hr/Uq5x1zBJ0TyVbrodwOGW3TQtD6bgOGpSeYc2K 9owaeodVIEi9KRTNp2oC+y8JAH7DNV7lpoFlDREk63BSn7t9HfgckOFru57K+zxwCysgFHOwV7yx 6Jp8SaXAnZVkU09o44bIjrJb5AXAgOULwSKM3oHNiuO6rqwR4nDSO+panRTIE5BVSevl6yfxXUdy CjwtUm706Nr3mV5pdraEffgaYRKqTeiayJrCmbBZ0fuxEK87wBkD5ftYaEl5avMfXyipaxh44hPM iRl8mdRwTLsHMDqzQdhgJIDqTzjZ/k6DxgFbuKg3ukGby/rgibk1pUjZH4IroYLpI/G5pb4NYJyZ 3qSVsLcY0KVg0c7S8F+2rzrvEJUo4o4AHyQRgIM4BxQwg5c6IUPlHxUyOIR5Yr3rDvG0Hi/+NdIX 6ICOtiSy/UbtJAVsrQF6ozWM8LsAPdGUQ3J0tWhQbb/rYEa+Z4+XFedIIt2JHdqZC7DQjp6d2B3T u06l4FOYdDpSO5doDAcKHHnyQoHdKHyA+HJooUesTGoqA3dKpFkh/BoWKMSrxcZqLPS8ANMXRbBl CV8o3fZOkWN2kx7wTg5xaOFcVz/drilGqPeHaCA46WweoMP6yIvHWf0SgEcNGVNX0FmXSrwbBzHl l/liCAnsgAIGVOb0Td0TXqXJpwiA3vzQvHbVdzYeGVDwEb1r/reb9/YrmoSQ7bOxZiTKE82qqpgB yeVVHm0N3H6TfjjHfHJgUQ8sVwKviWrwcbInrogJPTHogpl9j/wm6ATD5meHluLuFrG6U/sS8xFC HN4iq96g3FHjwAYm46vHb8iqoGukBVh8MzGE462wZppsBFUcCJh/GVrdl3vINIeCuerZaxF7PW3g Jn4b3aP3MrXsauZJ9DedjyhxUD6egdiMUbAMLzu5IT37XiV3ovWPXkC0N8/KdwAbpNgJyxsXrutt Z/qLuGKKosT7qmCkDizd8jdmaYWM096MRjobTow9Itu6sB48Stow0ZP+VG/8N2fBPX3nvxMEmGdd xAL5MPhiiW73OQhvEvNGQCLi0zJBXFa+dJeZGKqvlpZFw7bcRNAuNrVJ/WCPX8kz3OUrglmBcbQq QY8+r6kccjXIc6QjNc2mPdD3eywyR2iYDSwTQTbhJrOc+o2b9kX/AChY2G0Ecc4+uLjgOj0wwxxI lnOt4uP7gfIQhMaEmgZfhB2Ab2JJDLAZcL9Clwnfy5emlZU1E5ao4WZzr4nu47NNmnjdM9zI4wg5 uK77kf08VbkNk9cDG3dB/96Cl/1VXZ8BBw+VnayJocBIPseBPG6jOIxHHzxaI6kCsho07Dnufch2 TVns981RDoFOY9GViGQs1BmJ4RTjwjp1Okv+1zUot5aa1LCfx4shkUx7m0dFj3YVkkiFut5HZTfv FB6NdF/XCiNE9pplTz+fAGyc+5ptn1+isUjTVrxll6QwPBDnRXFat4jnbKJcXzXn0xe4hTxonuq/ FC/Ht1sriIMkWOiLHEDxu/54146fztKYSIO1gVShn7CMzhr/dGuhizs4SYFYkJlnaRwlGwJJHOq9 fvBwbYu/KP+WN59sJMNVHN3QaJ2yCSV/Mnte90lGrZ/XVGEozZdPMvfHGei9fsSwv8v1j0z2uEW2 IZaWclAjLqdmvsfk6aKuRVVgtXSVMZBYysDKoouPr+RVXsYeav12KtNuJKsgYm6msSW6nM6t/Ofh 8ppncF8957PQVTlDz+F3s2lBqRqukyVsXf0ISZXlQPU2jaKzG+n5i8pTarSYztFUucMF212X5Z/+ +WHDWSjwEokLqPW+5VwldENrILatjXWjuj8OY5TT2rZR63eb53lz5P0NZw/45e6HoczCifUX8nqO PtEAwG92bMU0jJEGLv58LraIZaVnJ9kDt9BWnQQzelUM1DCnUHNV0La44g1NzD27QlKP2gx6dCv0 7VulGxDHjxUDphgjtDl4AIC4UGZGEG62aqu+1OJ97pvbObmMSLZ8DV0cMfoUBZ5utgabglA2IAzT s5dMfr2tmdMB2SObzBfIaPNzWPwsRWt6k9TY5UfVL26ExFn0Q2bqUu+F4rQpNfGUQdmwc72Grio0 TVbvyugiddSENqv3HTCVW+heZDqYbnJUqjRLUlVdHrdlBYqY8MsPr9JFLKzREHB6QRGE0lTWxUPq E/ZzHV4CKfBYHHB2rWeZXtK8bEsu2YDSxhGMa3fX7NK+Nk3dA85jMFEtwA3V2GYwsLefft8vWpBs kYVJEfOUrNBg5vAPXOJiFmUS99QIaglml08+AP5k7X5fPP6UxvXxYnE8HQrRwZskS1SxkU1R2IAi br2YU1I/+bBJVBHUNtCPxcF72LRN56Y89ow0NwrAICQ8Ixd2JNyjlxhHRJCc7ndEWzjOAS1gHDFD qOh6iF1R66Gs9rVWqcXgNwOFbaXJuFV2G8rdpxy1vjnLfXKer/q+DUZJxmDSTKexMbtc6ztXwR7p baxkpqyStJtmc6kVhaJP+ZXfT405qOtp1eOqeouX9zke9d7kLWs+JGNgQK/LdQd7jgrMbB08NmmM E3C3CTHaXhugKZFe5FhLfO78V0zhHuk9FGTj19Iv8y23s1lHS5uNHUT7ThRmRfaTP/Z5FbrFZcuW ZVAtBoo0RoMUJe9XGfd1X63laa1SRy1m0MzORNxk5viERmTthlPqDXKp5kxR5JcbV49R22oOHnST hSJVixsB1tIKcsGRfrofPsZsxJ+qZ1WnLcbsLsjtpQh3Xn1u9Q2YkVk4OTkAdRYm8jVO7qhcx2mZ BzBCNGlsiIay32WbucMTyxbh0udSY9vX/OpmaDv1Y8JXH83CDU7X3Nn0Ti0mO92DTrkdkdEtzhVV i/ux8ITvqcHFn6Ur3BL7qLirr8qEYzY8SJhIC9lGVwsfeR0zycDM7uHbGT9zv9KWk2PxIu+NAXOS EbCpOQJYrELBd1gEOu2EEGNss7Tlsy5mV1R+ZKMv643VzJPAEPVwZhA6rvJHQ+g53afwVSIBI0I6 UORHilvNUAQCeHzRTMkj1AEWq0NwIdHgYfDhPYDnxanbDTQn5pjsU3NRW9QRfqU2ZEVaLXklVwjS BC6a16fHoWKNz8TekVejMMjRiAeKOqXj1cdly/LR8Mn8S5feMGbyU8hxdJglpyB5Y2JIU4yNpIGJ Q+J6EvAdIM7/u/4y1VCh90ZJIvMOQdxaNsOi6CePREC60HOit/v0O71ksC7bQLdSyyBLHpvaBov1 m49+iS5+kaakRRGseEF9s5A0iwI9F8S8OCYjiCukLS1RZ930qnf68kpBkwCecxoR4vhSfnJJYNA0 JFXJKMhUVk+da1G41yiROnOgEDoFmZU41CX5JegC3pO+9WDLjW64OuBqh+9e2vlaQ71F9KhtMy6L 8HnCw7dwT7DuCKyrmc9KSSgx6mOz9TTbvuFjvgmawQlO5p1QKLIOGAkdLIzRVqGLHvvUi44gJbB4 3708dfcppbHYeiAPzSDPSKQ3YtBsppBT3vgx29vN/y1yRIU4TVtKNo1hLw9BHIooGFMEpEPSEHgE qkho4IrWaW5J9xgq3SRhxOySiBvURD7osIgpoHgxFqAHLvQZ6L6aZXXFG6ikBkDSKOY3wH+Nf1Dd n8HBfCYT2FiSBDq/SglPjq3+SFJcwY5vmdIDoPx/ZEfCOmws1h+weiCf89ooBaKmhsyHjk9flUUf dtQ9dSJeFHvHIy//lxf+XpbHNVEBS/CPZ8/ZJw7MOhJm6o+mipcs4PFyhQQnFYzHXbT7pGeDYdWf DpOJbgm6Z57U06+HdapjVLZaJDk88G2QkTic30RMeErGtuOCoy9luncVYPsqOGv783Am17GfyQYj OFByFJpR9viUGUwsZxXu/Bi96kcdlw7wavzODJxwOJJSkutgRCrSaEH5ibXCgEGB6LFNjGFqcq5e PLSUO0ItqSBpWr6ucalg+uGVHLW0h/ZsHeJSWgLImHuInJUMpSWgHqqkYnK7MTsWKaU1cgruLtVD pPvO8lQq1lIc62O0uh7+VRlny8t0esDR9vGqRUqow3sCz1Xv0ATs90AM/VRrt6tMzUiDK9lIwkJD pXx4nDPnJJ3EA42/z+SV8mW029M6ADrar0cnZCfpyLdXWWiOwBs68uS+npEtt8L7wCbeW3cMV2y/ rX/xBfm4oPxb8pGzeGiB1LypRqbY/QTPSeekoLZ09YHRuBfo7L6f5L8BzCs46L6c31MSTvxMxugt Vso/ceQ48hTrJdEUOUKdH2hcw/9m9Y5h4fTaDOaGLO5EJoAev6iet6ER5X++X0AFkMwnBcZkstj+ VHi2KTAtEBWVvMehOhuMBxBc4MxA82t0UcTdeem3crVW0Ykeq8BtpdQburOXV+jyd39oqu1TSFcs eBWgT9X72BEazhdBGREr9ym2g8qV92blq+zypO4giuv3Jnqv3884k9d5CbMJAKbRtY4b1+6BaNgO qF/JvDnAIpB21xJTile159GMGhGBBhPKIAU2sUntyqM5wHPgcVsVyK4GqSVK+a8j9+qvoVxBZRqP P7sx6MafcMH69KYkackKcd/5i998D2UwfOXJR2qmvqscHtEkEs8LJhthKYAQmerMEH/QpaGldl12 YxyWmBvQX1UAlK5RmonyXgc0NYid6FSI8vqB/s6c6/2M5E/YhWl75hQfiCdmaDGeND5cVaqkPbQZ uSAlLJ1l93CRrIIX3cWllTNt9cY42+0YrAqN/IvIqz7c0yU57aVNtPKuPDPQAEg33Y8FgCl0r2Pe HZbr/5RDFnrrbhm8QGIpGKeJdnmQuoX1YFTxSlggdNsvWDmRQWsv6BRces+LoffHCERyrb5lJ2x5 PscmlFTQbVx5wpqVu/qNL+2mOAcypJnRu98NXh+9lU/FYvL/mueUQ2CR9uiSVF1e3ukWRzxilEHH iJl+uSDkqnXcM/pFZfLHsOqq490fTVbHIOUCfwRceytyZEhv/Ry9gEg9i7oRjALqKpNCtLlzOh1r ZV964jlFk8tPDlv+6TzO0duYW9MbuLwA7hZYRq8SVcXYE6d18bPgTN5w3T2+Lm5athWkKeQwTU05 Lx6fxDkmdGfneISvXkMqsfyE0fALhRWalO6skWhv29PfyG5Xk0eHdm+O4OJ4aulCAiwDNUvr0JgU FXZaee8R8wssPFygYHe5cat64HZrz083NE5j5UGDg0Y9apQWJw3zOBWCBDvV3dLLho1zfPIEw32h KCS2pR8+NwXUIPjHvbf3JoYnRFLdIngV3qUq+tTibuo/MuvmKcOuJ/wpUUg1aCth9ADqiARB3exw A9oKTONCo0U/AND7r33xnGI3R28ss8x18pjIg4w1IiaXTjcpoJLvb68904MIW0B86NdZgUXWO1vA WMNz/TKMRMX/PbN7n1SbHrqK0h+UnhmNDULYnnyZFnU7YuBjYjlVTDnmS6GktFErFb9rwCw76UrT EmLf8SkpBnKQP/L8dQILzqx8NIuZIDLauLB3K+m2VUkS/A/Dz93N1uY86KicdHwJUChbtvbCdo4S o+TojBiQ29uIRfh8PIYmDJIh627lMWnYQMv0yPZmK+3qrGCzzqsEETi49vlLqH48lFO6Drc46Byn oQI9sXMv6IM9ep5ZsYnXNY53ephLsPbPRovhf5BJDavBoe/eYPb89Ws7H7HvBjIVacJQWDVSDs12 c99jUeMdquXV4eQuxjM9NGZlrX6vnVBxcbomGxz+hl0TG+v25YCQNrX2tPHdy1iLlPbjMm679NK1 6UpoEkcCGOB2rptcmYwt9ckePbzfm5eVMI5KD3KeTcxzAFm5mPg9n9SCyWAMNscmEx+Fuc/Ekrmb gNfFXWej4vmYEYJfCmnp7ZNrcoQPL239XAjVFg6RyoMnb6XunRrT7z4qTp3AnMfgohyEm1s24ert X4wnVFsQah40xsh11mPGO6s0bUZ8hTgae5/c0aHKt/P4IVkIXVO/+HacI/ZS7zPl0G/Wr8lSRxd0 mn4GHh7r9piLKKZnIQYVYgI9XkwjwJ1/ixuBn9C1LVSLFtxxiZsyQ992djRXukclGRgpS+GbEu2Z xv4NPCdc08EywJFROnWstjGSGqxNC56p65PqiWy0HS2y7GWJOdRAg4k2eYOWXnA0+3P6so49nJ8M qJ+LzOGJyEjvRHJkH7T7cAMG6rNDcqNNSJjdmw3YVhcI8/f4Wktvco+kYWfySGiZD4ZL8dUNGgZl 5yUQ/ekJY90QubUjTbyZp3kufNRnwzOHJBX1KcCS2GsFcEEQN1+Ol/4Atcp2pDTjcCGTxoLWXGTA CSsvXJvg1fVFVWeuK84eG+zRcZlwWU0Zo+X6FryQqPL5Ba553w3Cvuhx705iK9YhSqHEAvR+iVvO KHqJdwyXwkFyMwQYU+I1Yk13xR2zNPsC42q0eVp5yCaUq2rJKtQEFzKyCfcScIu27m5FSdxu54ry 2QC8/FP3vO23LGRLTJC/JNg91kQgS/CE7CaIFBXjG8JPjh9ZEQe0nJ9V7URIIayuBjsTtY5TDsFB F71gAvULJHCE0zxww/fNGNTycf4OiX9BGHtuc0gIjkp22W0QkCUH9u31fFdyiPev0GzcekWjzgFr Drp2qxXqkbu3nR4XZcG2NlNYZRTx3lJpEzyTG79O2OzMRSMVQfZFmcDdFRbjZNacG91E0B3eDqM0 aILo45jufBhfoSO8Q4URN6uulYSBpUAmNbSSmdnFZwYIzICHEs4sta7ikKNGuvZanxxIuNhjacjb gHNQXecdWq6dO9WdYEgn67cqmB91yr+rj0DWM7SIW5XRRtZ8GSm/NzVCNDZrBKRVz+tB1kPzh/c3 RoLqPLTO81ShPNbrzPVTkHzqLftLud71ua3G4qzOAHX6UPdrXipL6SNe6wiVaM2zSXMmEsiM3bU4 HVQWW9vuTJHdX6X53DWZCm6kXjTn8Lmov/aBt7aV4hvCQjt8QHZX+J2BTvJC3xXBZ72/fYqlqmvx 86drY9/G1teZCeHjCNiFSZi6cr9+fLOWMuCzD9uG5QJdRq+HWE5hX1/Hl3SDmxhpzDQYyTkiCSew HM3p/SyrpSxDesyWeAVxwN9uHYdCs/hL6UHCbmW9SPa/pN/VSGMXdmqqrkuVAAxbMW+XGxO+ZMvx Q8sp8D367z8iKPK56yTx3bY+6v34cV5D50ufJDLOE1/psUQ0olKYwHi0y5UNroO24JSHULMWXcKi AmPXjT+Lw3+sz7yBJ7S4sPBpD0qDfpMJMMM5S8YgPJZ7QLZGoZPeHaUri1+I2M7nKaxaHZ5e3ZhE 0xUGTYqhG+98pTM2dVy3B89mXvvMaF5kJGiS3nwxgTVRDV5Q0dVmUar/jOd9HQh1Ur29OOWW3Sl7 5WE8XZpWB/G5UfM7UnWhIScq79sLWewQeIUXpoThLpQMhp62FDZruRM4cjqyYF/Aoz8FNv7jWbnw BfMYUf2SoSXS5AL51uWcSL0x6CDzfkLQ1B55W49ZaQLPRxsIxf/nJa9rW2KAntSIs0L8Tlg9xrW/ TRaMBG9NQGU4diqlrEFkuFkvxuOJ0PUTGhAiaP3qknGQfaJJQragU6MzQnph4JsklJqqvUEglwsO rqIgpG6SiL8gvZexaeglJFwOV4myxRNZ8ekUIqG8RKUmF8OwzultdHjBvL/+uUEDLsAeH2LClkbH Lx5H79q9Bh+56KMYkSgPZN3zauUUYehtevGTzILuurq2HbP2B2AnXA5jEQ52hMKZGBnjaowuq3Vi m7Scsa5BTpZ0Xu2hdOWeqtXO2P0YDKR5ofhONn4X6fk9p5mZ5LKSTVCe5jk7wF4AkspFgeot5guP VUrpmA/yJCo4g46mRrXspQpreojJRMRyljfar8PsiG6oNZ4WyQ+VSxhOPZgjQ/I6zKlShr6hm1CP vAx6WnQR8WHEseHAv+/NCHvQhQ+up3rBAKr2178I1UK7UDd0vp1FZqXKX9wuOYKsIMeEFsba8YBg TrWGaeV/UhUv0ah6sg1Zv0D6/DpuqvYBVvu6JTqAUaseK7xq2Z4WgBltxhk9rqnC+sIlzg9daY3O iwuDmJveB+Nuxk+SElz6493MUuXHyH+GmIDlQoOQk58hFcVjnJuVvT53uqUGea/EGgnk8AaAcbF9 2pzIwG+k+lXt/2N9hCVeVbA2NFeH4dFoIGFokuijrURDzZM7gaEft7jhqNL7OsNoAorlKxPIj92D Ziiu6rO7eKsoI9Rw82AV9hahpaKPxtskqx1k+HnccD+au2ULAQPY/NDx/xT62pPegJ7pa6QkcPCe /chQmSw+JN0VGMaPn+EoRRj7l44IYej4Qlx+r33rCs9Qxn3dLd4UUVz08m6xHMatlUoRzn27usnc XRnoMNIgW0yuOhROXLbyGA6cLj2BfiTvso1lDKDVOMYBlHxh8Op5ru/GCS5T0eOyl/g0adhKCkh0 Qg7N8FIlzqpiA1hXtvUSZdZ2kjynFkIy161UIficsmKTPCZGTQ6rURTG/x9yQ8ISWvtI44sqOb1y kprIu859Hcy5MMWOaw2GIqTrgjDn8TgZcoqjeYO9JHh4XD57bY+bzXm8bnhOf//AIAEuOYJi8gFT lTWBzcCpoKLx+sx4DNJGoTG0X21UwjBemay6eUbo6rdlZnx5bj83os+AiJ7yki+0ogJwUNMyEB7D mD3+Fr56+0H/gd111ugUEh2V1ylS9M1KOtkj5PFJ6CWbyB/piWhi7SFi3iQNkrvhA0v8lAeurd4A UFNACRN+pYshjJesT6vw5MHXO+GA6qiOJ6N6lweMxAiWfzUc21aTA4Gnpkw4Z/9YbOYnZPr/SA1U JeMNB79I9QHRsncC8Uc/BYhdVERsC914oEm83dapMZNTYwJLivi1SUMJS0AY3/YEt2xBJvabarnD zlvJmODpn28wxCswPkOdA0jsV3vn33H0T9WVK6elL3LU4drHyM1KtLmu5NCBBIbjEWaaBzste4cm uWSyuhqNPdU9yLIRz/IYLvdQWY0foU9iTJcoshQVzv0boCdj5SchcStPDZIzdiuXjc5pi2yOp3AX LtPnYTSfRdueGVDuU3bRFQiuZRJHsQh3fR260WzidftwErcdb0aTuijmL11dYS+fadPjEUnWKIup 4vyVgXSTheTX3vhRZmmDjOggc0yy4NwbFE+rg3lf6uk8XXWB/UFcvKe/35LibCTIYhETq8htI4fx +k4Crlm6bN/jcCciuATVQBBowii8gm0gIR8C+VlN0l5IMWSh4+Tx7Uf+S8QtV8HFaBb0sCxz5Muw kgcTxtwRJsZhmnSZbsBwegSi7VFgezAidMNNEz2u64TJVWohe+lXSpdaeIJqLeJTH/cJSX0iNBCC f1OQF//uV720hi9jghsa16irqItWiw+roj2bTTKTWqUNh0KBk4oI6xWwMjX6r7WUsygJXRIeJa0X 9WVDkAMQOzds0VzyNsVJN7QEv48JzCjCYtVok9AcTErFLCjcBQRQoWPx/O8VekenQ0n0C3Xebmsh 4NwBssYX7py4s5dJlfi9JgAhmD6q6aHKRizeNHcWXbBJg7O49ZofAAHcxIQwVt37ASKfmRgoartb TaPyuLPNe2eZzVlLI0TFPRiZyPW2yUIpOpFteWldsq0wIkvg9B+hsoa6/xoUo4ZhfmKPpSGiASRz SH88t4Uelud/xnUTlZ8X3MJ+SEN4rTfmzII1tZt7RktTWxrV97JB7qUNrN7QNM0oYqtxSBnDzcZ7 BbIXL+iOfeYei8G4Tyed8gcjTQSihYM5ERLk3snj5XybfOTH+QD5CjRBCRKEmYh42/03dWATA9Sq 5Ic6D1x9K3iaJbYfGH/fTkkRUDE1aHkuDy+omE9+hyWOtWz+2EP7lTTGNp2f8zNYTFVS48BASO1k zHLXYuXNAz6b3oZ8IQlklcGXYhOgCWdUIfSB7R4QYsTP/aTmRNUlZqAlS32g839PXm6jcMYFHHNS rTIpFjdl0Q6e/Ph9iPqwQk2giuW83OYgujVVI+L+mWU4rkWh9uFvXm8hnLACZGuN6YXzI7MVfQj+ SDqBfMKyuTUW8TMCJ3md5Db7uIAwhktPKkogmjiqdwqurMNUd0WxJDEl17A3KDLQoKV7z6Ilp2z3 nXWjEM0zhZMbW5tr5nAhICE6O1uGdZJFu0+JXfcQEqVzVBjqfR/u642I/5QYqStbnEA+HtTS+OwW NL+zg/dkjbmBQcdZz/Arih/zELdAD/Zyep1jUlYnLb9Lbj2K2Vyu2FqVoBNYcLFVH72zXJNkjvNL 6zeIDixXuu1oz820h6E1xR5r1cBHd0rlXl2r4b1HeAo/43kF4N3ii68q48LqcGmNyabVT593aD1N dFuZkQmn4k8wa+srTEFyOOAhUFPbzGPH3uwv36ZdM+XxkGZXzcmdIYhANcDEgwtTYeElWlUuQCqE eA9W5Bi9zDK3EpWn8zluKY8UmlMFv+OrOoMNB+6T2lAXbf0h4y2hhlEvdxyNTcoUA+H7IcOGAA5B OUeErD55NaLJXC9KxljO8zSrc36G8aeHEUfI8CDU1XFc5+myfSHTw5uUkLyTB6s39s+ASxP9bzPd t4hojbEBHbsv+sACZBPaWm8Iz6HOOU1aHE7E7aSK16eclGf3wRLzVoEISRTr/7EXlulYXez1g0rM u3J1oDZXt5K8KHntEGzkJeichdmKLE0wMmu6jNTSe+04fX6qTVPzIFELQ8rkkL4fb8UzHxxeohQt yCdoK0OMEOE5+o75A7F4GgixXbETnSnXE/PrVsi12eOjh5Bn5/9ugMtcwj+wmT5nm9qnm7zgjW8e LX7Dt5M58tsQGiIA2/tDYkC1kUMO+/Rlz5Gzo4/l7Zo7imNuSNLE9Pn3it96KxhDroDuU6P13W5b 3JmMTqMZt+wjLMv8AN8pkswP5BYwqOjAVX949Ot2ItHcqB4zPN1bc2LI9m9SIQjXb6PqBWKORA4X jjTcuFTGT17CZgOlRSI18wWUDJk4QGRpTWSctGX6LRoTtU8ihxYIcK9b/Roq48bQbFh4ISCgqLWg uD/O1E+0zqDIKDd5OYNRqPDdIVR9r6y9HAX9JvG3AYhFmf++QIbBIW10OJDbniH7QoYjxjEhJf3z ipKGCP3c4n9DuHVDouwHujQ02YwLhKx59yvrRUKOtO17yYiT4RntNBk0dxE6nrfVhmfpQ6+DRXRy 65Mauvof4YVkgC95m3Sr4Cd0NmRw8vyp4QcZga7vY6ed5qwWcFQB3xHS6ScGfIeljMJ/MFe1yP7O BT0Bp6ut/Zh480NyjxBjxKnT33ZBcigt01wBX1LCz4lfnjzpBc9el5kDECIFYTflgbd9gO5mFKmE 753/ZQYgycw985T4zQRXuZ4A/wCyFFQNeC0oJGpAW9byCbNWFCCvgY2G7LSE+YwxDB6BivI+zf9a CTGX8u2go6QoCjro2oAide6bw1t0Z4bUs7gMtyXyW556iukW66cq3Vc1E0rSXNGR2yKXYxrBufFC aOEZ0OOgB6CaVvsCM3BtoibaX8w9MClglGhO1ZYCpJbxxxJ/3k6V+4VLX/G+ZzbHds35TkgKjkQF 0ZKOM7p8ute8p/N1uTGg/U4l3kStxgwIz7R9GbontQQh2EQQg3vPoGOFydQNXuMLfO44GcSVnkCR 4Vk0Cx//sMcMEMSjFBfV/i3eDuTJefUlc8ePeH6Izxx5FYaGyHVHflzodRklWtwmAp2PbMWCEHaC ky0lKGcLsk2FDQaL0c+BagNCBQIS1OZ5Oq6EmHbQcBSnJxyy9wEW5NT+SQoWMErT2rcn71XRV4g0 bLzoggR51HsLB5vXVrU39UhM3CPqSFxQTe9ZdIxL8NLeeZ1fCcjUbpB3FtNHAp1fn2JFIA9vG7Jd wNVM07FbV7wDCjTPjGlIbZN9POcc16nB9IQrrO6PjUBqdOxvFxY3QogT0XnJHbWLwQSidn+wfXQI NvjfTU3wrQcv0b2gDkUlTahZll+/DKYSIbn1pHJ/g4qPcSf4AulsjHet6Tsv97RxO95OWURfOgxi CI5aFVGAvS4WuPA5ixrTUTa9P8TYsesRHSARrnRIkg6j9GA2z7oh0wIQjWtt7sBCiyNT1gjQQZV2 y+4T74mRRad3/tB1rvdvY39Yz7Wcxs20jSLq9a/lJrpBTtEVHGf0B45EqFY08U0XdYO00/quVkv+ Lgrp+eQk7oVCIA0xkuPZyBa5zsCFj06pVfLuHqeYaUoS1b0Bv+hLBo/ZdTSUKRrPRM9ajUGrvQds IQsum/m9XxZjaHjGfpOJmsBYwZ2lwewwFbnctkNp9NhAgJIO6OQpQxVeJhZvZix0ISgFq5v3xEvP 4q9rOa4r2CacwyKH17H3+QsbATzqQwf5Wqn9KBSpqHbAeDMLC6ixR/wVBrOvVHG3uz8LSgZrcVTg Oll9SNO22F9+gK0SKwpqonJmxf3Nnzw4M3UpYYNP2TWLcPzL1tpA9CbkT6rwzGoRcsrDNtfGs1Yq pjtZ1Abrg9bqHUVTSu3ItQ/iZvgk35XEGYSbE3mauL/xwhk/DzwyhhxZQRssrmGZolzdQ8Mx/ECW wf4EdsjACmnpAxRzrBQJwHwIpp8lvoFTQyxa55OZQLImixMcdJXDBU3EDsaRoiV0pz+asuDyWBy4 TzmZg9c4EeE5bn5NNtwEjzua3PhHk70iGrOCfo4p0Z0ZTj0WOZJh/A1WNGM/7Ud//J+WJ0IA0A51 noHsvABSsF0QW8CCHahz2qlST21zgRKeQ/w/SCPMAh08t1OQnMKAx7z9dMAyu7WaSDT+nIyF4kb6 j18YL2xmOTLFEKiwQUE7WjG5FKQC2Nx/YEryxzNxV6VUx+0g+Vm1rpC4X6VjUJYMjaukafqdtUun TJ3BgHezbMurf0ySkSrWSwAgX5+Ke2F/OFg0eFbJrCJQTRRt3kfptQGKHctR4OibY4QHGrrqc0xw ZCo+yPoLUhFFDmXHDyPCpvMmM9J2vQdDiAOhCz1ffFan3VNud5YVMQ7S/FeRLlhcdAemfSvlR39t z/o2GRx/ysx27mG5iPJFLiZ5ReNqVHAXG3vEmQqks6NnGpkXfwTisxSXDyQkE5Zxw9HHOJQsZhcs dYsvbkbtg2Q9JD+IGRALshUc728sQs8vkkhXKfR7t+F1wT6C9RZmJVQF3P+1xTr+Fw9rHqUaA+Dg khYlv2kndp2k8+qUbTbGM0KsXRDbZYc745xFlzlqsmj4sR3ZRYSioBIYapKOAf5SvmQgP1HgGbS2 mxde5nA+AimJrlhD+SwaYDKeqI/B7F3g1YwWMeIxX/LLuCf/rxJ/h4XBtemf4sBY/85UKLNoONp/ KmG04No9dIfEE2SIN9WVjVtRua0U4uix8QxHS56KX0fIKthZeMuUMIVReOwtvxSw6sZNgWORAwuW K2LrY1gURz2dhpQAH5nx36jr9qJGRTzf9hCh1HQa9RbT1aq2McaarfmwpuBqg9Ah4HAT2aqtMWfz Z2Vov+UHPb7VgQT5M96nNPh81Ma8jh8qO/Y2tvYnNS2uo1QOfBuEKM0jzddRidZh6u/ikzQb7baG KBR448GH3myYhkCdnPyzOGP83sTZcWRidqhUvmcX+RIgPS5ar9JAwwTiaNNieKO9mDYVZ7VAQI6C pQ8CLFtqkOXdWblqISyeOgTqNgfKF63x4li1SpCRrjNjpCXCcvgTnjb6o00T32at0SUJXLLCfbDW 9S3mQ71g5BdUJIYMFOZThUC6xnHwXH88kFLJuLYLcpjapA3cUkdu0wbE6EytCPkNnNW3lBVFAqax 1WGLT1/IO8th32pYgBVK4G9B2Gz7BqNhltS8sLWij89hDdWAIhlA6CxqSSGYo3JK8nVEMOku0kCP Txg/ro7dDYUgyVQeKJLgxc2IFyquMCXPICUxvNdjJY6ngBWLDGV3lw5ebobkt+9PLQ07DQPNwLX4 kEqjcrwbYFl5e/v2l+bmahr9rBY2kxuHrMWm2p9s9EidxWT3CeqNOldaNEvEEpACEaAwefX+EzLR WKDA/UmuOgudHqz2SqFgsWE/W6C3mk1lIWQv4aqpb/WLo8RIuu9+W3fnLBaC39b02mg1Pq8cRe18 T5TQDJXxOKUl17bJWYO5opoLHAV56Xe0GiPgtC6DAWqXo9oIylP48lMChgq0wntloxuUSr9YZqvO jOOBNGApg1n8HMwGmRRIKp8rDdGZyjIlMcAH7FVzmxG/Q40cWv2yVZWKxaqJ10i+HJXSBCSo4KU3 hrZiQ39G7vkvcMRpMUTHHOllwQFAAFDpnPvwO86Y96PAwmz64izxAQDUpD8QOtUvHwDrs33fEfQK F5Xi5FUKtCF8oIWvwJUM9ombdvIn9EqxwU9gYmwtusIqrUDPhucM2HB9eVw+M0lwpLYBtoUT/XcR T8CjnUsN/Xf55nUyCrsfqaxHyYEky0qqHW0hI6aaEJQjq9Nz9VEGl8N/biGazYonNEUQ7JiYYpfs 7H6qBrnwjw00h+xsAHjQf1psvSvxF2C9RWolKWwsIUgaZ6L1PFacA2ensxtS1TFQd8iZ8huxbKpF XJkX3LPUMm8ArlyEHFztUHw5BK0WJRR20Oylp6hotNJtGO+AaO5dtXsyg2bYy7+jYKaApIt+zV41 RIKI5ThKOGyA2CRJy25VaLsb6S5k7TZY+XPpMYjb2fB5KaSTIon/ROI9mDnTgM2w/2RA8t9Rb3k7 iin6dqpDQKYZnzXsHMyCj4Pw51dJU+zioEsDdj3W4EnkBTE1zN+Stwx6WLXwmwhpv7t1M3ThEtRi pFuog8zoywm/Bi7wq3m+FQarvGodgjlliJZN5Yl74BibBnpq6toiQjYOM489i2lDG2QIIeNc7ruk 4CNaA4BrtpUTQvLq+QtEO759S3uBWCFy02PTv4HAtfbMCZ3g+Fa2mScUatmBg6D6hbpLPbvvhpb0 VtpKXuYuncT970DG2cWskx3H/aTFNlN4Mw01ZzjTPtSYcU20oy0yM/meW9nGcGwCci7Ae8BkDhbp dI+Cdu/Ah4Z7plFZVpS3ec+TzuJXpfERilvMMyxkeDUTBMYDZXDa8FHwJWJwrTyMSbIBQzj8KP0b E3hTSfnQReJgTy3h+SELBNArFX1NzhvJQCGz0yb2Yjculn/B0WEoaDOAM+6T13+EletYVskCK056 INXFvG8HoDzedPGA3HrhBQIhwUJNHAfED8cdIHXoJZ3hosr1ihoBKgriqFayDGHpH6D7SV936MFY 1ERG7iM+v+lJz4XEoWIDX3X78GGg3N9eiCt36wmsAxLXpdHAzcwXWxPHXZps3PIzjo3Pu0VCBklv uR6czV+kT1dt7h/iXIitJzSRtGnVzN2EYOAsPfPiHIO3lb/qM4XEpQLVx3XWqPYCihLnDk0cfZC1 9LvPhCch1G9Su9FGHgWIEDN7rdkoEIBLTqK0Ba6YS7cE53BUlszTY0uV4IWLMtmP7LN9ddYZzTIm AoD1F76fp4Y5UUNu8+1TDrGtB3yiurQ1FJ3qcJ5QOVFEbN+39nwqItSFTqmxpf6nc3NmlrPPygec 45mxdqD/e8/RJstGz2cy8xtay5Zy2gebXZdRouHmMA97P4Uhp/9KujHQAG+lObq9HF2rk7ar6tHI ZZJsQPvCzEtn8j4nXv/mlxkI7JVybfNgq5n4OKfZ5f921liJZBajpeXySttp8KHyYUiV8n+DgjBD ObuNdI4V/QNEarf4ssmAKsMnH3eQVUiv1+n53Fm1FblUafCGussk7GSVOM0g+mV8narOPxpJbgjX Lw0MMZfC1ThMzKrSPA8xpCWlTF3AsdR2R8Oc9pg7o6A4YcjhhSFmVkD9H2+Z4mkKUT+POaANmWdL 4MthkFLin4pMEXadb/t63pDjwjvFJ9W9S5D236GqoYL1+fXSFGdHr4phzzKl4tEqH/fIgpKgcEvA GSIsbakIk2H8Eh2sqr0FotRyFJLLW6FPOBsrRJ9PAtSBVjhgk6zxlPNKM/76e872Il4B+vb23lx+ n/Zzd3QDWkvBnyBkljCvvOkJjUxVbg7+uN4Zh2+3KCRUGG1ubbzttkQxAP4Hmnbsei2JfS11eEWu B1Y/yWpEC4brkmC5LIK51TR/wzHcW9yIojxHO0tSoECLQ2t5BQlwu0pm8Wk+i/NUY4rz1PszCHCi +l4SO9efkcoaxTorCaKDp/jWtQ8/sHqSfIrvT5lDak/8Ad3OVEnMzTMSSI7Cwh7jNDvNazP2uF6U KmgUnkwCRvjANpnBeuRbuewRM746WGU4ugCIonvcLg1sWUmu5MK+CQ2QVnsYRkCPLie/FFzVwIz8 Ak7fZQeMq9m/0C59kuDTY37A01Ycn+S+NvQyphGbU8cWBNxwp92TyWTyT9T9n1zy/gG0LLIqeSgH wxbJ6jB5vojdyoeqOFRNHvYEVJmJrZDMlJAOhIZMHnKRe2Uy4stMkXnRIEaAOM2BL//e506BNbFQ rOiQY/Y2mdOzvMI3nsOdbJ6tiVqgbpqorRAqUYjTErTaTuhqLLSGlEz38L4Axlk5vVcn4QOscE7p p+5LXA1sNtjiuOxirxqQI1qtGojl0bIHQXyuL2qQLNXVyuuMN2TqBMza1PmJGd3LrikvSwwLt5o9 W5TxqxtVxhcoAnFhvgogqI5X51LF2NsH2wiQ9462s2Fvg4KjgKDUo/4o+fxgDnScg7o2MlXF8k7q SBzOcoUMEESq5jqhcCnu9ZYoNm+PK21w72WCt4D5e6TPuUBK+0Zi8Y0lV79QaHzf2+NrML1fuPcc nL/zEm17M5/ebzWpubU9zJH5H54xmzrWatCYOutm8Y3gfCUo54yuAh1sCStshQiEjUrdIzCc9/O6 tnb7waHcNO03GK8ZEra3JgobS60G4EjYD4l58XDiXb7prTWPK+1iB/vpOkrJ72OuU8Wp+axiZRKz d4Y5j+v9walS2vl7p9svHll5hB9gmBpBCj4uUEAwDG5auDOqC+WIy9RQNFAX46VePeI4S3QrqcGU aU+PQXOj/Bkpb4RTlopZWCjatUuu4Trmz9ODyNEyCMt4b28QmqJjkcjatpZDdSxdU2F7JXvYgax7 8H4qPkewolCO+p2RHUElvJzG8prgafdR4B29Za4pA4OW4/WJ8kMXpOTN4QAdsVbS0MroS0cqDJjm ieZ2afHL/1TavdxP6unhQOL3q+6g0haOw5/9qrUJjPfJ6iLxo6M7p0QkZbJJG5sVorUTz4gWYiBx V55BETdYVZK3i29oj+2x3PwB4u9pfEhIx/0gEJNYAeTqjKcIWq2LTb7+eAZ2LcWDgcgoyU+EhbX8 4Sd8i2vSHvkGxg8jyOMqo6m/tm0t4bsIfFPquQmDSxD2cxgkkYY7QM1vW6NhozcJfrMNcbvhfTXv R0Eb93W7QRPgbiOV595BiyJRPDWiC/jEuAPmZ9VMSw3eabBEPxScos7shnaOmWRTJBS3NaR+P8NV MM2B912Rle9SXoug/AvLn3nS+vSJU+wAPdtUZWWCydZZZKv/Bq+k7wKV5mx6C4z85wjkrC0UzaiI 3CJVzFHDn07D4Vjy+EyX3wj2iaRiZSQ77/ALmLId8UmhHrKhxLb3bSY35GwNUaBHZvvtz/JY5DjR tW/1MZz58Mbmn3LX4ECZb2OAFYzO+1bthHtTJQX0iShuhSFiUlRKTQfGh9LcwWXVFDLLNFzCiJcl lk/lGXonla5CC8QRnkT46DPukRXDet2en1fhFhGLlDEXXu3NMjYA025ObloF2jTn3JkimSM9g15y MAjfVv/uLZITLoCTz+Wdhe2SKR7g6XoWPvKMqHoIqsRKc9rMIKt6Bqgk8pHBy2Czt+DTt4BawXsL mes6fSwi2o+PuWtv70yfSSHMVgdtCPawTQkxjqCxkJfpVHexWf70F9V0zmfRa7b8VOb6Nc3Hhnf7 vLOqrNxWaee2ASGEq72vHx9mz0wpwnK4eaH/etjKzult+jLOtuYl4AGOUJaRmoKu9xit5B2nd2lX oeuccYlo5A/eTcRy/ns4J5cxQWIJEaRGOz5jcDmBoNLX2sRd0dEZ5Omwo+WXkk/DIXxRMGezfz/h KdWK4HFN64B/CyovWPM6OD/bwi2ZE0sifhUwycwSlcK4HuLs+oM32WiE1LUh5w0F/Q6W4zK2CTft WLuMTz3+C6RzPKQbSVvRVj/47MXdDm/T9UVshWE7wYbDqcILA8ZJFJ4MLLGnD/pEFEKMjF+sVAr6 kjrp1rJzp95yxus1sWKoMPDVfwheKofqBtth/EmrEqT91WcARMox1Wn61LddXf+GLgobCEvfIPiK zUNYl5Khz2orQuhvrvFWrhTPeDFR2QlvAsCkZOvCrJEoVs4ltZKLHBIzKT5avL10ohCuag0KRrXK fQARM1BjLBuzQqWkqxrUqney0vw0y+9zufOWt7u1XGTP5Hd/CtKb1SGF5zVLweBomWLvQ8cy7Zok Mywt5YksgD2BqlbYxlx0MtH+f2zU0VSLWds7dNi2/gc98GDXpMzd9/96ZnGOXQVUlyD8KvGufAaO Ae/6OwQxbN2oPLPPcNvdMrYEGtIdUqDsl/BCczJ1Ce27z9Uno8CfbRyNdGvqoC0VAivowh/pM1fe NxElm1+zZDb4i1RLTIe2wGFSkbIqbJoBz51xpXUePy97Bqn45KCHGFPC5qhuyra630adxbi9HVxV lPldVHvY7YTG3A+GtstWKT8bgoFyF8+MS7hW4LhTalAN8sUofNaK+vvdNp0VoHgYsPUVy+CdtZMV dqsaJg8SYMaSvxij+WlkV75LLbE9u/QUY0iT7rAJz8KdzvbAVjMf7ldtyT2Epn9ABsYIfr/jCQJQ p2eFuoe8kbXVYCw+J29wPcODjp8etn50hXhj/hJYiSprmlqUj6B9Gm3KRNewBmwh4iu9FMW9WTqJ AfTxoAEefZURjY5nPdSbfNuHHBr1vnvxZ5XK5wrwE/eYIRHRu6iBu3ql6ueaQs83C5nwWlNJfczu LhNToBTfmYtK7xbwJMYHxG9Jwdgk4HrGhOb9t4H+M0dbJtqDmAj9s+DZWPb24qePdyOsJsMA9DvB SGDdJB0Ad5DiP71EwAXngv11Vhq9mk7dvNgSiwfGyLv3sQQI3mihKwSsrYwBjrvM7zGPmw174gnc P/XdoyuAulJdwLDrLdQohN7TVxpJmkEYhrvL3X+VJJqO6Lkc6CWGKd7AAIEvvzndg/Jh4zrlrdAZ 885/6a3GTO556GGYDJgJxvphtynN5gF5ewOBIL4wgXI8NXc0FpoLrw6WOKGmqDVkc/BLF5ptf0vT bre6iwhkbiz3vVCQYfw7KV9YXIYId1H8uA+Caqf7NxxYLhaXwrxr+WHWsvNstHRtd2RagCcC+G+K w0vGLX/MdgB/qL+wDMWDF0+IjmLywiTvTKk7/GyuU7fyWBcl3YBZU+R8uErzVdne/E/2XH84UjJM dOFOAz95VStXYNtJK1Kj2m3nDfBgaEpyuvx6vRNBLL0vf1X+LHxQjeu2QuXZWh0CswjmFdNU/UEk lFWbT2WE3V/gy72j/X5XfEn/FLHNeJhbnOM2EA/JGcSW0zWW5406gevxF4QhRj5ccGHrYUnjLcXp 5wo6qPesvZ5vOoLqZ8F+3waFblQFK+u3VN2qwSzQGJLXMb4lx/MWL0EGZLE6O7PKBvlBH/LVZN7y KPP3ry5+cEimvKzVpUDtQMeI/8yIzhHHuAXc5Iz7SaAHiCezmBY1gOazP0YPmx/KKeFvnRlqHXbh c8kEmVZl5JUkrTYBSnFZ1lwPhhNT5ncbPR1MscZyozoutHL9FkZpSFd74z7eC79HEj4rsTArFT9u 0uAhoN+g/cX4uc63JhBPRScra77h1OybL3x4DXwLH0pTSy5R7RihIxQoh3qnRMjDMdm7KVCN9cMh otv9MFVKVWfwsJwprSyCfHwx9lfTcwpNzYXXrBcsaeXQ3xkcSNI2SzswIygeh8UAau0XOsb77eJT q+E6oBrrdoWSHqm84rowROEA4DeFvReOY5FRv+du+3vsh5cYFg2h01QRk2LRqTM3TymUfT6JLhzg 0Ulm+M76/vyErUWgag1duWhfC/Jp7VQ2PD0+LoZ8JC4rEyt19qtWFzAnj0Tm8cDpiXMv9guq25gt g/xUxuJnLZIX34o/xZNhm20D8NJPmNulgc8Vp/LgMp9I8JxSLrtyltsO0pRqNtieuUp+Ewce5N3U 2QxrSRnnc+KOzyXXNaGF3bTjLWfldRiNaVrQU6GdumnVcVJimH6HLNWOYt9ziSQXILLja3OHGhKm dCXpljATMkA/O7YabG92kGpkAK9YYxS33WI4BDoq0ALCYBc2ZiHQS9RCQMSMtFewkWwiEtwp5DYe 5O9nz9JaAtfQnfA/R2Kk4X7UfgUhzaTeRZOLsW4at9WaYxz2yc/fEWSDp1AQjLVngZ7mGY77/Nzn tmTYDwFGqzLfl5yohGu/85S/D89I8RELaYoP0DVvjNay1edhFUaUoUT2aKTH18RnW4L3ApAsk+3R lFy1SPJu2eRHjEmbgubHqC04zsUNfm4vab3NdGSDZxUgk7Y8P+cxnh/EP0U6mTqXdYxFa67CTReg 8ncAAJ3xecvk2FK0QjlB6VQXnNjKDiaezRbCe6o4Tnzie8vtUSjA9PI7xRJuMCBnaGs2EZL7PvH4 nGYwQueINzz7Ql+h2bf6g9FY3ppc2vhoudRbmQK4u5oWTP1F6tO6DHRxT3Uh22xMVooPNVp4Wi1f wRbGjDNSKNYaEnadxuCtf8+/R0a+JKJvDIOGykl4/QOrkX2HA31hzajnW6rXMd5AgeoytdqxmH8g lTZKHyVkgVweHZc30MaOQ7Yq7nvMgAvi2o1Xr6wK5DxMSHrAN4WdCdq7mVa0CU/xzpY6j0kC0XC/ SY04I6SQsJGMrEoM9m2VvEA190d+leolpfv/Bsl7Fdkof8U45cJOSLOAcxSoRK3P11ir1me1jpzN 2mAOLXfrG8FAEXNf0OgSsUJmQT1mEw+/HUZkQISzXuE6StIfdqpknCeNV8zMyVlD2cXSV67V+ij8 zoyC7xdZrr1Jjc4aCCQZhM1V+tlchDTVvsHjCZ71/vdJaL/K763kuMCEbC2PmRnITkuQxn9GzQUc Gwwvs9WZ3EFyq0U/UmZqHGGBTl1/KwSpvUranHYJP6xaEbKrc6qcOmLu31g4h0kZ0qaYMszsYdAC +2iA9UvR6j4Cp6oaAaf91u/D3sDSXtfr/LzmuMzKi2qvXhGk9OdQIoOrCG37HQopnr54JHDI0mHM ufqZT6YlPmvPP5zuIMbfoFmqdoFZliW0dzjGroCITupS4ywMpKqL991Stfagbl0/PiJ+q9lWhs+U h5brTto9m2nx1YAPnBDPNFqfCjJ9QIVWPG8e9w1ZDrruGso8ECioWsasvg3D52e5EI9rEmpqWY3z hYwjR0b20a7gRPjvh/cdswv0tK0SE7A7ZhdK1aKOz0P7/be3QUQPcSrDgwStmp+LDQUthxjjB0GN OLI2MbsXVSaDuG9YRHVbR8bar+ezi5qGlHzEiTMYpD5jjBUol4lBVyCGzwveuzWe/sJN8iyrUT81 KaMC7+GDNE8Y9PLqaCJjJQWHPDMsImn47kP98puNo3nDUU9N6vXe2pZuCJ/TYIyMkSsousLNh2dy l/zE8fTQLvbkjVoSofWh1iMJhYd+uN5DxnuF8gqene8evU2/xacyY5H8CNHpMVfPyAmyB9nu+hWf +I1SykD6QTmWhf27Aayc3lwAN/Vy6ELsGaIj8zb0vnVaGHeeEr7z2oTBRMg4PAEALnpvaho0nU1X GcJh1O/WROJZ6cjOSin8LbLoGjSplEGix7//HFjFVrwWCYaO5vGYZaKCCRpp3RAGEIUzAlsh4f30 CBb+IQT3LyLTuiPfzhkTeeJzNWUVVhlQGYPGpwPG/P03ASR/jKB6GVCeP1SD0yYprJQL/WEPu+DT 8KCOsVf1TXLba4bcau8hkE4Q6v+lErEEX88KfiUH9eW8hunDRMtByEZiXO9fz37beBLocekTODbu 3v1koFhIa1XT/BIDs71PwD4Xu896vd1YnH3+KqDRxFPxz/1oGkS7SHNrAj9maLBqeZNxijKGA8OK f9zx+Moa/3S0T0ba4azlN22TUXLvY5shz1bB32eprF16EVlm94zJFBRHIQXiJARDY1Q5cegNKjhB XwG5pZ5JN62sYmJKLSRI5mG7aDOoBX0B+scH4C7hLOIRVPGT8hr5BKFcRaz1gTu7RpASc3jC6u4L qktEIa3Kkuzb7IO2k6mgPEIedrdOvepnFExC2tYzQkw8JkgMAjssasvXZGVxCcOALDG3Y5Tjcv++ HXlQaVk13OXgVaqSL4B5BpN7VlLUjxKYVLlbyGT3VepVayO/gQPWARn+il8Cd2Z6K7Cxpxi9pSU3 b1vwFtEtKZHDaObvLJJs8T/vw5ksb1hqGKHG009Df2MFPjiyJT9iPLvKe5rt5FMeVsSOXn9qTXFf b9POhwYHvVwjerKFBM896vXCs24bN6CW3Dx2d2p43bh3CB1jtjHnHP/t6kwMc0jEQ4mIw1AGl8Ti tl0duxmGiSgU1HI6vIk5ULRp76wFofToJCS88O7w57YYe+TKfW9Z2zeb1EYRl2L6e1wdtMeGKQOp sivWaE9j/7UtTY2NX/KmfGlXxDv02XKLLuB7KuKHdVsNjCCbBPFf4IcilVdp1fji8MQtzMdXghEX vuK5gNtn+49kXaWs6oaNyBl5hdIOqHfc5QHlHW08UQZ3DzAqGFc5BPTG6rjHWXYA8TExgIP7eCjW 0R4gv7Xr/4vVwsApaayJEUHG6jWkIuWoLPlaLYBFmMY4jj94c//NX3VRGRu35xfT6eueCt+DAdNn OC9gxqlvPjwSK0Wzu9L+DWiyCkllMG6t6YodF4IFJZvtByYT1pXFH24VdrW/nRC6W5+4TbzOEWfz 9wEyD/KoaLVChmxWKgkFQy39uAPGJiQHLKNxQd9fS7XIIgzxfRhqcdZmLcltn4Cb5Uawzrjoami9 bs31Hks1k5f+BcK3AYrW43HXiEkqpdtg9r21weh4KIk5rjyUKSh7gWqEkRi60Ir4yJppYpoW2RJV BquliCfCF58ItgXsHxgp2b8tDEd/oAOhcPltUV4Go3XXnaewy3qDqa5d2omfM8gje9pShHNQcR8X w4t2vQgCDz3l/6tO1dKtEJsZeUF0GWqMbIgUl6mLdfJSVOn0g9XIE+epfDPbrTqEQnzq2NUEU9o/ 3AV4yYhqwsISdgZumO42PS43kie9/ePrrpidpZyOqqn40Q4C1dHD4PLMUq/QidRaafDKlyQUkCge 5M+v9SwG+BrZwOB9ZXQK+Xh+MgZgfvYzamcASZ7+9H47eRM3EguovyS405RaP2kM8Dvx/x9cyBBD LTQtX5WHcKnFciZLd+3kSpZsx+i3qXJOpxsBn7UOQJYVHxtBxBWt5i/m5djrPNiV+1elcMEDRvj6 h8mrNEmXY7aczPlTU3RHKT0FswLWQiaWiEJ+05BHVen4feUmKeHfmF6vYHn5C/YcH1WUH9Buj/bG XHvDLp/UAR+po2C2C2Hhi4opbvkHr6fLkHkslvNuumKyF+tbRU3XbWj82f01sX66WQqdAUab7XGo 3zpIBqaU1prAe+z7OmAilCtPNkUqBXXGpz2pLM1C/oa5elWnO2W6RvCf/8ttYmWgoo+D+jiKGDqw 9pVR+GAtcpL2OOBJNffUbGLdEZnA/T1Ddq7UhndCnQRo6BIDs71mYfevxJGkgkaeD3VSXIh/cWWt ykKTGOK2Mq2L426WOAkOlwyCZvy80sYAq+9uqC4gz0YfBTQa+HVaigCQLVtYmw18sqVvK3sRd6HS pHowT9gZUX9Z/t8oDIAPTubofz+FEFKGzxpyox7yCKQ8AaYKPLOKwNnCp/9PoNE1n+SaFB9XgLSI fdjjR4q14zAtb8od20MCt3d+XUVQchNOxB8YgzW9Y78GbjLasj6f0xpxQLMusu8OH+yEA+fitFqg 5CZR7dBaYSXFyplYgHNgbOgoYCJHDLPFnV+vIHdqLHKRzJQK0Sr6lb4hrD+2rkpcjUHOcEj//+VX yY4Zez2tzptEmbmuwJju0kQ2MpDzXXRYWKN9kknj5cuyAqXxbGtqWje3pUqt9x7NXrEQZ7oVcRNQ fJt/i4p78EmW8cRJBNu5WBUHf0Y1vBNRVVE3dkSa8C3y2jfw2HUQI7hFkQGF2Px9U0d4OHn0Eq69 auLepLf06DE1As21JcDKKyIEc8CLEHF8UbHGjBh9IIk8T+6R8hfnWIB1VV16haaDY3yCrRDQOV1i YEoMDMeZZTccibCfm7OestfXde6bbpzBPGj19wKpADC38/hE5GqzS6w/WSwc1EHlOGy3jZgeXygY w+i6QY11RoWnoCyqjU8jYrCqi35Guq1xk+nbwkvOBtkrS7hnAp6I4pCU7hjk2jxRGiPu2B7BkHHi WsQE3UuNIdpwVkeBQKOa1R02w3weGfmJ9kca6VEvKI1WAZ3gn/NBfvP0YXf9grswHP59OKtCC2p9 OJxcObOwAUvrBSjkhdwP+KQppTEnHxY+Hdv14RMfbrqvK61dgC7uxWAAyYvjc1xkHvRNBKcDAMmO p4a9pKT01H1NbvT2VlrISd4fsWK4+Lh9Ah9ZBuRtl2eVz/Y/rOFHbAJ1LelxYOKMBpc2e02A1aMI Cp3Lxt5PhOYUwTFsS5CM4n3ADumkJ9PdPkPOBP5TwOM0MBgawO/TrkWOc3ag181hlOMiTznjBYys 5jvclan2qTbpR0FS2uOlTJHqLSS5nDV9Tdt+QtD4egvA9Ld6oJwyfBruW5lH67WU/fbStz0aq5HL tHb3YLDOz8Zpp1kOW/0nszN9kiA0uhKCOOcUFlXe8hBk3ljRkQeHtzC47lKRCVN3mWqDj0rGFh6o sVLhHcnnf40cdGgvTBQjrbUUWUBVRXrjzd+h6WTS/lc7vWxX0TKqnTjSw3hHq5Sl8PGKuh73sKcp R1djuFefDqgSwg+xZZw/CDRbaqXHshQQAQjdFoe5IW00/V3xIxeOMRNGQVmukr2quhWk4Xf6CsgH X/a1h9qjm7DjLEp55SRvGBjdrM8ZvB/C+i4aT5xrDcZ5Ay0n7zAeqbL6TOGd8xZS4ZFAxL8Lmhbj qqTJzqLkaYfRio0bcz9kXLHDNVc1DCW476DAwDTo1iDa7ZDCSf1R9i/9RsDF10jzmma+05px7dYG LERTUrMPKvDM2t9haJZXllRZ1LnNUwG0ZJIsz8I0XyejWI31KkFd0EiBqpXqpYIaUC9Ao2XoyPr9 JhZghG7JSV7Yc3Zbtu9rYQzpBsLnzmila7CoTYIs/oDmhl/hsLXN6yMl3fAjZO4eQJMZjlOFNXrQ s/oWgyfML2D8TRrhqOAm2Q5WaaJHyzyLRhF+EQlhAEnGkmSNPQZJrisrxmvG8VzEvw7xoMYEFZZ5 8/MovuaVP2RFiqv3r/DcaJcxkzPibqNdIT19Jvb8ILXOX0zDF+qlCs12q/0RQMpfrzeauT8Z4wMx gOPfIb+eFu3PW1W+jbEFcv2rs57h/tCTgNKVnwmYT/nccELOoeiNsTqy01KtUeD4Ua+kO+yYPvwI Itr7I76Ik92wr7ElJgu2fmncfNE0gKbwUPEweeg0HLDwnTF1KzGOIIuUUxe8gdGVXEbCSRSsmZFi nTU/GCdRhS/pVQiNOCm6Xge1gfcBTCBEiNUxsiSbU8HtwKFq0Z/v9dK1nPvFBygKzM77IykuFkFs TBHiHM38xsHSdivl13iejBSMWS3lzNJDkRK4mHnwBE3exdd9v8+SssLloXPpyJopryypCGAc+hGA sXzZiJK5ZTczPYLxl07mA04Np8oErke58kFkQMbe2atQ1XWR6lpv38KlLakEtJP51eYeuAsY5fEl Ee1qgMgZqXWoKF7jAtxNVEFoldVhUYRzyALcm5ASJp5I5X6S1H2BP9Ack3/o08az9fNOcPwTBL06 CMTWeMxpxy5E/1cQpEKYzTWEHJ8al88I9z/a9vA3Q449rlg1FNKq0yG6XavagrdPlDtM9TwW+ugR wOsnOiTiBQ38uw1RYRKpOH2exAuf62ncKOMDJYbuZlgO9NWPYAs/KoMWxYuOXaZlhhnAqLAqWmxv eXuGaxp+EwgUisUN3vFEgCnVE+T3tZam38wGwW8sCdS0eC2ErD3fJVQkq55ooLgLfQhObBBUYk2M NRGCrQgYPaL1SapPEse80b6N/siqh6CNHdz6gyH1fYctnNkApSh4wtaByIBh3+URehqBpIKQsM8N Nj1f7YRduSPMiGIdYPmpdhIyYhivanLB2XbdQiRJgttURp49mZMSuYg5U1Z5N43oOJ3ttiyDt1nW KyhZNStQKQ3nWRiQ0V+YdNGtJGvm4HiIfsMdw+5oWDhhNSTiFsf9YZtuYLvtp/YOn6/eTvqVAR/i A/gBcc5wPyAHzi+dya9rFpyMCSeqzuSLcWRYwPBy8C2SLNseUE/73YMHe0Y73GgteEdvVBgaGwU3 JOlUhM8bIJq/cRGp3vKr6nrUBJnDp1nDgf4K2ufE0MtZqit6dDW59GO6z5XmTIukJ+ZvTDzu6uqc NwXheMRCKwkeUJZuGv19jrcbEDeWdvCn6jYw5ohNrwiYz71M2qAupskczRAu2rsvEKpwI6HBqbpI 1FW9Iu2Bh313TorFB0XztdGCV+dYf9CloNcpezdpi7pqnIiNIFlrevtzw80FssV86rVr1oUBEXAa YSTG2Osz/DJIxsxn3mAIzzZjUVJcu4526a0vSeJONuRzlDbc2k/ZJRQfWZj47sA3vPS+TEh/24iM VmlEI68ZZHbjzVo+qPGuFNzdnNkFI/0nihvnmbhaqbQeyekMQYWj9xHzhKoNvFzASdizgGZ/lsqQ G9iLHoQMujdcMKLvWwj0EdFT3ba3XoY834AbNd0hEY8KKtynVWQN9tP5vyLytPuGOtVNeZIKBK/V QfiAutC0yiyfL4l0wGMYYFmDt7oGYbPwJLzIfbp5RZQXjDAXhyPZMEMwHtD5r9N39xiwzV3SCPdg m1cMIRF/Mmq6xp7GR7FEZe4fIkvdfz/KsDrCciStyoMTUg4uMNQ/W5t0E1v/Uou297bu4SzixGTJ ixhbcPCb18K87VvupP/2pGFHxiyX/OOU15JoxPuzZsR4yaZ3c+hGUoapaHjffSPsUxjSUyifyEGI 9ukD0RPhk5LNTAXFn9VTy/WdXEPPpX8Ewh+uGZIs9wjtN859/43f3WzOHNe0JZ7hgYH12n4dPUBE 4xCh337LRDm2eAPPTcuWNXB2gp6H83FRMmgghGkGhJkm5QVSDbGoKHRIebwaPYWuiP+KD5GZOYdN lC8+rqhPHhWTT6bNV5OTXBd1a0RkwQ7Rvbpg2pE1wYr4oqE906Afq8zhX540vMoAotGsWO/0le57 T5DMd//XtnjqZTdmv0B6H+v+zq4aSCX86uazPl/f6XuaFTQqdGEpQYp+MxNIN9arViw8cHbNjmgJ dZtj3GbO1MIlFXlccDg079Poc4cL3ESsdSJv7n0afHNX2SPYlDR4MpJ3xUkRdIrzRSgSGyOUBhhD ktuvJM8LrEaTe8fpPTnR3VgoBfzDEMkJ1B4wl7h7ebrBQi1JJcS5h7S+UaFOSuFcQez2jUAEVWpY HtI+2BmKJ8dbzagYOt5ybmAXzxii937tI/nmrkXjEGbMzJnDdb0vnCOOEkR0R+mvUKO7O7/tMChB 4rKo9ZooyxE23JzD1WCNxe/8g7HdLfCfJok70vnWotw3lmpzpobUv9fUHAk+bJaZBUPSCZfsKt9+ YqUaT1xDY1wcHOW2qvw3R95yybwx51OEEoPBWerkVtK3H+DnO1xlNSlNAM4jaGCE6yrJh/6JXWWC sIi58G5K+oqorpgkguqJE1rtx1r+h22tVamv/0ss8StQ4mU0dTcoYMVdPwR8tIsbdvX69Wkp+LNT 0yJZjcWiWenFSvAASBf1FbA5P6+gm3jvx0mM1PFPqca0VoDM7VFtpoHygECGzNdiKU8ypBy9E74H essjiPzsVdmmfhEfnmBATdkr5J1QJQ8gDaM0rruHQ9mwBwTe2GGLjWAPpOTJ34o9iAkdJH7fwiRy QcXYAUDDptiRKFDZxIfE7AdJhATQ7mG/tRo+0jbcqvg3QAniKySWRBrPnIgSSJde3xbs7xOKuM12 T8I8vEBekQ8o5uKTtRXelksymbCQ5olE589VwPQJhK4V2+7LAVhOKS1oXBsGFb/NzbzGXXbR231n HedIA7mOkRYaN1lV0Kea0OFOZ4+qqEhFsdPg3e8cEVb3AKxJNQFRknED45i4C6nPW+BABd4yNJN/ 6vHAr2s+DvXOEcl8K4Nj8UlKRp520p6ZLnO6sId/AIfwenQbo7/1ZAzMZVg0aAEf2ILgpu561EHG cCNJEyKeb9iF5zZIZLNszr8WVSunpoEvG/ponhoZkQ/QKzd+P12T701s2TPBSPFxDf+8M77g8hKB dV8oNLciqB2cpCseemj5p62oxoTJqndeE8er84ZFPb5IU16Ytdzll/vMQRwPMPo6VH907DsxTU5/ /NCiWWVvsXBi/ibz/qg13U1MdrDA0j19niq7sdBCRVPU1zbmKaHGPza8uq4dhyAzfbYcjDMK5wFg 7+CupDJg620040JpD5eu/1xTkVc1OlYoX2dsLgyCspHCHusb6PiJgkcmsJX57gZKwEkFvh2fN4b6 3JQez8zBfDMdiEjvrmSMjfEo/jshLXR1xk6oCFl6pWs6mT1bAvRWVlN4ZPp6A6Sdm/1bl+olaUwu ehp16Nq6qPPutqt1PxpP87b8CLwN+Od6o40tUZOWJlsZBa7ps9vKjGYauqGXhgDldX/W8uQJtdpA DuRJ2YoQk4ZLz/sLDWD8ub8YfBvcdMr6ZnUoltwSHuwIBkndQPhmyFHoNDKVImq2G2zrWOFVAqpd HuHrRR8c46YCEI70ukghPobLmpOAjhY8oZjQfkR+aM9iWXDJPqATrSWxbcUlaeoGcgXci6WJGXMN UjSpkp06fNhicca8sGs2fdCvQ9dk5lCUanb20KCxbG/xtZYgyFtcEswxgAVmNGRw24/ikAOPKycf Fr9klrYwueDQ2tifG/fyPrMA5AgTcCWNTUu0EELEdbFzq9SzepK2u0Mj/nVCjHn71ciUOTkRbfP6 1FjixRxT/EtRHSxY6XL1NXKX2XZz5tys5ghzZbYHuA9cd+JcnntHr+QCAT5IFylVgAYeTmVxrOeh 8RG8Rd7SxwQqnuCfn/VRlxw6R1iSEd6TAWWMM0krFxdFHvF6rHQBIO8hhngJ84GdUgp+uK6uRc4Q 6jh7d6a6OVRqYqbnoIZagDQukgAjxOsOyxGHO3da7I7FlrfsuSCGMW7RV8/efvI7MwtTBkKtqMcL v+gvLz4dPuALKclSCUFJAa1ZBg6MQ8OEz90aq5Df1vIbTtqwsGCIjDc+FBFsWFUFyx9MEguFmToh Unr6QEo3Z7J9P22Gy5Yhn8qIgwcVoCXxR+aBPWJ55+FXghugwNEzHkllPRHG6P0VDVBVNkrD7May 5pNrzaWt6tPhv6QxzsFf79sTM5RKycmFjooMtWXOG/Mc6oHA4DKAEHQvO4sz1dPksp+ZbH12a2XH ooC+2yTrh1k9mejlwx15LMkquTRm0sgK1OTKHK+5J80GuqgFC4z7BSpzMPNqtSY4u/BLKI3JR6ob XnVnGPxytKs2MVEjzsPj3+eacRY1ChAa4sV3FjXk1xm+IKt6hng8HHTGtSPqYLAfVAGpmklw5WHu f0anDIXdV4sC9NFmAgqqxkrthelLUFbS1pNjinvX1a1KMyJgMb4NnncsR7PKSqX1zgLEMdR7NXJt SnP0ugSLOpMVplcx5mpXHs04ZbUdlBiFtDzI2jB8SRXJBreWMRGxftaMUMdbBLKm31oUU+hoy+Ou Jdh/aTRiaF6w8Btyg7H0u5XK30l/P6sQ60Bxcr4VyEfdPgEnVb8SsdwQ/pA5ESIkfCeukfEkc1jG h7mucwKk5/glScyvQ+92fMfD3yX/K3mjKBt5IhC6debXhNoHnNSI+oiOoOJT1daO+0yZpwCbtUvR 8hJjcZ/E5Mt2KFz+SIKPEgT84t4C953xEbcotY4idZkLt/xQwKZypxbVwJQUgEBq86O6BHFHSqYV XmsQR0LZcH+NXFODKcJonw1sBL5QkH8qaKY7Ge0yzzTd+cEb6Rh/qpNo7G4s0GAGQp1pq9sXnADD h0q/gQgNXXbnB3kMBhc9Y1dSSSJmxuW/4KM4h2c2VmncBsSdPmdKxYmTe23BjoSSC7TqX0oyROca RyosdQM3ykh18rmmFGIoA3xdmpKng7NFSBE64u/WXTmPxZd63ks/fCpNi62c+lyG5bH1JLGmE/4f zVXcbRXVzdkduUAVGlNTuArYUDX71nrVY8Yp9YjHj12THXgXJpk3wqJwsa8LHZVTL6SPT3+FmTdd MWTx/hfPB0JmzFNZmERh7JPNSIigOkgiU1wQz0Wy9srY2iK9G9Jco8ni0wP5g4JQmM9mUP+jxFtE 2Al0mjOmpBDwATb2ONSQ7KhSubgHWGvQcwhbsOxQXpg3vApEFCw1Ggz9AW9+pdeZzTzX5AGPXhbV NdOKmGTLPOfCb+qPYtifBTC3f2q/Ai9novQhJUnRNHwMn4D2chQ8EyohVgrk8zfy60R/BRIIgYVM mQTe3uierVbkgUlijzhTc6m3gDrmuWjIPscWqrKV2uaM3xxtWr8oEyFD9HToxdtd5nljrs4JQ15q 2AIxV0myhurpxEEogVX4T9KoEOjEewYdehVSou9rmcOAO1gO6sg1EcTyWjTrufwdZgSEr2eTO5Xs 7sdZf9EuQuQratZEQsHVAuA2UA1Lv8MoR9ATU5jreVcrR2hKXjP3qLSCbG+AkAlGHo+49lDXuHb6 JHrmzRc09rZUFGsLHlU35LwexfolPBeKXYm7JpYIK6vSiRzF7qA5MTHHwuAu3CJJD6MYoH9UHXTX o5Y50FNnBgchHYKEa5ZOVVzI4W1J9VjHe54AHVUitYftET8uG84mlTMLuH1WyEP61SLGeA21rjxA m7IMkEEaqcxeB1OmzreZl7kE7LzhCYhyXL6OKJpYCMJHTLm/iknUeEvm2sWe3w1jOAZapnOkP6CS Fc8ydMsqokjkaNn27S6wRNnP3KrJd4MIWa4WDsJ84DCW1XXoQb7pj1UOfJ0li4VdQSnwE04heuAx ecuEZNIxkfm8Zt7ASihdyvx/+dFY34qLVhdPt2qJZAUf4gn51y2+6dLN+DTZ36kHKA4FG8t305Q+ PwDo23t3OK/6XgWXGoJ8Wa+d6p3VIU8p/Fx9OgiAumQ8rRIOiQ6/VZZqLY27jRxQpwigWwvKYuv4 gKSVLRpQp1MkHqEr/+L4ly9V8W3DBPoMANj32CtooyE1QWwmO04CMR+dRkgKH9N7QGr/lzENJwqn 4QUjhvMGQhV3+p67IMG4QBQTTAr0ZbwKgB6CMBoEnrMZuN8mLPTfESbxe2UP4nveFUwvvmYjTc/G yglv0dZ3IqA02/WP+/DQgDeUjeEGx49zwEO+4SMi2JBVjntZWrkN47GVngrD2bX9A0eK8RX8wAf7 r/frag+Fs9Kyv4CJKOCtENCRv8/SVq0OO7IRE/06IfgwuElDufni9B7hCU0hgwNzPLlDQldu9VUs MnLwlFEDW4ugKU1JR51zYyTpDyH5wsmJHN+/0GcBNXpVjTb4BFneJUS8pAkA7/GR8Z2rpyVrzSAP d72xalYwV0jRM15SN1c4c0fOv6KXrdicjTUmhhI/2yrgyLBQ+wXS75CygLtmCtkUSVG+wsTgGIbZ zJ1fVbNTGZ66WRVPCfFulwhmHqnxN1irdCbpnzasL/udPHAUe4eK6UnK667nVpnji/kCHjB6Zstt eZGi3AEOz2cHe+/8DHE5F+V9PbwqDP13gXKr9p+UaRwz14Ksg75qcXqY15n+6SQyEjl0LCICT6Ld a20EKAjnvJd4w4oVLS7NkOtyuJ5dqm0aUtpd+w9ZD08GMS6TSy3fRQNk0oQWNy7BouEoNEqqr4XY H9U9sWk7nXoOTQoKVW4AwsNlGWznitqhjXIL39lqO17PsL7JT+ISDSaW/yHxcozTgwuztr8n6AKb zQa49Qt4s/d35A0ZI4s8orkzm0raLngistmRxH+JPRhnmaN9VK80DPVQJx6myYHC7cdwOTyLQ4by AFY1U6CtjCkVa/pVM2+neERSg6uejTjrJUKrKnpWgkE4ET6VZ3epvqENNMbefs32t5gPhulaLUZu JD3jEzVvy+03iY3NGPYrxXaUp/wCbrF0Y2P002M6ATMNa60+HXJ1rGTfsKKXFBNp21jdpEp7kqbi 6eGcYf3kRCiiWUGGbia6JtueruSiY7uXZCNwSVropT1uF6M2y7gDtUBjMyy2i2pRvLqR3fbSudtJ KoOpWR6EBxH15XVk9i8+tjCJvHpO63DRsScZ7tcXlHGt/p1poL1yzHJw8GolB2RouZjLGBQvvpvH tOThbZuV8o9GyKpuF3Q+zO0TIZaQeSDwkyhY3c979h31MN8nSJXbczkbp8OYDA+aQnrVyOMo+sQQ z/+9nkN854rWysyiLmaKv7wgTBQGcenkE25KTNVgak0HhAO6QAGqP77QnpvVsHwIgAUlciPzfWJ3 UoW2PmXjRHeB1+sJtPSp6kubrsrG6uf/7Ye6xREsyetZyeKwwyONKwHb0Gz8XU9Oc2QKGpqKKWpI cAT8U5fJLNAlGM36dm2tTlZcF9b1qVPmrHXRsarQArBii6pEXsTtum9/GtC5ZDe/Y0KWMshRto+c 1vxJj894VMkvtkByXYI/G1VTHwMFev7ODYxKy+TdVCwTHqxSGfuaDSktuUvNOOQIdscTQRtJV60T 1pZC0l4rWxsNiuJsbBIEnDaZtUM1qkFIvmUYkonG8zJI9DW+U6qfdiKYANjEovX/8EtG2h/JXtMc R+w3KGHeTRhHhAJ7YnrvB2B1BHPF/c1E5hQhVnW9SqtGdvKabXwUavVX7hJE3zLtQWfa3Je4d91A /Y3MlqFbeaiyFoXpIGQnPU3fxGVY6DRtIXBhz5O09L66BnCdvm6wath2aYPNrKVBMQ1rIgdDeWLU 6KoWm6aaU4p/onac8KKPZsMvmaCzeKJTOOeHfAktfHdk4c3ElQ4BZXRQq1LgLJMGquqIge9jVuhR quVDam+p53e9Qu4OJSmlA21vJjVGWxu7Y9gkykdIHqxtbQV136J98U6p6nnRDSAmIOCidr0m1EOI JUatRpvMZMXQyuB0QLIK8W5IthQs2O9Z7guR40Y/huXE+ZMQ48rlUz1NzFN2Oo9rHXoU1W2XLsfo APkuirOiY2o870tjwL6aXvFPtd2vesC6/y7q2xZoCFXw7GIxNorrL8KCxbIAoaNT6hiN/cpTtGOn k+REKpa9ZutqmFbOYxHugZi8GTLlv0G6a+4ZJ738sWGNh4jtUE2iGDKou421JA2LVSo1y7b+6+Vs 6Va+SbCD2NAxknNU3JL6VeP1OfZOPcZxFz+HclL6kxNOe8umt+xV+Xw9/g6aIZJRfNPSTxPRd/ey EtY6f6AalBEtG1fI9YRj8A+xN5uBszyDiBsC4oQ0Wu4BCwmaSQgR81UW2Vix98Q5aesSuFT/j91d ZLpCu0h2OVlzCuE/hkgMEbImCAlAcGY7hX2ic/m20VEsnzYN3ZKmsLNyf0SVdprRIFfnk4NsZQ33 6thj6F8h5MT3yxWsOpQEL27NSHNsYkwtANRF0QSIYNHz8cZUEIoZeaKqbZEoMrMHP8sYQA0vA7SB Bhv44HGGSNmRs/1g53i9g1NGMX8vhd92fBQZTnIxcyl9V9xKidNLD+QVmz1wsRkJiRgnyYaHgVcQ KS9tnPlPogbNdJFuMy+zj40MiG9yMOT1ozYBbOFxBWJW60wZlkQZiBmfp3pi3qxphfbkG2qF5GxY rNfqKwQVwjUWB3C4V3D+474vv6fZ/DSEA3T4uvLXYAwIbyRkxyxEONAdXgHHAWbfMYmqfO4g18e4 z4xjiEckLUbjd/VSCp3WoNBt2QXXTLd5Hq0UmH185x2NvGoLRbH/NwzsNUwWWOK56Jjww7uCHjRg t5s/d/e66fw6yT2bChpCjg7qvVW1tfhm2Is1qrhzpM3/YOaXqStcFz0ZdkvHTzQ/FL8gZCksTer8 r+hTNHjCFmJG4HVc7wX4PoAl9D2a059JDmmyZS5PTPVk9341HrKQoVKZheZCK6HVjcdICGh77ZLY smxe2u85HUFMulYl9DFQ3AD9qO203LpCm4ZUkIZGDsW1pyKmMUOwPx0aB+HovR9HJ41qIQI5eNOR 0gTo+2eny7A8J90jbbsBxrIXjQCcqHDW9FZhYU3eqaAiIDyKOB+eguwbXVIAD4ROZzazNhp/eljo tG4W2ZSAeIDAopMZmtNXNK1OsjrEyDJEARSyS8nyXgKh2f43JGcavPcqdb65dcstlpJJZlFZ+60P Ucdbp2x07y9gW/amb8mmhibkWdcelbYpgMkR6slxSRcdsy2K2ISA8opouirciU4NpUC6gMgVl9MP Qf+UDukkWVJZBTgj/fZ3PYgUP2aNFV6HGuONyZDtLLDka4pwVB46DO8vi5YpMZpL4Ona4y+1Yrav AiGESXDaBHMBaMddN80BlJeZDWUszr3ynrKdvdBH+mHsi6DxbBnSlRDWwV9IUDDDQ9a0xTWaUChT jRju8HPPNJ1aWw1jPPYx05lESlcMJ9fKOYbtHrh9RznVeb5n5qpmcrvdomdzb5v0gOOfmMDUrEQz soW7Josp/2iYyTvg54yjGU50+uXSyRE270ZGZT1wE+bsR/AKJzUuAmjTeHv2pXzkkmDK3OVkmBpp HDDQ9EGHYclAMkPfVMoFL9z/CwirIju2EqVtAGwztadMMpOAReuMI86nX8+Z9vLS/SKW5gHq7tee Ua7rh+Skl4kCNOJkNHOIQF7yHny+0Du40nw3lcXjQTUmZJVR0c8dU6JWBC4EBsSw0Nid2lxImtGx tLcYVi8hL2TSOTYjCCARvgejnuDZo9vOEKV4/Jchr4zvX6j9q6LUGDTUYOesH4AeGWE9D+TSuezn DNqt06AT1JssQt5n3TDksOEJLpLW0he7bhknMYYB1j5FSLydb3IzECamN8H6XWrSJxjPyk14n0tQ eXdBnj90Ij9YellnSWx20o0yLTIYTJziNhy7d+hxdt1ogHkZ5RagVB+OQ3cEzgMIGGAPzA0tGzrI bKDdsEteeMmn31zB6jpHkjNM9iHlWJxBkY3IBgKPFPI1cOwjMQ8gXl5exealcQXaJd0c+PnEOVg/ xgy0qH62TYgiX9kZnh2wLjR6PQmPKPChtzQpPzSsB0USnIkVla1OW+aPejEvdMrkamQb0eK8t4AB wb58lyhufM0AcKFxTWD+RGj2XUolTdoed7zcr5jrBB686+iBR//8IW120B6Z6awowND5//DW38W9 EYZkMvdtmz2euX2655At6I4BlnwRdvqx0lrM/jJnyU9o5Ygloa6FdFPPjmktkZPhoVRXEc1SpHl+ pygl/khzoBdQtL169C6D5sZa3p3Nf2tv9Nl3i9CWk1LUvRXY9zZ2hfHRxBIC6Vko6UYuURlYGb2F gn1ivd3c6U1jPJQJPJEpytPcwN0aQU+lSOqJDgvCgeTzeLSJhNicPdCr/ImgZDhjcME2PFCx5/xZ YAEZ9d+K0i1u3mWuZnCQviWa1iNRg3bP8C/+RGmNXXwMxqRaGXtMrDITWW4W5nLPYjk78DAQwTdR sija6ccdbFqP9iWAZcisMLKE66dg4bYMyweCKtzynhb09/MMTQen+QvxlQaA/jo6A+6c9TecoKZE fvlUPAurntjL40inlg3KRel+0XShn5C7C0+PZv9T/Aj8h7bQTDyAfru/G1EO7mXJDmduskqyeFDC CE3dKLDLdXqSuH9wFSP7irQKUNPlEtaIvnYa79jNbkkReCcwW+kbp5mSoikAzZafRFiRRiEbuzOH TsUynw5UC/aOQ5SEWN/mBtw1ukc7QEXBvLqo+I+LbhkZI3KO44WzWCkrpHmw6a3fOXFoSEyh9DTV /3CW1QV+/LAmO2FB4tgHb09ZzU9JdFRN9WejJBiAJj0Pa54OqeSpUlRfeEZLGUPxmvquIedLYvgj ZP1Ppkmw0cEwX5G6b1JTvh9dXQGy2mwqpauUv1lNUE63chyFQ4V6hbAu3DZgr17thNe1uvPReImr PaPCokZSQd56kMLakh8T9EVDS5QtbLpmecfW1Zx8dbtGXZ1t/Z+RbZCbtyXqbT3ly0yXs8WkIFN9 Er2lEo00kYUl+ogSyCFucxlLLkFiAiI7mqGlYT2ptabrqYIGvCWY3Nk0nJaHKwu3BRm420qnuU2w 8CQ4FfzPUdsveJSu34SoTejAZdVLIk3Nkq6SA9mp7xPeMMQTPUuG4xlprkuTNekdLPlfxlbfnVq3 tAOG57h6/1ZuydBxOUOKXk8Bx4JIWwH5lzsBUQOeWhKooDr405LzxCGhVfhf5/cjNkz0noCfB76W taQhCtsBGJRCviYtwm+IKXbiDugwfZgID/wWRWYkZu69R+g8A0VnyI9O0nx/eR6g1MtAjaf7OnGT xHtLcEKPhwGt8LdIPA4d7IqEN1jzbLlEk2RJ2Zp7Pzbo8Zx5rPG9NouZ7KxZTo/qm2xUa9W0P7qH eQ2khIxEUSH6nWv0M8kpZsP+VKuAS+msQ+FPQVGgyAME5X/FzrChuBtwWrpVTxarGvgwZnzj+Ihv puWxYg2CwRbqXyLt+oNasJGn2ffWmaoPzXaQDVXoSTvmQ47qU4xcvzcDtcHNZjsDLeffToFFlMgQ VQjIKcIkShHL7kMkmomqbdoSGoXWwOi5U0bfX2JcsJVzXC7f1BGBtJbS1YI7Ec5KZhBt5wNxPTa6 1KPTLJCAdcOFAgY056r4as12Z6W+XI9d7Is0J5uvgEmQJsomNPMZygzUe3SDvAdgEQO67bB/lmm/ 5w5Ap6vM9EyN/+BH4Of8ij90miABAdR54TRGFHbhdw1AhruTpB0ZjB+rpTU0LAgP9txu7yVhuACi 22v7kLzwjTVum+XrAknTaQ0nEJXuhNcSYhLV0+W984kwOqYJ7BkqGpKe36ozhikarQTCW+FzJ6Pf JvgoM6XWY6e3DjODDslLZAplbV/EzmFFEhKirpSUWsVykOrwtX+GATc7yDNy4/yWgTqwNBgukxzq F9BtVBuey6wq5Tbw8dFIe8X9yrnpUlr9njzQH8H2br/VJ03QZknZotyPUttB6tEQ7jGL7fKimQCb dJ5lRwpIjcvEso9YlXIuiraPc4KqFv70CRzZPMdA30ymDIgZOXYqmOe9h1dCg2S2xqmGkWa2qgaT TUcjKVw54ddWkqPy9KMgrZT4JcMq43KFl6EQKoGmTKUnDgzsCSofZ30nWF64OHBZpdPgZ9wsQyV5 vSrpjau6GSofMjStXsvRkgTve7fr58cShV75UKCw4ndDIpq2/ZXW3moD2nAqDepgKQFLNytlNUag HYRZQL8gLX1crjd960HkO3InIKElS75GwESFBU6DANQs/vrvO1bgvglwCSa2Bo9XteboCcH/5qoW SQ4mS8GPJGKD+Pty0xB6G672u1brsk7hl5nSL1Xpw1gQeoQV1sEg+3A1mIxyt9gyhf+X1FwsAAst 6vvJF+PNb5UnBi1GakcUd69PMW1eyBfeBjJui+FCu4TvURbHHdfrgSISvlaoKsZmUlyPy+9XuafU 2g5nDliUuH7MTzawXMfcwq+DHBmsUIheASD4Uu/Arh0SoiOPWnB4zrrJ/x4oqHTajQxfJS0NS+zF pUXfX4AL9MdneUVnF9LLn4mVeq31OYdwQsZAwV+ZV9UUqUkpjj7x6b9EqpJVmeBkB9lJzP76TWX/ vAbCdv/sOrrx2rxR/t8mhovpAcpLfIyRNoLSx0vHagZa34PRm8/oNaQ/dTiePwisCR5qeISD+4zG 79GvFl9+k8oEc1SgpznFE9ipx9rEyQPBFFs4t54+BpCgR5OIDMExsa0gWlNg23R9uRtPXBkOOKB9 KNTsr7v/DhkWW/BwStgpuBx6IFDI7JUOBtEn7ACH3eBjWxF6jP+3PezlKduBpiKHpVrciVcfTWTY /szLVUhyytgzg46jEeR2ya1ANq5EiR+flg30t2egwKJ0OcTQliAI4rJwpdbkzNBxtyZ5JpdDm5uK 1k/t59w8plVdWmZopAm5S4yrK9HYI7zlJPd7F6XTs46DbzG+kVRrLjQsdEq6UnnVKmzKYN+mLBsZ pkHiOUF0gag4S/0Vh21tNNrcwkPn9RDUJwQn6m5nnUfUkyrAGXTQDXvr3XAFqAxGGdXvB2Q69ajN wlRv1gHKTtWnp+qb3SADvF01KW9hEoyYe0isjHerIj5UF66j0KDGNZBwd555Tsn60IzWuH5uTuI7 aQwPxDg6Ib5TX6J6iIvsXtBEIgKubupOTNVHnLKw12U2eLddiihdsk312UfeQYYU/NgS+OCrzSqP d4krFXux1H2iXQUNQMdRGktJeVEwsEslJ/OSkF+Lgw1A+qxSx1J6izRrCtcIk7P4hsyGz/kgNFiV xvkfgq4K7MfrmpraBOZ7W738uE//Qlf6PeWoYWqjh66Uynxx4MsuKap2aBJh3PjcRV2PWPIqe8bA xKWNGONZ6N3YCZoJt6L4952Urjg2Gu9GuFjVryZeOqTDQMLza3tK6R9mfXMzNf9pga+vTMIExZPZ O6BFkz2fK+wYUA2nzD1kpKF8J918h2w5Xy5b06WnFZAkzoNxIRCVTjPRg4AweeWtEPeoW6KMJOYv DiC7oY/rZZqT/l4jiWUjtshLmSD6mtwP9LNLhOOP77dDwwc7VPcBgbbqbj44InRX6KQhCrhbcB63 8fDeFnz83tFTaKzj7L+dWwHZWkEB4DvzLnkqVPj1N2HUAyKpGZasdkjFQPcRLkxSVyee01heM9KK 62qgYLyowkSHGIjcwLXlzcu3m0B8ukAFieG03kARe0/svQ1BGvdd1j00IZQ7kA1wSzC9bdeWVBXA HEU6cAzAG4xFYEnETMwVAhQ7a5XRsu3mWA80ktDtX5IvnnnI2OHblb99Q/q34LTcgfYRTf8yH7qe CCO/ADETJ9gZj0vMzoHMLf4yoRH+c4SEGn6uvTXsHxxwG6wdvItQp3/KeZj31c/winC4j01SrfPi dYXcjSzDCZ/v4K4jgjzOpDNW2XLcTcZMW2EJEy6uLsWgEVIWgCZoROeUeDI6gupKGN15rua7OP2h TryWClJOwLWxkFw2/3SFBP0bFNs2gKbd3Z/jVkG6BEcoO7DlZgqFriZj8EGfpRxOi8YipNXJraWg cPbhnkScjXPFckUJ9/bGKudD/K2RxkY5ySJSCQAMSY4JLMuDGWuQDlnr0l3pPnlnMOgezBDxGC8G rO+2ZU0iEvXjeFFIp58HF3WqUafLtvhulQVZNDfiR4CEKwKILOg2perJINcC80i6tbCy2yy4k6kM dgswLQhE3ene84TUv/EPpw0sPgyPvG0ksqgHG0ui01gt7PjM1bv1CVOPIe8kYqeU0mne9CpWlGR/ P8gVi7pum7+TEhoV3BQ0hx7Q0PuIEjeRLmhOEEB7Y7UHujvXk/G/x0hhsKfr2JcI74UbL1gPZriJ rImFT6gilaXGBWlFCKEV7MggmYChO18fzuhciVCFAw5X6miIcoQDQs8JTMOGkOCM1l1lkLttLsJG DnWQA98gGrcG141CnxNWjOj+aXdBfhZga+wIu3lbTsIYN0yrQlgveEnU3OFCW44UEXqGcASXc7gz SM8W/5ChomHCPDxgJ1UEySbUqgvC0Vf6fDJs0RaHvL9DACfgIy+s+pknvPm1i61mIoDr5rSXO6Ox QDKjoSNRViMVuJqDHgQPjM/pNbisgEkRs564fTXvH0EJOgySH4iA4hj5FPy5Yni/Ka9zRoDPAN3a WXfKIceIAX4KaM0a9z35m9EtIaE5CsjCWyjcpvZrmNHicOqePFR+QDCMsAsusQwU94dMt9KdUhEI Kd7qh2QLVEDn14wLwoL45uO64oIfSRmId07Uk0OjajKS/6jPcmctZmgmUUnkHhiIKkYYS2g0FeMN 4wDR6wmPOvrI7feNyDITO000VkV9W1zzKCxJLQT91tdA0PbpfWEhwL7d6bl7pp0JbI8ecAq6ei6O Dowvlwz8+Da1DhFL453IFjugX/PxLJ588A/GYdEbxfU3602qa1kXQO7Ug5IrTkkGySsrl1h4AgHS mjjK7hKR86uxbv16qOKgnpztWmYUyUbu5o3+rsGi6xWajX4FOey3NIBBZXwSEzMJZmcRiOwzeh0T BMU/vYaj+JopG0RZdNl0bkVyQ49yKge21lEfl7IlrF96tcy+RW/BpLOMQUSJcU5e5Kg6rZv1aL8l X01P5OiP2xJJe42ilABF0ez6yh3+XwCODcCJpXu6/+S4pLW6OB5zc1OJv6IcQCwCzTLqhshNXAMc b6S5TKbIHekJeQtt2kJd/vfi3gkTn4GIuwYGidN7vn8t54oYm8ko+0sJIDOScbtcZAmmNqSklmkq VuO5v/bsRn1GPfVq/iwGg5C3XduGX7TiaLhs6hdADdp9OMZVY7GN650lr73sCJDJ7AK9PXvIDyI+ B8sLOsd5vLeP5JEWmF3SKoiVHuPXnh5rK/9Y/DCDMue1KVdEGFkxXdQosGjPflkaJR7PmUMZICn8 U0Z4jJSQPoZZ4eEF5kSh7orTotZ286v4c5Q/+txRd7FAzss/zmbsuA6lB42/TL26JZv8D5jtTyWC sRxAsQxOMoYBdpNaR/VlrUJ4iWUPS1xMotPwCvpiijOukPbsPa4Dygd6TA+fm3FUvlYgkrMNyiW4 YeI4bPd/C9eazYhMmP5mmQLJ71Nzx+zQTA1OCmk7evqUpm0yNB/4EK76966iuIAcvqA7ucIqEElM xTBgzHPEj0STvh2Rh3LuPA/MJvx5ZnXrs7BmtbyRlBmk4sS5+JkbP8ZkSexL0zZGNKHFqkC+UFyU VNYJU92qSvVk2uhmCNJVnTat2wCYfp4gKclUTZAS6WfWj3ZK6iLroWNRjVfiPi/3pcbwTUV1AnRW dsyrcel669PsURChppOygcb0k8eCrEMJL2dcmAEnIFspsSZ0Q0lhB5WZPkKVM0eFTLybhfBzmp9U kG1iO5bPDbOy/dqxZG8LvnPD495qnxG4RKevLPevmjFKx5sdgqSjE2QVfTWSEk8QzLVSPB1WB87Q o+DwmrFXuR5TIZxCnSqqfDS/rvnoaN1UshYRIZeslddHgCJmQ9JSVS/eWjBA9FLBcNeb8VYA3aJs O474aasNk34QDDPAxUv4x8JlkkjstEbS0odZtb2WXYamw/kQSGm2H/Ka+Yqh4u6d14u8OZnWL7Sw wMrbRu1NVIB0Y6/7EZuTPzTrPmkwXiuSa74ZfkBwj0FnHrhvXHhcGMn9yRl+MGRMn3iZrXPCrCqJ RSsYqnDvWa7xZ2n/3eshvTspUxy3oY/spv3DmB453yzkDIv2E2vJpTWNPqLm7XUWkQFH0Lqp6Gl8 eRbYDqQD060NIDlcL7a+0wSt452Rd+kdyO2yBB93x893Oi8hLdK43fywvd7tDTNGiPNQwKHnYivg VFaIw0cg/2CGrtxfef+UHTLzCnRR8tpzCB1llWre0UVwDf7Bpk9UbUfsui8HiNbD8zx7XJgdW8H5 ZwAdOPSZ4pGyyYz9w6ef8KNqb3A/yCOYV7AF9SBocCfThbf8M21i7R1hp5c6sJSxgyhZM994bIY1 uBuWJL7pAV849rUBj490Uyc1ggPo/EacgXM3ubn0JRVQ3RA5m223ceSKBvyppcaWv++iMOBk1CUt ewHeo4RVIITs1V1RXWbdrlrRIda7tlcC7rhK/zGEHL2qJ2gJBgXsHWL03qrF+/DfC8FQyp6f42LE 6KhU11PP6WT82q6YqRZwmc5jM+M+4qs6VMw9BVbyY5X9sQXJF9PrKKU7+BWu7868MYw3X8sLIUjI +xpz97AdH6h4Y9YMsBlF1oOAv3JG82brVjossHiei5viuaYhpyhE7sG7dPopR+6I8DnCi5vHqwUj gGECzzLwaYK8Hz8dglqBGOGxm2FlARKfaS+pYydWeYBnhKV+66I2imf3RnyX6gCPYa3bHScToouf RgCtNefehKWpmB9Lyrctz57BnmbNZ5FbEVr2Zu5s52XfjEvTT8C1ZjjScoKyDXU3Kp6hqNG9Uilv YoMRM7xc+BB6PKnDN44onfX2bxkVXndoOXjfsg8fp1qEQ68o6lTu6KVgXjS1kmhc2AaSJrlJuJZC uxIrgTzkBPhgEtY7XY6XyBeYNZVnUaD/9YPv2z/4griuAQiMN3scD8LDFC12p8Ex/5CWw6vwAtgI VispRDjLe4XAU5YOU3xlsNIOYmYkWdSxJ5SV3A686EH/Eh3vBMQqPWo5m23jDYYlOtThjFH1wXiJ NZZ+TcXj65dhiYDZNt97bjfrSpDPDpXd/YMkKvkSVIQWmDqk40vEs5z/NDLdHyoupmPrV1Mk6JdX SiMFo0O9h4hJMJQVyRZWvf54S+BEch92gt2jqE7KAnlsfKkGeqB5kgdnYgL2fjZpoFuxKJt4Y8yy N530mEZnypHJ4RRwZqUbZDA8wXWnpvxKlp3YQcdPLuHq6fd+jhbOxnm2grznfbKACWCm/zuJZO78 HwJMDf6cRSvYguCk9xVAba6XNurcR/VkehM0OqkITri8MRfG+uqlmEAwMp7sTNfDfWkIFIxz3dVK 1Hbh69VKBSnQ9EnaDXhpaDdCv4a43xW03LhYx13AnX+ImkkoUelx/db/OqmqlLJ7UYUG24GLV76b rKHbxsm7enLmSWkovF6Kl3KKwWxgdvhWwNCsfip2OR4EwiBhC5VwsR6Qnfz/R5XJ8PMoQOxH7DYs Iu/kNI6eD0bMhgoHYni2fieR0+jwDnyt7VDbZtTaNFrdNKNB0y8lu2VgbPu2+m5Pr01BD3bm0eAo fN5yF283QUTXtpcK23X579YZlLHPiDz3aRtKRj7sL4unAKLlL9FQ1TJlRETObvwv6e6XGqHDYzE5 11GutkDufC6n5yAHL3uyuiXn2gzB87Wx4gehpF70nXBMyTlHvNMo6VNiNmSXHtWNWPEHwUannpbP /UuC//WEmDCzpoZYnGPPWjjSTfz3VnQjpyfwKyf4ORUg75vNv55XDK8wqLM+LDSeJPZ+JKKMEu41 7G0E8sJiGhsulBNamkBFFiFdNeIooA7fM4gHBzP7uOyy6qJd19q6tz/UQ6ii6d4zAqsWdEovQEXz DZFnqZcaYMq1Z5bceuLKuOuhLjYRY5F1ngYq5vdNi0z40EaDEmuznorc4WQCnwjhEFdksXTn/ReQ dCMsGoBRw/jorslvgWs3MVRZ9ho9wFJnNX1SZThY8SOHGUArNEcK1SFOygv+t/fvj5nlkdrVUl0g UXnzmXpYqQNFcXb1bperwJGIA9hk8S1PQMLLRuiEhIpW58fxASoEShH0Ap+Ifuj6je19I2V9dCDq /RYiM0hBVenFrSpjMpYzsyoLb0tIeRnS3M/bmUl5rd/IcCfuiSgO7njzoFaoDKReDh2J8XcqoYFF ZOJSet03lzO/aTTcgIG88PJjMYzZq5ghfQPe5necySKsoG9kPfIDoWa7EdgH9WJVqZFbDaZVEKdU huvNYV0IXG9ZuXQohhaVCjPFRuvATWqVHHjB+YX0A0z4gfzbcybAZGODp68gAdIUWB4ZjFhige2i YiS4CBG2vru3f6XgDJ/CZ8rY0eoPatD9F6oaDeMPwnhpc2al4Hlm9T/1MODxlR4SI8/ZKQiAQkVM hmAuIOjM52jj1fGbetAmKKu14mxedthGtW2Opf7C4PGCjhCPK2ud+ptON91CO+Oe9R1Xp8DrDuEO Z/VPaqThz8HWazgOd1f23sQvPqWP8yktP9Pf3vSQx5CpVltFySEybYNIbyAL+30IFO35f9OE/gI/ 3bCpfc9Tp7cDKQ1AMNZdnQNxzz/TFX29qji4zdpiAWw4gu7CqKMBd5JosD45BEdndrd8mQ19lER1 Y6h5pgKuXQf1MZcHb/6t89L4AHydxwmGs3jsol/U/sbvJcp+uf5idqnLFObXisdQyp8hi97v/mDR K4jgtFL2Szlb08PNsZ7KZE0HYbmCxSKREffqEXLox4LsJLkHYR669kvUSZV+7//NHLifbmlN6zLQ sCNkmupcrSmIwu85RYYHdbUuUyMc/xkRm8sTSfxuFqvgCuk8tonbIGYBWDiogBXjXx3mhrPXLfdx /lertJMCqZLmu9vYFF80h9c6hjOrmanqdPZJVX0AXJR0K3fFk8RCrf7I2CAtv7NeGKR1fFjCc+67 MeaWFZOg5qH3oUPDRgo4B5jIGf42HXnQRLZDbedXpqoNXOcE1lufF29rxFtQ9MGHy7lWPMMjVG8d jvwcwRtejMA1kzULC5sP5o159Rmdi+t3e3gRipoe3olOMVX6r1cbes22co+agv253N4uLQDUVDWC DEEvNPBbLS60N10K19VN9o7W1MKHeT2wS0SBvOjVv5ExqT2sR76RE+Kyb5k6KGDc+O2ksz2S1I0B 6PzSjBHca/qj63L0FnImZxLU74WPLR23IlAkuKKSuDLexbtYtL4GWAcY+21zJF3Jqs90T0tImu9U gs3YtoqWLhh1JhPifl0YpVtA8GQr9smrd5MhKtqbvgvrzI+sAs6+DG8ZWOm7nJHxMsvxoYuY0SZp 5VaN5NlMtPvdM5vuNxx8OkIqPhWEvoGmht9WZoO5Q+0ivDse2ZhsvJXjT73rb5znoRhNzrlf5pzR iR5tcbNenbjFWLDl/dGPkUtYk7P2BWuS4PpTjIhWm2sv7SggC3Atx5reDphhxR/MOOu5ITSNVe9x WtkV8Zj3Kihvt3tWmEIFHh1XU5bcg98KfdjbBcBPoeD0+g/Ox2IXIfQ4VjEXWErQGoKfqgyeshGU X2kQZ3ZUUXyZ67/eBZWp34jyQSXVUaa2Bn4hU7PthxPTonCvLwUj4z/7c1wmpl5QplMps1MoWSHY sU3/ylz1YZIEmuWgYJ14NTVm6qOUqOi0RZmkwpMGck7VSqhM1ZrfLsyOhVLqYDVCircUs49a8uhP JytA0XvMIIU+8LVsKeDuS0l/k0GcIYDJ+omFgu3wJboc2xSIvAOlHifsnUroA0lRcwuOi3NxLYlG HjLMVvFJGn7nPWpApkMSLmsSA9Xj/l0ocEoO1Ur6CW75G5sjn1lJykdoAy037wfMZ4Z/4U6wM9OC M2FTBFCq5iqJ5vjknyc69dlQ4G81PToz10vnZvYnfxuQcmx6iyhuNDafs1ybqnUDj9yB467p64Uw CwqX9JHDShqKQecZbxpJ24vKOZMZJqwCLaEGIElWOVJ3I9851B3m5HswdCaUrIy8zBnnpdNt1+3D dFAh0L1O3LaIDWmfWt8W6/diC/KGNnr1kZJkcNIVova+/bjygjvzDE42VKAG7v3pp/p0XjS5DA0W TYJfef88fQc+TZdmm90s0B1R3vI430wfVcoJDZ3Kpgd14v6herBdvaKCPPFOSaZXS7RkIJrO7MWq bXd0IL4/s3IuTBnqac+QX2mCrfWg78JF+OsQJUjC2jfF9QWAhmj++M9bSjVtV1+DkgV0qsVPcJDQ 1jRi9vfaJG/gWq9yUcpAC8gUNw1o747/RuoiIXo4RhHMf+/y5mBwI0/lrxVj+kaSo3i1mS2tW5yu MUASaCLr8QTnk74zdaFemTplOfrvMpCjCGFq80YOKeo6Qzs1NLHvYgHkP3VrsL6B9bOXBtYAYNHi QCtHS/vMxfnBBIivactzmWd81Gcvz2CdCQMf3PukOMOXZRV792XlBfK5g5xAlUmbhBSpWtonBe94 ZIkcTLdAtBUbHeGaDhfneQawk4VbOOB8z5AIfqSNnJ5eQxGFiWMlaSZ5W4A5A/THrrXIYzNKhjSV SNQipuY/HGPQJJxPohu2R8eBKLuSYro32gNozUS38yT26Yv3zD8iA8lWOofGbK240I4gkBRQ//3l rXIwgS5KvrFYFO1niAyg9aHFV/PTFwb0yCX3TypS7vXpC+GkpLSU5Dyxeqn1NZMX1CZAWHIuow79 05TnwZmL4ViGCtOPcG4uq+njQ0J9wZUBhd/DYqZLNBsNnTCsUzqU+ZqdWyQLx0MXvPAdOHJVcMU/ uHWuJ4b7ZLvSALQ3h9dZj4SsgD8VLZUHefDduVpznUZL7uO/GbTI3a/JRkUfJGzWZlvOGeO3FWWC F0HOkaFxRUWTvG5tYSKxtJwp+BM//yzDffgzf3MuPBtYLUrvUTEYb/SeE6Ngy2X4y0xc+PqgmklP SH+MIFn4pIcsO6qXld9wy7cZdXXBF5fXiwF7IhrKdEoQQgPdqbPf28sSght1fK36oFyJaiCuyeh9 YFKCqgauJR7ROKGZ8N0XAUUmM2HMN6a8O2iBNpoRFK/EbeK1xVdhPl1voSlCNLxCMylsBKOADOLg wehDlVIR5Jdk001bcPYI01AZYjV9jcks5mQ42GFCsMVccl6pane/THrY6IW4bdZj+Fo5gUBu5+Ro aQScCZo76X/NUUtcslyrpSXOJrAe4mXccFTJHqrd4BpPgXql77OQnCDIV3G670bBSO752u/eAra6 I1lferOB2xGgb0HAdypxS2hResVO17n3ZxcflVmZxcGiQTNvDPQM8JIT1su+Fr77I153xcpHln+5 /mEyyFDmaiePWskeKiS33YieEo8R5n6M5gtq6YlsZ/IE0NsamqPEYAYem6KetQvQAVsf5Zs/MoRz M7l8o96+1aWLbJvn+AwPaIpKj4PnmOg2b5/4rEjgSirQ6YTNx0nmPsrW4CYmu/r1qG2L6hXTPOSR rADFDlhmTjPanSMN3WwMcoQVtq3EYyixAld3YUFBBISY/j3BtbO2bT+h/eY5XLe+/I9rtfa/jfW6 nz4QAecKJP0a+Z0L+oAwAoEcAqtf/cYSfMoWtRLnXztYo050kKkNU4fRAxq2gcb7z43j1Jmh/NTj 9hXFbX3T0WRN6wSGnJQw36PJctKSJWtBl821VMpb6fDK43UoRoIZmYZmI2EQ2lOoV74WICLHunE5 oAwjN5XSo67Eg6iMc14uXPiw2SdxOsCqO30ZfXO11R22jr24jS184fVSk8jh4Wxo77Gnew++44Ib h61vbdgtvo1lTks7ovpgBCAV9VmyFDdtAZQMSwdPhFPeOwN7ycKHwNYVLwpGMqDyUzuTgPHkdu43 fX5deOZoBl2YkhVjnfviCnOTKYwsY7cd3SL+FbV2oUw61wMcZr5cd+chK9a/2qRwer+VGqvZDrU1 /QO7It/ybXiDASYZVh3e5/oPV+QOM9eRIASFuBKrjfha+5wn/8nabmnVf9w8ugMK+rS3pWKc1uVl pUTyAm2OiHVQIH5/Q6JaPTvvxsSrLVJahZXYHi1NLjvKclG4R5Y5A9jHzWLYZKqEnTFnlnfpSdzJ milPNJ0Vvbc/rrdd6re5OBfyDUi5qVseHpVi536sYCsbOrCldiDmnUUtLrRthAYzQ14RYkM/K/eq YnF4chvNRkm6LWo7jFvWYrZwbZESmi6CISH+kBamKH12UXh4/NApQCGfwTb+FgBugTDhlBeq64F+ bA/xXWB3IxavDdJPUFK3KeA81O6I8VW34cCcvV0zXYDzlQwzqjC81tutzmhrl+wcUemRPKxbi7fY LVIcz1+YopsEqesn3vDA/6ozGbz2M4QJhkXeN81q6cUwFd+hx0C2uKmRAiK9ed24ktbJbhbdF7Ys XlGJX/0ta+SOnQXSeeyDFw1V9tv4mpITpao9Oian0ZFKT1htVKhb1U4IcrCXdoHYqbFPwu5T2/os ZvnUjxT05eAX7P8ADJ/yAet/ORZTeB2+qsl7bOUExKTD4wYfXexVIGAPgm0NCrhVhSIFShwS+BrS 2gG+gLewjyHsYBZR8++kPcHgMeNZV7461EBjUmom1ZhaeIg/PhXKtDpDG/ZlVXRRa8e3L2WidSfk y9JswtCy4PQ3lKCpn4nZuN+MInnty7sLtTzOtUypxq+9zAkKg0wkvK+tN6dR8cIlPC2RzpVYy9Jd GpgkXtva/kRovePRM2/OJxA5nR7AIFG0wMXPwmhqg+YmpKuFK/gBZBOSDh4ql/xCO42+5k1FzSFD f+w+UaiPRBXCl/Kkknn+H6+n/HiDjV1NxTQchrhzq2Qdx07dbBh5JOzaxQIdSxprs4ZFhZkIUwpz 0I1RHTbwNQv617csqnXaENZhVUn9JcoUAYDMoJ5QunIoYYcw2KAwrDQ7TDEWCLAYtS98UBWtytqB /hDLXR0JNKqoBcngonEKxvWqhUUjyJnQJDIDXb3L4RHc9YF9+S/ZA2ykj/IOwp6eUGPOXuqzY1HC d042CTWeSiOupGkPXVI7mAiwDke/cFfpQfhKHEJs3Yv5Sx3KfQ/W2IpJGj+6+6TifAa0K840/AYJ qPW359bQMbbJoloi0a54MnFvxH+gYNZWb40f4ll61OC7F0yzBT+FrUtM+Vsk0XWOKB4EKVbYuEGF IxEaHu9UTA0mKWMHxxgFzJhiaJ2/ktycqiZIBd8+HpdXWIa3CxP+S1QIjbuM+ifEvXeiZCBIjekj bASQhmt/kkFXiT/5uGWCnKgOcZnKX3eglNjC/oqXYxjf4Y/gQekAGaDCYEUUzRy1F2wmrbCxLulj J8aV9/NzKYauHEc/7rLgCMVSGlZsBm7uYi2JOZtV5v9sQd8Krz3sSsSKJgs80YwhrOr3uJl0ZRB+ GbYhmQMue+GY7yFibvpWBat3PcWdp6c6zczHO8CPSOjqrmBQ8DIJDVWiwUct0ixqzDugBlDofjg9 qO9PN/gTa6y4NBJe+jjQEPXcAK/Utb7palMskie/q2c5uhdkdGHAKDIniDn1k3dPCQ6Jn93hA2Kz 458WjP8JjkSvZE4naHQgnN+Ss2zvR5YVP586v6iPMauk3yZiBTsMzMiStGOEgX8xgT5Oc5X8orpG feUzwCzcGIwTsOTaORsSD0PXwnubG8btq92DVvUEelX44fuzRP5B2GQjFezJglhdWelWW7HJZ8Yq A/zPCv3oCw9/wGvtAeaWk0QrN3mD8rZCtEQE7bicm+MQtlGaGExkPUT81XWEmZjsao1YnY3G4pW2 80Ry5s+H2jKwZRqKWqLocwNdrUwOWE3nxHA7jnUP6itlEvW77UjSnOYVcgDGF89phIqN3xk62efa pqkxl0IpaKiftzk2QNLBFFxU0VCfgsb0sH4h7JrVKMa8df8fjY3NlJm7rjUKe3+p94Ew7GbK7oco 3pNDWnkEVYXSXGBdo6tffXOQYVHZL4cAUJI1NJfWAZsgqVQV8zAO4kGrQzagB/UwQ/VWOODGkpUX IMF9O2Hqcr4y6UtVqvfgTyOztYtdYmgFApR8DeqgQEK6wO5MR4unIu2iv5ffakyOKK70rjaczpOb ls4aKhizP7JhGhuAq0u2QiL2RjhcRsHSke55Nj+7j045jVQmssdw2hOywAQeXi1c8m7JqWt4pW3y Ey27C4+4KNNPhm8oFC4Nm6Rc5Ph3DKFAewWqlc8B5z4/UuVRPXf4u49d0pYdXfefGbkJa2oFB9OZ aHIxMT6MfS8v2RtLUtuHy60Kj1VNZfooSUmU4hsOw2BoY0RY4B6GjH8Dn5OH7C470jEUHbFNt4dk z6R9Mxrjxhju004paTb9UmmgV4EIoxChOMSE9pjK5kfkSoQ/e+jBhdNbCcByndCw4kLpTfz88SOA YLQqgzk8hPQ7DFa2LzegRSJVX+0BpS3KJWtxFQMtUuv4rojtir1szO2geyvtZVKDmlPjlFDy0jl0 2tjeMoKmmF6chv9DDFHpHtAayOC9Rrk5vUvY6ClVNOBdJp74rqWDAtoZRNMzXYnVkxK2gmDcMc91 MwoYRMdkHGZCQgMVYCVhFiUQN28xBaGz/3y4yqqbJb673rjMCZ0kcghXDB0094ZKEBj+oPv29M/5 Csy4ErnEt9uaNCWCsQaIiW5NCi2bIrn3EOuYZ8AHCzBlV2daOK3FoGe5YAMqlbBq4JJn6lYGzdFM +ZbZP7lQClNzj2TIS13E4kEHGmcTAikWBiYUF5Bo6Yv5zBsWkwmlLcPtaB3rhg8QeXSHS8bgR5qT 7x05YtICCU3AvkzLmyTHA1UXnfobSmoAm2ClvYYZEkwppZRDWN1L/+mQVZdr8X+i0nYTXjT/yCto GTQWUc0MM/7Xwb11sqcapFA1b5BFgmJELGQVq1vR482ySZDNh829heU63kpkSTfRSNIYzQswybQH xvfYPlZ9FRw+OM5xkA6Tqfuuh+ZdYdS3JOCUPAWd7gahvJwV9/0l4EawNuH68q2PXuWBs6g46ASt irYPFJvalCXBsXA4dOZRVZIo/LMOzVXXBEKi10uHqXIcJmbNgC9zC1CV+ie3t73l1i8FKxPxLcsG aSddeUiJgAxunUbHZQki2SCJPMxuCVplTmmbsyDJ+ZZyNw29t5VoaNhgA5O6N5xci2qyxF/WFVEy x7Ml5JQWGjWEddsjc3H3p+TZZ3wiDibOUug6nrObzVT/pNffPKfrPk75FECKhbY/CpWlj7rAPVcH 9D0ZICsWwTvN8UadAf9gL+Y7Xo6FdGG73WXLdxlHljac2JbSbEZANtcBnAAwx2dzgAkJmjrHWz8+ xMzNTHpCJyOO7Mw32+p34DvRmO4fRKOoApQW/ya4F3a8hcAOMopd8K8Qc1Sjp7zuOjep74CYW6Dn 3l/GSObhiNgLnWxgEAE8hkWe+VrUMhAL68rPEfN0S0xaXIOsWmSi1rk+xvWRdjudPhbbAJyF4n0A OqaHnDoqn9cda+P8ekOXj9k39YomOgI30WeCRUBOJfFlENvviGl7lmJgz7T3hHZADM9kx7A79Vhn SFn3aTI3S0Pw5nkp0IITKnZhFScEDYfiEyJ5cQCCtMA0By+BElpvVf/6UR4b3dHBK5pvE03jZ3BF 7yLdNao5m/HVrVf2FvMkowYnhvs6Y1U9Z0kPukW5bYAjWEX5w8frmihHJjtOKS1eIhjsUukRLa+T 8JGoxd+55VOdY9LaeARonNul6dLmB5adDpDkR/fiHrxFSEPooHbVlYpkRGloTZLmJDBanoYo/+Me 2aExHpa/OjZfZaeAG7fG3j55zu96shfMAtgRVHLPs/b4+Sw6VgabXCguzsWC0EKE+gKD9X1+53Iz ggIYapisabOCQmIrZtN2tE+jD5Fwmp4Iowd7/ONfXmL1VhltIzte76DyoYPqmHOyWINsz7VLmDra HbE8jJ/2SRx54oeFsVbueEVrwwgIwnJzzJPpkdz3kcnLVkGZuHb3WoBeTL2zLFPbc1Q3MwqbUy1d 7Cg9xpNpjCYzAh18UjOO1CuOO/Crp4a9UejirbrzqXs6aqF8nZqEx6I7fQsn0yW/kqUPvUpIY/Hn 7Ga1nH5lsCyCBuMfaF0NiZJk9zaN2Nvvb6HGDleM6T5gGynNkaenPwnYFHGuT+hLpyMpma+Z3J4N F28krEXQiht+c+WSTrN3ZxuTzaLZ7dAhQWnxan+T/ZdTcwDUM9jyia16md0VSqEtHONHEqhSe44T aXctoWcbIPJ2k/q4yyWuYK4Ljeg80zCnnZ75umEzKSnAa5Aux0HaQ7dCGWFZ4TRgiZ6RZS+thDdN 3EKPL+NQFUhicLKER9lOMcC78KST6AujhZfbm4rHBgrtdz5h35SAKWS+DqBQOmxiYMb8aLzi/wjp l4sVRVPysgG6+NuYw0Kxg9Zat5cmi5HMryc0RDGIqXe/l3kOmwUnuQ4mZdbNxWSH4c5ejgv8KCBg NbUAMpwQm2lJoISvLztC/lTAYY+wPrLE+RZ1ODn8UIe5phewpvKOYart1d+7W/dV8Ro1CpkjuUx5 iHDD0XzW7bMG8sk82GrYiaTPMmkrZOIxW9M4YvwsUWgfJ/40Om3KRlp4QwyFWK/rMjrjUZihiIkD GlZmpzQZ5BcUZsVkIyu37ec5/Lgujk03h7lTr704gi1HJP+QDnfrVkdLrxKAnnQsBgHo+iE+oeO2 r/UZLiRvioWMgsfcSCdZRcgUHPqlnMFXOz9XzqMVYzoL8YnXw5/Cigj6E056Hp9jtN1jwFWb5tYq P5ttFkCUsxMsz7EcxixVX9fYu5dqJXb5pkWZtyvearx0QAqPJu30M72kdSYS3AOZMqn2sA9r5+rC 12+tdVY+IBx4yAr+XgPb+J/kNYy/fsG13nhua8lPFvIxx/G5IQW23qx7h3phdI2w/vy64grFoiOE b6PlqtBTR6iOrS1gj6lBdW0y/wcewUYtpJhGqarAjZyY+mBPv9vFFtZNMTHF6GlU87t+J9RLvdvu 0yQUetj68VOMKqzvi6WIfIZyGI4iCPtisBSPu7P2zgxzZHPdwpjgdHB1FqNjWdpR2La6GKJ7sg9m drzC573+y9jBOlSMliI7QPkC+mDd3kmtea8qJN3V8hCxHsXwHCJG0S+gEeHZa7IttTZk1B9AT6p3 9MSgSeSRErftmaOqVtH+95ljZnI3XRgUgQWoE9F0lF3SZlIC8Izk9aTmkgEyL+9Zpy/hvi9tj38o X7Q8aX/9tijTdExI38CZUYkZ9LTFe+1CfoHeoUm/+JR+RMsL9W1I1T2HVNTx3wftsgU/VBFgml1a j6oYhhEcvyvWJtN+KyU9DZl9wsEd71qW149ZLoOOYvR0vdXK4Sk/0DMjZ24dzdhHWUjIWvAg1kEy bHhBqrfIIi7Z1L3st6jMfKny05F1cfkUixMe2HoRRSZE8ZF6dmnni/mukcxgeoqnB9TPcbpkBxZm WbL3WtK8G/hZtuuQQkZCaO55QRtEIRyA6Xe8BZM9nEMr2mIYOzEe4QTAK4YZmSrRfcdnqKkT8b+D CCmUzMGTZhd4J5y1FVZ8WtQ+Zfoyq7cSvehMywsoabfVrnXYO/46ER2f1tZS9F4nrfMTLGiVnOiU R14SpkJNlydzLjqdNnqLn94u4iuo086i2QpJSQKppNeqiqVfQ6qQHr5ESm+z2qESFRjal8wz/hom vOCJifkDxK2kKNVu1lcic9UT0CaxxgXmZU0162OgrqvfRqX7LUkEYmezwCA/mTAeZLQTLZLrIbPE BUFOs7IFlwrXTCO4xn578ZgKeISb8AU2vxwooJPQmUmIS/YEWknb62bg/KIV8yw0WnnJQrH4dBag PZtga+CmZVLf7QZ30dwXiR8yc88r34InkYX7bKLTmK+hjnrkEZZtVjVkPGfOEOWbzmaENVnfnMHO AlCWnd4SuNqmDpGeu2MrqaYWVDvxVHQ5PKwerv+qZAt/wqg1VZVWyv7B/1SKNEplKu40wa2aogex tk4TuEClV8H7PSrdi/VttDRJvm71rCXB28tpASd+fnAWMahPnOtWOhKKpQaoIQTygWKGu7BrUDGD jyzijAGO7uqwelX1FJV7ccxHRLucZv3l/Jw+4LUOEgc4/wxQwmyCXFSt0u17d2JZwxScnJhx+UC5 LapC8B28YkvCM/yMzpDrGWATrTgWMb99lq+/dhsiwSsO0JBhLWj3Z5ALQeW2znI7I24GonR3e/79 ZCWVm0u82fLgu2nAn/PycMIurPMExy5PlgqJUz7aYud8ZyCrMugQndR92Yq1Z8breKUIz1yQvjd3 YfEDkAVZBPHHM8Wkf2yLirEH/8Lm6KeWeobnT6ioyuAM7jAS+uUnmgh1PZZ2JKOcA3gs8PYKyqOn LpCNkZx7B0t9yO+qJ6cavVFQQwABWVQYBgq9/WpgQ7lKQyEpet2Vhy2x1bd/uVlF3sA98yQjXVFd aMmyE1jytxYY7TVqytWsZGyTVvJO/j6mMHF7MEmvBhJC0KAGWxn8nyp5UTFgSzd2HXVCyuy/QyVx DwGe0PLEBEiW8seRM/oF2SmfrUta4ESQFi2ZJiVikGrG45q7AGu10jrI4IbSPF+xhVDj4UftgdM7 CfCzv2sbWbrc9zCUKbfb+MjloSJKWkOYuCWQaQMAInCHxx7KuOxP2TaRRXtOpCarMI5xrbttg5i1 7MAnOt6lW1QIefxPTwMq+Cl81t+0/UtqitqfAMTzr5ZMANK6H2r4Zvsfmn/KcknVLhVs18G15WLN kHUC8YC1UEnt1rywneQr0vIe/HvG7h8UjD/D91G8D21p4pa+lYJlP+aG8p0GYtDJlDrWvPyVl+mI eCkzUtStdLTpk8WcL/gXgQYG6xM15YU30K9NGKGbxEuS8Aj4bgCRi10vw3SNfuW2vRPYFM8PoF1p Zyr4y41ccSP1RBmbbg9wlzQg7tnphg2s+ppy1jNOn/fL28jfUs+4ktd5+Gzx9FiI0HbDCPpqd9KO EoQZOXzHuKMNnRyPeuQcCuQ0Knlld7EuDNol8YoUzwvv3tc0A5IO6gYyqUPFofqYNCxRcBs8vxAP jkV+Ass9DZj4Yay/RYRkqI2N1rhXbYMiSQP3awrgaJ0xAqwE5UFcA40ro82zspp3duDVaX48c15H t/sQSyGag0bHFdxmPJ8DlHk+6MtzPjSP/mUBiJvcGGP5ZqmWvgr+TjxoErPiH//ECcbStEG0f8oX BbK4VqVB2/WB81HgPUQNt9O1kjwXnBOprSyb0knox13PPQwfPgxBhLohgodG3g1r3OSXDL0Q3pEA kQbg3SljTqTGquVL8/LnBXL6TQEYYhad5vIyn5Nqee7Zj3LEvgqJ0X6OFNuNhf0HdEOFd76U4vke k3ECFdtxyTtDhdzPTNN0NIOxkOhpcYZ96Dl8vHgtbaDz9sB15JPTLVW1tNPzFUAWxzLqvqF60ejQ ni9bOVT23uCFWvHIelBy/rDxkq/wc3jQzw/Yry0R5s47mjA64IDKrHxyZe8la61oj7g93izLgd/e kXopE7+cHa7h3jVngTfHQqP8C+leDrHFOiXlzXJg/NDYg2tPPEGmPpJqwZ4G5ez8IQZAe4iENgjX H1qoy1uMc4ttF2E5f5EKFrFUY9MN4XI37c9P/Tjp5KedGZxOJMpPSHhG/tcRCvCgyovqic5xQ97p K+JFQbasdMBlKKKZjltgglYlNhnZP1uA73eeJcRjVq3ksdEmRozuG84PrUw+2Qd8HuIg3JsCrx18 cbypDiCJaCWWiPNrWsESZaFzg4SvopwBSGjIO/Pu2HNfyiiDtXMb49XL+TeeY0oqVuPRnbbd5v01 kVom+VjVXW8MBmcqnRgjrdsa1MrEoirCBYDLUKLlLzbHH+Fs3RR8MYotKKCI2YIQC/SHNk1bLUUx DPoDe5yAmn1MwsIrhpfniteXgOi/21tn81LBbK4X6O4bmhznJpVEXAErkkn931sRivlPUoSfoKzs JmNTJ6pv/p1R+JrvDyq8l2ThBgf5dJLOkoHSd+XCeSSafDiUyXqIPHHRtnUHOG4iGGiiVEE1bGWx bYFnF2ZyjXSo20j7pzDji3LIaY1bY9Nt7H37ULneoqT4Ms8FASrt2t4kYlg75fOH9a68oGnjDOPz 5Y+UCYYkSrIRTLkMcUoop9f1t4BkefL/9UUNqOdCQ7z/wwR7PAXDHqejILx1uwejYdzrZWFhR1wi 9N6TgCEE5+2Gz5secCgMJwSCJq04dTa8NA2o1YdT9mZmcVUsqncietNk6X74W5uLgZznToEHnI9T A11tyuxJFAxs1/J25uJv9B25muMx700EiTeDLg6S00ctZFx38NMUVLy0RwMeLQ9QURZNIMs+tGnz e575mqsT6znDcNHG7bl8Y4qjVCk5U60U9piBJThZ15MnYcz0517+lcgY11y3qpfz8SYL6PMXODpR cnBT3TwIJxV/910Q+RFn4kaQEhBbY/IuYnLRsQpXQRwwMkfNjgADf8mKuB4aRloZaSw65YufwZ0b 0n9RzkhdGOR/aylQSucqR4yNC1J/h2X0V6xO9YsMlvV0h3a030qqBtLFC4QA8ItD7oZNRzY2CHi8 z/NFHaj/U19ykGuzF8tm/kI0cki9IUBesNmNdOxdBPABtSl3WEJzUd3brEfLOD4cKbNbPCE/AKc/ mEegHSWgE3wQDk9mOlt4Tb8WiG9z/4oON0C/iUu4ibyPCzvzHliCgfzUEEvlTsgZUxfXc8c9f4DW R+jwl0a2upSXCNxm65Kfnsz4NFC6BHV4osq48NXypPE+bt99Dfa44w8czwydishRih5VDaTx56zv jinlEa2ZRtzDqBv0NtHjnt9SKOqQRULNdGrYAdPEHyvG7+4N4fPAaTB6FpBWywB0RXVBTFkJOslb CWBV3tcXbdgmARxF1zGApkLOz16sUu6CP2jRJV4p/X5eFxZrERFjmK6r+480M08/T68Rl8b64wbT gOhi5UKFj9TU5xnSjVoKQZu1FFXQQNDjJsz1Wqu/MzkFRt3oFFJnV7EXSRkHR1VLyC8Kxma/5ywF FbfK+yGKovJKinmIUMKrvx4GTRcTxPp054ybhZ7vUsnUJzEf0ARGcnTEX9z/Megfd3SrUT8nxmhi PJklH9Z//hurunHLiDhu+29VFpZIPk9a0AQbnTQhgxvU7WIYnrFKz54J4NVm5GDu6uEA3GrfJjno giAodD3cn0/2GxfsTxoMH02XJHnDOQ0SbpevAXhHOGZ6rg13XIfhWla/15t+ZZHoPrzJARF2/zr7 kh10RITPCyGEDFSf8RwztqJuwGnWnIY7+MO/yoYKyONsbytzc9jmWsRpgQFC8EUoPaxFU1iQaVmJ k6NsgMYakZNGPO3SfU7BT/lvW/jWREc25/xJguIcjzZ8wnRvPCF9cMWsv0QXKRdal5+PXPAcG9Jy NeO3gGS6EP9Msq1PSjHK2owjBrMel0GwRi83XN7Ltr4Rij6ijkeVO+fs7/yfSBxCm+soNrdO47UQ 2ctbucxhIsvOVle5tvL6KJYMKvrFA19p6HzZ5wuqrneDCZvZ+6BA03shNGzq9Dlez9aQ6cmjmlZ6 k18ETw8FHIFy8zk8FKzAj0ADl0XR1WGCT4ruyGMS3Mggu6mb4USPa0OcOYlLKbPyBqwstIccm+do G5Vq2xZ43Z/HQDU2s3Qz/7ERXWZCd5sQvyAtTdkI4rBVsIx7tlAQNPkh7wD5+0KOtJe+MUzY/0Ju 9TqSw5u5MV2ofEyTOJLTD2eMgaYvJMvqO70rFklo0uuieckhyEmnduUoAnsPh3hAWhPxRlNs2gTj bfWRzicjqV9KOPjqmsjMARbb1+L7cqHzonqdhe6fD3Cu4T+utcFWw8ylyr72Y/u83MTvnPFoHIP2 NAcUHWngmCJYdvxsryCsyvnkkjLMwfFu5uSrvNXQlkgzWBE9b+mvE/DrYdZT1Rf8JrPrdMNwO6wZ haHFs1sYnzuHvt0rWOG3Ifts7ASJSVIFht2ElB8Q4OrsmC3cqgHnfF50Sfzp3dTUAp5ptUGHk1u8 ShDaDgl6I+WKk91CLPKw7i3GpoWu6/WBZPVANSKo9lZ6fAU+spVSuIPsItiEvL1hUw9V/EHYT30d FnjV1MNHwaFlt17UXZXiIz0A4S/RlOZbYO3kwCFm6SFNg7i+zzbyaWrfGQc+++v3a3RUZ49yxftP PyFtTJAAWqzMGq23bXJhwLgzjxnPIjNtEVSkboTLSvQJTP6CWRwVlLFtRC/+D/PSr+2kM+yrRpr0 8PHNJFL2H+6JW4AI7KmdHPpCF/y5HFxyIj9TGDgE3/UjgkuSXrYYi/5uGv1/ECUYUi6VF+7XomG6 biGr5WVjmqQysVdOhZUC/P2cVagRz0NUzBZ2lXTU3+BIigdgFCobdR5jUySx6M1ssGQoMsgOA9q3 pUtL2OArbU+oDopnvZaKf+Wrm66yxkLIlTRrC2c5QeqqiKkoJU2VNGAyTZcwf0slZCG3ccIBFRDz r1l1miNU+EL0xjF6U8QwsOnoT0CprNhADY+Cm8wH5uUEg6H5c9do/f2D/9IpSrwxke8d7TowQ2Cj HdrQ5QsJvlTZgx7QCi0yg4oTFm7w0Gi5dZjIBhmUHHnsJJ8yOcboJgAnSS0Do4Q3DXLSWYUNBDyU hHleIdUMN9JbrOlKqO4szsU7/VKVQfcq9wRhP8MHbL26fcYJbmIzKx2RNh1o5AfjJVjOFE0PKUts neiXf0OQ74nlKVf02FZxVpNnntDLxvYpteNJvWgkx8K81EghUpl/f1mqeT5MQFwOPnSgyJ/96beo 3B3tVPw2Uz0fkmp0yxgBAr0Gj2unM5S8eapkDPCmf2KTTOCW3kCI6puQ0anVV1gDGUFYscnOqY0I z6oWNc3T7Yw9qN36pi783fX18bonToeG761STnR3dX/UGAe6Bm+8fsMsHCUoQ6q/EbFkKiL+4jlE 0aU+pWEBo4G9ilsFFq34zKNKvdXtfBPhcJumhwdbQTmsB5KhSGWq6Mf3EFbGqiAkavxXgCxp0wrg AmemRARhE6Ut4r3tK/bFEzxkEPMybuokOYWjG4mLkcf6JQLmNM8cOhQtJcb8AgjEhCy0XXDqiq34 GmMntq7mXBGe/qJb+70MviCmA8cYsuvYCvTFwf0KQBWPZmQJNPS51OCt9hqxRB5A5zokn8brbTsb F81e4oUBe9sqiN/jWA/D3XfeykbwNt84euhnETRXbHaT5W0wjS3mUD3McPZN1LwR0wzReugtXp8z tejh89OVR4n6X9FID55akoEc8/aVD6qkQTxJaq6Y+9ZXKoeDGj7mwQd1U8UEABPzQrvOF++SU4hK dZOEhlYiuq5xTiKZ7/MZPR4gt4546Ol/V26iK0pLclnP9NrH/G8hOb9Vui7kgzWTVqTqOw0XDHMb h8oxwMCh2fT8ThamQoa/4EDsqIM4LyeTAoUziSske57n5zzzoGnvQ1S3zQ3oU5Sge7t/wJ/ZcuRI lVp70CbhbIjSsZ5NJATM1p92qpmNyUyE2U55MlTc1c/7TNs2LNJwzhcRqjrcyRDIzot6QwZJhPnX 87/9yT0ErbVJJis5blqmhe9MmsaYSNFCJVNYcYgs6KfwRMEiflZB84kn2Hxwuk6p8JOcEIFVumYt mkDo1T8XQaa+Lz8EjHV6QphcvU2E3vmMe0y5stLJqOtfAr+gm/0xvYctO1DPT6ykNmZj7EsJwI0X AUo4R225ogY8oNUr6Wh4fx+DCIWKtSETQSKL59N1wAoVwCjF5Tot3piL9N3gP/huToBI88AYboiv /jnmsdJAa9Pa3XLNepzkkmgk73hKUsro9c8gFbLASjRURBvx/VttXsoRfMcCtrSdvTR8Lg0/Qm8L NBxEqABUhvPQhGhryaEFf9gTqfk8Rw96kGBgTG9kkNLDIHK48Zf2Cr0bkXSPfNp2u5zEXRO++eeG xbgo1eyUPAW0yQ6m033CdwoysYr2a9dtfZRLNY0C6slX1KLEbfZAcSTBDJ/kkC72FyWnbXMdUmZo 7jaugktXsYSS0bNQvK7bgv9dwiYhIK5m3u8iuzFLYz4/EgXkP2MWg+PO2ED8RzS6UG5X/fiwBuMM fOQ+4F+TnOQL9sHsXuI4zZgTaEISgttxQ2K3msAvVVSX87+kLHUBwFTAsYsG3VaQa05+65Bmlol8 YEVN/Nu8JAc8RcDD9yzr3FHkGmiTyV27SoDT6Vq9VuDIzhkn3s7Z7W5LtQ+6ktNnL4MnhOMZzQPf gIgt5Lm/w5NQ9WGbwwUmWG8iwS39yRiTy7YdXe32y4F1B6l730lOQiHaCj2E0Oj6Nrd3S7tSXrxd 1Lq/V1e5xJh4hx5mRp+LWS6NjBWrUpwRdbJEurbCn2F0njWBJFEZm1DOi95Nvv81A0I8NfKYCQnj pCxWCAtedPyR311LkJR0irYJgX8ZvZPPqLidXyiamYd6HaX/CLXR1c2OP/gpvoS395Wqhb1LyMFB U61Z/x5xnCnML9u+aCDgpKpAcsGRAr9iO+CnO4mxXGwClSfZJ/j+hsIANxqdX8DSG8hbjA98XtyO tglqswO5EptjohhW57+INRulcmcFRf6ChnURxm0EVFyOhPh9+rg6TQI4/cChO2eqS5zd6BGCGZqW iE52xyckJe38+O9sQt5mEGi6rI3LgnJkNuuSVh7cHhLe1AZG7S7BmQ3D2uDKSxGO4NONtjipmar6 ZVwLm2OygLcAjlJMBkDjWOYePjyCkxuv3VSI64B5g4HBsLaSja5Q8xAA5NjssXilALX8qCggfbLX bhymwLvotKN3xn+Bq1P0ocmtQyIYP+qIooMOTeQWpBcNHlW2ucMYVKCUJgrYwK62IEq/H79PZG2N SNuXPKCjtXAea29OrLK0cgmR7RhkTP9h8WhC5XkKuD7EY55KG3WJ11VQ1HtUdgKtDPGpw3DlLQlp A0UAVengUg1MmqxDy5+azmpHO4C8MWy0VSYQmpZcXHUa/1/TJR/xl3XDrTe67/DOXoGYo+Kn61w2 v2Qkt+M7sP/IF7dtj6UiOGXs4eDIgdIBXKiKoML2mpDAsqqTFv+5KYntuPDuADyQ9fsJrimwZp+1 +NlZk4ZhPEpEtOPxNjsheFGGe79DtqcSptPJyKMhVfrKZ0u2AwOMFtSqz+7cRW2wv1p8K+6AA5IA hAPEa5p4V9JkAawUL9cTx9UwFsExWcS19k7xoTdQcCKRXs7e6EM4NCBsrnq29X4lzw7HpTbO/0JR VBPOkewC8YA5xH0yH0eOJFrpK+w7dXrCfM3+64UKQ1sw3gUzHnWv2trEgEj4e7yR29pVmOeFp2im TcZ22C44I+/YGcuT1xy0mO8+6TR7ReA3sBYgIUAtlpjjCBahho4JJyzAVUHzTZ6Pm5pEibYUq+8G T3sTvnV9MA8WEkOm+hLqTirQpzOu8ixsjU6pBaoFk7R/CteMwFFUh8jufH9KQVkTLO8iavVCSDDn ReBUm/HJrdCZBpQOsUhZxyUrJXvF98Ij8EL+d8gNFkvSem/yeZOgzi9o/Rf83pVSicFOmC+qHvTW zBM6rd4DAA89u3iSYdZ54DGudfa5MdGmozZVmAIDC5BmKNml/mVjs9ltbT/HdVpkgswU6SX9Q0bv YNCvUCBU4OEuWDk6OWTj3I2x5TQbuOxxrdxfBqHu2cSiz/+I2j/FnakFXiNRPv5tw4w8E4hXVDuU 09Lwo+cKuv0xqbDtT0W+snOzEJmuAeOW96cj9Q23w+nKWz6LRlZefM8/iU5zzRPFOhgiCZdNopSc mdYK/iUrtDUUIPHVQLEMQBEaaaNRu6Fb3JdoHPlMEPsfp6JlPjPfvpD4w5iz16yRJaiB+xoydPyW i/Ay6RI1AZDSNg3MzBSL+7RkmvugQyFQp79FrrzkqGyn2gfxGvUpPDDqpxxdbvnapcog6xBj9Kd/ 2Y3kjon/mC9kXg4zQxgY/zCcooEJVXmbj3J6/isEUihc6YOXmVC/F6AUei+TccVVSR9efxJvBU+p V9DHvmuMUPkBhCmfh9a4ryB7Kr84vSpLQ+QxzFLcT1uSnUmbu+ZwXeFGLOmFvBorL5wGvlMQhoW/ l1gYVvUllm+nGzKDAQpyw5gz45jCWH+icoWiq8WvJMhJbniyNfMOZMBRn5EcFKflUGkUYrmRQWR0 ayO1SuwekZh+T4EgHa76xKP7AGZM3bpbqZMTIBxgnWLpwLnpmLxjD+ELq+VdKzhC26mcHL+noZqL PcduzWyWpAdrto2cyn3gX0Ne1vDmDss22JLMoMJKoaJXc/xUA+Lj77d86DftO68s5a7EW7gzzstW CC04qRZf29rYJ6muEunX+PhXN67ct+ALYpQNnScdfdAKht03el0WzVHxVu7gqgUSU725jyOUv3F+ 6I1cCerKCV3o9QcS+coIQTlDnTcGp5tFJZ6osmqKD/6NYyAvKmF/vkQqtiCmOXxJhsYk99YFbjJ/ XdnCCSi7mw0s0nIhSPGx04iAiUYDq5VIct8roZ01P+/OkGsabQ0s5KO7d+qLsExuTJ5g7hOLvoqF Gk987JPeGtTFPThkzcuoH/CDRbxEzn9a/92m01K4GcM5C2P4i2ziudXvXk4nQkhGQzgIU1AZlPNs up07DLmspAhfFC4DjLHAiWNa5rAeULiItJzvz8XI1hBjq9bsWI/EF+cBwDNsBM5NSZo49G99zYXv 2aIWgls/l7B2bWSY7M7d1fN9Ta9Kx8Z8EKRc17nCYt0pX+aS6fEi/hlZXwraAVKou1itASEKBkjf 7rJIVboka1z3ZaxlTFdINDQEoluswt+irAIatjTdNUtEnHF4QjBiIzUvOMm9zQryjV105wycA24T rtcVjmcklTk947nPd4DLw4RVVc3EHyFk/2+euEdHdghSvZwYAoPBGdtcJ4dPV+X6HkZZBkj+s7+p HQAndUHNXbYCrFAcDvfaHfYh3nx5WOTpAMkfmFwH3e5GFnNkRnsstcXwAMy32+oif8KDCjDAauUl XnKfN0JYIinvNb7iyt4unHH5+kQPrP05pXZ4rvyK/03ogkeMjHP1q1zWb4q9wuPkH/q2tO5IgxlE PFLfyC6VUhByuj8eerR5RTNY52ljnW6UZIHKGuCBCKlPyarxyPobVbjXvYAMm9G9nuHR1Pu9zay5 kl9Yslwk1zbSSDIswvr0Tq0ilGVY/PhreYvbd3EOWaG6tQlDXIetNaL2xdFf3vCiZFz8wee2A32j uWDxooRYCLTZBt/W+HgXPvE8XFqy3FmmJSR5t0MkWqoVekHL6OuAyEvTCuoVDZhkTPU8i5y1c87L rGDmqqdsAScQ4ZIiEecvuQgN55rTE3nKTz9WosgpeHmhNRDv31vfdxlA0vkmd5RtRQN7iQOtkH62 8p7Wm5q0q49UJf8imDWtYIaU3rodYnePbNoCAhnob+A0AkxACtOmG73apZKhk1y6dZwZ4JPjUkgm B8XX3T+DG9/wuAZ/SEHeRfMlMgFTdp6I8R0xkfdIg9j9ZloRigTNvuuRQ2QwMLH6qKC/+kE0SOuF aaN0dF+hFJfH1K8BLWUxWknl/aUjlINKfIP6tgLJ82bqq8fNs8vjUuSbp93VLWtVuCANnKUy8EVu /8ar0TZFpC7+mUbkfTHLmY6oGahPO1HzwwBIKCDw5QeMnEKhcZNG1fdkbw6YmfyG1yNryudfJ0Qu aJX1ePRduya35KEmwW1ZDirYfhnupLpusS4rwJ/PVMl+BR3hI7HDN7Q22afQD2kbL/hat5CqcRoJ wY0jk51vr/23cj8ZgBj/zgXtSlNhLb0BSnpx7QB9LOQqoBJ15Raw1/znPgQELPKBC1+0a4fTQEKW azGcyz/Irj6JbhYMDthH3W5b34wmrDTLlLZwF5XCEAZ/nZmLIQh/LsD7KZVIHRZZG/Ol7/aF6Co2 qqH8IoM8tNFOLPOjGqW5z4vVbu8gz6v6Ar7erfaeVE4hu+nwNJ1EyKornRDDFOPU4Ru7vC/q3jci lBylb6G6o+ED3Y0kDYWvMXrhGnIXNGOZK9pgLk29eBptiDsqIA/xwQqOBIAIzpPhfl/6+OY0bk9e 9e2ZtJMQ0NCNELvGHs2OtE1U1/KjuZ0oQsudROW0VDIVy/RUi4VVCU9vXIFwLzSBJObPvSpa91d/ Y0GC1v5yEyLVgJ+hieIDeiE1AwI2yZTA1a+xudQu3fnY9ksb8NwiN+8CMi04YLzu6TuZBRJPEWB+ a3KDE8IHPIf0e01ZmUUCXbodPBRtVoGsxs8IRaDAl0viUs4DHtv7xDFx+1bGDJkl4/SUO35b/6Gv pNA2pEnrya1twx4NNykXujukRXTO+i03Q2/Tsa2WYXW58bK2BpGh5/Atl7R2r2OkkZIgdMfjWogv yurjmTGWqTSBd2fHmFhE8WYt8Yxihn033+j2PfnRiWL3Xvw+icmGku6B+gAeQebghhs7ZpxUJtzw NXV2KoSKPRPLYqecTxvfmYiQJuYuoYXeusjR895SzlNdfsuLtnFXTnygQRHtukh/A0dP8qlc9+fx E2SMWKBcgVJ89jSydNB4MtyY1xkp2eEAyCb/T8XRRx6R4f3qfUy5XhatAdO2NYWQe8d8zoke2dtn mR7r43Iust1RRdGFdyPhDmxb2TKADJXVMC53yKwhsWCbVk9I2hlTj8RbIByULgJhw/ugBPEl7jZr PN2GAsijliwvmFjHzUycTwLS3IGw8cwOZKAehszRqGR04R7OksMWcxQhjwow+VDi33AQqFJUtTSI qdGvE5ZbO+rSSs4se9w0uWJ+N/AJw8q9HMKnkCatLPcimj/vXkha6OTPAwgTsi58ogRSdeCjThdh SsAWiMS5lAkPDyrjlC0W4whfEmbXoB4exbXsQY6uCX6ncfYiZifeXOeP5cx2ePw4yvRheDVP144c IhKaq5gJStJrQBsKhvlkKmDW47pwn8MF8MhfJVJorN86vl2f77+A6yCYCgML3Ch47LGTFD+q6aCD ZrXWD7jntWCIkO+ZZaEtVGzUDl6Nv8OLCriQBe6SF3+oOD9/uIkH5WdGPhgYySUgd+sYGxnQ/h0J QTIbz+yKphB6DzkokHvhguG48fo4zP2hVepz71LuH5G9uY8pLZJWSU45FbfV8t1ogFMhUytKFu4m d+VR2f5m8mxDRoPkNr64YSIO5W11hWWuXN/RSk4UWOhaR/VUqQB2UOiusnRwDOLm9SMFxmtRp3gk jL/Wft0rxfnK0t/1Pa5MjpH/p/n0RdzcVucevu/CmkZjgORvnNYqHzi2J/TrhBXZtFoKwpQEbNms U6nLbMDBICZwLWrNQYnayn+KPhWyLKhJqQ20E9FtGXiD3fT4865/NNvkSBmdbHM4REQUFI3plJhY j1564MGDeQcZNHenGuI1/qgqFDXlm94WZNQ+5ry02h9dpNBTvT0wSHfysWarTiQkNxJZZj3VZ8GI xJFhQfIT137S+mXH5XXwc9GmYJsAnU2R0tpyxQYQi6fxKBHqEpsNL5wfCUeA1KLDohR3kdtk9QLL nN8evpFif1q6PTDoKgqBzsch+SZH4gZCSJi7ivzyEtptxoXTuGCpYsibUohzBJj7cxtbBBmdRW8d 5lx2UgogMxvC50rq2xdIbkvPLRinWfworB4nhnPd5FjVkyoJ1T33VmuxfoKztQGYhPPGhIsEcnqs 4zd6JnqcWzIOcFcFg7cqLUDMXxphKQCVFUabALdcOx9+l6IOhLGQ71hqb6KJOTV6s3BT6H1/tt14 /J6+xODgUSLS8iyRt3FiaA59MZ4a4zlnHRsUttfXxBo+9bOIHJB/9n5AhW4OsWCaTBotw0wR/nJb j5bPuvMqV6lpc56r7/1ZRYujaEOpfRDE2Z0PrHeEGuW9SKHtk2kKT5joqrQPDZPJMU3pz+u4y+vd VwWn8PDAiV5qgUMxdJCR8LN7XWgpOLNOZe9pMpemwzQ0vlS3vmq39wlk5YEzhsj00HnzLPiHSgnY 69Dx4Mo1nDVrzq0U85R6tU19tDV3E/HAaCT/SM9NPCVG4qtTBOKL/itXLkL/+uOSfPmb6JDUenTy OAk7DAw4qC+IE9OwlW3j8P2gHEO6Pqfz0RE5U4dhOiSpRtbmTJEOGMd0FeJb2dRcUNvkyWrNY6je 6sOkOR3ugETh7YjFs0trHk550bL2j3t9aFVlTfVABNF/7b6bIfhYlU0lDd1b5XAfe9ZALYDED0bZ ZjzNJ0Gk+8xd82bXcSe4PdkG2/d0p1Wq3s64JTlckOOaIKeMRXSpxX/Upu5T4On9QS0z7Ydx5GtJ ZkuC97S77YNUGONZ+4y1t/gcp7sucD0aYCmbcN7wxT153lS8Kf2IfqofZxJ4KNwt2RsPhvtnL4RE BWApnANmihmqRqyNsQaNoXIugpYBoSRzWTXy1ScLWExQIALPHMWNZ9IC/RQaZKm1PRzXZe1sEPUJ MeliHxE2NyMTM3QlO8ae34w3dpotPok8DH1n2elKEVkztABuQjG9OrOEOQKbcD4G1Oeupg8rDgku Deob1OEgcOMPdfGxKVFhZiQlK1F3WA5Ri5+ytzfgyzIpFAq/e5SHRAZOKvVEuH7hkVC0KtkeHOA9 yTCuuC2FhckM4ZUqRAlmQ25kHOWbucQ6wjc1xyDgKZBHoOK+Vq0m5y9Vmej58rQSectaNl/iWJ0j q3XjROP1VI9HLEvH6Sr3fqY8ql+dDzSxJ/BgE6+Uc7mDDx8qzVZS+P3bsGqNw9VsnJayhw5hJGpO VqaGHZnBmzsbDfjiYVleCrQOV+P2bDZF9ntaDw3tjKKoSDWCrFgmOdaVZXcRajR0iCnK527KBGNq yVZf6HhNKIIPqFhO17C/TOihuXH6uvVLnvM0NyyJu3R1PiObQXjgzrps5Q+EqjxdrSI9KBbmqX39 QNafywlSKNQ5KMiD95jEZ3TKeSx+c3U1zEB4xaKayHUCXzA1zJyk/z6WdsCwiUeJIeAsFOtSsSJN /YyBQ97LA52WCCR4JOdfGUZsz941KFaqBhCOmvG2+sWKGEqB8pKxTJHj5fPPG9IoYqDC5Hn9odtA G20ccLV/DDtiQWrHUL9snSmw0oSGmZRWiQoAwDAGv9IAZa18uhwTQJBXViYBLbYztyu6BDZmqFkS otb46aHog95Er1yaxTkVpCpz6oDKTtnksJkFK4xCwXYHNdUTDjMhb7YF1aF1Gv013OdER7auW6mf To2w7PgxqiPdkVy+bgUTfKaWidjLOm91/N5G92DyNNxR1PFPPjJb5TcIzvFZanz/MWomNV6fsZd2 ZpDxhsN2iOTdvkZx1Nz/0VAKDibcf4hEVte9FCXEkGWmcBe8NgGWmY5NMgJ35yXwPI3BLaNSose1 mgXTuxUNrwSWGuwanef+YiI/kqT9R2DOeNrNPafkSGxTCDPpGEz7vM1tfLYZxUxUTXoS/JeYZlZd r/FaHsexCzccoQyOdvzasf0v2Um/M9ZIEHuRWXFnvwVzZ2zIg12PXlbD61jbGH+J6Ygp3BcfsntZ tAcCPkxrxD3Z8kDl/QZTB2Ofslhk2YaaLzGdw6nK1cqxM/UnFac5Qk+K4AKYY9CXs0UNYJH0DSeB sITAY7yHcNMVR0kXY72J4gqEQ+xTVjPAx01aGOlopt0mbvE4ox/unW+04iY3sqs6uks1/l4ASrh0 O41FlliAUTXPBnLXd4X3lqRwdC29jVOOxENHgoX9oQ8jQZDekCSJkYz9v176+ybNpWeBCcN/Ufe+ 5rY9hHAgJMKpZ3tjSRVYJ14n+/GtHmfLZJVHN37WiyAPoCUmEgwB4cD3eRBblqzKMzqQ4VCPXjs4 eM3IuG+p2ncQCj8zo8yjVAotSlSVwCJ/+ZJ5Eqy7qynE1DyL2ZSTuMYJZu7t/e4XoyJTbX9Ezeij vMIj45j/Si98oRAB8PSAABhMzFFPXP14hFvZLG4GIh1Jfmwi8KPC6eBTehKOXTy/6TQnONqooRpD qSqVgmx3IEo8GgQ8+HhGaWjbYetmJfUj7Woz+2QYx+A6dBwwQWJUFerhmpzAStPfxXSMEVBrbOBk KgCGqTDdecMHuSmSNgdKmryvrr3C0eQypj6mtxC2gJ9uwVl34rcmcQiV35e7TG+wwds9XVTTNwow m2McGckpd8GJQdR1cPz6fKeuGFfyDQL9fyCv3mMDK7lqFj3xpovRTeio3hU3ge260oKT6BRR8Mzs Pjha+M4kQIwaZyDTwW2HXI/OjY99VscyViBtc1Sk+zI0RePpofBQv865uU4ZyrGtVEc9ogLeCiCG /ehtYgCg+E8YOFRpD1OVJUSZAWUoMFh1kyoHMlKUAjstpMriRaUZH6/WNclPlCsCsmQUNycs7c0H xzQrYI6TMVZEjEvE0U2mQt1YnodpYy1VJFLem9/uUQg/rXyC2dUIueH8aBzm0GJpSJVmKx77QKLP tnblR4iEicI3mQ542mx/0WlrqEINyHbC3pIcVu4lGn78oeJA9o3B1kfOahepX60XnOOl4KLZLiMy EaUnrz3b0SJnHiK4WukMKwK8rU90bn5IrggRyg5rdVVFckLIElg476c8F11b32hzOY5QV75Ls0IK OuFAfyk+HmmfPSalLanvMOzDtqfnPenqgS01oxc/NjGT6gjD+POyxnPzqgjBiWOSZfSbuzOT2mq0 VLVJ5/h0z4VVJVEG6lYj3CgFe7Cx/eIgkvyvg/vVDd/j74MOmeuAO7NTyR6+qNNUyJkggOQuaJtA 4zt9wX+hWFh6t7071c+WJaNpPxPVECfaz6Ai+77rmhAmjWpRHgPTnQVzLiK2TKUZAmL9zb5f+Yyo ZF3QceMrVVZQMd4jkICqfo4BXNKkOaIS62tnAPG0lxch+hb4C35WyPBttMeAqXgWtXewrJI+4+Dc IGmV3fzjsdILMfJjY9oV+JFUsdbFilCos4v64MMb2xIdgcLxuBl25kQu5rpc1VUHmolLoMU45mE6 BY4sSAm0WQqaBqT8GTXyxAtfIdjVfc8rnzYWmtdUOiosG2O6zMiJTdfti+5PlMODR6oEDZ/jL130 62Q+RPYEklNxOePN6ZJAki9Eq2S/sF3GPkyBiZ4czCjQCqpBA0G9f8lEYQ9FSa0c3fLoRxSK/GoJ s/9Z5bixBfVobKJUjbvK8b6lajWFZZZ3iaDHjHPnWXXYTKWyDgYF+BP17gSoXNjFoa3V0rjM6EIb G1VzlCqlasUgYedia9GxmKjqdEo3TIJ/pfiRtXDI+CCF8Xdtvm3sCu9TO0/8eC5J02WfGz91m11P eEWNYKFn8KefG4Zj9paE6rc3dJaVMhKWXpaAi6daFyc/1UUGp4kSnu1i6Yy+a5dLq8UUQZLRmEjg xCYHsBv++RjZWyWacWMPjGwUda46p7xZOxC8KXR66BBZAdZQ3gn0vAFb2CquOzCgGrnj2KJbO7MI S5w/1j6Fc9RCaTuXF+Z455DDVTBwy6gp5qoel04CjhBoSw1thorfwfLDgY2AiWQ24N8cE5rYLmbJ kw4wt621exBZDHIDH6J/TJZ1xDLqpkgnfutW1MVFvwoghEn1QAqg3QEXuod2FSLy2pI9paLfiwfW VEcdxeTdzE9KVNsNiFJtJxGLxszfS4LrZgrlI5lU1GlSaHUWBYJSuK+q3zrLXSBqz339D/REohBg xUcr0zkSIAXqvawf0xZgM37wj4hmGCiQOe0U29eeFEWocrv/FjiLZWf5stsC+6DfN0nO78b/SnDx tdK8BRRPj/NsYfNG08wmTUpJuiSapiECd0z25Bhp8OHBGMQ1v8v85qMXMc65M9Fcz6GYOChsjOBD h0a+YtXNSuAfJAgnUfT1Lol+Qyo8VvD7dJtYbol1Gi72uTocv+5XtRQI+mKU0DB5pPgIEE55LJiY fLGngbADnYNElSbkxpQ5TdWEGOsaUacxyLYuxPjAkJ7zheUzCecZqL+lGYQDktshExI2pIYZIO3Y 4dC3UOIPFnocb44qUUSpH+kzsORnRn1lcTyNwlNSyjzLDfGLinzEQsXd1VSBJKByAaz/1ygtK9s4 X2+2OLVG7ADhBydgkvleLf5dZDSrUnVMewwCuhIkvGVukrYG8TrV3pUfdMGLmURzpPxNJPoWRDmv DCjSUwGyUL9XNyjSI/JmPNxHigh9Cj0tWDTebyYEQLB/cDGAi7vBqQxpK+pB30i/8iSptvMHOyQ+ 0bFTXL3zWeCTo0G8ghinwrtpRY1i0r818t3e8lRsvUyhHj94zMRlOuVPnuBXQtMVOp/V7BzzcKUt TvT5WcGgo4dpekgdMC/zxz0970usxXgAT78eqt23D5wfMExbePdLrkpF7Mj7Ry7b6r0t9fU00p14 SgDP4Ojn/mlANbHrqGc0+pUjieBUzIusQVqDxV1KbHdnhUK2bYCFCBmprgcgIjHkr7/KQqEtUtQx YgEIgzQ6i2FY4mW8MkRWe0MVtFBqXa2tmuClLrdRlJQsgFAdtUTqeDlya0XvdXmHy/aS0NVkYqrO pYxPaZYuqfB/ErB9ggF+/8Eyt3HJz194751T96389ateusoP7tc1sbdLIVPyfwH9Sz5okY2uctlw EdAD/34eT/ohvfivjbIkKJt5mzb6Vt1GJUG2q6JRRRhj4Bsw7LtmVTFidkkcn+F5LqQPLUrA5a4v oXJvjqT3AnIckVy7Q02ZegG327F5rrwsO2LEsZQGmS2kxZsM+IJL2aKbxD3CUrClZmHkvB2LCGQA lP+llbC1t2sAxR2REkLqucDXqDhiAIrep8AxohTI5KqXvQm7veHKFXyUhM2LJvw0vIfKCopqf8qK Jf1K/EYKy0Ae+0tNDXU1i3Kh/aQgPRxCOn6/s0iBX5t6U83Vp8ojgda0qb3ZjEmLzoNWkOrH8SmR r1DnkyZ8zl2Pt9maeWsJXCPhWvbhX5E8HiJrbzDBfF7VU0sCW3SCBHHO8RNV7MIG/oYOXos5GS3R pttFqRPMyB719eVL7+JD6QI2oyQOF3CqBKFGGCAoYQJdHxKqbf5x1TxL6HepzsNsnBzNGM72Z22B adsGauPHcfEpwGhO2Ygd7fIuQq/4wFRfhRPenBZuO3HdUsy0QMQhNiueydfsdA3OhBTsFFze/ns6 8ksWd0A7Vvb9j/7qbjd/3NRhkFRcLHE6CBOYhQ20b7EOXbKFQWZJ62S+ghKti7UBFG/CGnyMyRAf RYNfug7mpL741v+1zb0zMbjdUOj1WCrAl+R3cm2CKIkd/ko7zJ3+Qb3OYmZ9pnv/QHlsujXxca1I R81coAPniWrzFZ8K5XIUrRei+53foMnpTFoCN/ongfUasli1KsKL0v/FFe6i1EVhHH/GspqE0CBs IZQ6Msa/SDyMTqtQgRO9qS1qsuVxURVCYpNCQQI/lx+hYnqqgwEPC6XJzq7inVjC7jztvgIveiB0 6TTsFM8e2zxs1GFaeO0zJeC11YD4/bODpApNrcTCfjReB+Q20XfO8DpzL4Adc5LnO1KE32ooAZRC s2VfiFpQovWHdMipKy4tuSrEvP6D6DbzxhTNYMDHIwyam/OlQC6eZLnnrHDKyRbhygtNp1oLc/Yo DqlbDJE1EI9UMRHJLAIEZxjKi+d5n7aR7dSQMfdg5Ihb36Bfw7K9R8WNVMWU6he7KK0gLeitM679 9PKJytGNHAnANuhx0h5BtZx7E199YWQ50fJS26syOG/Sl2tkCUg6yGcoTGXTU3/TOy/Jd/VkkNoe 3TKf7GsNwLU2z4WfCkQO+C4XL4nqvs0AxCScyWCR1vj9c7IIYREHYxLahx1rCStPDTDDsb0EMFbc 3f/yBRvNvgyYALbTqsFhbhY2poka2Q8Ou55R6TRDXmymdcGMorsL0LNwYbpxST6a5EHzWkQScT/v D6OwVQezUxNVPBYGtMDRjqdA6Wp1tC4BJoNmUtmyqjgwpU9S3eZ7Qkh3wsX+p5yyAvQxsK4wpBlG vo56gdRgIpWRTCHAYWDWgt3r0A/qVgWx/y9ozbW2bU3TPj9tHnUTylh5jI5E+axy4KebC1eB7uSK 9SH3Fl4DoVmEm1pj4uqrFGUmpgJX3+6xELvhq/gmRmTWqnIi3X7iyOAchGD24vcaMkl7ks2EJHbU G3XVM7f2SKwg/UhVXHXMfbv4+jEVyfYS2CPeVqjnV51ug/pvfz8Gv2xPlXwo0DHZzmT8mNhed05n ahyHghuMP0MTFchodOwvQ0VsbEjDI0EKQd4DyodXFxAtB/tiP2rSx9G4iAT60fdfl5GyU80Ewowf goHirMqvIuteLdARohL1gYZfMzvC5Lzzch7PVJciCWIG0Qh976tb86JI33lcNQ+nU2p2vR6PHq6N 9RdvlJ7ACMHNXtJ7VN5QPLBb8M2Fu5s7w9mFW9LWri3vGt2yKjA2vNCscbfzJKP8luLDUbI0ftol ZhOWn/9WqwS9CckzFSdLh4RsZXGhjUvoZMHgm1CMvF1VF6TotUPQjmRAv2POfDw0v5Lvcd4ST0cl mRyOar9t6JGXJGrhC8kXxDqtwKN7oE4//eWa6Tc9cRkxUCyxSVTGyYkXk/EYWHagyW6InjumYEXI 4zHOLVPWaoFwZhjfSg0N/Xdpz+Cu7FpGhbzoy6il4ShcND7E0bkfUVgTt0ETSkQ8i/88jlFe4xjy K+p5Rn3S/HQ+X5CMl2OcKqlys0nAGWzR1NT2faSbmSV70yTFodp5Rn5MdXooifMMBXXM4GeidB2z ZiPiL0+WMwJgHUL1jLEOYNhgacV5ZtsS+zRlw/Xf8SyTalDQoTIgXSfbv6zPWXNNW7pBtdmHjdC9 Ua9G0/EHnJ3VFYOKxm4Lm2t04/mjJOvNbVlkKiEElZxfWVIlV04sQH5pJ/Ut60kJWt6PT1mr/9JK 0sF6WlwlCU8mzIDZhf/MbQi5MUzGTWG4xXsn97i8sEWs+g5Tx71CiIXG3W0P7rX5787SXyhL8sEI oHodvmcHalHe/fsbTDtQM5dKO4w2j1uid0R9t8SR84sn60UsZlKNTfCVw/FeWbQKO52aCq1HeL8y wIWg0hC00zBwzIooZA10Ya7I6I0kuD0l6S0rLzWaOcV3cxbs9Pf5qf7Dm3ivP6VIy83mPXx6uTdQ 8/VKSK7CpLM90RBSrkaFuVrmHdAMq4bTWyeI/7JEDm2mai+rh5Oo4xx+2tPCZTOSKT3WRQnROOmc KdE8lV1hdi9QfFRphWAPgWyDfdVEPErKl4nSgspPjJIHhLRksVWp8DdsThZQnZ02m+HEufofQ8M/ iuDdY56uV3KisnQDQ0sXhFLbVRJ+EyS1nPxFGc6tZt3k38jU48jrjgHwJiCjjVIMBZHwp7DC562u GphoemVJG73WqvtIwSUsnQc5s4PbLC3uQxvrPk79HLVkTKQtw2WEHRr+LHgI0A0HHWQ/APgXIIoB Onlcryova5X302UlBCM6e1Qw0HOxTDIZH23oZh52badOuQaMcW+3XJbCVpnsD2tz7Rn5F9Wn70zK pb3piJELEVhWZ5OAx8C+lCZ7CRDb45TjlNOIEC8Sv7rLPbjRtejC+TSmqYXvtUTBMOIIK1wt2DBW cbdArX3SlVQawOnBfXyxqzg35iNLFqfxvNANF4Z4lxsJc5ayFZx5n42A2elfRBebbXNMpwZd25+Z yBlotbqvBvxzdVpKQnQirJNnqD/G/BgHefA+eU0ukwQZHHkrSNi5Rt4+95R9ddQMLoTL1siDM3e6 Ux3BD046++Vq18/zP7Tar1ZzD8XE1J8OUKCI7o/xDyRb7QgnoM7EpeJ8YpIUsNsvxni1tvsBHAlW 75d67y1hMpZN9KNI4SxzxvOdS6gJMf3jMl0oECc1Q0I880Jfyp0M5Y1uF87QRtVfx1z1uaWeqKrG 2pak/915uZJ6Chp+/sB0VToBj/KIBAgmANEQGokrYTXl/fepLQLIbCWpebZ8c/L3riexWIcX7KIu /AFoX63PdtRKBgJQbc/vgj7rm1q4ENl++fasNWoetVifNzDaI2iYRLcTZJtWihcmTB3UGShp7opa A52eygSyVDCpbq+ndETlZN3vXqP8Uc16UNZLeWPJyai64vQHzkwPZ3WntdjtxNgbdY2mJfI4R5b+ 5fY5ye2MY8HlsLHw/umKyclh2EN8mMsKDJjqEDURSYaIzI9vEo029ZOB9ou8uuvzb6dcxYEIfcLI WqCKAoIK0uEd5S4ThyOVMoWY6Da0FuU+baudQ/0amg9VlsJGuXz06vaVNcOdC60wFS+y1eNcdiOS jkwaVgfZlKU+6JJq4Ccc6Q/1c1JTv+K3MMHcRgjPmT1Xnaow9Gv81onGVXvf30Rfu/chzzQnY8Ii A0suElij9VUQOVn8nCU+0Dn3t7eDUE5a1tcBIG/gcbXHCBq8fxFoiwltd/F8c/wHF2tcwb11NzcI PcvuoSoTXec8pwaCsFwfsdos62RWHHfGguUfVG7QhI6KPuS2bbYMr0eqFxOS/Yh+eReOb1UVLRUd 0RWiAB7CKoePMaVMg7X3M+xfwrIK5E7/9xwr2HxHqekbvaz2egbmDV3HdOdVzk9coA2h0yY05IL9 ZbW2wzBhiteh0ApNLH+qaV/4AwSjSOskTMCw/Gz1xA3kxNStL4xePrnrg9WvBConlY2HItSlEj8O nrZ5BOIFS3cvmJcT5aUIIBTkSDKy+OJVoftwUtj2P47dqa1nrzpAVcEyaEldsCdq44UaPlfvJg35 eTWh66h4a0T16ct4B04eZYx/dZWC3KHeTZNWg6tJ88clRe4glH83U7h7EEMLCouAnrPQh6jm15Uv WiVROzKBJw19ErlYuYsyFtlYzTpaYlmOrlDrq0VrLVD81v0EYuftMlAl9NI4VMsn2qcvBzH2gcCZ tNs1ZPCE/VMNl+/LPvCW+ndIM6xJ/Cn9iGy0/i05/accisQDyBvKoNx5UetqOkAbvBzWdjWn2AmU HwaOgyfsJI1/c8zIjjsJXbvmMaNiHbi5IDwg/j7ENyWRwScTJrh19a8aDBoHDnBEwE3lJVcYCETF ZdPoGePU1sjUm2VTJ/oUjgKfkEUn0I6X2TIT13I2yp8haXW5QHM8zy0Y44NDcbt56ECIrA+rMvZb BO18zDRXpAfXrms7ER+4ZQ0PJEcl0ylkeWa+0AZqa0TdfKxHJhN0fMhSLz4LfPKBRuoY4oVJMLJw SOLUivnGWLvQxF96MJt3adE7GY3+KIMSUpjbFGzerRAwrmtoB3S3yXV7J1bPPMpk4cFpRusIKXZ+ BpXUI6ch8y9t+1BEwejOYz9ildOcJdKi/awSs4mwKT1kgtoYhMhznBiDSaivR5XBVK5PlzItKZ4Q OgSXyPsOdMdEtORBhz/zf8wDkCUH5FRWmw5kYVfdqNTMsNGGThiIXViz/jc/bdmPD9/UMg55yv3l mEKecMOJqN5zd0I+9R+AZ/dUXelYbqAI6MkTwwEWakLx3X5tgkkcNE9x6M/Z1oCFVPWNgoh/k/Hy mE1Snp0nVAGF4Irz5JJdARXY911GAB/MzMtFQ8X5p8W70BSyvuTM3hqMYbXMVeV8HQtIFi7aiKnr 7sVuo1dV05KuhFQnBNLbzGSzMxnBnuWApKaXFR7Q7O1vErxA3qPRL3Hz80jMZsyGF/PdKbmLU2C2 UYygn9A6+kqUtORPPMl4wZ8h3x5J29EDCtV6Oa9nsl2F8MOK+CeKza7Vc0xA3kDe09pPHDQWQFwz dvzycLM1QX9/+yZyg1ZwuDWUQfxMuhUwhfl3YWZvxRX6tphUNF3qM5MsNHhBdATMX8PFxmZNwFzC 7bmqZN2qbr6kUnfs46YpQiuIt6IRF3zvz6bILoCDW5KHKDKSbfqqQY/5Yy/cFwHu6xnm4AHyzLDK pbFvRZ5v9OuL/Nk7vApmleKggmZ0J2NHy1nCwx+hb3ZoQa2XUcH8SH6VZPDbUmdJ1U7Ir9+IKsoF 7hIX5MoJ4WKgGEsE8XW+WhSiCyNYgRAeh+HqYhIuWo+j4RvRMskrAoz41MsrH5AGRbQSW122+Tzm ubIYUqETSqLNNcL0S3+3GigEBZyZTiPA/9kJLoOEtiCZZHIDxm0i5/axZ5U4VQyFqXQletUc2n2R zaI/UxeBJqMf1lX3QAEnczBkPhPEO/wvmsBcA2o0xkioDm16sCXiZMjcc3nddckm9JdFkPDTFw5i V+VqHvM/qlA1SDt7rftt68dSVS/hZc5tikyfB8gJYbXRODr9XwTkgw1d33y+1fu2e7KvnSFQNAkv qgJMmhfsP2vDzJzXIg/bBgAZw+AIPmSIPIsSEQ1b8TNDwUAK7O2vkhhpgymt4/yZ2qscI18NvdYP oi6/24y/cIJ0YO+B1ZFBOhgMnzzj28OkVaYCyEp91THKp6Ap6B7LdZyZe3b0cPtZvaR4pwIl6CVL n+zp0Dsnu2HmtaXHpROtchh0KoxhwCOVXOhgbnGdhS6fWpETy8QvJwLDSAv4mlmfOXt3lEFg6e0N c4T6WZf2TgfbYp6h+RFoBQFSYyl7CcQ9PP5CB4oR0Se1x3P+stBXwqsHWSVr3u90Iw+CcZUq9maL dRTvbac4YOKpK0kmxEq9N6Re2DBd35xwmq0fUa/xts2amy3gDiyw/9l8lnXcfbO9LzAp0p0YryQS FbDH6lgHyo5RIJAdiYAiFGraJncOfdZKGZOR+GH71HHWGhmhwriAx6GhkhxgXYtyI/PS7p2CyLan EVZszXBU2bGRq2cxZHOYsty3jHp/LI9Q4EYQHX2dsv8R7y8Fb7qynCeKqC/iXFfdBJH1zeNDY1kr 3W1SKLefg1ACzRwWFSxnovN/2eGwyJwRG7QnWCfaEGtqBZzfly5n/aJ1h+gBNEJZElnTxJNjNBXW Ce15xiVx3RUbuGrqQOARmi/34WQYiOYPn/r+Jg6qSYWLxF9VuiGgLyK675mIX7wIdz+yKF3luenp jFCnQ8m9oI6TXjog8BpNRJLBMAzX6HAZ63PRsyuAeLMsLt71Lbr6EWLR8T5DLg/BnStSLup4iM8K TA+OyJ7UEklYMnhaOR6naorQzFLDs2QkZG9sVjWPWKE0BLbiSyydLqtubpJiJipUFZNWbU3rn6zD /P6IPhSi4+h0PUKj/gGHWnF1kVepCPiMttjN4TQbNUtMBxoA/soaXgv23WndzY14uXsX3xXcm8Ip 1SgvOxMKTG542StUJGhd9lea8Nq8qEzjFHyn8CAdb2iuBxWx0sSkndDbR1rZp661D9XScMUDjNBL QByhEOONRbC0kBw2/xKKApxGrZiIXmCwbCTusc34nEdgRt6MNlsdaSN98KKIXADtszx4+4t05h8Y LLbUZG1y74LsgbMEX4oH9MoiaZ+Bwz2YWTl11p7aV6IZnYBBnzc7S3iuZo77fhdyKy/EKor0/+x6 V0BTucn00NO213sVLtsozOBvzbbhdVbVg9VQk8jrArgAoS0rTxeqz2NWCqrifjyBPq6AMf3X5VG/ abAWpTz5sr1XNX+A5BfiGsSseIRyGZ8zI9fEji6ex6U3ZqDvDZmxGsoRW83+ZyxVY6GSc1OyxL1o lbkNUSpJD1dRlbyJnGXcMvgyR85gx/hqz6E4o/fxPiSAxJmkZp6kC6MylkqeUZWawu8H2+OSgrfj LGGGlQGnpk1/XB92CfRDmGUwynxw+tAqMa2faRyjeLzLb1IMB4sF5g8bgb/Iu2tHmcDPHepBw/lU czufgJ/kj7aYWhujTMQb4GlYrrx7NZyTZDBdFfL/OWb3thxBEfiTCvYWdUgdXqbz0fcZwHRpnARj PBxl2uL3x5YYacTiB7fcQC75cKrsQCyfwvU8hxRdAwtF295ZJjTOhua9YBlmZtNkSaYFEXVxTlSJ GcFIeWr2SXEyRy+n+Uu6guDFm4VObTJSyFGnnx4Ho7XloUkHe2V3+urkpPwosFIr+Dk8wIcP/z6k nuVpFVifIGgPnOoxlgtanpB7Z2QJWCkH7DHIP4sHkAPqq/iHrUUof3L18Vgl08CFaqTZm9SaFuDm cNkQ9QGHP2vqRyoM6VckIcHs1rPwARGphIeUSc6s9p16/YTJ3CPKCrz5MeDHsg2OtqOwUtypMfxP 8KNZuIAe9+n+VOQ3QXYAKOWkqnoBts3i6v2yL9ESdSNp16Gzotd8zBvEui744EatbcqI2uiftBoz Vm/hj/KYlQXOlz4f9ky/ft/x6ZERRhGiJlZXo5mSQR+mueE9LwxFm36AXZb2ToQATviJzo64PEdJ hQeNS4SF8547u3TbAjGuVcChd1p7HrCd7gxJ1M+ZkTKh5SpQw4IVC9JIfpdRzfBTF8VElx316buT NvydvCAIIGofbtobzEUQziUQvAKSpY3m0LUppprcXx4imfjbaB8cYMdU8L5k3UdlKe0pErs7NvFe GSgv19upAigOvxgnyOwL2t6B6Q+WPyRPBhVo/sF+z7UOTIf/ESrgHawh5GrjRM/ITX2kdJo4Txpd 0OjTWJSwS/A0DvSZdY8mwQggRRcc1BsEAyWe0SpwkZmVwuzp4rjw0Y1nmOZ9RAIFKlVhYnGRagTd 3lc1ZvRq2fcvaxvJGleDEM7avTV6acDddpWijpkA5K528B47h96hRj5Gl7Y8+wvc7Lc6MgrapfxL AYPTsAOtBuGmkqDSb1wSrKAsUaYUWu1xjTqcb3mYmEOSiGZn+/qnzO4t23jad0PnWU6tWMsJQjp+ Uft6quwVhFdP16tG5Lf6SHOdD5jAFeuFxn7nZ/tfVHL4jYY2zwetxynWfKh1dD42N6QrV+ZKSDCo gYKZe8iP9PYQaN/OrU2acxOFtVERXkTEb6Hf4ywNn23CEQ81BKqhEKssDtVTGAZPqXA1QDxvu85a NwZwpE+drLP2zMYGN8b5kzFncPlaLhlz4IYYkTm820izzIlrhg6XqV+2/mK1n8Zyfw/+lXcDZrto nqUQa1Ef9q6gjBtBPxIfNwYVPCbYCTRaCumHX5Q+ZDBbRg3erfcIBZ0hslAr9QBgJCvIAl1r+c0u amgP3HSJVfc5DQmyni6jsNcBfhOSiR6jLsHeAZ5aBQYFNsCP5JgoNGWEhyz3N8ouAmWuT/zCWmJh N4ZC/mpS3yAGJajz1k7Bnz02k4J0i5QD62QEo5ttooz0cdRNXFLqPgtz5pbWpENzw3ts5cxfVUmJ 9MUPbYacS3eI7RjXBtnuE9NH/aOaBn9KH6H8+skzY3CDzOzEH4lkFENDxirnBVLuDKh2zcwmpE5Y nlgYvoCOlePjDxAFCn6u5jnDPS750zN2R1OTPhZLaIG25XEZ4tqrw+8MWQLqI2NBNpjaYR8UorvW Hg/deufmR7GjtHLpSmVPuKNCkLRlbbXNRefFPi9maKd1ZIlaejP5Fs+Ed6eN4CFCkG5UEwxINW99 X8nyrPmQGRXIXVVuP35YP568eKK+llZzP1o5YK8MTmRkJfUqfguE8opppN4QMT2SMIDSHgUEVepc +MtjhimgHghFruQPkjXZjquZq4VBefcpoAXk2nM9o9i7Fd6zjo3jWotzpN185LLFFtCA9FmGhjbO MUZCkhvucdDPlk70/KGi/ZZwYSWH0LxomGGGqmiQxTaUHKasxfy0pRkhaSBsQwZeoJEoRVQkz3IE S/HKfBQrBIwrCgwL88E+quvsyNmy3+aCaBhtSmTCpQCq2f948bgy0p1f+p9UfIQt0s139GXg0n0D pL9NHgY6C/EB1letS/5yGOmbn/ltnH3XmPxZNvahPUfH0yzyxlWupWlTQSKcF4ih1ZFSCn62We0C dBLWfCZgB3IXs2KFleIro2eVV4jk7h+rtAdCy+R8et7N6PHIwZpRlWB5cTEgySoE0P/siRvFpWYW U6Ut+h3aHHo3MF8lnsC8w0Qx2+0AfOmwsthjFZhLnd6qB4AfjsoU5UudxmALgG0IfX/cyMiBYhDj ow1VAI+QZa0GhuytuNwtLjxW5cEAzZUk0BrkAykZZb4fjDCLH9PlVgw2tstp4N4AE3Q7w1j0WCov QvNuqa5rPqwtKdID72Ao2abh8Z0NpBhBUdSdCSEOlBGmxgUycBY7XjiA5V8cAw6n9lnLUm2lM/Jd rLo6SQAD0h22NrcsVBBDBbY6rIGinA/Noq9PTCBoXSWyeNRX2MSmQ30CE8zvS4FZ6V/CDFrYCTrj 3J4wrkmMYMmwlTisW/DixpL1uTEt3o4gVqUaEvt7NE7528+b4BOm+oWyZgcFoYHC3L73SBnMC1Mq OmmVXZaY+utjijjkhcoAi7yT8cMbW+wyW7WMYYa+GdVGPuKLE7yh7I8Ea7L15G/UAfuyNDzpIhwb LFU4G4QD0mgifQ1tcS2Bfsuc4K6QQ+/RkF3BOfCt7vUF7qKEmJ9YUa9YeeUqxC3Miy9bs+z8PZbJ Js9VxwYYXy0woS5EEizDocDqucswjc5YuGeaM9EFST4P11uGkke9s4CZeIPKQXWLnDGRne/eQrp0 vcJTpRey7jMrjynSI5XyRB2naD8XOAXNq5I9V9ur2lBOSQeDOxpnBt88JPA76mQjTK6JDJJuGduS xBYoBzpYjEkWcT1/3FYR380bZmjuRVSx+oXJ0ireUbkraCWzAEjEQancIsuXeRs1TehtxggUQIPs qQd02yMBQ383+TJKTcZey1xq8O3BD6XyI61xF+5XoJSbTQUw45KWNvA4b2fQEnkOJ0C+miuLMJEu U5NYGsVUD+s0myyS1lZFYwrkR3DjPoUvuNMOWjHWsaUz7uV/EZ6jzYIftvM40D7gpc0VzklBkABW cxXDCfN0vF90BzYfhefTFQVLngK0WCi5fe8s0npuJ3mRcnlEw7E0HUh5/7od8qIYfgubLpPnOwA4 nPAchdwlFT3Op6rHcWssLuOE+NBq0WRN02L4/P/rH6eC5mzPjGgP+aASDeSHZ1BRSJzwUDLF531c B9UkU5UoDlG4iM0+iKcde44fd74iq8YPpHmn5WQU4sudfIpf/CqAjXuBPHH+cbTtvbPHGhkAGOZe 5eqCHVMHFjhpN/QkQQ2ZqQtInTw8f0MH08dUAjbmtE0nGy8hfiU7sN5NwJuzgWQWCSwIkgBx8+Pb Vikbru6uMVWlUWCM0YUdackfGckedQd9/l5XjKc7DTxIXB0GGCueq2Mbr0mXsHasdBSGdMtZTHtL lgPy8cShv2RvUl1DBxAGMAd82zegKqNbH6VIn6Ftn2+uvjGP3YMmjMjjBkSSdVKmXGw8WonLmqww W1Ofx+i4myk+SmnfqaPh875ZnP0aHedCU+rk7Rzkl7YLDw8qsc955NtDQVrvYUBQ/A+3yYlJOMIc 63sRl+pZ9Tw56PCqbXWIAEQA2IsgVPh0zvIXQVUCJwZCerplAed+IAFte95cjBND4/R7zf0q+UEq 7E+69SOVjEEBxgFziGXdBbaZNyMpCaWPi4kRQA4NQZ554NIK8fBEh1SlI7b2M4ZawYGyX+7HCn4V lcBqDwc1jyibb8eEjPFvHNdONglggculnzOy/JuXDSdI1OEpd4z8wZ/WfbY/z2HmjK/MuJZvi7K1 wUP92yunjcBB+hKxyrECVrrN/YQK8FzfVBwS7tk54qGFciR37V+DHu5uDlnCOHKlQ9zEewyhcMEj ZUFMpYTvdirmiGNm6FbACJt++oSVtfHMLJD/Dqlsy8Jhh4eXcErrk47jDC8Myv+mGJYSNqhOdnoc sehWCaH5u38OwxDTG8Fa90j8YL8Dqoja9NOyrzNP2yLU+WwTSSk2c4UM58HJM/OyUYShYhZNtsyi 8G3sP3TXwnezUMVRIpXbNaeZkkIrbGUdSggSNwtFPtfQ8LQsxf3zEQobhDNIKHDN0Ev7AvksUPQx SBXtWFDNwBY/fcp1wwKwqfbFUuFTD/Qk+K/5OK4Ld86kmp2mNmSqz3zFc2f0ot2n//qJ0f345gm4 wittWGDLZT32D9GPyVqRn+/ge/9FJpfBzBRHugmRBqvtB3N/HwqG4MTVzNsAoOn8EZaSTr8XdnWp sgsKIl1drKdMEs/LHry4tajMMNOp51iu0LHkZmg2uU/1Nr92wmrCdu1Uu6K+nZlK1FifG9s9Jn+7 ol55iCJpvrjzQ2VQMLu2Wiy2E7ZwjcWP1/Lr4UMsx/pxB/UJZjM9RmGDK3/3QZAecUyIMIRdeteu g7RfQRg6Zn+2PCXmrOJfzwxu6Zj/l4afT1/I2qgcx8cJP0Nn+Cg6Y3mFEKZvgRV76WY2PfXsvbOh wsB1QT9dERGGOrP1XNOx5XmkPb8nOkmZTXPLFxIdc82Q4c36vIbE80uh1mP2ElFKJVYzMhVZRwf9 +z4X/qlI1noLoDXPO0YDv6B8nV/gYZkRRgCt6HQYpew09agi06/UHz/9th4I3EFUKPyZDhu+vOPl 5KruCQPM1yLVJ/QNB1rtCoVxRTR4NSpqElcKkuklamLW4xHiLOjsYkA0UOb+AqfCIhk6TEzJuOcv N0/tGirR3jXInj/w+LEAKEPqfG2njaYEYFj6udaDVY2jT5+CENh/+FWEdEd4sqbi4MDATL9Q7PnY b8qwB3Ldx6fbeuyN2hfQGT/si5mGnSvZd4c14nTXvgPgmZ+6k1D1+0pftb/YoLFf3hjpkgHU7zPF O5r7kuq2XbGB5EJh1AFbIcxdY7AMvYG9Hmhp4FGfJXC3J5I7QoBSvNoz6cJRR4S9SA6zkhaflsGD HgsVQbXEria6sIGi2BEpuiIj4sdIWak1b5BCm/fIdPuWUVQelcLq899Xbd3exlm5UqXUwdz9AOqw sEJn/L7pZN2/Voqedf4XuS+1jMulsOYuD722koJv7llNVoloE//6A1KSl1qp06ebp4P4q2PhB48s ABWZurnJwxi/4rOp0d89OwHb/6MGn/37rZbz1PFt69G/74VMNez3c4NTBBOCug8osCKZy0PX0Rhp X2hGTFyNAQqXJEscOB6XVyAHynezzIhMnvtMS2M41+OZdraiy5dE8CVEUJlCk4pkuxtGP9PkyKY+ CggcRK46qeRfJe1BkatG3TeB98x7Xn3z5bxqOoyZrNC7fc2Olq+Ms+LWXEHqGJ8KCxRGImgXyqkp b0EMN2xXSLAKr+Y3ODp6Jy7zeCumQSDIjyZE+fKl4tn1LIS9HPq/84siAmWo5yxjNhTKT1805E9T 1FnxFriAGv4sumSQlgS9FsV4lp8I0ZbbjD63/z118nhKKyNb/45NwKeZAYWwZsvud4MqO75OtCG7 mVKh79FUrIE5AJE5dOYQGxrK4PQM/dpqxG8EXdhYI2k4Xk6V0oEFYYyBJWRF2C501wG8OcO/s2SU OclL20Tz0hN9DcWlzOQPbPvH7lkhg3zJgiXs+6atkPg1/J/yJ8H/B8L+dVABfssfw4uarnCHG5xB dKmrJwtUHSMjd7HH8hnHrgXZbi9SjB0KoOuIHwOPgIq2pFcnhTmgUapsk4wWivVxvetdoCIvg28A y3afrNSAd4ogrevTh+6dNslvLSknazlomXOINq0qExdEC13Pkv6vctbH3voyHGdyX2YJ96Rn6yCk aDbuFTuEXDbbd3QiE7kfV09dVnQm5yGmEkaprF+iiPSqFWQfmpp1kkzhEo4jot+WmpsBGfCyRDFU VYJPnLdouX9n67+zqlFROJRqNlmOAt235t5o3jhcTlnuMGAkZoPkNre2nWgnRHgV38CHM0KOsKSX TyCh7Yyi9b+JeHiSWo9lLQdN5GHikglzNuNcLd1AytpvSok7+z+3ovfooKqDr32rZh4sfy8q++Ib 83tgIT5dVcDri7iBUZHfjg1Uuj1SyTKOTcKfYm5gYXIx4NolBUDGaRMRyLOxThdjV5omPbWWcoao aMiSBmSeuSzgGyouyogc1CIoks61VZHe8XCo/Wy1W6pcgFP3HxVIVraUtYuRiLS+thiT/WndzQiq +DreyLtJFf8RxgMGwkvyhPMDEjr/9B8PC8ot5hVu+L9QQswtRxNRp+k/tF0Y5QNEhLKN7h3oPFvM D7BKZE2u6tCAlOSVcKThOiUd/eRkHjXWitZwjNDFTv6BtuGgZNHSQVNbZT3qFrXhxZdekIKyv1OA mnaee5V15AQVJkxIGczg/yTeaUWgfV6jgFNbGDEfN/wjuPND7n41T7CO0VOBNbVTKL8LglBOwdfS cLzAu/HmWPfGAv5wqNpYBqyCd5Z0NQlZbuyrxbag9CNHQnBHV/IjwVIBck2OaXTqVXntXq1PrlvA 45S3dj8AbWG1JeqN4DMK1WTTHliabq0tkmsRqM6/qa+BbKCcqzLLgiyIbmggJentulWrwIq7g81f GY1SPlUDmCsf1TroxR9n1KZPvscKz9AwVaZa6Tz3M17KlfnY0XOhiHVF1AmmnzHOUexXtSBc1wrE J6Sbj6rC0rZiMDu01X0ybt+pQLivJN5iU59KIpUj6A3/0yGLwP2uW742/tulmaqJkYdWpyQEGMO0 QhiqC8qEFR73qrPb2El/rPY1CHHj7/Y3aX/JgNcraDd5AKGWz+TkLkI1ceaOK1uLzs6MLJzxMw12 hsBtlLKcUjuDOcNl+u0oekwOCcneXM3nU9i1IFBEJk0gBP3aMDzDY7fAYunzOQKCrGRC/GRTbL55 bDKHvpwC8um8aoS5AFNDR5xwQIG0C42/F+fSDNITOmOYTPdXYRh9/JOj2dvBKaMaZ7PN934QYS7/ IFM4QtNN75UGDg5FFckAQG4AfudAcQ+OoF2oLqMUFyquUk7DU42CqVUIhxAP3FgKxrPeyPsCKQQg fw6d5jHJiHf8jNbGcg3N/3asdJLE/18bd5wFwnDrKqXdqHcL1/FDD5AYaPxJx1ECZlNc91ON4Jvb A9xljQ0/kGVFiNyNhDUgVPX4KwzvFGyWKQiPn+pjQASCwea/9qUdjoufaOyfK+O4maDem9bqc6TM 5czPglhbFCsl0EIHUGqrfws4k1YNFdysDi6Q2/t7gllXjlDMi51nMLpJzph7harTaTYV/4qO5W4Q p+Ujm5Y08cAzhEWU4fmAZ3bMLQpOv6hdnP73+5Glq+6f3VTL1XH9hwq/en7RtkHAl4v4MbDRm+DS /rL2w7jPgs3lCrAuMOvREUu80E2jzjnB+udlI0T7ygyIGqnrA8vGLcQ+SRXBmP8URV07bCKtzNCp cb/cepk53PNkIa9dva6HPqENYXyxJIHbQEAWBOKdJXGnqRT1YSGt4jDhyq7QFkX0fjT6HOKEGsi5 300vXK1FftCx6rlJR1V4Zx28gkmDPXswRytSxLojSFgdb44bgqsG+dn2NOfbGm23ItnBDX28tIie n8Syq9KVKODCV8vh5LXpWezvVsCsdMQ1xEZCmCF3rS0BOtzN6CiTUaFA2clOdlmw9YbMAIZZpL2T vsVLA5n/3a09har85ySY5+w3oxUXn033JK3/m2wXszY3p7zos6toRVk3s45XFEPqdr3gFlxG+sep Dulz+24syTOlcW6rWIjOpjaTra04tgqi3uXSDK4Fl5JMs7+TBG5qTN39ZsGeGb3MCrn6qYznBoYC ayNfoX5HlYHGXtg79CatpLgX53CsRWdwG6LNiz8ee/rIamLauhfeRtTkiNpJNX021FHQwEHa4F9d hveKCwXcLqNbdyTSrXmH1bgq71uYdAUUjd4NG/YdWGlmfhM6bxK9icodVZfGtC6AeYYnVdRVzJ1i KIx6mCc+qy84mE8liw9xRGpIf31IK1w8jEoiNwpDmiPWZlYJXjlYhVU6/9muzCZsgR0Ep5X41Vn5 Kbw29+lWV5NRzK++ClxRqODkv7ejrhwwl8bfzBjab+nuoGO7rQN2UEfZcgVY4UZTT/4bJiian8Gw I+eMhZFAYix/PDIpf8mMoaUeh0a1B2gqtADo9MrDdbeLJ7bPU+QRZ7MzBFRtXYg/gU1ZY669VKMF SlOIQTYDCA/E22MLFDLNEoIY1KUy7tfYdenMKQDmbeu6yjeKATOlodcd7+FrF9t17L2nUxpmn5GS 6L2KwBnRvoKftYjcPBAxd/wgp81Q4i7fd+YSkyIoDQRjhWhL6MM86Z4Nmqrugp9ItQ1AY2RBDUS4 ZZJaFy8MsaxN30GoPI8IVqqVW1emqsOgbggfKhfJMZS8LdNFOMIYmJ2cXHLrrf4f2WcqTueML6Sd PC/AwE/81d8BQ1H6yQ61ONv6Y0T9rHEdlXvWB7SGfKYxdX0dhuTZw320z8HjswJJtgA7VAA+a8Pa KXLN2WIkNrXkgGe+qgNRDWivb/5t0QY8tjCg70vRvcqr55CZwOsGEmb0lT0NGZbdAFMpfVbv0D5r zbHLQxQRKVLqD3hqGYarY8q2/sw+LuxNc/kHTp9A1rU2xgOVtP5kgNVKznaHetZ7CrwxSPcMpeKk NkbInqjyFqnIKDsbiT0Siiomd38eD3fo6TP+NCV3rsNoN5IwmATgxwFbmmWiuwsIdjxsxz2Yrt6x MAaVg8WOml/EEUNynJnA4vWm4hIvq+TcrHqur/D5VKbGjYSMQO8wb9yIXPrvkLHs1xKssgKfp+cR da/4ahr1r44a2X9+SSH0UZ+w1y44/bHrCK1IF4l0uxDXgJvbm4BwNBjjHirY1fs4gyXYyB+iY0zZ 62bIEbX7JetmnsMtfXc+gEsauAyHlrsank4Rn9xWBMUHdbd0GrnhJYN0WEiOGNES3mi+tOv9k3Sz kc/9r3+HEg8w+Ypq5ac3n3uKWuVTAm9idhTixVjVRDTFsN6KWAlFovvDcDvfSOtgStcMfLtX9RP2 KEJ1botgQGNiSZHUAy6Nz6nr9VNT/GNQ53HUZiTaEdtKypwZa5SueGPlrSoQhpmGfiky07hGp58r Auv9dCmFlhNMHngZ17JdVaUvGFPnNDPWfb5s5JxobQdhBEc3uKi2v6vqO58+oLMIiSv9JIsas8Ts /Di6bLZ5jWGgxlSgkM+Sp9k7wWrGdr4h+zrbweH5TjhuATdV4PCQ3C7V+hA0DGd0Q82sEj9Ul2GT 2EtjswbBANYvYQiczjNYVWyyA07X74wUOh+2MwmFqcDASZfBO3np45kAVL2xv5o6w9dAOpb/6p9Q 5RdmzvlyowJUtlBLHeLTcQSlRrZ+zBe4PCg5Js9KfjaPwHyx9PMKM1FpWlKRXqmvkih3cJ8YlEFG k3x+9DIuu6yWtk39qVCI4xGdDm7DePliY+WBmoJMq9PAJ84ltvm/tjVpAcl+S6yzl0E13Bb4l/W0 ah9Pm3vkKd+Ya/OFcfImSRV7wLSlSCvMW24lPmXKAH0h6jGldzfxeYaqexFdZX9SCJgr48zT3ULV 2/Uxgh+QrzBILZw9SmFOHaby+DYKlPcA4Rjxj5e8CRU5N/IBTT+gvx1nmEJbPxJJ+RX5UtmpWCm5 rrtcQXzXjy1WGL0r6K+Tdwi0bso77AuxVDdFtwLoC9HEOdzL4cdZDiel9S6EvRAzcJYvuWWCXx25 0mzS8Rmjwf/NS3VcWBvqO/U7JP4VDRgFnRyKpNxNieLBKe08b1SKCnsXh5RLf5USb0Ss9LxTxOSd huqU1p/4dENut7cfIDSXH3AlsgwBcO+9M9p9dLM6n8P6gcQ8sSMn8YxYsxXzQSM04PDmtlfY5T84 3dDSewoMxFRO7Af2M2zzAMTjBij/7VklTrxnhpPeol6sMdMoeagFRqzlGPucnIGCvj+e/PPdDE83 LcB9FY3plhXal8/iJURMCxnZII+VAwiBRDcTajzGKJ0vq3LdCq/KVS3gZl/rfwvEml8TGhy2Tp0o 8ncqpwiFqU7FsjknzPzabxKY9L95e096yJnPPfig7a7OO8lkyE1mxHcmV4rpKNQCFfHIYMqHioZ1 vX9uPSm38VbiCtmZhOgkpwz/DkORdhHWlHbasjJBuHwN11KeepdCS0RN6R6IYc/0EWE1ksHJg02R Ae29YYFtwob+eziNy0bl0rOlVrqFA4p7g7Q2vsibA8Ck1sqaVPeQ3XZnxn5FCgeo7j350hlNCFuh RBDIfdI2OgDqSwL0EhDBXg4Ru9hkiI6MOTP4SY+xaJSsMgcPKop8Ue/tlpOMnpWohI3OI0sLEiGx /eBYpJXb7BYMYlyjpGKJaQVZ4hgvw/LODFWcK6zDsNmnorRzDkZaixs9xtgZgil4+eM/Roy1b5Y2 q7sKNpy4lkxOamnjF2oQF5mDQw5muXRW0SRl/EE88ygyCOnN4gXcwtKbnDejfpKKBcLsu8rshw30 +E0IX9khMik/Jc58wgtMbsGG+uFxDAaz2i71buvMk05IJk7jaB5xUqX61JXFdOM/LMX+Q6DH6isu qvZAXC9Looln6GR+RXql8skfpVPADkZMpIusaJQKqxXmVlPU+kT1jdCGyvXJGMHaqP3b9r7AO23w xTRLxhsnVBe5XzLTT+Aql4CWieCnKS09rVosIEHiSsksNWb6U2ZnC9FbN2+KwzM72amJW94B95L3 s833VA42I/RcrJPlBIdK3v0LjC7PNqWL7RcEeBOQ7FTg0n5rVePgfNdO5qyEo2ujloJaOiljdLl6 IblWKQcno2sQmrdPVDRqcXBkfAS+VSTTlJcwtVZFdvQcUjeMEfv5DDceIhvJcuV33QUUKC0PCu+1 O152KjWvFLbGwjgYS54Gd5Z0oUDvV+CvBuoPVrm6MoViL66DdGG2nXGq5f9Xv0664P58oDfSDEou Q/uQFOjlqkP+4MAAn9Jvl8NAQVqY7a4iTOl+dDKa9Jy8bLBtjwSNlUtfKw/0iEX1tcsLapOCAwCS OBjnJXqj6DlIkRPQLt+SPTstN8QJYdtNypWpyFmzTOkf3srE7598Blqwpdv9TktI59y13CuXr129 fTamBguSKFJvQNV2vc+LVG6ST+k1NZvmwQWQRauxIzYyQoFQS4+HkXeH6XwAoZuCOOQ0TuBtTfNl B/TXHpjcXQ+mfjVbxqnV+ORaud03kPdLosixBIKPQ7ALOZNldn/gh+B99xO4oFLIJW4LGBrRZq9H P4FlQU8P5ocvdXYPEeg9OmR0hpNpRqCWnEpeVQiVktIT0exaOFJ5yuEcI3DFUE24aFUa32rgv46J 3st7TI9zd9+Da6MyYVSBs6SR1SEe9RS44sbO8K3UUiyIF+3UbcFRTNFniaP5JwMV/ihh8h7094md GZAmfTOFY68c/egsMjaacsRpHiMb3DtAHBx4PAhqdOV9lntZ9sXosdCNCTWdkFJRMapHUhBgUKzH bRlRm472CpQ3eJWOBlNE3vZM/RPA+f6G2qZ1Q9nKEP/hb/IM7X2JdPCVM0x/vJZHOPpauZddltOC CQrtOyKu88InRST/rz9pUdr+woWIIH7kk5Cf12lY3ks9uU381iRIv51JNWlL37AV8allzREQyq3z v3s05ebrlFBqym5Qr9DgeMawhmSB/UCFeoK4np75yafP32+weodLBSXR9jpRvTWbLo9ri7Dcmmgb BY47RZE4OwjsBV8g/6g+VvYYEfjrUUM4jngERDwdSAd59cj+72ZfqmlMyQabpKlShJa8dXjKVryU ymZkA+HSZ3YxdXN/3dMYc24WstaY27HuWrPGij7zLlWiw4SqoV53KhjJXHXt93T0Q7hbdkYamGQP BPkhCwb/vs+WEALZGn4faa8ipEDDcrwJLTbFVSP0ZI8rbh7T9mjaurd3sczoS3bdit4Vs8TyZ4Re ydKeztiXpRp/3CXJbi0B26B5CHR/pTgGGZTK6l1xwB8QTdzrI1fOzXg2V5aPapfQjXtaiIElm09A zwmSRSWZTPcn/oOQqwbmEqYxlyiP9jIwQNANhGSnPGJUb9qMF7thfKatmrXVzdD5e5/47WNjOPNF HDTYBNP77L5Z7IL5sxjZKuiU0nCHZ+Ptv4Ze02hHKCN4hitPcIJWi6iYR1qbJfk4K7gWtEO73VTJ 4RDW9YwQWOPN+IVp2Xoe3+0bdhqs0ok/rxqhFTbS+F7zN5lIrflSqZLTfQj3N5ZwdnDtGGLpa5SN BKMQdxAgjRlJHVup+ikAaAOW5xLKgZURKhSPPn7pSFc8vwyxLnX1t6SVv8AoZPSTcwQpHvtgTT7z A55dxDanNASLYBwIwdC3Dg991gf5S14QeY1Qr2TnuMRVEO1Bb/BBPCd+kMVBaa23taWrj92dXsWJ NwdHDD9i69Nsve6S2bI5HFhZtoxQgNuLLIf+udjKaAvSH7MS2XcvshkkkdsbqyU9oKKUIv4J5mq0 s/WGloNdqpQ7LaJjFRjtFG2pG5AHw0J0cSwXmwq+Fq6xQZBTGSnPEJAOCaPxS7c5jYEUTb8TeHaK bbDYywI95FrPcdaLcKUpllrT5vK++SS4U75GSUaikcfrC7ObDx0bMzIQ0adLsG0QHJjGnYh3Ti7F WdpM7zPvipTNYAPA0+IJJm4juwubkwZOVpVALSRUYH2VOV/MT/tkD4XQyzEatSC+IOE1/q2RrxNC aDIvIgsRKM4XtAsVwnRo4v6JcxjmwkiJYX6efNsdxv532n8pG5KmbbOskkXOMO+VKOikc9DcBKhP eiT5QGHESr+wn53Bh1wy8yCccR9lHAQN8VlVhPD1OInxmOOGuGEqv4gvyYTETs8s0hHrnISlLcZu X0mlR8bnwYZCINIWMdqnjNB/VvHPKPirzS7Zl5wr6tWjHseGfMzT0o0XXSgA77va32YIcFPacTQV tfYDLJG3IvGx5UqduZtJhaj3mXJAxIsz1/J+tfP2zEVPFZ91O225hyzChiODlgRTOBm9xm6T2qzX aWbNY+HtRrlXM7BvmpOPywJUv116uf0ucjRTzLpZbbewdmNdmh2QXQEDq6ETHFK1tIAq8d7rQ2yw pZn7c6uVTeFQueNlPcILj9K8KsTxYES9udpQfCU4SX4q4X0IofHgq/YgP68HpabXWBXNVN+Hms7+ jfFbcGY3oHYu/rAJBkxUvO2MW7Z2DXnjx69J3b1sMIXjV4RNSwc4aweDQvwhs79mH6z/OC1b1YBm oRD6NDWzHsptw5OLHZiaPLlR1Gf5teJgHBESElCu27jGLKifHp8NP6pZ2VtYwRc11X0ji8Ex18xZ EoC+Jf+93tizmJPhzLy0NssubArr8gL6vElKlFkJRw/bIWOnPx8wif8cHGDt+gKg2CKhhdl8+hZ/ u6ZS/S6KvDrYXs+boYie9sMTj+rM2qqaVAN3MSS9gdTo1pweOOSZo6BPJh2ydpOXwtYBG8Kgiy5a 4POQ4mGCBlgyN6iYdQ+zhdol+U5BcpOISeU46oRh+CASiXbxDxtOCx4aHO5ERYucF5CxhvmYYm9R scxDzDubYQ+gw1v5/gCYY69Zq+hv/NggbeBlXblJiJot57kDYfBO6YRIkVBAIWGpM7CZzNJrl/ny x4cQVH49Jl2Usf62Z6BHlY5TZAnFV3or8Iub593Q2qkWr0V/2ptWuQqFMAk7Bz4OGBpenIJp8xC9 sOZI0pSptX9lX6yzajcWjwwLV46uQLVYvjk0zdbO/J+L7NSO5m7yq6OEXk8gHDxeD6rBATboBFPz RBZK0CxB6mmsvCjiB7t43KRAb03JEAQjiRYnyv7aqedfgrTQ5MJU3S/rVPHK95oJTErrMUtlzPDx YhC6jUAs8hja+F4pBjxqJSC3Txk6VckTlimjHdDlpfxHJ7yiXtaETzfzorsKvK6ik/hzjd2W33oa cUp1Cu7yFgU0VqUwMtqDiN0PLCJTszxf7DJJ5qhAv0TMe11P7JyrDofa5rHVChGh0JimnRtGbYzN oeKwNOvfGnfm6VE7ueM1Q2JU8vwXPC8mFRJa8TG+qmvZCvosAShslHb0nJO4pyG8VntjAlJ7G/sK 78L1nKkkBnEXuqkkOcCZMgPyULVEQ0ohNN6mM53sizZevKCWcy841GT60rwQEY/FKtG1frAtqbJl pm82X5JSqgw/EpW3qqmJ+sYCY/tPyl1ZxXj3nlI4zURmHf6duxyyHWf2EUdAK6tnRIvf1/cjpvmc OYjx/Vz7vgvzRCqug2NZz/uCIeacbVkJ6q1BSxQIu5SnASVHRKviZPMqZnMQsx/yM405NGcXpLyg hFDrCQEAGzfXkvyC9TD+3a1DTue5yX58xeQ7IVTcg9noWuyA87knkviBE3z1AM8v/kIsrFmt4+Mv oM85tuXpJTLK/gKewWYxpnPTGZ+kZ+OfPm4o1Yq06DADRb8SN82eUGZAGcyWVjI80CgwkrAsWT1d 5fZd83IRQIIWBPB2Ij/9AO8YEfYNwIwezHSZISZsi4NHdAbpXABlGNeV067RJsgxiY8YyT2KzZIg UOFKMXdSSDpZDNIZ9T6OL1bXIU3HzaWUo7hKAwMSMuKDdgvYydHHFDzkdQYZzdKhO8tGmwoTEftT OqPg0473ezNYE/xxXfJHDMNvNqGf18NsWkWIg3OFxAw84i2wfc8p/CFzCRARDregYzlgWLyBisDN UNI9ODl4+HkWuEuWWUPze9+p82XhSE7eoogNXRTaJAdF4beWSkllDuCiy37NvkIC+D7DqEFk4uWm oEWXqoNE1DJT0iIMPMujHTyeDc+9vblTOH9OavVgbdFI8JSEoXvb/nfBFHs2RNqHuMUK9fCIwRs4 7tKg/+d20x9t8jihF3ue+GEOSh7M18X1OnrZHC/Sa1oFS0HsXuI8vjal5BKX1eOZPLkSLj/hh1UO TEHfuto7LH3HX2bih5iC5dlK9aZORPQeI08x3U6LzqDjHZW98L4SnfpAQACsYT05Af+hHpJLj0R2 0Wetw4TYTM55migk9qw4u7D3Cn4HjBa/q2F7gnzOLfOt/rrKf4U1FdTpng+0Eba1l6apA3ZRg2S8 9JONMRozfUSXmHW+cZUMZIRASoMTgJ3Mi+F58EM5BC5gcTsiA7xyTnKYE31aPUrM5fXLCRgoOpIn 1GCewZRrvuVzQbk1H50VxUW4gRHhcbVPQIr9L4kctKmPVCTA/WIHOFt2bfY/o8FNf8hLRjR3JkWU /cQtabuYLOSWVVBqKHcup7/87wrlQG5JorGBvCpoqtLKeFTh0i2T9m8EjxcZVdBd34H4rlogIw/O KdmNrcAYHJ6U5ROVNawn6NyzhymKSJZ8i/JCr9KWSvKpE7cxkRfwiLurkY1xUxSvcS+tXycHhDEV Ts/TNIe4Fw6x/rkXOJZvWIIDZgq1TKICYLfbzpf9zlAJzFob1Xosp0aPcF2CmLcBfolRWtrcOk7Y AUn53yS++oDixhOO1fP6EuWx/YknO5tcwe3Z8QGfxnzdfutkdLHUOq3IMZNh+80FChzLJAU4G6VI z9y9owHM2vAiuMnLpu0yeZ5Sy48n2ynWoWBOrNMissDZiFZoUfyDKixsKnYi5WsPHSR4SL/RQPyJ /eNLTYJY7a1wqw4Y5X1pZmz/+eDOpTV8yTJZl3cuEI8aUhI6GYXjuszX9XjGQJ0BXtL4HDedyvY3 1CClUqwi8eBZMN+4kJ3LSyStDFGyQA3fLm/k9j3c0QxeEFZ4LODg7eUJSG/g4kEZpuiOJ106KTWB N8GDTOIchaMffOm/c2IrCb3IZ3OPNGj9P83S1ds04GNEbEN3LKyWe0TuRuTrPhl9oG8mcy4DrgAE e37y3XfyKJKWHBQQcHz+JRBDRW0k6RxfFdEzXgHO/f64H6GY5dqKxEQpIO27d83VmXRSA3UWlS55 J+tiyP0lfu4d4OT083S1DpWQr1npRooq+NyF7coTkPJRatztqTDfpx0luA9+ObZt73z9/9Q6Re2N gVsP1SZV264IP4qpkBQVHI2yRtzpJDwdk5RpPfmp3gZNUAcuD5qNy63Jl1lussR6yngaXTbRCnPi Fj8K9PRnSE1V8RulGaFLkXMFXdeYoWp0t0kyInveGVS58rNzqeZ+96tVFIX17o2FkKRy9t6Huf3n 3MLs8KRSwvhnOMMeq78+Mnq6QxNF2NO4Fh8R0Rg9tkQGt96bcS2o77iIHRDBlCmnAjBKqPmr0tlP SeVSLx7nCw9ZDKnjGgWtVEoaMXjAG/CAimaVtIXzIfGVpjlcz8sqt8IRkafiRqsbBs0eSP2ogn0J 02EWDyeEhhcPA9RsdKsvdj19e0N8scKFRzEvQM1AvyTbh7HmN992VM59k0FM9y/g9QhFejsjxNC2 loxKSC7uVrIoWsJAo8FPZuuOmFUT96RauhMc0n06yg6BnrtBB0PHZahSfgXk143mcLpe4jeOK4s1 6NF4P938PbGtRxvE46+UJ5YAo7ko+y59BSDk2MBrRz8pm3AT+RQhH6i4HfjTjP4dw+BAF2JmnHAN LrTHfuccnT/nS+PQaiYUBDQv1Nr+uvwojqG0J89q/uNkEKZkzH37dQvUmG0d1cQ4ou2r7Ion6Skk VP0nrFV4iKuRkAhVZMJnJc1Oq+Sr8/qX6DsOfMYK8PLyuQWDSQZNHqY7dT38F8j6HVbKd/voyRbU T3efgndirMdvHHT7sw5atsClq96/jk/leAoDVveKij0VinLwRKy+wqjVE70MaXhpnQO+UPndu928 4ZNg5zRnmeWCDXRa4Kh3iAgAtaFdQ6J/0uZiK0n2epJxIWYLZdO0BZBYx7NsLS++8vD+G2dnKMCK WgPn2+96OhUqhWqxoWTNTE5ws/br93N1Rq8//sLotdWX/ssY/hzzICOueCv0/TAuCMXPrBTM3wtB 020UgupIKB8EhGl5zX7v6y/Te8RKRvowUwmZ+7AflSFCeb+opbjq4fYydElGvdaNQWV5TOrpa+hM 0+gx8U9GHjdoBP2FiGarzZNxh0Pb5AppMDUDkRVH473LYPZuiDsznjRJ5wSMK8mukF1g7TXmsouh nLy0qo+6BKqqpyHfvIGm5xeKZ1ZNE9jcVrPZyeaUAnlYzWBx+R1JdGbpwYJhQelMYYtdpeKkOv0X BFtL9LJFIvMUDooD7Ueipjl0U8VLPVFS2Vki3mvAzqDCB0sWEs0BDIybZgUg4pr2v2OKj25kOlMH 3EmZAcB0Lne0aY4FuVzZJkWNktlaMePxL+0rXmDpYt3MKYlZ9Dgq1X2D8ughUF6jm6OpFEL83yMg nKYxxIUgo7qpGBxVi/dwPnwHRO29VYnKKoMRgEZ/tbDy2Vt0rQvkY+zy9ExxtQBWz0FjwUgPPgl0 ANjdbqx/C6fj37EHGKF18IKaU81pkg5WXG2ZjkoTr36Jw1sOGE1sEmBJY2X70B0eL7WmFT/jbKvC oI6tc3t91MhJR9cqE3BQODz1vUPe7KJa5453Cog2yXhg7bPXQzU7KHnAP9eG7JHffLWHmW2zEAhZ QFyXCcoEY2zfegHGXn7ONa0x8+ZYEH5TAMAxHVB8aQTJEngMpTSc0eApp2qF+vC/inilYW6OL7ZR I/owKzgm3EACh/KG9EjhKW5vpElpGw4SAF912AvMw3WaYAxdxkjVOOPJJzf1cQ7Rhqb2yUI5YolB Mm+MdN9Oz5XdudkjzNEYGB5mF41XMdhkxqRxWJJLLamOjAWoShFP0a1ctDJM6kLyhNv0I+vnu/ky 5nk0w3d7BvdIlgYoS2wpebqJDiCfB33NPMF61Z4SJ/6hZcs7dUN7QygUb1wSf5hOcmNzvDcaBGnU N1Pyxu0UL+llwijtQuTDm9d2jDGosvibanvqNQg1J8Ygo+d8VRO+uHyj8lndDvYnlSTMpwxQek5l VN2uUTKHFhctVcfB/yTqtM0W2T/yNee9EtxUc3LllqxutARGgdQtyiT2wrMsmQcArd8y0YV4zoKj uXfp1x8lycm1xceuO9ZM4yyIzy3pdHI/273LoRp1drK2j/sPsYHDbZj3raNK8clfb+kFI12ZRYH6 4qSWPtP5WZ7C5TI9ewEgZf36BruJVeIF94Ar1F/rfIc3400AQwywrET7Cu2/+EbOMOdSI53jNm80 kCmGSdfc+0b5uzdz4Y53Sso6jQFWJcoDfGI2ijRJ5PBC+Q84cNHj3BAE0uq1XHFpOONO1Ar7VrW6 CsjxbRfVlTu4rijydRGL1IeO5hWGLl1/Z2TLwPpLkMLSVTne0Wi8HmmbHS4L/7uH+23VBMqzy4BR OF/ZZLEC4vXKnTmv7ZX1B/8OaZLE2WgjbDzHWh1peFpuw6sU7ynlq8ORACo3KPA0kdiQw/ctLaqG VpGvH4YabB++mstKb4/3ci8nC3/lI9ZwNPGUNZqzrNBgBKF75N1Ad1jhYtV3UyfVVRQgkxo0Cw0j /lUWrIXkYtc1U4zVzdd/T3JA5eIiKcGrUuOJNdgPH0wW4XBMvG2GZidQCdndJm1VQUnkrnlNfGmd +hjm2aSisK9nO6Nh3c1ZujaDJp9iFjzT5VEC903HhsRb7tUiq/MrG03Evju+Kum8MwV4txOJh1Eu wihwltugmeHKfD/ZCX9I4ck5CWUF75CG1jvRNXdK+hQHQvcoFI3my0gYpp51AD4n4V1gi/J1wAJZ NhH/2PFmpnNkIFvr0i9BJaiwV5uTpIvd7Ec9xJa8R25FUfZyzy1U3RQB+PoA++R6upEa0tyRGslU uSxTPy8CN+Z9kecs3YIR7WtsIVCyrIYVUhapYjpUBhq+tRWW6lqPjdntTqjPgrRXM2mqQ6QQiKfI 35PZhi0mub5W/UNKKC8Z5ydYC4XM+KV45QPLF+80I4+3LSUQW0RSU0689anWKnHCThOVAA5Y4LHT kaCj2vAEJhpPrGH53NYGaX0O0kT4Y7TgtCpPwZnl0G9s+L/TUTHS/UF38GSt71V/3MlKrV1nF8UN ZWGban1xtPmvwzi0FwCM0o1AGxlMpfNTIjxpXyq4i0hLT6BB2GuerY1ntmXMruYsjZgQX/MZ3C0X d88ZQ5IY30xsuILkIH1P7mq1YazYmc8C3eUvNlgEl3dBPw47CJt42YxVHXafaf/Au+9jWywgXzIk shgsp9+PLSN8XZWpQ2bzif5vEeYPisn7YOBL4xxPvtKZxHuDd4ZHK5ZVKsd2mzJ7naZvT6kXuU5c I35h2oDZSGVF9cnPLydNwM3QGhr/ZvleTy0B5jn36cQGrlM30TT/unBFVyUrnHuOewuHlybcjy1Z RVzebby0VcE7e0IHETGZytVIntvwwHDp/XEApCjeG3TYoAPrFWBuyLYOUEjSJhjhBn33cS5SM9y2 /6lgC9LnlGZlAqO+WBaXHJA9iQ0aKi/IgSCiUcCH2o8xHO0M53flY+v2RH/n2CUOR3iieBsFBB3t wfBUYqYYUmph6DadYEpD2YjQCr/ZhVMIJ5KJToBuy6yJ4NoZQ7Crb647wkBncNTRlDUvyHrnjhHV 5u/kjrBRc8s28yp7eCy50zv4V4Ezb3G0cyEoFSgahsxI7QZCEtLsco9Kq19vi9tQGb71Gwz0XBA8 4A/N1aqHRqlrUFEPO/YnOIKYA2SqYv6Wyyg97fTXq04j5t9LDZk8TqnyBLpwsAklMj9LeiomsAfF miMW49lHzGT2Faayh+1l34hqSHTVeehcWrpLRpvBaUc0n5cCt0t3BoD1Wi/nyDMMDS3unt5DGk1c +l45Q2dF1WamjVCbMHO15Iyp52YFsrARxJwBDcZwBZOz5bg7o2IiCiD726vXpjvD89anfadJH+CI 7LsyMsRQWBTdK7gI7OKpOwwkiL/V9C0YIp4PmABYYOj6C8Ubkv2aj8jgwB2tAUKWQsDRGe2QNdi6 lpRqdOklXg2fFowSqFlwkRnZ0as4b9iUbtKXsCOlK7lCvNqy8W32z/e1dgEbgrvaoAZCPOp1/95U nmXvGS1WIfGG4oodTb352jJFOIHBMDVX+uRdPLt1IYt3BEDihQoQkOHvrmZ97xWJU6UDeYwzdC96 BqqgUVKmsq3uJGYpsZzYktfr2oceaR9uetilPyKYeUMusnwR/hE5XjTATsYruIaA3pVVzQK9wl2o +5JXE38cgwehXneaDiNNZBuZiYHfZFdb/Pp5mzB4TnN98H9iVV2ludhfkeAR3NqtHl3qCkq7ZEG7 29n8mCwIN37qzfBY58Byf9Tq8Ae5vKqqDy8Rdm5oqYNqga7XAQPFmpJQIVkxWXt2Wdg4dZdDtpOY 4nn7mmQs19wcLYj6VIk6bTj/qr2dZ+v8RuEaRWYv4ozZht5Y5ewjVD1dPs3vbXoatGkJpGU0zqUc Uk5k6POP9lnyO8+3DeCoG5/gZsQT5eEGxW4pq79QzLsFwLnWeC92mwkx6u+tOdfkcSiuDvDtnxh2 jnSbYXxG5VIq8Lh7Zb2qQcbgyYv7E6vdtTWm7uBwd00wU/j9uM6pAX6k4va/S7awXIuBRqAtBKlt jg73/ISggXsJ0q31/W+uC2J6XfCag2QlxDWU8naGMVjBsQLicW98jBJmtmui7seZsefgSlgZTzSz FuxZWtFaqG60RHNHHr6gI2Z26jg9SefX2yUTg3HyfYPHhXeCcxCm34/ttRhL2ZwnxwPN+Ua5x6zf +maqLoCB6NEOf79Ucp2KppJUZGHZ6e7KV4k/XuPow+Stxx+M2eGHBdolnuclY3w8cGzzxifk3hpN JHk0Hnne98vHGEPNjSoR4qXPXKyKw13JwQ0v+W5gmcKzK2pkgSIYMoGXKGtiZLGvjb76k7nwkaJa WzpF7aetDABb07hH31RGGjMorGKwoi6y1l6BDCkNX7WNN4+yOxi28yWO9Jr/W753xvCR/lnkHgtM lX36IUFejUHd5DfctCnU+8kcxtWv05nkn+zJAJYngX6JemlSY+TK4KEN7WFwgoVsvTvIInzbFo39 UbkM69IRLcrfzrmqjtcX7F7KasaerLaZRtGKOV0/3n1TsbFcfMV4JAwH9BBRdldh3xE5nKaNLT6n uKHpQUwyHg1vjAHyhgJjVcsDRrWMs0hQyQ7R/L2R1KK45gU4cGmeCnSyXOSjPxyUUzGY58CRht5B OBlbotYqRwQDCHQMzKBvf5wjgKo8Z/buQ2eDfoN2LB1cPRKphRTYgK7Nqj2Xnnu1kycbZ8CW0HsH bpzuXPim/RZTvZV8T43NHKCTwnWz5mQFcvh/i2FNBvs1/qrchMkqghmr5rERX4k4iz9Tg+6CjH9r 04dVtFCEWv7Qj4BFvRijfxp5iaMEUX1aKeFOEEXABjpLsUFNsi4pmLGMIzW6Y1JIjn3VRiIHHLpE TgSh1Rc6KF33e+ccrWIm7O/Ypvdto35UbV6srM2PdueHDwNtW5yqmhxpiyrvrJjEggguH4nNX4ch YRven/8iLo+IANxwPYYPThd133RZVDTMsefHyMQ55x7BMrYrfIl0XefymXYhYiXUJUvPYdIJgCbj 3ESN60oF0h4+PStlXo4NzQ4/3AtX2ndzJo708hmwETaNNqhKJVQZcxp84S9pKdlnnm088qZ/25+u DdZSjkQDibHbj4HdHZmVphU5VaZDMcFt5zQcxCzyxd9VFhVB/ymT8PatUQqUyT3Y8oZKWQT4dW65 SoDBJrj9ItcOijhfRBWIQFEjYlCPWVWCU7ssspgHUQ98wcmaBFEUaAQ4mrpirKmR396H9xl0XMIL HGF3rrumO3CAMvPnlKW4kLpie+gNRrcYP3DFoWiBQ1F+NkUWQxxfNKnD47L6f/HShgVscNweYkyR MYMT1uJC84qv5XRI9QqKd21rEOy23DcUhccFO6gl3URKVaQYq8TVSCekOsYixG8KlKHUwo6x5sAz i6ypkqnY6z+qiX4BTazJEFgUZ4SJEsQ6qBEC7Ggg/PC+RrFdNDgCPRnKGLO/WadNSjU5yj1tX7c4 ivK+TFbLffip1762mg/9j79mJ+pOVDdNyaIaGA7Cd4GsCSu+FhfBOd5IPcsmDETqFqFpqnQq7nC1 3nE2o7Cyx2ev/fkBwBxuyTgFc81OOzFSmnlry8WFCTJ576JsZiaz0KOqMiKinOSsxuAswJ+vQvpi auiTlQ7d4t7LgBBTXlWKtDMJLpjMsfZ6GV0SjOBuEpWQqtXA4yZGkl46GhG7ISWd/ADYV088UQzE ZmLwseei0VoB4YLjaZMLxQHpTJwWbfN3N2YI4Fi6DvA2vr1XXsrN4jTDoiCGl8/oyqlLEuI7eZ/R /VvA+hXQ7tdVhFRemtkEwq+Dt2VW3nQDa6uTU0gcF1hgGf/yth+aBe0jiPlJXaHd0X7BMaOPtY28 s8LrFX0LRyyasAZqm1gy9I19b2zJQsz7oNAB6kwsSih20gYC3YQu3QUN/TBVt77hSkTYyW0uTaQ9 rs8eaxKXOWKNok0oivXsOm9ietuePo8kK3S4Ok+i2ZwH0Oi9NFxs6/yLHIN06XQVgacfmqw8s5vV widwY0gc56Z8Q4yT4tlZij1MM8JfZxaQ4BliZ3nQCZ/631oiknD+6KHbRCKZSo9cd86cMk6ubTEZ obpgwdpVgfWk5anmU+xvpnIsuMI6wYgWJgvInvxfrIWVv1PhMqYh2zHTP1mBpKROXM2Qv8F5Pmsz vx1l5DlVjGyjX8F1oRaLgALUuLew2rvHm4lviGD8hWqv5ZAG8tHEhnaXIz23moi+ZgccFn135+6O pCAiqaqZNVSTlqyuX3pali7fU27Yt9uyhCJPGiamzV70DfovoAg4GSoMmTxPdIwZJWfpfqsUxlIM uEIFmgdFAaEEWtgrS8WVitdOGyB6cMbl7UqQlwvCKujuZx4RJARZ1OnYvCoIvA27aTgh/lbPiI86 /j+QO3dNvbcON15+9LlVNL3eJmR2jW53LeQa0UmdfzxoX8SUB2KbIC1h+FHBH3LG5QXJHeS6V0Ko U+U4BQ9a8uztJFjbcIerIyeAfn7/hViUogrFdMyySOUtR7HghUyGjnY23yVV2F1OKbFd+V+aZ1b8 AJ4KW4+LPCUXVoEAhBXsvYY5OCCW8afA0/nm92kDKKY+l4EtKn929C4YD4t/hl59a87XmGpmkawp QfD/uxoSN/K3OkX3mHNmW9tlJMvSGbEG6C/7K/n8N4wuGGZwylIauEEHJAsXTdr5jKXvwZeaJh/r y8oLLD5NbKZrPMJ4lxeMXYxhaJMFZhdgCUwCPqHPTJUinIKHljyFPt3dutHXaXqEM9dE6cvF+r8f Kvxk6OiT6R8pJXgA8+jv5zD9gpD6anpCGlat0iIu+TOvXbjLNCvccpD5pWCoWd7ospko8mKKI3zr Sfd072yfSV2BYJI7muLmSkacYLiG/O77es+WzzBpUuI2jueS3LGLzSUnsVd2RUIkHuBlyJWsxQ+m TjmocflUebmbMtxtT94nfQKa3aBGzgJx0Lfp3OTv5GcVg3U1GwxzM4Ng1/359+m1/zAxFeMq2c6z XSI1ixZTzBQ9FWsiNqfFey6VYzscnFnVgzic+O+zXEqEivC3sEhf05wQrILefUvAM+ki2U/s4EKc dpL3WV094I4nRuHSCKvSnXXVypKBZIKgk/mCyfyCg4lnOGoO2o8GAVaXOrvFBirDJVC8kYszIRRS GDhZutS/wl1XBbDofaw52gpb5Y3LaEk+qunS6o2pAXGMti2jFQGt/nusBOXgn1Zx4LDhc9jAOupt P8oXU7uFOyk3TobnMIGHy9096ZSlLFBSwUfkQ7GbdXHgJvGV4TJdplp5bgfJqbXhpEXTQ+D57umT h6/5GagDSLJk8wuoxx8ZIBN/bITnXyGLnZPE557L/yafbltX7q7Q93XNwZcTR+tdBsw3/Ob+MfMT b7aVeUrkiE/voMdRazYf5/6M7PBfUP4pF/vGLvlKUfrn318QXCkgkBgGQo8YPK3bR90TDAdx8KW+ uZq7B0YfgtHULpbv3wb7wGC1SXHWrEUyL1cmxD8bbF67ptgpLZjbyDz87XGhiHnzP9o6GPxswr1u RsVvi+I4Bf6Tl5Iz248S4Yo9UkRb270ZwLfmS2DvoEWuhtjwxYFIcHnMyRLTwIgaVPzG86tuem/0 XMXa+TARmnbdIBE9j952sLIQz1gY02JC+dBLXdqJSQ/x32KfJGj30KeHW0Fa3O1+488nxzWA24VZ 1o6pJbwyngWGS+b2otWNfJM4QAlMCmJVCMAVbAzJKfLLezkUEmyYD9Rd90Rg7j55UL/5RSzYw4DZ kqDCAph9dRfJWQkwNIFGJA3KDEK2rO9PCAER/3WBC35iw/+igmlsxxJcSLL390TLvHam9kRFAgck 21YLJCLcb8Omf+J/6egqbfydfWoESM4XHSnalpV42/o+B35RstfbEX15GpmXCI/Xes8rQIDbNJDw iG2LUHQPOS1Jd0deoGoe/5P/96SF8GtI1fS2Yg1BVm3Yujdwif2LX5hKy0ROZ7kmFDPXYFHDFtXY rxevntW3H7aX650xaIcUEMbZQ4G/XM0Bu67BZmXIF2hbOhPQXuNHw7qWYayvdD0YdhkYtJMBg6xr 8M1nsJ7xGscCud6++2tF3n3q2rnaG5n+7OzY04Q9NMDIV0xxFvvcOxesR4aiWUw4UVEZPR1cjaTs ZBuiWx9vQoOgOR3UKDzbwbmbM8Tu/V9Y0ZjaMfKU7Q4xuY4RDM86rIbpA9JIC4JRJ4iYFHV/F2iF +2lZWOtn96IOTcH5CyohPfxQ59T0+uXn3J3aoIJ6aerl66F6IdpldsT8l2nFuMMEsvk7MfOVYCsv r77LKnWC6zIr1B5P4KuoCh7x9kuxguexAadSspWe4vLXEuKp9gnQaLGNfnpMUMnKFormvJo/ZDpi 7YcDM1oUMIN1B4HYoC3TAXJGtpISagknqpqO5eR+gJdFkPmqFoQX+AmatVpZo3KiMJtAj8nmCakB 2uQT7GxOy4szxgwEjCcak+YcMq6h5xzIFqy6CRywtW3vmarOHOTV4rNecrTyFsP9xw+HwN6q1s58 EawwLcNXgcLS/SdxjvZxwGeP0zbrHoNXVO2bqwuMRdgYyVombid3+3sKvBDaEgS/nvbWEXCEHz+d b+mzpQwS4EgBqKGmkj483Oscj3XA1f3QnoTgYBdJ3y9E9OITF6r06XSvZbrW3PEIvPAvExLJlWyv NE5hTTarmYsVBvXh21W4elbzXfGYXHNO1e6/ccDof4Gtq6KwA9O+swmqSbHYD2HgHWm6AvYjOhjM r5XZ8U1MU2aPrfqdMQ22qw/yHSBuH8qE7WIaMgVctjUeMb0NJC7lV6rtItA4XXUL8guTuUfbPP6t Wdx6CMlRPyo/KvHntLRwRmzDykh+l3uCIsrEJMZGcOlhNxDngs60DneR26Es88C4++Ao8dVopqTy 1kHbQ7+0cQVL8xLisNcHodnCKuhy+lxR1LvJFKNrl7IoM6MilCwhX4mg1s95TQWn8CwJfVhrDSYs Mqqb9w8NEKDihI9XirREws+oLTvStKvvUMQFBwR8pmg4O6t9w0nM+lmfnteeehY4/X6Mz13R/2qR s/PZ4WKRYy0gfe4U8pySF1VULhLF3tNXtOuHIoF+XHdd7rO7XpPlZ9y40NXxxPQ6NtxBH+ppnTl5 Ql7Uq+5QUBmmKvhB6KG6adWOAl+1ePB8OAUr6bJKMWA2Qu0z1a9W4OSnp0ew60uIKBhlQ7NUCjwX CXx2F86HATv7b19KiUhPgqLil00ztEoVyYdSB3QYiwcvBJQbcxnquehetinvByhwYfWn6ndYIM1T 6xaDDdzEGzJptToRP91mTgiHeJbvQS7GU6x7j+RyyQvQX0N+27Vd7IvaRCD5iPBf2w3aPvXd9eSb zFOXmY6bXORZ9rSfpUIwUSNhV31xqVbJOmyj6Kq2/IT4ZaCmhEU6aQu4/apO2P2J2Po0dL9T/pK+ ufTQJ3720kpE7zyUwmUECBBgCWYSed0YCr0MT8c3OYwAOTmUubkiSO0m+H95bD9rNgLUfsRy4YRH wwpird3k82uXlDXxAfEeRgu/UQ15oFoK5FyumZzZxnD7L9W77AiMRvcx/qUSRAGO9ldhCwGyimnJ QxJNL7FxUJBcbf5kt+s8KLirzngVAlKtUaxezWxp3GjBPDpZrbteSnYPUKMa31XLECizW5KPjKho Tvd4Gqg6nsNobzYVa4twcLCf1qVPUqJGazZXiVqoDvVlnKEViOVuYWqyJdO7c7ZtARvk0OMw6QhL zF8PShsSRHSVDxuEvuJQRNfLnQtxMZ4DjMkGh/lXBks4RvqHJFg6wLAL1XTPLw78pbRYEWCNVSDT yYnyjfWgOJ8xxbxJWlf4rq2XBZlPd1k7dx695lkRZkfT5wBgRB0UwpvUw0Fz15dFjKecx9LvIsA7 c2ab/UOpQ2cOVTnWgVocLlP8h5ejq7kF4D7323xQjdugIrLth2mB0u78BgbdlUYltFGkkK13qpMW /REiwc1vlCDwDLhnQMpWpDCHcr040QcEuU0fii6/byBxUxz7QN8Hg7+b1uJEZ3kY2xxistbJz5rn n9S99tjLZt9s6DsMNRkwpzdDWIVMNXsxN9umt2K4vqX3NmX84KEAWDB7/alBLyEf77WTDsQQSJY1 J5VPVQ8ZtvG2bGr40hPeuBHRha4T9036yu+/mFUVvMlJzJ47VKjFysTdDqZ82vDoUm0Vg0woiPZe TObkcXsjF6PDHgmTzp6OvxBdIEGG+GLQbl6jpMcxNYUHYxJhOjWPVkhA0oB2NGk6GSsgTNoPTENW Nd+tfgNZ1KnO5BtQEcTlrr1Gvz9uLeiOxeo2bBD1rUXMYZcw7YnOWrVeZBedQmX9Bioo2zOfhKE6 I42KkLi6afmOr6Shltc9IK6NuDA9NI+3d2l3gV2EoyaeQx/jk0Bv4mG89uyiNEHnQygOlJAJ3A4G KeHOVoiodpfENQlzWk+U1t0gfq5fqGos7RUPKja5XYvCQQ6/xeudChhRJq83a9ni8b7dHRkq8F8v k1GHfzjHS353laEGHOcuxNw9iTzggGrtLKdPGQ9H5lyXKzKhmDbovjd71zAUrfezG87ji48ls52K 63lnjB4NaOuy36dnigi0DfD9FO+vQoMAWW7qVXPbuQmwcH+ooG+iaOTz3+ZF81Uaz/nA0gPCHD/K /YHP1h5Y5mz68+Gi74AZ11oHgyL+LNJwzwCFbY+2Hdi4hiZB22ZXLmVpmIyVDSS5r/YGEi8bfI98 4/9hYDGiAnRJ5NM12YmWuzLr8prGsJyjS4aIOSxnFGZKd7QZfRT5qp6xywm+dckdgBKpQczyon8r XSc8DEB6k/SP3BMP1HtsLoIMMeLzTSt6pRvwcVQwbIq+vzXUyky1mSXSFFo0tkcN9ZpUlLZ7pWBa S1++8F6cPe+AmNOALsihet0GlikuHyT2xMIPVQrOeXXUdPqnrg3FrNyerR3JcqM8Y8EyCS32CyNN NDp5obgNkDzLX7o7S77fzThI6byGHp9DpKzWtDgQKUPtl1QM0SB7LJLQndVKQbc5vxM6Vrdk0xZI jCil4LJ4GCqLbcNL+lmmMYrj2fCPUBQNcMtfmwFnkcG6LKVsfA8/PR0lnqviHwObAcdHZkHO85ZN 3GwxjZJ5N+/zbePr30aLgQ27lTzjJRTZfImwfB5pLfmIhc6tCMuj4oTMyHHca9CxY1nFsa+Y1y0T AmdjREnHlbYjwR9/N19omiYLRkrpnJR5RpccYz9vQ2r9NOMGMrWIHoamc5FyWdGuq8Oa8nz7EZMt I9K24Hb8bdvfjKxPkOVQrp2J3sVGePuJBbncSIPnrIqqyuNoX+z/He1uiLkcQQhrC1E2M+GiaS6m U4YOtxqvkgVkyTHT4QbuJm2GzapnUhSIB4RisDJDyDtfxNfzugc9dStekpWWukMiUvKzB3f/za7K voo9BnvGEsP4XHduCOTBAAqf75zeid2T53pS7WWvyKPd5zY3+hCbMLJH4qYxdYvyyp4gxci3hNs8 2goRShaq1KTJEjco/HYAlQEUGyfwkrYIPJ5kSyDAAqzbuo+1S1n8lpZYIumGwRq8y+7HI3mucysp zHOEVJlOASaACaZsE8+XzHT5frNWrGxVWE5eCnL8u0IjJ/iOVuY15+wzVkly5Wtddk6O7pbnH5Tg YDpB5SMYfQS1e/hpfT/Uv3hhbW3Bse1Wn2pJndxgAq4FdUF+JfbdhCE9vrNcenSr1/yzdiwcll9L y1nNdea+ews/td3dfZeLagnoU7Q08mWYBtNx4w/0hMpaFeL1a67hYor+y1AOs7N9pRCMYRkHpw5w v0TZb8R8LKLnoPsHeB3iWxSGGzoVHRVKrdRMDODu9aP4eJ9L54I+g4gYTOGDqIkH0PamA468Uofa 7p8KXOqz8l/B3Q9mcJFcWBSFRHA54GBStOlPB5mUkKC7XpCXEzRcEEQY3u7V3VLChc8rqnebC86F mkOq5AGOjqigCg8kHM8JL8Da6ii5Mo/T5cH//lYmor+QA4poJvi2WV5oKnw19agQD4cuVhJgFVFd hdBc7MTcN/be2N5S1kKZgC99qEmIB9ZDZLATv3I4fFEFCkVPDwbpdGC1TGOmo914NkKXq34XFmMY PSW6I0CtcNkd8RFGMxJJb7VJqwOZwc4SNuXDM1kORf9nDq/U8b3Ap5qGiJMj9wrFcx9uveEk2ZGF zv57xsDuVqf0sZoKUtrkVqJ7jUAIb/nXtalPB9n94o40GkfZ2U94WbMaYe140IJnc9FsJi68ULqs pnWq2mmWULDMF/D8b7YcHEorzUw5yd1VaKxMzim7ZhiVsFCe2fAdGfkAGDr0yumsTtDJbOF7Br/a GQCjZZvFS8IgR4HRoMWejX6QLbRl9wq8JxsMXcZQQmx7s6R7jV2FGGNKhULf69D3Oj0sm3NxjrL5 tpF9qlEI+8HwhEAIkD9WuB9ipjy72kaJI2Yf/LnWQdtVNo6J5g8eM7b+NMSQ8OJOJ6TNwh5Eu07l I/xgJvnOmMdGQKpOAQtNEkmJPm7HZcqbXPEvyu/cGJ2k26uYoYb3cJZ3LLuaJQj2/9sW6dhHRa99 iYl7kSQPeWzH730NO74LTIxeKuGCiQRqGyVlajYs2yyy+A1zTtJxSIZ9CB3/qH/2JJ7ohYwORZjO mv3+bHisJUtMUt9nMUuWsmbEBCk4B5H7/YPmUK0eug/3lsAdf4ok4QMNxULrAbEwxk1rY+RsY7pm 9Y1wcgHBAfaD8OjVZgfodQWvlr52IV3azvl0x6pxfHlffVXUW3x4fWpg7L2BSg0D7hqqgBkdmErj Efp2mxunHOkTibWB8seNLHzVGJ0gDvNl2LK9wddegmXTuL77kL4ArIiw9W59nhklsyTeBfFgqF0E kXEhkJUF68g2CiRWXUHFxRSwjVAqQIcCharjRWKAyyMbTZLo54BQOV2wZC5B7cR2R1AnsLNE5pOq 7Lp47YaTJCBnmO6fSUiGsDpTvcHLl9lw4Zu/99PWwHFG7RZMkZWggR9Y9InNkHS8X+Kkyl9TrLQr 0O0Tl7g0s8R73OEPkH36ToG8+BYUHwSZzlp1Du+UkUY9PsG1tnch8dd2/3MTghkIYm7cF0D0haav iOckk9hjIGIqtQTNDzJph0r/SraOzIRVb3FP5gE+LJ2mWCbD3HAGGb33ZdUkT5w5jiSmUVuncz8r +uYh2wzSZ2tL9VkbZWfZupxdElNRyQoU2duOuFGGLKg64KaUfgHstUXNDiGaA489rIneVQvTkWwM Oxy4A++pyJ0VJ+37klQaCK2/iScwRmnuS5sbmK4zZvaSD0wdChywr41Q8U6mBHLXNlZSRAZqdbFt vkRyU922MO1mc2kOJowB68ifFbAizMcHWCUv76DG9gPG0JnScdxfHSrgODhrzJztfL3o0Dlx4I68 esx2IecAnDYryHmJIDyMhCWBRRoVIH5anTdwtfFCoNT7MM2wuEp2FcBwbePoDBzwRaMuD8XF7YTw jqKUwUA1ZPnVgGsPWTTWeWoGFw0Ejf8RSTbIxZ89L1YC8IAiAGSqK503wlpPN9VPpxNx6OObfws7 VX4NcR+ew4JHT1j1Gngo53Ok74779OCa4vouTNMwHdmsDD66la9DUJSKmEIwSiG2i5ldBla1GPG9 E/qetOkNJ3Z1RmWmsyeVzmuvwTDmtCln6jXfHWe3BKt1rdt3MiMDO10Gy7+91pCGgRLoWpccaxDP 9ZieGF2qCiqZD7Rr0aHp9oyqJdT7pxwleZy2aY+kJwbCWzhylFoKl0fzw+Y5vistiFPRvf0UV4KO 335RdMdi3JnRXdzRcUvR/hf08yD8zclZCH+UX+FJTWoWEDcitxUqhAUqFRr7stIZh+j2YDFqfyuE 6QBWy4bR1OydwvpDp+NKhZnDVTFD03NNVzLHmezRbs8ZPWvPEHJ90HDp2zQrx6uSO2C9FPuDbrFw LQfL4Rb1/sJfET6C3Lvg9Kp61R02PleJ4WPUmSDvyhZy3n+RKeqSuzIUJ4lAbQZn/5frPGkQOZ9S fbhodauK5VULeOA6/6EVPc6rehg/obCaLZphxHSU+RFVIY3rY+C3lsrpOfDzu0PB/BILASNhD4sG kuaeGDon3sdVQlV1y+Z3EKm/ArYBDZfyiV2XA1H7g2PIoAITenRLx80CzJoI3g6Dyns68TCIBcrv arF4A2OkSQ0Lem/q1jixEBCzWorgHfcYF/EU0QD5nQlI1opjI4wFDx6HES9uJzTrKPdvP5QP4WbZ OdIobXciSMPgz74EoNDnAiFNcTulVBcEsAZvooDxW0XcLe7sH4PuD7YW99zoDMh7q6ouf4FpF1It Bmnf3+p7AGMYxGGjRM1hsnTd7L6vcYkfFIVwrPgmr6RLi2h88GdPg2/hVqv+PYFPvFFsXXS5RtPA 1IAgQo1cmcv1sq+Ez7VDU5xxWabVCl5nWrOKQhg5bN9D5xal13PtnvsIZMCh4lmyReyjs/r4p+zK 30fNC+4Oqu2q+t5Jnno+zSKPUOJoX51iObQDgt9n/fLadG9oDZyamhQZ/Vk9cuGjUa0bEhpMC2ws rPhtrMI5r7llhfYKYyD3C/n8E8BOD7LYrnGKrOD15x7SQ5UYhUzHvqjipQ3Nb9RW/fD+NXv3ZQ1l A8k70IY9F7k/XqPogk4NJ/hs+pKUiRPYAkyzaWjHifZHnODq7PyC9NyJzu8oKMi1r3y8fPcFMPUr aKwo/2y0ikSiqk9OrktcBPJbqXZVktjXqi/39wqhFZ5CSmUUP75afsemY3QkSV3zCbQ99MCKqPFr Xytei0igiDMZR6ZoFF+7mMPlwp3sVUPkrmDwyTQxV7qN4qpbP5SeLg8rH/IHAnCGHVMJsy1iWvGy lh4w+RSMVmZXuekLiLXLn6hxfaUKZ4MLqkqfo1zpx5Fe6JH9RLvH1AWQnCbywXt0TWjMnzGUviMh tDCQncno9SKS0I2Y1O1Nh4ujc/uymnmBEw0nIy0fCTmrqaU/bf+yOkndlTmXixy+zUAEPeUyGFu1 D8/yFwpGN7r2xizXQP9BvWGWY0cGsqHTziKj30OFRZzo1Hdb5nY+WTVr1/b7vwt5r6YqZWt2ZB8I CuSoWIXkZr7kxClhiet+SvCIFlrPD5hGAcB01HvDemFkJD/bVq4StA6NMrU9q5kD8Z88R64KCelC qMIMTKjyphfxCkSQQ2FABBP1h1OQo1FN83LOmz+z+0SeRYl87AHzOOfytIw35G8XTdM43KEFbZLR pRyDGAoSeQLTJ2rBYwiop6qixfwXy+JE2h8q0asgp00Bq512WWJFobr9uzqeLkvJf+HTHg5wU0Jy 9aQ8D3VjOI0X0ZfgIr09Q6Uj4KhK/xiEGj6Ebh+/yrLMl4I4TQitY0cKijPlAJZr5XiwWZeIGbF9 KcgE0iS5UJ2EHMLLsBbyypoWapntaIZeZ8LYCy2mYXr1yiVjW5cs6t7mx97LWtLmwToDunelyBkp FLjs2ZYKKCPpYLRpEJx3iZS4Xzxy6+sH5EOdzqTuSnHnFFl20uInuJyM0XVMFUYx+9pRopCagoWn xrEjP7erGu1mP047yKziI+Pmn2EgixGOHRjJyqJtTywfdnC01gyMfAX6Alvstn25pDptq6JZggEw OghOyCcHa0WF4snyg7B+VPJ+df0wOfd+k4aNTVjZYXVb2/Kd65SpT7XlppKt5QPQHPIX1Abd0zlx /5dC+MoCP9cDcyBejumwcMXdJpp3C04uyOQVbjfPbFVstgevaUqg+z03FF4O0H64kCFBARloEXgY KTWcFBG8FkvHSuVwjY4PYBEnXQrQPQk3bhSiweXAUUVYwb1YW3wtBtSxCWMNE3aWNjMmS7Xl2KXu ckdPpPj3WGph8bNSzJ9nQ51qKrAEPCCHGIPDKVqgkF+L/rvDEcB9H3mXer3GV6R5sCW3CGVcWTDH Ljc/XMEXkUoVLRTMnU8owh8fYTHfE5IM+4EdCIuAPOT0X1YTdBKXoyGFpLsgBItVOIS2uzRZTXoH NDNGGzcaIBYC/22KZ7Qg2djJLObQfUEjeUUf9gprHp1UUx9+gX5jF/ha9Ip4ymyNWAh4EzF2naJG Ox2nI3YbzwUBsKZLOYxQMtWYUGk0T49B+taisV6CvkCq3lKgrlRtcco9RLmPBCw2wKdv5nGNcxKd O7CnoP25L0BNeTF3Yjj4IYPPwbYbA5JVclXreO9DnqjWt5/smbK41v7l5aQK/WDPiXbnUNp6evrG BBx8e3zwcX8G0YWbxZmtCW39Fff6pVSCCx3V9mQsKxta0HWAboH8UfqR0Qu2SeaX0pBhxGIMmJ5q Z6Vjs1+3Mr4F3N1M7zZ240BJcTmokd1qsneATvrRfWFBUr2D3zGO6UFb65pYwcFI8TyUxmCfjku4 Ni55s4+rE6/zn6DEsdXCBoG1QOA9DRqvkQAG48ABUwBNe/H+++25zVoQi3nrzeQLbCEH57NA7VM3 5FfROk2cpASwCFKyWMSkCIIFbtEpyA6wk9d4kq5pBgRYtyk4PdZ6TQBRRQjwHaV169mP+4KvIL4K Qf+Hgm+vSyp57T9ZxpIpzKJTVVFisUR+MIaxs48qTXaUTNL4oNK9fEO4KMmePU4YYim4N9WBRIRy n+C548UIXKSCtWhYX0JdMTHmXVret8yVFkm/500k83YtpMbbE7Hs0D3HlJbD06pQ9CDE5P0o5Oal tq5S+mM3uOovje4nMqmdudlWBAn8QGas/LUHA6/gTu4EKWnB82y1s3sphA73/pJoyKeO3HKFE9k1 gsASXmKBWfdpc1TOp7TSsYNZ8TrjIkanYHQYKWKrgomMNBSZctLeI+BhJz2Fn8g4r6mbVn3unDgr U9Y2ZLyy/aker2FIrxv91RdBk43BkINJXN3rqssJHwDXyLo/ZazaVi8h/I4vcbgQbjOr76NI8yOO FLCoD0HiB1CIunmSc5F+B6hEaNHzeihllvvM+K+14mngy+gXwm3FTAUwhZEZYr+sUS7eI98vRVh2 COQRYauW3gM4JfUUlrOMLDcbQ8p7flR1tl4zH1dRZl4PmIGZV3QBsFHkEGtdeGJMgEg78Z3VrExh r+EYodGBOdu8erpYUxM6SEu+Sg2lktTDW6YQ2rWW8B8YPFywobWq/XFtELf35L5tYZaHkPf9BFTi TeTCvglPONgfH+uTDNbLMHkVFc4zPH9P3avbiCGEtW57KsvSnTQr7U3RDqzFnivpZNJhCrIWkxIG dUyEPG/iqfVszoSTGz2fwErdEehF2GWwznimFnTR71WIMfRgsbexIdynhGX53GO9CQ6dDD/ATxd1 zwpdqoe8DPl01QxUewa68zxC3H7wkDVzxEbf6YvCPQrYXi/itfpgZwiHZf2PQXfz/DHpr4ivqIUo TZXs4IxAsTIExoVkNJkQsQu1zM+mXoMyOW2QjpaH1LOMcL52+5YYUB2gQuvgQHCgxkylDAS5l6T2 4I7fw6DHTbBLYCKflX3+Dx9j/4fWx3AZFB1s/O+FAKR30OzmhzZj/AyDO1/HaX+3OMTWfDQOoz6g fVZ78soQNoava7oYCKAYIqfUPJjUJ3Bbzn7tsNmevUprTpd5gehI+h/fXapMD7ZEXZB1OkJjxyJd f2gBs1jvK0Wg6KCi8lqz89e01Srzj2CCXdcDK+9oN6uH5KAOWfuQ84ZnAhAMiK/u34614EHxwAP9 B/FChFPWc7fvWGk/rVblnpTYm9VOqQmBKKWM+P2ZpGj03Kq8doQNuGdKOoLiqBjUd6QbcVQGhLE+ Zr9MeWDxC8MgbPD4feltnPLK425RHutqnF+1faDmVB7YnxARK8CUvC+G+RkLGfpblRGAENic0e69 7mG3mDrSJ5+pYI3qXJNacA5PwZnwTdcmNpJiPfeBkePONa22gHtH+u9k4XGGPRS88Vvxa6kLJ8RW 9OtRRVtJ3E4+z04zNFRxz7FQ2nAKnVBLSTRPXCygo7T4PUGiqYDnz1ZVNgYvBSjBPhV9Pw1nrZmY M88oTzHnHRmty/eNbJUYcLb1PcFZjiJONlKG0lmYgb0hnKTHobju8AV7b8sdDgrPt4WbzCVLCrjO 5wYdoRCoZhUxD8R1YKuT2Mwl9hi3SqvYdWGZqEcqxQD4gAqINHbCCqGDR7hyT44yyBNoGLSkPQBa Upo8ghKBpAu8JyeOG+irTY+luHMZPhQeVpsZsOwDhKrYBIOwyN9aWmnqZj7prKmi3NwxzaSPIpLk br84kQWm7vkfle6UMmO/gTfIYhLW7fnVyuZ3bAxqSEPIkUSBASuY3ZTMOBelEv2ji7IgvzAFs7J3 JgnCEgRlHH+saZ4K5M9w4xrFJJra1/ukfki7HHCy4WEUFKk2uLfznSNcbSHO1jlPeg9rODHeiXK7 2w/Az0FbfcWjQ/m0ludhZHz4x2IMBVl24LoS7KEMlM35hx33Qd3wCuMo3F2N96/c8C2EJuefYj8D NFfe5ENz0IC1/wIARySqgTk/Z5n0lMhnlEHAIioljSUVOWM/0/QX3+mOzayXqp/MrXNkpiOem0Mp oVOPafE7YFTmt81qhnnou7XfqElXmiuzXFEqE/ca3/uufIaL5liQPLXRb3BXVVrYvhupd2h4M8mn ldqukyb45hV7gq+6lVC3rCXECfpeBOoUMqx9RlAOydEOan/5Ne/vS9HS+WdiguiHeV4YMP6WAbbi h3AI/EWjaf9VatztKvDrYpEPgKjbeqsS6tPTnydp67AKiHyKkE8bF4PoIM2es+yHI+rfjYn4JRXn gx9kEWb+nBbu5KWbGxWCnuBOc4MhG6YNejXiYdPsNhVUE9jAfjSPO3ArBo3LiymO41/8RVJiMccw N0aEZ6U+xmmfA9Vwv5N28oDi/+t+rApf6kVHGdPmLaXdVyL/chniO85nEuaTo0NkniSV6+cNmp3e IiRRin15vFPA+kx7IARNFAahKisGz8RGe1GbB070b/mkMv0BG8GRlxC89K7Orspap7qUrTpR+W3x NqEtSpkmdbLc27mZOh49fvfim3fIGq4slE+VCG9W9nD2AtZwr3xfTUR/H7D92CPD47jwv/MXMFAa 9qIc/Xx2t8bjUSwPogSuM1y5eYCM6nJMczSxQ0x9EXLR6Hqu7JeRoaw2012yhEbgy2TKdrjihqa/ u0M+6SgssAdySI0fcsNhdmtjq0f69/cWmJZQIrSeSFQRgIcSFn5jMDjuWaMKFgK0Nv123oLtoyqw rt/FREtK/hI9pbuHJeDPoE7j34QHgmDWlcDP5DlJwxsHTU1pE4bRr27wbvD/AKUYSn/zt3wT31HH ORXBjsmTxQML1VbILUOMimcpDBvu9jcA+kwh2utEL2YFoVoe6GSVa16ub3mwqxQFu/SAh1vMpezh o0tQdqRpkoL54quaGFGZuHv9wDDJXxZqiw2ZN40WblL1ayzKNN7aKBEI2naju5h3AaXLjAVfYjNm SOZ1izR3IyRZFXSBGLtg+1pM1ZuG4EkjDBCcRyYuyzuF47QqSHKrl3VYuLXS+KZ3fy3PGQgDKx0a iZZO5ZEn0NAxtz2V2SoWXeYKGw8hKCUo4zihO6nS/PqGJjGvYEYZGSXh2mKBRkMOvbnAbv4Wpbkr /QV2Zzp5I9Q1Ms/jky5nA0/mBjgHwF0RUrNBV/uPvRTA2Ini1ooX/ZPto/a9dfOrxDpo1dpwYGbG 9y2gAnozobNEn560UmKmGEDOKs8Ghp+mhfnpzpr4S8bUKNImQUS1R09TlTDzSAy5hG1y3YDWo/BZ xT5FEuSm4Y0pmjGeFyxW2Ym/FSFrFIT2sXJgne9vS64kjfuZ20OjYt1gVEGIbSgud6XgaoZjbb4P X31s069Vt5hiGkOkaYxyaCWv0x1xN4AiWramYQFltZB0hT84XMkn8yYZyvGf2JtsNwJufiLGIeNV zEmyNZOD/EGYaAj8pDrJRVeuy4gLAXHo5gdMtEC8Uc7BzeqiOE2lV9CKJWhMBCtYJiuz1v+QSKOa 9J+BU63n7qc68PgtoAZcJlqXmSlQDyr1Z4aSnbX7U5qvUtzOUR10E65qLcID80NPXQ96yPUxwDBE MWO2/Q7du+IDZq6VqSbqa2uO1mry8L1b3dR1gPTyL7/F/V6x/vs+fDXB/lmpmKHfQ54OPK7LgPIC nxKhVH2k1lLQ2Hc//xnJFIcE8/S9VW/THB6k1vKZva7KWzmdHtO4dgI18B/aBaKwna1kpfT+gc4G Vz6Q8BeeczMa4GWEwndrA6l4u0MMMTPGLVl3hG9MfbxHkOc4QT3FjCnjd1COZbQsoTaaXG8ZuIK2 ywGb/5ApvapsgUN0X4sP0M6kOlsfF/3XX9XqxOv3FEW20uHMNGWLVJvXSmCBWIQHgxIak5Azdz/G uQP0520/eWrxGlw2LOEhX+k9TBlf8qwBeew5WNXHdYeS4fzgv3jOxIYP1oNewM0FNarocN2gAAWh RyoKvZ3CDh3uW/7AKztykW57atPV10FQZCLghASP4c1BnGN80QvYspBlTJ9alcAe5di8eZIdajal LwUVJQYIMuCYu18YLbdNtmKOWIamAmq+y6sNVedRMyXIoeUwEL1Ohp3khKZiwaITRcKm3nCUwCDU cfsMN0NIGFOoSrKMqZOOjSa8JwKy+ti81krpNSY3KSQx6TknwzBJCEJOjjHNsdh7txNAzxzcf3q5 QKA3UUEZDFPmuIa6T8AGhU3y96LyHUGe9EQMIkvIC9q5vsenAYS/qVorP47duUHKPvTovdeDsxot RQe4LVurLeKNgxiv+VeaTDIWXzI104r0SIorA9NL05/uOAqt2giDHhlyjUoGkSvWvirhe61JTVqM jXdDNPyRp3yFYCSxQv79ojpE4JOSvHjsxZN4nUuOAByPJW9tHuHfbwyPnJgfX7qniKvwPrCnGLAo adwAGUKZ2g1r5mhag/lDnuigFsyI35jC2pqaArhzKLLeZyiyXRUe2KvkNfqdyzH/tajelk5fCdDw 9kOQdn111p5VbA6jt6XpvaILiQFLDa8bNw6k3nIxFy0PSoiKAcHCl8C2CV+bezlE+uA9y5gWOuUV O34LCXlW/wKircS97qsBX3JOye1o5IXtKsdJXsNbvEfQnve/e1q506vnJ7Ux0rQc/4wrEKK/QRSR Puw24xHPWIJEhk93jSz5e595pF6mxE9W3embPO4UX0a8WNLNIEgQeHUpJTY/ank0VuG15ko9Qh1f QBSmHvsTnL4uiNJ5HkK/az+R4wXOD5YpHDX4r+SYEYPhN1H0BcfX6h2sm0n53bLft/toikNBqb8i cd2wYSVQyaY+u4/rcoxVl7ikv71esNuUe5a6i1HGwuVUDZXvqBC1UjppMgfwXTTji5OPiPDuMpGd Buzt6+n0CMzhE5ob3Mx3xhlqvyRMn9GMVS0gmT/QUjY9hTmTrBfHwM2cGeJv6yILz5IrfstpEjVa XylJYgvaQEkV+Rh6asb8LsAeM4qL4i507dvgIEv8zscEK593f/ySf/eL8G/ge6KCreFillfKg4Po Myocli5kOEPvVLbEImMBZL1SbF2h/mzxxZoUxp9CwuX2MMrDtsZYFQZ4pM5fn8blEpyOx4V9Oxtd igERWel7zfgSBRIncxs9MopJXbrLGTWb5p3wUlo0alz8VfYs3uN2Esr+Wq2LgkkgN74Wz0UF1391 cp9wHXNVzF8SY5E89RvKlSX/zP+Y06MXwRPZc1QJqX0XgcMcmRXQf5YOt8oAY6js1p4dj0y2nXrn /eDrvW8x0BuEX+LUzQiiF2SSgB8eKwC5e9OXLQWK97RXcilXa9X/XJXFNY807M4aSKIbRjnyHLtk dEIQbI69Bceq9eGylC9yuUWyF0WI1jyUalEFYYsvhEafNWxUjxTjJxPCV9UV/vCMTQRpbyeclPVH GRN6Zm51s09VXEVNuvTsHMmAwz/vkItoWFX5I3oIWZXeo9x5a6UgNCRGcb4FhhF6opqEka5geGbc Cd3jFP+ILYiO64o6tugLJ2Jq6/SFBqhO9UdR59vhPFCPSXKonaPqGvHa842mEx5j8jbMK4Apet9D Bz241UPVhzLhf/3jSd1fVsoK7pJ/VeAUrNMUNQx8qfdGWvQc9wnI6XvUwgfsTsdSk33Fa3BpBaAh AW0n2pEDYE8TNkJEnzoMiWJ4jZzdFLX2P9K2ZAXj0VTqn7HH2IDFx4cWqWbWVcqxF8JAk70TDbfz KBSL11jBMMIIX13wc4Bt/8x4ORibOLwcC3g9q6sH0UZjkSkw5l8r0tNKD0sMszPlGljV8RUXKkqo p/GAslmNgxoU7FoLDW4HyLwhHaehwnGBl8jADnZKGrwlijdIf2GpcAArbtiY0xx/PO8sEJm5aDco X7YrO507vr7gXNBLlMaKitm0VfeuV41jngKkDQOxIXIkKtlDj+favrrhjex5xN79+b2rl+OX35uY ta/DihOTDf1Af52uEuUVP/2Mg4CG8SFL275QHrhrbtj2lCdkpmu1+fjeRkDJo5Ea3BI5ajOP9xsH 7hDbbHmVGDW8M64YTmeBMttYzdhV2cjp3juFD9pqqiOjdknn/9Ji7eGakMWWlBKVLYJNq8TqRhaj t3fNPKTvQte15UdCP6khk62B6iMnEnPcliIq+ypgtqDl3p9/Z7Tb8Sve17GEEB1GmSvuiAc3Sb4n H/1yd9EQ9HyhN2oox73cIFoiWb4RP/pnTKiQNxTeWVYDEH4Lt5pIYMtwFQqnA5Eq0c1af5glVJbj LabyNrQ3hY9gYVnjYkjdIKBPyG3eoJeIC7d5ewS60fvdfo+AayWl/MZ4cTfwVJXwwNE3qbKk8qSf GlBbEJIkB2vHPVT6vo44SIUP4D/3dulhV1VPsjITBXqa6IWwdIzAfXRhY/ZrOse7ipBIUpTWMCo4 rgTJvsGDxmi8jPWBktybii6/cl5yVr2paAG89Qcx5h86HgRwVBhk4SAxDLVjgcata/cFh/BCwOwQ Lc0jlOWsT11EKT8geQnHbEdCXu+Tq/r4d9GKql9Y6lhw4K83RxA8fMmAx0s8Yyg0uuAKP01nIMd9 CW9Ienx70J8j00Evp1zOvdUgQW7O2ZSYCvjsZKVmh/8dbhicYvVvmgDrLx9obAkz7RDAMx9urW8z udu71iJJ/nTNKRtfS7QGey1TvuY5xjq0pXrl5dQMJnjcggMhcpdH97a/DIPEcoj1r0TYhc5vBE2C 86EFzW7HPgUhNsPO+hwlLEMywE7ITzhIUt7lE6rWBsNmBztzlTALUkjl+e9RLaYHXahLKSBBcgkj yeNC/LFnP5OK17WXuLbAblkIGTYZDVbDQUh0tbaCeQ8EXRdPm8fQIsEnq50yYvK1mxs5el/URpYZ Iq7M/3fzqoXr/1imENeAnDxw57FL/NulEWi1njdfAfn6MQm9FKwbV4m5xwNPyvtlMoTKa0o8MmMy c6vR3ZPuU9j35iCeexuylLG9wY3yIBNCpN3hurgseW7O1gYo3niA7F/bz7WFdsH1WBP5XSpJa25K fh75BBaBcs4XpeJXeKL0NtuC2Eu2fq5/ifn3Iq69yQ1kE24lLN8Mr7pQ2E+IXbHxbl6tUgRS2gKT ChfZt4ulCk5GvInYZReegqFNBuKdADNyG2c134MSiZNKIWs6VwneTFIQCg2D99I31DFcz/5cmWLt 6+Y/OQlyR9y06nRLL+WIAzSeHk5ZXGwDzcBWHLX3n+uN4gTqARnRnDkwvyFWF3lvdFaczkyrai8e 2w7XPw6sHMnMdGyLjO8FzFZzeisCVGVLfkPf5vqZoCyEVngZCc2L/t9wth0oIwQpnL3xZOISi3aw xrmHq2m02DSNlRJGqOJ0RblO/AfWgOb/eEkW8DUtRIxzW6b2VLCWhN45Xq1xmQ7DTKGpsZLU/b9t LnDakoXh4S2ub6UYmlwjXE6Jchpj5lA1dhvYUXNGOlKp4FYXkV2sfJfAbdJGx+FMKXz2Ikb9K9Sp xn0S1xznZNbRx1S28qQY+1XbBJanzPxrCe6w0/wF9bBHbd8JXINQYbE7+z9NwLDXNfjML69YxdLM PtC6wwQh24PSZBslmOJUZT37J0eB4c+zlxlVE17QXqeqONmGangWKU8/oXQYOhlDmBitkmDYU8lH ux7eyrr3zNV/BEaqFQqz4NeisPyxJP+zeOaUv4xOVOzyeumR7b59yBt5urWaCLIUjeZjCwrb8Vj8 ugXQAbjMSMCGgoKez6X32R5TGp8cgdhhTtD7M5Jje/raJw5YCYNHVP5pquVkyXHcJQb0Cf6diiwy jWi9JM83T8XRySJYkr7nCeU3Gg56aMzXZ75ojfcCq7XWpWU14gBrCiImw2dC6IkG2J7YW+Zs3eJ8 10BzuQ3UqMbfmgG2HYQGfhaJf9JysnC/GHLC8WyTLNXcpdI5xebj9ZvvAQarg5QrwgMhQkM4g5Xp BTLjTfKms0/lBwRxA9yncsuJvrKFvxM568ilRF5kaA9FFr/f6jQzIC2VF+Wiu4aTC4AWUbwEmSdc 9a8660seBr0XeEvFwu4pmysy/HVIyphcATMyieFS8C+BDoLMXC3MdxJgRB9lHfP7XZsn/nuEBJiC qe2H4fB+7PyR3sZuNlgr2Pm+3lRtbKgk7ceIhNhd56Aa7Ktlc59hNpHApZKk5uw9FBtd7s1gydOw Ky73jXcc7KnNteG561hICYVZeTN/fG/Tpnp50MlFlRr5x2l6CkBRPBMKgop/5NkYuBf9AoXRTiPz sQqj/CCWTipWdK9b4LLC8Paqmso4U2CHJwHkNTj5CVZqR9cSU3WtvMPDmOEFZs6BgaTBrSsybIh7 YI4uk+NxIX/nx10AjNHoDc/zqPx2yrtyXrWJgJs4flFPGqbUBeQL6GhK+MlMm8eq5un1RKyKKI3R f3i6Omt1cE6ZSWmGfV2OswlAjBp49I+PG0jCsicUoUkXbHT+fSdNDr5eOvzOQfjsYssXnW1EFl07 KvhkMiLPji28ye8stmpppquEjuC6bwkqK7/doQL/sdE+RrqvRG2BolLQ32fkpq3RIGcbOvRieGAW dgOh9NISXA3JnHZxeIGL5YuJtbYQgc2ehv4pPFZnHkTmd9kXMcLm/p9gJxyathTO5UrZixAKvklo eqpUE+IOiHKGGLTaf5iltCbTzsm8nkFMHgi6/Cx7d5oewQFFND732A6z8v3HvYhU/Bgu/UfvewUq N4XjbRS9pEzThzRaDuo4MCOrof/w0DzX3AdafGyCluQ1eYnJmUKOdDV7bFc/eW8OrYAVVZVcMWB7 9P7QqmD9YBwICNZ3TRdeLJD+rqgQvEHFCb85w382aWMDe7iGeMQ8/sxIv1JXLOsM9WQwWqut8xKO NtljBGatrNHbo+CTMWN9AwIXodD0iGP9Z/QZ77+Txu6Mjxxplri1kuWQEJlO9nVjuffUh93FCU1H SUtlSkJ/wsX4lzpYhyMRSblaPJJhajLHa0f4dDe3/UxUbMpSEOlnPHxrUjuCkTPsmYq94hSQ54iY wkn71xH+3KWCn56JE4ATlqsuD/0GtA0TiBg4QbUS/lJXp2jCazbjteYS7yBoA7u/+2k7L3DncDUS Geh0p+VC8KjisokmR/DKPzfId5A/hMARBBPHW2ghhYtZSRAL60AC0tgqeDpd/WSGXRhVeijV0xVa PaIdhMzSrcORZ6LggGbtmcVZ87YHNqs1zJrYBfANi9UuGfZKVYoRtE3HwJO+LZxR+o+lK4b2BI0/ oIQ9IF5wzUfp94mK1EuKY+4z2pHD76T26lEeW7anWV30unxGSwncYrQV0snZH/VpCJCnBQ8kvV8r HPUyCCOw74JgsnfHGU7VUx2ppHG9km15gGiMHSC4q132BPmdvqA2tqvZoHedKyiK6tnqEmEq0Gah ItV0eVPPg6hqrFmD8gf76zTTdy2w2cBOrI8zdpiRjn21YrUYXnIGQ5hFtTaz0P+YLXlNsWEIT02A oMov8gxcJuc94uYyd0tKgEAySuAhDQVUOqQrMHLyijolnaGHAWCKw/vQyy0f9xBjL04dxEIQ8n+Y O43AM/gMIVYm1UOvPZ2NTu3WIHCb4e+isDNn7yB1QBUd0QLV9+8DC1AlBeAZPf2NQO7Q+sr9TLo2 DlXlfRZlsIjff0a/T9HnXPZWNXne0GP4jaaKkzGNdlwv60tf+HZ9IKwm4+gDEruc7Ohhn+JW3tYY 1OGwHNTR8elw8vcizUryXZPdKJJ91nl77WaFm0O06n7gtXxKXOdjld3UKRD0LlMLTbCfS0oL36Ey VPeLEpggvh7kpCNwW7Y2xKzMEiPUeXNTjH93KUljCiXPZJy1RFuPeiW5mxbP8I1ugk6qxCGCgSeR K32523T79n0FASrIUDQr/w6vNK5powuB19j3rsIxg73wCLV5hbihmbS5TWOM9O6gW0fEewS//p5Y 9V1lIHlv07/B82tTX6dW05zRcStO6gSBvK+a4aV0ZzPQYi8wQGjFcvnzyBsDjD1HaWwSpVDeWGHE ybJP1MnCtMdJjKcGKdPy6bpgIot0oFwRMz5HAW7MZ3YRDm2o3lJBfq2CK8Q/u/OC58yeyBMeLof9 rVkNfBoaIQJZJAl2WA55XAsk4Zk6QipZE/A29hCSahsEaYYEUDHylQDMpc8rE/US2iRghJ9BZ0hU hHFI5Q9J7pLdDOaVVt5Fk7cz3i/S1vlyEn9kakzIJ5viIpAxJIpYBQPaqSQlzLK1n9AMWXZn2H9v 745nc4v5b+St7dmn1j8LMusRpjA5sNmPQKRvLwIlR+CozptUDyHfG2/yJS+Lu/7Q7uM2R5STV0ms jbR78YwGNtsqQtdHlIrrdTYGyETBNxTo/vQn/8GYdD+EMn413aRSBf5O1lfZ/TWqLfhM2qJToCPy BevGYvuzb6qFw4q19U0t8yaAKvZ8rZ0GxWNByB1EDhxen5uuC+YJqLaoWV9ieZS4ytYtNBK03eeP TjtoOuI0M/41MdPdJ2QczzRc1qdBsO26SNWdr4ldNmidvvzWCk40jb/rmC+p9epOsOdbv4oJdGez NQd5ulrQkhvRd9HJ7w5kV/ieXGc/rYYvUnwF/MKknGukZJEvONPdlaph75JagqS+AvX7mnWM2m0T zB+AvkH1IaFTTVX/m8YQRMkKH//AwaHSJOWB94i5wP1R5byFcRHgOVQ6gwSc2zEfNP3jxm8AyWLV PaBj1zMQ+Q8Lvn8JOnfYVqLM2u9ObSV8Z+eEVMwal7j8iBe8SLZLZLOoXJqVVy88+nbBRar4d/iC PVTwGg0RP4XXHpLUo8J0OhQbPXfvHltC95URmjf0tkUAjnuYlj1jnyTUlN1HbWXWT+94de9FoRsw y1/hCxK6LQzZA6Hkso1sy3wgdGVQlT9KEETPEUY2w3cdrgnPF74tqKYjDS0VvOr+i2p4tNA5z8ku XonAmzC+hP6a6FpnvcUOhLJ7gi2ZVnEWJpiU0v3rf0ZDzmePEG/UvAhNIfesLoxdL//TLIL4df8H wmZpI4okxbpQIeSHDHDMAmWzE5FoJJgzODToUZ/2IzqS79WXgGH/swA0n3+qehelbTsjcGd2Bnz+ ofC4tePQxir4/9N34ScT0ZQS/GDxChNSTJ7MFDn/34lHSmT2VASGMweitCkogq/PqME/UvEZrd0l H89jPWJFZEI4g46WjBn+bKrhSBWlhg9ETpAsUBD8eN/kVOhUZe7pRqaSF9+SkoaQvRanIiXYEb9E OJF63b9J50XQ9KTTQo874xITkK4UHRTXcB2cj2ZJMn6pl/vQJTS65T7gg7spoFDZy9A4Fp4AMQU7 6EBeNkP8mm3YR3tQ9fFTm3cOTOTr0nwfYTE4biflD0HPppgL573LQQ6ar3bFh9ucT9hkZkyTacAp DJvOuXmNNG2iCo4eP6a9LNdIKFLYqLUkauwQ5f9j5zzA9Ymblirr4LkvTlVJUDFIVjVCEoyEGVCJ rSOWLUhrRnF3f1AE3TB7BsW7H+hoe9/hxcrFtdZQLseCEWDwuTGfgnpCefQFJnt9qjDPch1QYsPd ZDMhZKwLbL56kQVlaYnsCYvi4f8dD4wN0Kf1ysny7TFIuKAPoBjVIG4hmv9T9zEdGfMdqkgJjd2e crOCUrGlu+W7L1MLfBndUSeKQuRT6pOmnBBl0JsWCE3S6ayueYlEKW/F0a4YZHxE64XZb15oKQGs HVxogDe4hx0/FC29+JJh7D5sZ1jYZUPEhFnZmb6iZVxycid6WPxI8YWfrWwYeaQtqQxvfpJzfwSA 7txJh0o0bc1eUBxMGXYozqfsvd9YeTniopQ8NZizDUDyk5c/7fL9yuicQRYVpaCacRc7h5yDrO28 HP5kL6NGh17jENQycvJpq4xDeMOY1na9Y/WdgMC/ZHHymm2kvteqr+v8r7Po6yiycIuyosvN1KmH uBnfpFRxUkRnudmO64iuuXLD4+QZ5v3OMMwxApB6axhUFD/vaTu8v/kpbbRZnopvdBwEwbvHkzO7 bcxrlBHyikN7Mdz+RdN/fOQYjaWbhXdSDTds7YhtPtNiHk7WoCg2qn/IyoiILTWQKl8pqFWc4k88 nnaJ1jCfLMqLdrBTTcflB6e+gnSCoUocTDslkfHmXHujl6C6YsUEZWT9nqlbsuu7YNwHn8Z925Yd yfEZIDI2sPTHt4O0T+W+9LxA9/q8TXa0XjgFisp7hsOp4LeDIM/X9aDD+s+Oa9hFmSoL6k9C1HMQ dRShyqtW9mH83SexPQJVz/T/gGV4GwDw8JEFuGUxy/527U6CSqfz9v1YY2q3RWWn0KinTp3OEkMp H4/tUTIf2gwCv81PQ7Ik5GjU8Gvx27H7PzzxVecR1VZpDyZhzUzQSuaKSY+xsT8iXU/K/+dLNZm1 Qu3XlAQ7zYLF1nBGH6yfuP2B4qpgOKiKKCAAmz9CjWKlgqX7e1nvMrZiUyF9JWyBeHVSxYi/fOjb nfQesktAN96fcR0ezSvNMxRMOgXjZ8auxKl6rAKKVX7wS1zNpi9I6mP529l4CiW8bVz3SETSCjnm oYoyzET32Wx0qGnoADm7+mMH0Ij2nBLa9+rVQKovE5aE4sikFKvYo9yYH3pMgJ/34xGbdw5CVrsv EobiQvIYT5B4GKoYyOUcBBrvLVoZVXHzdXbLm96F5tyL8lQlcpLi5TSye03HikcYWzS9gwCdZ3rN UwMqb4kmKQ92wkYpJI2sVSM4aehDC1wQ/fILxNnNAQ88tO1pwGMyb5NBlCd4ucIIyN8b3GXCulJ5 OAEEos9u7CnxwBjNyT7wc/MB+wzrS0bbKdKtBjjJhV+Pu5z50tMRoc9uk2uyEWUyY/HbTbkoXgIi ycqMDHErCsI4uGtzPPuwhbUp6O86+U1u+9jOUlrs3wezTZwBTgnTYLEbLmgk/nEMNTsCREDbIIlz wTRsIjDXSsPutCOgQWbV/2GqPeCtnYowLNi+fJcqX23yOVYn/baifMvoBFLclFEimXVIap0WMEVT 7uO1utgGNWTW5H94k6b/HgtJpAVn7YKHQr5OhPeOVsBx9zIYeVxT7SWQaAhOEpMJZoH3f77Bc2eS d0ARiSof7XOskBaj1RnUALx4ORI2xAy1ttIiLEo9CHeSVtfUFM634yfOfFVtDT9e80wg/hSqTS/4 cArhZK44etnIePUCMFZzr1chg9CcXtCWnCOGZRv55oqonAUOV2xX8hHlutb0UeIFCMLKLAwBbly3 5xSurokFlBs4qqlNQw7TSTjRxiiPIMEPAtb/BYC0ztd/bchyrN7d2P8S//3uUd2yjeIBXLqn5hf3 5fGRCN9+aM+7kJNZsz7VRLDsl06EhP0An04BUl1WYd8peR7fd+MT4/eu/8O72rOXwkHb27Ri9clh H2s1cWXgDTbcMdoCr3dPmaLl272ZAN/HUYVt4M5EhG6/tryK3RTt0eN6l0rnKEDXynFeseLWJuoc nbahksmBSacL11VynejfrPjBS9rnzL8sfwaD9KQhNCgnMa9Pv92zZa1a7mhSi97X9haqudbDlHX2 V9t8d/kM2XZB5DdkUv6fxNBpV+3Kk0wHUpCHOO8BDA3IioEgO8o4cWIC2SKAQLbGsgxghT9/nT1e txKzeWz474ogCeF4/zVNPlqN9pthH5rRZnYlNoOdqnOqqvPNXjOy5QCPbstsNu5NS6qZFSG4innM J/YOkJDSJkEaPV5ePufh+5HQ4I1u4PhRBGd/sYXZ/+HCI0pSfi6J9n1wuMGDM8DZJDCrtpxvpGdH 6rlxX4uDzqJC4MCCTqvDWVwWV+rIQgxwfU9fv4b+P2cfgKP6vahfWDvFYWwQlLbTphDlkfR0Z+BF pwSzPX9UJ9fKTz0L0EJYbtxPCqpGbf7ciM9+0gFThszq7RszP+lsUMgLmok9o7njU4D8z4rxHYzS gqXcGSdTTRX4uZ/uWrwGHIEVf5QeUtW0q4Uv2f+Crz1D1Sjvu6nCiVoO8VO98a/Olk7KkK5VaHjE f+MKNywyY27vAO3PcIIpkTs7vnIFuEGQIc+mFK1V12tk2PrLt1SdSfCbYRwLKSRXw0xcdx6pmObH 4dKEHPDNXsaWLC/Ovc7szUmRuLy6DcJcWzMiip5Ouf8N28njBdTTCLqHBQ60xJoblRuVaYp8iGHn 6XPRpoua5UbLKID5KsWLyHUGrb3z/mCd5HYfVGb7ugZVuJ9FxxBP+e0DmIAGufEh+zVDXAezWtcL tmu174aS7DTdx/2aQl8prlNL0strL85oP9TTcJOuf08O4AgIkYHzvLx5aicnWjyigXWSkBNfHJ3H /mrfvUhXl8hUphQdfRQQcfU/WMtpjPPgpkVzpef8pmE2PGlqUy6nVlX/tUZh/CtlfyFT5GOZh1pl w5x8sFHnjosXqD9+++TyBpr2pbNKzZlKS0G8W2ULs1rJlwcvbn+N/A0vHDObIVZD/pwvJ9y2Rp39 LslywPNZiWZXJSaYeF2xJiDuI9j8R3yB5UlPUzOji8s0Ur7+3OFCn2mAWguKGBSY1scw5QCiUcYq 7YEQ0nsGF+Pz6f/xsH7NpN2wl+qBWCcE/BSjkTRSxEjGuFgNPyhMOucHa2FJTmfapUW0gmFFMSmg XcrTMO1b+6m+NSDkys1x3JtPXnLTn5gv2uhO4eNNs4r4bFLoP5Bs+LXJ4e8UiMCYZ/nnvg77UKwP +r0aBaid/a+YD9wPabUErax3ZjYtuF+ymePEZYO4HrVTcGNrM0hnpPW4k4zENu/bRJgiew4Sb44N 1tyAPT1nXspZDruA82bU12UrLkDYMYeG31quqKLoIvmtKy+RUN+35XDqIEYsgKudGrGrVz41U4JN k0JeoByzLjvwGJKhZj0YvkvHYKpZGruNbCc/MHxNAoms7pvFYQJDPWZHvQLZfT+0A5k5HRLeYTiU mc7VBRMIBszBT1y1yUxTFar3C/ec+u2/yxmgAOyTqErjmNRiLN75KYvOtSrHE1vJXoab4FnOyAlJ /1DliuZQmSHZ0akrbVCsyjGDbPXaKHcfLosd5ikgMU4cHvoIiy8wotWOfL00On1WzkLIW8Zyb8cf TOHRklhyKVgUdjJ1/fu3cDgYkyeYBHCHxdlcIid5e+n+HBtek+S6cB6zV2zWM0CF7IKsLfIOqmx/ pTvukliAYIUri9y8vLTNRaHjE0O1gwEoPbVjBtPPx7dFUEo2Tc/cMV4jK9JqFjqRWSsKqdxlhpQD YeGBJangp/p2XlCPno/BTupwY/2cLTMkwen26hu5dNf4ntH8nibuBnTU9b0bsSqt7fy3fxQyEIpD PmRQDJlDDSD/iXvoOvKO2p2K0UfPq1ffsShuApCj+UsBJvXMoMBkrDxHOUvgViblbcNNoMoE8TGI 9zCX4fruTCyEL6Aitk5DF2cbxQIMUKQPpcJu4kSRRLpaNdR4bA0lnl3e6TcAXnb5I4D3YEjogzwh Ig8wQDq88Xr875Sm1akPiON0AOnV/+Ywwh9tm+ylWdXZXt+HCxfurwPqI3WM3GFSGWizJqfpqkBL irqy15OfeT0HMGh1QH38/N/eZm3FhkQcdN3hdXWl8DFaoBD2xHCy1aHYcCzxlLuOXi4vTsW6BVz8 moG9sp3gBsxBE9lr3x8PCF3dqXrQn7pictwRVZSm9TkPYbD0wJrzz5PgCF8hPUciXdHNfVCipB5u SQcAc79LgGUJ1xB0wnpCLwGBF1AxHiPL6mdbM5zJDBmE+GofQ56u0V48nFTd7dvJRE1hOSgBPLdX WvKRveUzKSF/9CyZOoMudS32U80lmUvoMHkiwV7f3gY4bjVjyYQxjaqFmCS5g7PNPK+HdfHHshul xUrVm7vBanz7ulYAOLrcoGAy7tkJMkhhADw69WCvh6QmNuhk6ykMtWuHshsrKP9a/A4b3eWJQNqm WSKavqm8Bjgh7JsW4MM0zyS1BW5wU0ypOANF+uUlWzznqAwxJI4mkSmJJ4Cg/pZyl2Mr1BjXac9P Gu8KtC8Qx7ijx0v2OMdJN1JxNNcgJ9naGE4Ey/MW5nOU8w3npYUpzzOg05uNcipVOF1o9nnUALBT wjwkL4f2op2TlGv7gpWvGO2iTGz6GNDQ287ot5GvPHbBztAXLM9F1+ygCgX7juIw7bM0G0eGLpUW bZKpk5D6hAejvU5t4pmUGss7XKJgSTctkR1PqPZuumj0iOIEfgpPg2aB+gai4DdHE5Gpxp8/Xsby 3eNu2acx20CaPlS237HdyrrOknUNBjy86P1RISxymiAtXvTv985sbASzAHlJY7qI9Oou+OIYOhwR C2JpSgYATZLmgz9VizXXK8twNCE1U46fnd35/YnOI17L1iXipPMMDOS5T8iTSPkaTChXH9lOlwhs knHhMzSPP9ObxnIA+dowe730pLa6oPGnuWGvOJFmJvkxCHDOWI1Qmx5c5wU6+kLSM0G2Y7WY1fol Hs5mpIoB/HozgRyFDTm6MtRVgwipfbS+MchN6o2BHK4ko+MNa7MRDZSdLFlfNIipTQ2TkWB5lHBm 8rDGj9uYMexfdydDnbtcFeGdYzf+cGZqmFHjXpYmTDk18nkaKVwB/F/fOVLKqLtb4tQVzQ3AAHvK YfcpuXl32VKsGKDtw0bZtLfu4xowhfFD0kANHNau0byspgYIvYiBgQHBoMs6K6699yuf0ah0sjRL MY4+Wk2PVO8/kZHD2QXbNFsxoddFtKrHUe24GvykeZcbruF02Lst19+eOMmN2ya/V8pd/huP15lK DyLnlbTTkwSquiZTpUryQ4wKpn2bxwWsz1REGsRyKoJTxVA1peADOJ9sEMpZBcITpbQfaHkxHtUB v8u28LaQhYZ1G2ANQOH4LpZHPUVLU1+bXh0BrBx9CEkxsXyyBKc2tJCs8CIzZWxUWieLaE5sVLvZ decmd3yMVPW3uOToqyc/GbcrhceBTu0bgc9nwMRt004yWDvlwl+SiJAlzCKwcxCLrYmfRhVpgx7b XQ+UTlb12IrRka5YPUPgfkXsEAyzNGFACXkBnkAE7cJAT/mBYPCJWJfesrne8UarbwfryjCQ4gGN qVRu44Xqbxjwhowfw//NlfuIHYkItot7BqdoD8OvxgdPYt0mGEO7Zgv4Shauu0tmw/oiy3nlBq0a htOr95/IPQplCqbNwP/cQRx7mR2lkFAP1x9v5sdft0Thwys4db+AEEDj+ar0By8X2J3CAH004LE7 84N1TlufcVDkE2kTkbprCaWBMiyQ0q+PpG4ODWdyhYb1aztJXp6rzwAjBY0By6x1fE13KbEFao9R yzYXa4/LHFxtgPqb4CMFnxEluv2xidoz3KFXDQC4CyOiXrLZNc4/BN3bRInXW8jgYklJRdydfWgf YSAFtnc1i6v1S89I6dGOv+kkhBrO21XBqlRXqRAXquMa9nkqXa5dpoJWLBlsX910L2yqxBzS7Frt uTZOfTW/uqe9D/NsFU5umoRr7F8EASY0IudiOFzlW1WrXLhMMLs7/cvKmuzOkbK6h9iekVAsMnuY 1W9VM1edoD/dAlWeVMgIGOEzoiNILhPWGMMSOHdJFMocHo4uThz3zjsvL3gd6Xo9s94wh7Bc100H 53Wrfke/HLpeQsYaHLOkItyBfSxDgLzy24gUFjt8wC4h28GSGj7A4Hly/3eN4gcRsd26KSwmxdLB bCHDnZQlceKZya/DozdK5CcgM7jSfGwuA0smc2tfi5V8DNGUVPjXZ3stjLPmGj+TUtnl+ZhXCdXZ oeeqE5r2lvgUp9rkUYKqpYRK87xOF+FBWaIwWomshoIXePr8LJQMb7qCDJkRUz4oYefMeRlNVC2c 4nd3jfg3rDdFqRKr7jcHXWcM0BivNr9Nh0zpC1AktyG2MimCzd98QJZtqfmnSiGm0OcSNoe9SQGw VqK2U0S01vlcbzPUb9UhcFJvU/LXIbxpm5moS6MambExQQzPlF+Ax5JL0Wnz/2AUOsAQoRPV8tC/ +cAwXhrhT6eGshqg9Aeu9gw3rzFtRZi/Qz7DDsjCLoloJBfhjNrZU7hM5tRUcQZnpLAzT4VIlafL NFYQHKP/GimA7J36feyYt8qoA7PvGfi6xGHn0vAGWA9mG8yZm63lO0b8HIj5stRKkmyTACPNsvsP Y++J0x7hb95VxzCESYavlHfP8JgJAaiFoX0q96p9xSiDLh6I9R/MhNkDxA5S43CFb7pUO6bozp44 ZMIyV+pnzMeyRjgHIstKvisEjaYsFEqzzAdRdS/QvZ/vgQKwS+dFXAqsRkdthPrdYk04TRnUH06I MuWhmWQ1vqVA3TajoM0q2HuDfNApNRice+v5nD5dHPuWxIdPCn/sNjENV3r0RsWBVyfxNxy23Oq1 EeL5CRU1N6LsUrLW02GjHIgokLsJqK8YTJIPPYDX0kakyTmYsZktpxhOOty2MdJuPVE5jZRGD2pd CCzYN/3oL6pZ9q5/BWWb+awcSAjyDOokvyZCQJg4bUMHpXZCh9JBfCUraIg/rlg+6X+JosuiQupK DJkKqKvs7j2DVvbXWe/KyPNCHw3URCv9N2x3B+QI191qfnTqt8wnYTmkTb365kZw9EnEiQE4oN2B o6s/MJMeEl6FSnTaYYmCs09BWQdv+TAJ65AkrYozC6Dowusk2SpMhsa5gGo+BVmJHYYrrgoeEFXQ ohvxzuOy5hxlr+M/82y7pWOunFraecKq8mYD5jy/IIbilis+atpHlFOoScaXWh3GlVdyTXmIoVvp DxKimqcQ2xi/CugrEx4UWe47UQ/TKR7eNYv6napQPmQrmGqf69K++yfEcokRf7euwLjOTTF8smam vqU6Yus40BLXgGK5rGB5kHfEoMrFbqjtwUHbQ5I5qCAvyMXHVNMaHzGU81oFsph+ch2N7kRGtZ+M Ru/K1FoRpHLEdz6M7fQy7i9hqOogEjJ32eatDZlNBq5/90AV6hVh+IT3U5gCXr5vhxrJxjWlcQlj zJNfUarOS+Ms0Q8lpgw/xQitY1l6nlLfJUyeD8u6vB6bZYMpbXlCbQNGYmtoUnkOGeAAhriLVgcD nJEjI0Pg3h0MmbLTCgKjI8tUCJZVra7asWCrBoAG15xbs2MyKnRJW1tNcHX8DluVYpResw5bTgVq HPiRJHfRlB3AK3TW91jkjxSeLgBKe7giKod0FUrQlZygLj8GUZZF+LsA5QXVKXyJKea8B3AXqk5F IhIi07So8hEF5N3+ZxiXeyhpjyzvsvubRWNfZqY7inPtpnqmomTxTcrGd+UL1oz4EaKuCE7bBFd2 Xt21WoooR5xZ2NUAatKAsKj3hQBDXTsUIBTF4fJir/YIMVFKKW4H9hgVV0PkIyvSeYHtAZE36War sopmOgMbkcK8Ea267s24QLydAVPPI29bU+V8Abavo6qZsGYhOwnOW+77+ioVRWl117Y4ohHu21DE dJzJVvRtG8AYcvkZPAAR9Pm0OecJ1IeoWaSA/mnWdNzk4sFDyKwK8mqZTqMTR2tCwgeFxAuTPTzL MIs/MPZBIU6O8whKUjx18BoFJ1RXqEaycBxAnnWK1/FkX/FA0FR4iHGED6D85OZpzi0JcBaGrQrT BUx3nhJJJqvetAfcvKgBjgAvKcOjYF76toeHf3gyEp3+FBH108Yqb7TBiluWDGzVHbQH9Fr1wVnt 5z7dQJfj1B0HojL9GYvRMx236r1rZgY7T4g3K1hvNxQ45f0ZLg7xsMECsYkB/la8ghqSkbILS856 uXnLuLhj/UK56wicuWT7KAJH5NZIvXWvF1KGqGpMO9mMKC2nlFcBNLbdEEkFaJV+mfqe+t3VWy2k C+X8s8K7FhkOd0aAjHGVleNgYYTvP+XZ5ILBAAw4GyMxM9847fvQGMs9M19Oh26ZUz7y3dnYK5r0 cUvTxOk98uu5tpghMyiyqHmMoCcrdY8JR9NLyB2HG4pWC4xcZarXFWiZt10c5A0zhBtLt4pWYm3q T7H986qqwICq5CySexh3nD22vvajeIcodwdCM1OLmYfWfY6nR0qcBLkyaar2lav+i6F2y7WE8i3f cnKBYh8fSMucJW/tXedhykVp8UFcm6BWImuCyvwY8ajBSboNsKdzWUpyaCHQ+9xwOkP+k/O2DMKf H4v1WdE9vMMlCKk4QpjXMcej3HRb/FVBzHQQTFK1l6sEM9dyJVwxFvPpFt2xvokHtZWcLbj7B/N8 bp9SgWPUcGIN8HRH5LuwGwkXBCoBS8fgCW4rxfqH3A21AJQ8Gveg9+yYLg0s2S7qjoqq93ncgjLu 31N83mCe7Il7CmFeJeEByDb0J5ZE2r2+EjJzoroNWJMtffmHrcFMsuK5bZbtgMxaMfkBi4Tv5hi1 lBTupCmujNaslKtHU7I0rVJN9ZqAgHVCL/BAVZXBSrGCYDFsZ5b7P+Wl5d95O2DJZ/nC891K9nVg di+AyXJDnLWG5wxa7ENTdsp74JPwkwvcOjSDe3MoiER7M9Z0FZ3lweUSIvtSEIvLvAvtETb1SGek zkSxwga6QSUGqyDu6YdFb8gsASuc/MPbNMlkyqWxkYgYOlBCmIrSzKt8baB5T1pFvu/abhZ1zo5S AbW2C8qxVyWDgY9AVF/QOheCfclBiHXovaum8toLgc3har2uVFan/EXGUGJ2vtz33zTgJxL8IMMn rlJ2rF0oAG0P7Dtmn/DeeN7MRQ4e1Xpx83cZTLV70AJGQGbylUp39uNmCGjbW9GlxUP1+onm5mD/ YAuuWZmwv3vXz5OKHZe+5cvhRR24UWTBnRn5MRcVsjs0g1RB+WmSSlPNWKBKjnkU5hB/ly7Oe17D tEa+UOgz0h8QUFccYQmSgOjVQ4j0ghFB3lMg6lsEE2dQ+WYGb22E74plurtKu9s39KTxBsSrFXia t1BzTj60yj4y4d8CSyl/pD2EXv37iI9J2hBhoeJ+YxU9T7RrLTSI6YIZTZStB6Tc/29QsgBwGusD WpRVoTZNpA5w9gf8/30nCNyjexKuaiZhLiqjaTNRkRDg1i7pKRl8IcoeslhZbgq4/5XmK/3b5lTG I9g1sPAUPE4vp3KLnuzeTUg+FcaOdAylOprNOEF09/WCGgrmEy1wTt6yNIkM7LpsAl55XYkwgCpK +nh5C0+iyKmxya2F29I4V2jtaiqFQY760U/2KAhmQxr2kGxVhvCCZ8d9J+DDDSAjoKjW8HkfUdOK h6ey6mkBU6/TJmvd2Q9tqEfjyTMVsryNNJGf30v3dvxHeHQMPFOwRSIqF8CVZcq0R7mAqf4G3jFq 7S4TSJrWnzq1zuZ3+coPhUSBKqY9KaWSDi7mKSGU1qvy3V0S/eFjWE823aKWqBj2Mg2kAuZ/VPA9 5Ca4vb5yPzQUxpdk+ZRl66bCdVrFobOOTGYEmuT3Y4+/R3s549fiJ4hhVmQPdfpCzC/5GFYSzUXg xiOnuMzhtkmx87I0LtgmxDpalYUUutTcZ+iE7Hxg/mLO/EeBMpaViP9avhMOgkLNJkkc/YgtadKj rrRqNfHjHnNpCZnbMJBsnRPuLEUmMQ5iNPDZvc6UVsgySin2hW2Ez5Yy39yj+na9hTRh3hXUCBiP IAmccAI63J4UYWRVPTlcAa5PYm9Un16tjdWKzvxGmUQz97AXePyC+HsPK4sO8mi1ell+7c9icICz kKwjVijvYgrH7i+1legWZKRq0PbER+Tyj16fuend2sE+en2QRfmCI3Sx+Dph88MHEuUlnspBxwn0 NnO6PfLX8Kmw1e+IzpSpH7gkwOtV91X1I606SPYdi5fbGv3gQQcqBaL6nw/RWocXSkikK2GBccOt AStti+8xLdeBMszHBXQq4DqSYaAEpzF1XIq90GZPPsvOO3u9S3Kizhmb5unxIzCiY4cn2QLGLLh6 uBymRqGrBA6uCcvCdwTNDc1uBgyOPupvt/bx/j7mZMvQBB5zMKk2Sf/I58wkOQxMf/3/ARJDrq6b QccHE+xjNlygr6pcc9BRTGOsBrnOljx4UIaPbAMW75JCcSnmEYeZ5wcN+jK0dtsgFuFjp/cKwyZX YbTw58g1oeUSP9FDMjrIEWO1bqvMW/wWMhXFRwjIYdE0Cyv5+yuH6g4vsCj0l3WHOcye2kir6v0l fpyY0nE+hiXJFD18F/5RxnL9SF7ZQkWbaQp83Wyzms0S/DDlyONsHQ+TvwE9QJXY/Es0YtKbZUv+ lrJE2OL/6mC+ssjPs50Xwff15VQeeINKUrNyOxnU2xY9AfG1txH897SxeLEgcZC37596OTfUPXbH NflUhoUgjdwsk8pleCuCd7C/WUdvyk5u8/el8MAyzgaE1P/nH8ZJn5yB3tLAM8xqbOHnXCeM9MNZ PRRERHBzpNM649T4jALfl0fYeqos2bAY3+YvG+9SiF2MmaLwIMt/PGa+OIfddyFcLK7kJaiBaiSe jZAevkO2gCdlnwzs5nvN1N67QbTcwjuvYgEyGnCOACdIq8zv29fAF6rSS9/XmVhLIEYjePvSoyhe GoQ+IemhYV9pTA1QaUGY/t5Go0YyI5CP6CcS/OzWGzRiA9rt1bWheHGZbYDEfsT043n28xTHVsmT 7EEs6nS/Y0FcD48mrsqWL9BT5iJL5+mAmRbj2xKUsMHjZivFsWIarhRQAhPMTrlTESkHpg3Mdn7G D9XffxZnjPtERZysgyruxZtqCRjD329+z+l1h2O/BDVl0q7sAD9vvqjIWmUT+zjwb4paA8YYXBLF Nm2sNHT49xmQN07GmrO8edbNkMJnHUb0W+JzSFu5dDpRjKTnGS69g+IceQL8hN+6VJ8s7zgNW2X6 XZuP3FZP87idKGQkUMmtVSPfjM4xLkcBmR/ToYMX1EXTpMvqUUS8TdOTnbSb0zIDDMU2v2w4KDqF AfBCPEcXYQzVUAAKJ4n0QxZeJ+FqR30yuMeUs43vg4pa9Yxn/iqsZ08c+m0hqGx374Pdge9ESFdl Jk1oKZr3uOh2IHTEIKkMO0a7mWHGOMvK5GOiyKvS/fyK+cBaFlk6s7txHrkKBkEH01oAR9OAqTg+ BkpGqcEntbZCCLAOtb2uv36jgrYlRw+4NY+rjMU/w8E6a5MBA/hk9x7F8/W86qmbjoGguHrw4mDr m/4bFf6bvR7yXtgZ6rRrx78fbLjnb5FIRqBUJhUVt13FMtgx+2DJZMe4K11ft1uUzQFjPO3r3/4N 1ckHDPDs+CB7oBTPAibWfbQVUpp6jcJEqL2h6EvDfE88Yg3oceT3m9LFgosuK+cPiShmaFHtLA/z 5/4FNiB0XHBt5+PwVylH1BK5WoNqqWSvha0w4XkV4vd0FgZl6DZFp73Ak1bw5pEb4g9LjgsX6lIE 0peXLetPsbDd2Hk8HZAr+rcjBY1MDuIR0+OpWlRSZBQIHFQuxR0IlzP8TPYV9UG8lcydUHq9AsKk V2xoMI7ENGjA4MxiGn60htDlgCru3DpoNO+Uaq71VgluTWpxuFYbRDTZw65zJmnMjiv+a2oAn1y2 YPlgvg3fXWY47opwtBSkxDEWACMb9kdZ6ziEJdVq/Ao5xE/AT8tJlVPcZ+VykjLjfcTkWiJU5NSB Ha04aJZo6LsBYVCwFiK8/BeGS7EmGGUJJdkI3idvcrg2H6Od8+7RAfykLKae4OmvCH9/bFPCAwOU +G8SPAsTILIzvZJmpEblDj+35Uyi4B7+XpiIl/UIz1J4W6eBLZZXmVznY5G6Hgt2pfoBHM0pzbww nvwmlUg0EbixFrcXzV/p0wl9LG7t+jGa+v45RemC09Qaf1H62Oms6W06eP6yF68Ni4Rz6GcfTR2L Lw4xuqdEM6wMTHIXzBClusLI3eW2SsNr9X1B+kIZUoXR/NRYlGuT9stcz2mrJdjy7KZe7HaYikke jjfthXvMlzB4G5PFUDGC92iKMri9bMib01gky0vgqupPao674Dssq7iMa8BrG9vkkOhLF8iZAKhg JEIduMCDPI+xe3l60eqZFZXmKVFm0grSd03+9XvIlK/Pms6gEbXUqbfRvIM3izFwcTd1ZGf3Ho7w O/QoInhhifHBQxlqgcJWmqNgae6Eg4RGVm2KoXTPP8vuB/7kGk4cYGt4cq01w9D6tTX5Za9zlETC Ye21/7JxqaMXLHFImCOGt8ykjLBjNnCFSVY+5enpAZeM7qb2ID5uaPhWY2oG3WE0upUi3/2pLBIo eVN3Mluvo1YOoORgYa71lA6NqKKfzkDrBtKleTfIrt41zb7JnkuPQ8a8vWIbdytgGHP4GVJfCuhj spf7565QK5qHyzO09j6XlzwNzZ+QQDNkk4rHLZnyMX4gpqQsIIYn+IL1XunLziCNNsuQLTHf43jL 7lLev1XOjSSQWDg2/duAudp+jwt8fCbAmA9HQicGePYwVyjrb1gx9WGaju2q0tNDtGYU9akIkfma 6TUtsUjfvROyhirYDS2tdrJhhf9rMC2e3aiaC97L7twSWzmUk8ky3vLWg4ExDEBYUNbFCu8NttWT 2Zbik10l69CUuKwoCT+oBqW2ij0Yk24fD+2Ml+s2bGgY4/294JT9o0ezS72av0JsWvPRayuOiLJ+ tKfU+8QIZ9jzUQ7CfwcJSZgDh+KAx2Iv3Q0eqWC0LlsGrs4LmfUh5yPF1KAEUaH3azAUGZhA7hOW xAUkm3n4ij1uC1S5Akiu61L06hZjyctU4ECJxS8dFO91URZnhHZ0olXHXjin6Yw6bDWyrVqtxUeY fJ6fR3NaVqvfK4apRZU0sRssz4rogNHUgZMsScQ2sZ8YFqoCNaLa2cXFhBu8smnq/WqHvO4GposO ARnZRCjlz9Xg+FUvxngG2PSzuJulWkFpNSJ2RKNUkxu4oSohCjMYJCUSccG3PjZnZbFr7c0DDqpk t8xyzMsRp3WGHlWf0C7k+gItQo94sQgl2lE8Wtv7InO00rjEissPRejTeh6JGYrBQT7T3DelzO4n oU6oz7rd0JOoOEJK6j+sLeKNAx9UaTOE4WmXI98OnSyEcAqmERjjJrJ2aapIh5GTGGnZg56dbsdp JJKV+wu2wTM+d6QujO7V1WRyFgicnIrVXsAP6RnkkFckfor8U2NJ8bjMjh34hrW6grBR6xx70Jp/ M3sRpcQ9C1LSJEnmFcx9K7BPFzHRhYmDKm7ETZg2jROOOw7ikabEvikmZDdwJREBPOmyw+VoMBPT UvZg7TPB6WZsXc3ccA7t+/Q1/0wAPWHJesUjQC8UIWxQuuYmq6hmwdPdG+DX9MTdCydmeBwSp6Su 2sPVT0p8WJuMIgprIA/l3YWA9oMYgBNz1iVMhSGMS3+1UbnewtGETtXhSY3zwGoddpgZi3QgZRX+ KdjglGgE+KoAa8u3qweGFILsKbn3w8djDq1d0xCK+hCN5uMvujb2M3HJ9S7j/5ypZCBolcFloCLz etjFSl6I+6YUXSlYXw125qR56t/suTlR+yoxd6VtvhS8LkAlulxDQdMill5R+I1z068gGnbvhTu5 XUcNwnxojSxNzU6w25t9/WO6VAa+4pcATl6G6g5eptGd5JBge/d1k+qMA5UfqHKiGx7K9SJYEMZ2 MAhY7dKwGsqlMLAckEr51BEPphSKZGBDwebR9gLNPvsvbdrX4E4NdCfon0Tydl0qr37cS7CBFd2j L1KCCJKDs0pKvl5rMeye1QegbyDFImdw7iXSS2oodfktFXHwDzaEwQ2/7YGZibkYOllqo41qP3R2 pa482SzzmWjLWjF8Ud7PTb6ViUHrAfm2Pl2g1xBlkL1jEYQdkehMDxh0yyyHCZICqeyb9AFOq5iW g3YPrbPc1xY7TKPBhtfp+J5knlw8AnwZ+8dxEz5TyIiCg/2UUuAdVfb2ZiW5qXi9WLpgM570h3Zs XkskG6ei8a9HlWrZ9r7BaBQXeVxkfbXhmwnAorGFlAzXikBQxzsipUP0ByW74Naa1r2MpBOIMRi8 fdQpHbt/EUOxP1LyliXRAIzkPp0lFONWRto2Hk+Bq6oxtGyAT6QGvYNe35bP55l4Ia+PB92lgJWm UAFBQdjD89itdGhVGkFg+XOpssglOMgQTPyNE1UIOFWs+BF61kUP0aOPJ4OnPZR6SMD0MjVNB3ef CQCBLmM7Vt0DLK8tRT4u5KdTzB6fPWyeOcMMUobmEa9Iyd3AaPIqueAKZlATJHdPcafGNarNTn2u /Hz4SWNCB4a7hNTKlr79T+iq8Ol7A3mOIVRtwEV1L3k+igPuLoDjalvGuvLN7W7svW/yPP8xbyxq MeIjcS1vSOne+W1gAkCkB7TDDfJGzuR5dUoYkAbQGT7YveIn2H4cDlT/m3hcxArhzL3f5eWD/MIJ dhn1uec8kQLw47hTy3dr9lDR7WhqnpTfmcjpXoujyyKe39/aeI7bl1sDnClzy/p2wDBfIHzF8dbJ UfYOOajnEjb67QNulLAEW9AOReG0NCJV9IvhUJPTzm4CCWz42bQ91sXqYCNbCvsOqj9KhGEDx5tp CjaI3+ZybCwF7FEMdwcY1Nt42r7j6O5bNC+B6zp49ERALSIYNimKA/3rG+nSlBBO756abnPeAh53 r6PAMHEibSYjyTD8G5uUUCf/KcV0A7vfUXTb4GJFGqOUaxLLN/y4baJsutQ2QGGt16Sm/1H+0bUW wy73emERZxd4upehLyxkwkYVBd1H1wJsJ9+WQZLt8x0L7zw3Z5O3oVRXF9Yvd/t5tQ/my3zjPaCF BXY4ygvVFsNHyUn9WecS8Ip5gtiO/tbJJaXZJ0GVHQP24BuxtsWvRmetZ6ReaYolSyvX9qs2c/1j 5SYGAyPF8m3DvKYY1OcbaL1E1N9cYgMFfYUKvl8rX4/F4EVxYbleOb2JpyHVA+NFsSW5K6pcACEl xHLENA+0t7Cm1JHwULo4gyQr4xrB/QxYeIfQQcE1DwjQjuHF2FBg5SbuY38KcG0TdvVQ6Eu0jXda KSLz2qapR/r3Lfa5Crlg01M5tQvi7k0XuzWKlq8UxNMRzIL2oHvHpKA+256IkKIV+CQBsMYhN2nj 6BeniCwCCUm3AYmUJxJXy7cKQIuLW4qUyJBGphitXeuNQ4zjEMH//xEziaSceP8wpxhFH2FkqXV+ W6fqw69kCohGCzOiiSdbTc4+AHlyWqLSn3x9J/Jclr3AcHAdtPDIAcvqat5A4VNvov+iBSlYAJYX /ES5miwlct/eXQ5hskINKnn4gAsyrKcawi2cPGWIO4pti05KZbPBr7Zk3Qpkt/vFtz7NH9DgQjP4 MmIEnqgvAKsSubi/ooV0K4Kn34zM+idGGp8KLNqDvjQRT3JRl9Tgb9Z7Bh/OdRbxFw5ecSSx4YLi rpAADv0ylCnZ6HDOu/HUaP/CU21lu94PPTPDzxaG0gurWCzFHB1dDHnu9weSGFMcovSRdcKb7uAe hnGF4q496e/UgsI3smzyRef/TTry+5340Iwj8157iUsfWK99wf7R3CuwsP/CJfGaJK8bCWdrL63x w9L5UpudYLjFsB81HZReEVEnCXFZotDgY2DUPtpM2kblSVt8W8/GylyYCaSZMq9iO0YsIlvGjQGg iDKSc8+jLfbKmkuPbwDhuEpuW0Zo3M9xVDjzQkxJQIobuPRDcVhy9JPAS/ODIp+6Fqd4yZ1eKSvu ZSl358zJKyveBIDTrXZBTOKTOEbH2j5OCzSkSuk1bbMElX3Rou1yNjWhupCNXOYbhCYAg0NHhzMK 4aIeW/gnMqxMxgMZTiGFqp6gTPBQThpZwfRJOcjM9f4c+TJ7MDoC2ciNnSVtydUGCG+jd1O6ev17 3VUHS95WAqsycVs9WygeKnw/q1oFKXSU83hEBKw6fLMyk7O8r+D8j2g1v62hRLX3qPW4v00hMM8m BF7M+dte/cJqi7Cn1NzDmyUnUT6FEB254mTToPkVbe4mx2oJp50fuDWB7y15LG6c4MXgsy9cnnwX Vq4DbTsB0AdyrrNOeINWxrgdwd9OaT/dQMsdOo7Tw+WvgFw9PPX7+0rBV4osBN5mw2KJGDIbBeZ0 Wb2rNYO6Ua4TpDyAqbxKD8RMB3BlD6zlSTD5+PFIYtIuW/cRi2w7iBAJt+ld1zIbfZSZIqF4Dcax B3bVO2eh0kW6gDRpY5eihf/ea3zD2xNl6uKDo1yCwyXnjQFG6fphdmODemWu206q7bv2z0GqsJ8F 8d96WHrXABRZkRxqn87SShfQGvPg3/nNwK9eY9Kd3ylk+OtfHz6Y/KXRjpYRSr1Zr3d0Z9IQJQg2 HJlNlDWHfZ/2T+Dk4wyrs0vkovNFTDExkoqla0Tw/1Vw50OvqxLLTe2cixzMO2fvI/0vlyZhtWSr PFfXsBOCe2mx9iTVurKSFUgj9L9EzlKeCe++y9i9lNG8e0ar0QqGh1xmTu+qgTAZndd8nHrxVKXr Sh64B29RJqDOc244YAtvQzhAbJuMjWL6020gzF+UJl5lqobUwQ5WeLYbfQ8S5JkfZD+pK3tYRM82 2HPY52+bApjUSmxy9mbbtN9U7xXqmexxbJFquIMOpZLjv2i1sZHb7a+H30YLnwfast3eKyWtJg8q TlJnYsmUGXqB0nDNLd09LOISE1nhI0GY/lwd+AUYjL5hJ1F578wlxQwyGtNY60MlOREs4KCk7SuC RIp5o457JyfPhkEkgiD2udveyVrSlDPCQOpfVzO4P6hYRij2Byoxj1rRG9MvuBLLig448Ji3mp5q Sl2AAE+08sAeWAsBYoiMXkpCSp5Rwvhr0Q1zuUexzepwBmOER3sT+mzkaIKXW43e8bw/m5LgYlyo BJvZ80o85GjFVED0rd43yRtqFO64Wi1qd7OKLNcOU5gO52y9TqskBS7vR3CtuDg2OdG1++STBCQx FNeGbQFVgXOq6KX8IIvTQ4WhHgk27oiYH2h6HdfvepkYMB0C2NFNaaageElIOJcEwoEdxtD1R/Ig 4rAWElXjxEsvz+AUYxH8a8Vt0bPYtg5HI7izyElAsMMZXexvDHIQCubWlN8Os8+2IOkaUbKf8DrT w3w8qZEJ5dcmQQka7L0GxmilsVMWB1GI3OWcSn94LH/iZxB12xs1tyGVCv2XFRo6hx9CXjYrkBPO +tmEloMcBtWAkyDEPHFIXmuXOaUXsUXSKZgXyLdHVVAbO+JPRsCSgXdN43KjSx3ACjefNH9y/YGX S8nfZCdfDoRjt+mXqzIX0z+FW9d5G67J+2x51UDtfQWcGsMyjHmXk6+ydTv0Or23Wngl1j5KTit/ 71nklKqTwLB3iKGMTeyyzEKB3oxXm4tholi1VUpM37VD7oSQOgB46DxcsCSwyOHlayXhqwYUHV8d LBmM6YU/inTtQne4t2l0otZoZ6VIyQ5HKod6SEAiMl8/HWEj4kQ+qONlK/Acuuq5dSXecYUg44NU qrO/P7m4vkQVs75gPk/tZ8PJ3rhyR4ysvwssiOwCg+j/zq+IBft5Q2cR5OSnpdoUbNKDL8mpw8ox 9CCmr0dSxWuK5W1uVbIH/Gn32Ah4+8qk68cZar2QiqvS/LSCBu30ewEbzpXBavqaoYweH6TuspLK Uc0pW/ES3SBzD2mNjzj/WCoggNVokCMmPjUVZ6bNhTHOxS1iM2hpEope6i/23pZVxEPqlRgdRkBx 23C5Kv/l2PkohccN+7V/rUiDoiaKRdicdKJoSYnrY+gJLMuLTAxXgAt9zBwRDrpj83hnld2l7ZQj hRMkkYhA3sH835P/AbKwjjIfuaRY7uIKkovBeEm+T2V5SLt8vi/p+4uAQV1CGKJx0c7b6JjR/xKk gFjkf+B7PbWyL1d4LmwWjgsz1XPydrKHTpTXnfsWU8O1Bg4riBPLthYK40j7kBfz4RfmePBEvFkr kfqN3KZ4Lb9Wvv8L5VOiDG2I7TVH3bph+Grodop8rk265u1rMKyvchdPuKMDlwgWsf8s3bDpbAX2 wfWCk5xCpatSNMK3fLNzdTkW9WltYBe5INpMG+GPxHmc2+gf9gJqk41LcthoFPwOH9beGR6C/wpE 91vspNGcrtGQUWRHx1X89JJQPYL5QEy9QWEgickietGYJAgmToa5h18CthBSiYE/J3Azg8joHMqS 7KT7m+Uq86U9mA1vde84Pp+4Ydb+VxhRqsamDkWyV+gYvujGHMYk7QY3hO5mpuZvvFtsaSeoEPR/ EYdPkKQzAA29XW39pyOau8Gz/eidvWalvaUqAdsDMX32VF24uJ1+dctVquzN6xV+hHPspm1jCM1O xlJFw5k/6R0wfTze7VkqPAyX+ZLQyt1iZAkQ6kFgrfu9SvvO3uxqCZMHMElf/LpclAZKMT5A8BE0 jVevbD829eJh43ZBaw3U62QGoxP6g5GLW3QdPlHbrGiIECFPvCpPinIIxJzjJTGNI9DR3OOmmvXv 0Fd6WrKWqKCe+dxkeix9VghR9Uq0a1H9CIVb3r7+dweLRzsuYaT4G4q3+rqdiW44XoqWuqhqoROi VgOlHaNHGU+lYWP8YhSizTnOaHhZwQUbJDfx3n1OgYPjYw9Xw6ESl/uQgK9uqmZC9aKhv3/MkGhb pQOSP56gK0aLdIppx/CRE1meq2E1bSmyABkKJ9uWLHG6wub7gsp8mHz2/C0odwsN5Mnhv2cQ+QY2 lB1rubS9zcPee+aGMDysfgt1Be+ywbCeoKCgH1nU2z9+tWWeWeBE4XFYN2cSdwBC88b/AR2y8w+t Sw4Iv9FEZHYQDfwAVQWR3mRaYzFEO9I87HLWP1DxarPdnv+cjQPaXvxXC53qeYgSJmr99q2v0+yJ FYsl8Nmc6IsXA9mR2FEm5CKXO8VUHoryB4LP8iyLhlgZ97lFvYa6t8wX56A4p688B1+ha0e983kZ P/sG+B2/b5JrH94d05bx/Nj7thW7rInCNvf0+pj6oNrXEOOVWXqq/L0N3gtv/q+HFdp6eDOo5IO9 Lf0U1GgGFHZeN/LroOzR4pZzTnmY1RC3gbCmYdrNWgxXiV+5tC4A5UsEY4Z0JXDKf9bQQlbkF8Lw THcWamgitoO2n4dfmaLAj55gaZGAV61cu/7h0e7D7LqNECLXRr8Qx41rG2DaC9RpRloY0lZHtFeD z6IHyGuAWb2ymF4zlK6c7fHKsXqg5tzPNJkZ99fA0CNApD5vYw2WnuTZ0X54qqRbsHY/Qy8JH7Py lvgsPK6dLxkr5+L/ACOxdIHqwbzc1Y8NiLYTcn/ibrWmli9nLGgBSt8ZPZRnOYNFUavykG0FhVTQ bBhaHk5/JhrfGkUxDIe6oPkA+Z1f2APRLPdttqMJQpqKIHerb13jkkKtTV+lyFJbMl2foD+fDCEE qqjyeNkmgsXt8iMY00CSEPfqojyrOkvaDwTn6Kq4VuQWkbj8YlavEjWd6prff+WCQh0+sm8/Dpt7 lLS5e3Emzh9qxWqZ/fL59uAH6jNSTGh8JEet3Kc2lVDEp2nEa7opM49XPpW4aXIjzYgPz639otdf EufWTnNam3xLv6kw/+6BfXR0hjJ99ELP0nEVMfjP3zM2C6eD6wiN3qwT/98A8SAJjTJXJZgrLFTh rWF7XZsuM63TIV2l0poDeKCcnNuTIUD0PulDAwULlt2/Lts81CBvyPz8AwjCp/7VMovRT4MAbWKA 9NR1y/OtL4yuhqRkEYeMwdPCx1lSyf9vhxwyRP94H4aRQLAoqxaORMa9nHtK5gI0Q80v3byKF0ly QZO5YxJP/6kMtVLPxMOrS16Ae1AFCGveVeMxNeSmhIEInAC4raVWo3NygARVj0P5PfbAb/EplF+2 mRI8MZksNJy62jOgVjq52TzOmiZyOTECjHoA+5LZBYQL2g2+b3mci62zp9dCCCKwVbuXixa4/8Le r5xZ3RSoAxmp5E0fMpkXksCsOvdXpVSDX43IrMwBuehgdPlgAMDKTD/zwgX+g+kKLdpB8kMzr6hT g/VvjNpCRjQHsic8YdkTHg3q5lQ4l8GjrwNwLEMHJIPh8W23SsGnrxSguVxpBzp1KC9tYIs3u7YH oj6IEMg1R4Uew9t50jMeCpgxZSfwpycTFNz37/0Zm/n7e+z9onLw6k9wWPbf08Sz8OSbTLy0WVkf ALXq6jUHyf46GbYsqU3zzpeEjrbeGFq2gegwAY+7FQrc5rmy+Q9OUoP3KVftdBufH6rniFm9zIKe 7D4ZBYT4Tmav8aM4fk8AFK+fYFsLUpTNjX+zzacWShVB3AlgJnEPAdzY3uB7+G3QClC41qHVqaOi G132SiMsg34vQh+yki7d8IkQjuJX4PHkgZtKBZOXwFqfrL0cC6FojUf7KC6lb74WtDUxB/BWLUu7 RhZSM1wDfi0/o/uVp3g0g4+GdD1UONLD3qOrSnJdz7ls5gVqNKCiPMkt3yZHDytLym3/w6e+YRlW KTb7tScm2MBxkGJ3+P+e9aEb3bLq3702FPXN3YSW9ZoGFHxMm2CVyWOW+MYGj8apjQjJju9qAN6g PlLPGKD/Sv+fBpSWaFUckIUGpxouYrd/fb64Yis0LHgLb3lqYmvBcQbP5ibU+/baB/GwsB7jKOvO E2eo3QTFvrlINskfr+nMzsg/IrZXahTuRyAKeMb9V6XeyA5ZCa16W87bwGETgSgaIIpJtlDyVSWA 1W1C6xoqcpprboFxG0oFP8o6Uywh3FlRBDb6/uOtdwIfi1GWjjSWVn2HkOZAL5fmXtmEpkx7HsCN 3lmjrd2QZCWK0p2irFHI3eeTLRs01xfjthgzlTVKODo+ddnzOa5mSeJ8iNQc4U5BqbAfqEB493iJ 1HEz89wIBXQm9JV08x1TONiU9WmGZCvmGhUHKzwfAa2B5rt6tIhRIHFo6y3at9m65NUjxccsKxTt cZSJMR35YIWk5dt7jSWwXwpPGadfult8ErXRb0+BaKAusrRlHeISU5PXWMSqXg+oPd7rhyI0NoRr vUJZ3i14EZMINRmbeFGE2j2D6eKqNQnDFkO5S2r7tp7I/AeLSEAxIuswOOOnlVXfeytzq5y8Z177 Zdf9goqIongxPhhMcTIkDw5h573rp33YBEnGBzp6PjuqYieIsXDxbplswNFUtqKoxIDkglR1B/J6 jJpVYWYJMqZBe6gYPAUUdbWOI536cVbO7oyBmmWskXgQNUi3puITspMBSS/LjJ0H2LqW5rgMyo84 6ZTDrFp2VmyjFUyY/ZEyW5Tq9txLMG0L1IyWCoGy74dtGuOG15dKAi2akGCdeJVmXYNx8W56EArh HiNKNS6sMXJY0a8ousYEg3Wx9OTGW6yRpfV3lw1afGasrT8inoyEG7q/b2D9Vg+JVyMh+3NCf4Am uchnB933bjRn9xXaKUppmJP4Crv0HCBq//xuddP5GEQFg8F7KHmXxkSruHs1u6gO584Hp4X+q9jv AP+axewDRIhc3xEQV6dZbaFUlQ2klk9PQzB0BzKL4T8vP/kY+JF38w1HBLwxaGxnG3UOTapk8nqx Mslu9nQqvES8hY5ImEQmwyLJ3mF1smb+jADwZteCx98X5mmfvnoN2lddV1GJg3yXJaTShsm08iKV 42sv8kdue9Uh4R0qEN5gQZTjltZcQhBW3AHB8FD6rnQI+w9SAzyYmdQbd3o2C3XyKjgIeHKlPdIr mKdeCLIBxgYeBK6j2piI87QxlmZlCACwctVqxvltrXvPdzdfYgiiV8XuNpoY6ol46sBu/7EXuzPl MXsn6R6F6oKmfzvDdJyM+hzZ1TJjNaicN922gz/GXEO8cyV4/Lsbz22ejAF2VENVkOD81nX3BqRC Ny9wvEDezHneNZNvxHnePE7HtZt2Z99nsTmbKQ0S9S5ZDhtYux31ZravaOO0PJYZ6zlInEpJDdX+ TePmQ8nfPnWhnNkYqlGUh+2V3yfwg6+WRNLhp83vQGJKb7v9mCVnJekvAxME0CzlBFHszkjLx7Qu lKuipjiKzVPTeYqEaVBuoFEXg0Im/R7Ac41RW3vH3wygweT0cufMCG+t21rtRIDBC6pERM8VpLan 79uwYGsyK/h8i1kHAcHhnVFW8XcGi7uetTIfNyquHCWr+BHj05xrso1V7UoXUTOOpO7hdOtZNhKQ 0g/hXMUeOpVQDku9vTzKik/Gyx6zjYFTl1fMP24qkZpKJrcyfs/O2q3hoxsZ4N5d8iV5A3KeRKa7 ETc7N04sdBftkXAY3sImLYZ9Mkecf1LjLMc8PIzUYldqK8xQR+H7AR3rLgKrsKxIwCegISTKiWTa CNWFzpmoed8a204rwx0ov2J4HL4PXTePK/pXudy4aKYI5G7Lm74Pm7pCiiIOL2X56l4ucVgUKc6z 7ozgyYqHeM4SRmDAQ/lczVBlbnDyzjLVLLoT9+pyWqeQEqip0EsvcxEJ2RGZEoRoDv4zqixgZnvF CvX+ilDL9C52vwlD30EtebS6UbUGe4hwhZZxgzT+nl5gAU29l90R41kxRY1f+A3OfO7ROnoalYKp w87+wE/hZFlnckwN4TEkLwrndlJGywXThy2baZsaClzCXg6F7aVeq0qn0MXOYrEDtGWcATxxEvZQ 268KHFmZaDyl6vNL3Gy50AcwEwCIyfuUbmW7BcXiYOmmvuct9cZEIOSust/fIdcWrp7ISRbLltjJ oMRnSMbpBKyJEp+K0SP5XEkMEEt93LrWtthwuf4EzeSOr8+QvLKl9rqGcWgAlvJ3RcJB5UWnhHBS LMMSeylr3NWY/zRQ/Ex8eV27dDIFR0hBGeTVgCzj/cRA3tN/FSqiuBl1uaXrKgO8RBO0/36ZCG5i IxCOBIVu7BaDE4yLZJyJ1o5a8W3gTCm5B/v8qWCdvW4wWRiU8/xDmSNjcOcoEbkGTe91+opyaRyI 7SYGhbBgKvb52ArUIwuMa1lzbkpKafzkXzE91qAn3Vsm2OTsqru68MamJAvMXzfdT7sGdrCXShvM 3aVCr21HZ3q7f+20n6+z8haN/SRx9wfWHT6fOoLKE8Yz4/0oRCmxzR5CeL2EIfEhfBn3MNfGKGKd mjvPJyvVidiKTNiPRZubnQn+5uasz5B0Kd0xni6wynjVhjq8DwJE/DPIpA3lqCKbq7nSR8oQgRgk QZFBM/kJqy0P2Tu+QbTAAzI/AeRyvXGzw5NEWRCpPNJJsFtsHIaguFW/Hfc5dR0lYSKbyT6gGnx6 +9W0x4v+6UEhsNEY8KWMuMIepJEyh2Iaq+1tYFvOQQhXN7vsAx90s6EhHGUCNzeOQSEp9D04s5JS hSDTU2gipVHae5DzP/9UWCrC91Hnt+F/9zyq1/Nyisc0w7hs+PQSyK7Skn48wc9FcF7k97KNS0qt CHkOq9ht194odiB6g95Te5SXfrNCKbk4pJnpZQ/Si8+kfViTyGGr/ROMUA5t/YdUF5nq1xhSYkw/ fRC7bokh9j7r/2AfQpdWuXZ27vDROoVBqgfaItkLTuBbvRO+nDKM8E1pc8tMISE/d3vRvD4a56CH X8Uy0qWHbO115XpjrnqKiA9NKx/idQUVFL0nVEhtwByeOGKHVuRGxNrnpX90NvJ+B9dno5DwGTH8 a7+W1vVBmM5q3J9amYIGJ8aSIzVkUxLgKoR+YBPe/QnQ5MEcyfofxVHQnGKKZ7mcUODOfExpjy1P Bl4aYEerNIfx+EucHRm8jz7ZuGYeaUVjPdk/1P06vkQILA8jeEQwbnoRH4cKB/PZbjirxfHi3rXL QivtiwYi0LSKYwhoiPxTvKulxRN/jALc3wOuIBOeK6jxtPi3ZvVWDzVjyURp+hLZSC9+H9f9duZb 5o6Hi5ARdMCl7QRqEDwuHjSpt9ahw//DK9E3CNzk5t37uU4HZha8zK63KREQ8sF0ISmb7wtPtRWC FK06l0eho7FPOzOOejwkByss2LKp8ZHHDuYzSJCV6V3okLPQ2jcdstGa0j1Wu5DTKrU2QwEbgRYa sQYRjQW1xED6agdXOcYpqGbDdmrUjcTcqEARjEUt/JhKDbqXEIz/emHklbJxqDojNVPTseLi7xan xb0IPXTTdeZViMkRh8fiOXcwgpwbuy8jdL0oOG/eJcTw+6x9hKe3BDWH+/N6yq1wwqqnpdDGRxkM wVQfrZchuDGjkf9YS6NnNArMx+OGul+wzhqwKw0efB6c18+NyNs2VXvpJf2+GmvHhxrLmwxgBwAe mzohgeohh621Yigd/0rpB70T4/NmRydAiDOlN14LKiRt4k0KlqmYO92VUrmX/tB3OkF1FeNv85cD epX+LmCjZ+vIPsMYWW5HCELYiVz1gfby3nJi3gf2sLk+cpaIxjU747EGyn0iUQkjdyoXLkl1ianO VP7oIhBA5V3IywP15JzxwF++2gRgEqh0VIPFDCbD8je9gcidrtmBtaZGD6yW33pCzY8vs64/T/Lm vPDXb5mfIvX8GS5ryfVw5KmPgVZeWZmG7E5+OZgidu+JVT7j8J51Bh1gWWip/ja737aaaVEiksv6 ibilJ0Mg0jjFTvgz2rNmDCpYKlp3EXZakiEQyNX7onBiBIFxWTRbeSrtAKw6CgODc4sgdHbEYb1e ++p8z+PwwZ2jugXl4oncMykIwzWPpmAZNtoStzuUeSB0nMYIoVDYOGY56dTX/nQteEXYqNwxZwNs NZTWBB1A/r6YkzH7iGupMSN994kSKdVtrarDeps6Yju6hYvlH9kb5nTs1gUYOxALQkA4clHDqH+d e+DkVnSyPLgCYbmlHTW2Lzb98ZXdvdVz7a2GQFho2hCRz0En+PzMlpb+1Fn5BmwWV8tBP1DDeGlm YrIf5srKv7x48FRtmXKl50SjkhO/m+IUbRVS14OpBeIJdOWfcVXwkZEnSyQqEuyLUc+PIsakMDRf QLlspZqniVO0GU2AqBLv1JaLQ8UcdyxKVCSi9SKvibDqiBP9F+kDeXh209JOFREN/lM8M7B2t83T RsqqT2XqbHGw51z13rMJLbhnaaiUoxZV4CZsbR95YXUjB4lk3rwy+xIjs2RDpdlRJyUVzQK4A+ri uWBASap0rYf2KkGA8xObohjSLA9FvB+Os6azmN+Oee23Dmx0b/rBbAm/J4asuxO0Pj4VO+ZzBqgZ fUIyX6M9X5lcPYNmHDGlIA+AYOACejbOhxDspqD2GT7KAUnsrFveidx3dsTGqZ1vUc4dnonKj04n RpsYPDx64fAwyBjWCwrwFjOz12VaSAG5dVbYtmmUl5L0syYpc2pZ+Jvj+dOiSnWg47AQUVQjahYK Sgup0xw4Zy+KLvMXHIpuFcusl/dtbahcK/8/I8vbwEVngtDLUZnS7Tg6ycjeU8cUyT1Jg/dFNQ5q rY40FkcakgVDfnD3Cm0fRc26im7Jnq1z6TDHP6eE06hbApUt6JGtrDxHlXUX2AMaVqaT8H6o8Y84 vHTC0OgDNAV/aPVklXdUBODZSdWmcTD5D+NXpDNhzWlm4EkDb5wiAz/dCUdSO7ti2G4YDxu3Uqxu pGqjpRZjXZqeQ2YT1DFPmBIyfjMRzlyqq7QByi4rqSUKicmeNHFoGq0yeopsDDHqYnpM2OET6Mda Wl1z5GRJobPzI3WXpHok9a7z9ykXOa5c5xoj3bIUos3E26qpOOs8XR7dTmccrpDP5wvM2ixck8RV 8FhNvnx4nQl/WXNMabpYcZrSzZOiNYu6CVqMZeRnhpCpo9QfYkowrNhcP5uCf8VdoxcQv8Lzs4pw WjWVCJPkmzus2uVHvGcJnnNo7VoxP2dnu7YtEWhYEilJ/nDSqspDdBK3tKRziVPVXsY+MA9dk/5U 52C4g8L9BevYratQ0LvUDSQp6y0uKczGSaYgbwIRIKagluBJL0008TswpgZ/girZMOG8FdRqHQYi BSEDdmqWHpuIoTk4k3V1jrKqc/cYFPRBVqTwTacWVVVrF8R3mGjnYJWRUaZ2LhxfI4hUIWhOOC4Z Wq7NzFe7Z0KoMaX9b0p1P+ELlQswGc/mNsQeF7U1fazwN/RHNv1zNYmDDeMR9fefqJsSyovufOwX LQG0jprxOOv8CB1ZL+Prj76OO5wDhOOKJjWiZtQOrQ3z0pSBVQAuGt+AdAz5sAyGloM1MdX9p0G/ NnK6KUYPLu7yGwZU8GPHb18IYOSITRaMIJKUcmZDbJ2cGxZe7yNIFoHBfaE4JnbwhEvX4TM271+7 MQDRsmoDEvw8YjSfbptylETObKj79TxTLYsGaV+wU6GVIye/8Q45M5bQrJagfhA8tdkoPn/2qkOm eLARN2TPDyppAvUJFssEeAJmntmr+AlAug1RAgSOPmJxuhtCG7/pXgJhum9ASUFhN5mYAKfc8kTt hOZU0OM6PAsugvCfUJEIkw2FBI0NBGtMzwywZXLEExUaGQUufVI3R9dabSGCzwsNMRfFQ9ECkm5M AJVXD6yQDLROv/Xa2TiaXY4KdktrBmFvWsKVo7hRKmR9wHyet7kcYbEfJmtdltBiaIDP7YBvyxX8 Ei1fD9K/uYF/4k7xH+FX4sV8dcLm72t5Ah+ayfTr3V598k2mhAazJl+GeHF6He646u36DvNbDypb gYYeMz4TADma5lsCxtqOfiSZfzRuM0lTRVm6o5qA6Ul9KrxkgH2fmBmX3ZJljDVMdNmmxeaoFnHL 2wLMYgsQ+FHyxzEAZmvIqm2oIAX6ZCnjHbaiDa7NYnDjYv7HxK1ud7qajgCvIM6kyLtuD5QgSoKt QEai5/f2Tx9GZZ795Cvnqt37kUjW9c1ibmeVHVvlefVBXioib0qErIXp2WbklC3KT8TqufM7zmlc bOsrmW+wtfXY/1SYA70lHmuDcOPwpMOj0xcdljKveCCzlSlLn9HF1Dib3BCD4V4N0iDpI6RSIsp1 ItcIW9ayLiKAQULF/zTVcWThz+xYzOeTJBQja0VsSruAikoM0PeykVkPobV7Q9VJ4EbnLEwJcOLQ cNx3Ot+q4daX9zkkaI4QA9pcG6dyWVSFdx77CvftSIashBcCin5FCcyYsRP3URMhsMWfrlIphJBZ cMjEaswDYTjtT/3EH2JFo5nGrrkhlXznwIvi3oBu7pBm8DJLpnGOBcOq0652rxVdSPZaR1pFbeow HeXssdB24A8WO41MQOZUafULAcIGs2bXo7sncsjgO0yrfg9+WtMKeeej4Px3/Q58N409xS7xyEIg Ou1EnLE90WPjxok7UByI8j7tx/jopdNoRq3LiLQt6sibC2OQYhoryTbRy3MdXtng5BvdBiZoZT/7 Y8xyTyi2OQirsb+QABxtvSkhz08T6uJePH7oEuzeOUyE/Nf0IO1LrGW6u65PTypQ1mIOt9o78gex kV57ipyc16NEbBP41XJNkeOc/dqwBDJYLuVWX4B4FlCXfGQGsmkxdnBkq8snpOI6UfOBAqwVxttU yV6x8rtBEbBSv2wtizZ01FwGH3yOD6jSXWWXXEaT88nNTHk2agC5uRwdUxW0/NdkGB1YzGqhech/ wywMUfCsP1cWfkkfJG8XuLQsWJGu8Qpz5pqr6U+vDytmgSFP6+FY0tJdIVOVUqjZxB/RnzU2V1zO SylL9DTd2VVTDAYvh+ktGJguky5R3c8we/jfYGKr7pufvrwFNsmAykbTrcV6WfYndDev0cPJh5/h cSYpyuTbrfiBBSk+S3E97Tg5uK3bYKBp5cFnivFbRy96zHnlnIRx/LRB9VqPazJiabZKrAzIXLhe tNPgimZurM2uY4+3wPJIQuV3LsQY3PWg0FYj0nDdBG+R4iZXWQVCPSP58nynnsaQGSMBf1/vteUE TDjussQhfMJ092t5OZez89PX8xgX5EGlly+5/KTsWV5keNxmoSNRi2nLeunWssrbvPiRpcsMp+8c 5Ky2TRAEJDBPxWO346ILedqHRkM8erMHu7e2naw9+kGvjADiL3+eyNCbTdw3nfKXF1ak/+0Q62a4 UofMIOdK53xqvPwNjmLgf2IgK478unJm46V3FbtgYbUVAViB8Eki4i67kmQ/+yTheo4Rq9/4Gpzd KzJNFcByUWpjsJx8gIn++FKkyKd3zd4yM9LPIysE9LPMoaOLpjz2HCDhyrBvs9rPCYR8ypl9mFgT wwBXQDhOHjvXtxSooJQb1yL5Zw1oyg1l/8bCVhVOH8GItLGLY0vXrfpXNW+jWhaJN4T6lWzd2Ak1 OgSumELyGw7XdT6DsMjRvp4faa+YYI1wEtvr57XZix5lChK3hgME+C6kQ5RSAO6O28qEwI7MHAYK FuNuiwNc+MS24TCkTWoWyOeM398istPbQq2fqSlFTaHWmq9zCme+gfZ1Yn53axgvShMeGuwNRPA9 7N7TmksJQZHinuyxCpx4Be9NhWxRMN5Lsw8GDMQa9R4WfhImgzsEOyQY/ntWD/tTooa3yhzKCJSC XA8e2vMnLPFTCAhrXNPHZ15Ub9PFTiU1IbWnSGjKW9bMhkl59LGKaVl6lj12nZ997SuHFibMrndX 8EwwPp4LynOclaFBYAiy7zw67+XvxIivM+HClSdSwFk54v6j52BS1TMvV214fk0TmfB1U2GqWWOv TJmvF8smb90a++V4dVCV6V6z8lQeXZu6LuzGCp9I9+KilJcqKil+3stG8mKIhsk1hfz2lANokLQM DVIxc8BbHXKs9cuRcjbQEo1N6fWmwuP00QDTKCYaBEx1vekPXPWHJyM/3FuzhHreTB9xAGAYWx5z BLPBOE6eE3G0VfqL+4jpkR6FoTeoPIKMSTZtk6U6ogOWtxC+WJvhNEG/oFaHr27OuqDVon1oGNMH K/8EGHO0RP75CYXKd4g5eRDe376+wQnM9+rVwU41YdJkriZvBIJBA0pFHNmLKZzBBj27YZ1Ep0B1 42nfo+GVbKNfSQuDduMxOMNuBVhPC1sVVbPBQKhKVIhx7jRwGvcMwVMGPfdEEhq8u+nJ4blnC1KX Je9BuKlQUe/rzOJc/eLtmre0hlMsAI0AAy7CELFoU2ApGnSF6HfXrfQZwrITwyQYJoKXvyYafDm0 qENgjNbwNzENkHeAKyMzJzb3Rp+jmyIBI6S6OLoWIVJQzsmLJS+lCKzfOn34uYYIGBgF9zS77LuT ilnt+UqxZKUfN2XSokPCfd6K5PbktDD9xKpZ5Dja/RCOL06SwZfuXZd9/0ekGpk+hOyTGR6HgoN5 dTGsHo4F3T6joVNNUVrJx4+M8h6xLgiGHs73Qc2uF9hQZa+QMofrY30NWGZBPRIWeEfN1eUZRLwK odJX0vkD8zaeUxahXIaIrJaaHAhZZtOLyTwPwaAIoOHn9bnkNCGDEGE3WnVgMX6zsXeH5HYFJTbp AUeZL+wVKMEjv8XhUrffxyrGSo+HpAdzI77U9I2cKthP8tILPztx4zm5SbqhOgDF0Y1U7Cc0FR8k MKTCdQRzznN8/DRm3tQp3OeVk/Ymwat8PVwOqtupnJRUte3MAZj+zz8g7oXXQppRh171WaRSFXv5 Y2TYHS0kQf9gcM6HIi9BKzN54qGeSHgBZwRbOGL54fLeh9vOjGjMLT81WIgfNpAtNyPX5j1ePw2q q8QPoXupEg9XEJIJkTXd19ZlxAVy+C2775i1iBuKRGvJMg6UYi2aAFf0RVM9gZU8mF/B+yDQuNU/ gB7/37DVlSQ/tvchAoNxPFwB4iyvnamkTYyxaD4BxeK9gHkfOzYTAAMYgGIwZarQxdVjYYTbYOgX qUd7VMmAcTVtMbJuSZlBCJRXgfgLkfK2FrPFqZJHb3E0qwg8JlzAcPQA/F9oEu7kvMS0qIL+Es43 35Z76XVnOiF7lByXQKDvVNvOnV4CoUK5nVGQIOUIRH3T/SRu81/UJ821fF6IxjmJ3jpffQrtkB3C y8IrSrLM2KccMYEHxuT0OQwaGXnIvtm25vNbvBWZiqcXxNrF1d39rxpdXQfMVUEh9hSJZpxWP/zJ mPQ2CxTXjIiroOdCJXtQuBMrHV5hinVETRcBZIM76PYPpJnL5BUyYnugGDACK3QWkg2r+3oH9qud hYXZIsbxg/3cjSyOhez3lsk6eGcoMIokPf/PMTiCDa33BMowb1xUM44IuaBRW+9WiP44AVDC4aLh 0ivGI1D9LwPcGZ+82N2Z1xg5yk6iw/2J1hylZ4F7+jK7Ur5z/5qI4YZyvhQP4iqIe4CXput7R9fN KGQLNn+LejyX/qOnYQx9u6vKG6O1SltyIsuYSM0XCfsO3ZRdb5d/Q1MTwtlKzIXM/k4V/1+6NZPc d/M+uKalthQXIGxZqOb/jMKaOImBxcCpObdemjw5ZVTsKLSZ/8X29fyqfX8vTsROgWC/APh6GcQ0 cTMJ1E0gnIHKZyU+oFXR14XBBPypR0LQonp/OKn/V6m5dgH3jWYYAK7efnf7ooUHc5rgv9SZcjhr djdRIuQrZOd38XK35C8rOw91cnGmxq0eed3KJA0VRIwzvDVL2pFtCfhFLAy+kFEUAC2oU8mez98v TsHvZnHus5CetESeslsCJTzwgY4X5/ReApbSlvCZN/ovRmPbjgXwRDztBq1IQWMQr5ollztOQrqf i1nxvYTLo7dEe0Q54aWrUV4Qff0r4BO3OXE62H7pLAjxNBxXJgQ7h93vqyB7DD6PnB3MBePuEnG4 b/yzRi84O8A3Qps/QD34fhaRJhSrshwRI5REw82AM9hob6Kxuyf+MWsqm6tzUs5K1snyGSWnVOXO Up1Iyac1Yp4Jz6uFzYlHo7qzx+sPzDMEIbLlesInpgoE3jiLBNlrhFDJAH3OWv62owMveiUU+VY5 IdN6Y54Un72mIFCuP+zhFXBZJbH/1/5wbYs+HegR9PcMwM5YshAV0rLifxUILxXbCP6V3gM2mDj/ nBT5Kb8MOt6kOTJgkKWuSe71bYsxxl4jRMCHl3I8WPPPE617bwDWmwubSMYc68XXAWhR8OPx4zI3 R8bQGL1TUipHVr3H+SayJL+U9JT9cydB712P5PmuRIVgPeWl1vU3lzFuv6y9E+rMi6kDqTR4QtvZ aJMol7Uk9QFXMbefyIlxA7S8Q/lm0+Fvx9HtHN7yJJfhW7zjocpPH1a8aNavRmu1qZxxPaHjO+Uo vrVmNbKcAv9/Vuu0+D6BI05h5vXUs8sAq02e3dtWq4FIHWm88jhqTPglWHaDgclO545v6gsLseMD vqB0/6R0wE/KdjG2Oj+y0ss+B4S/gTGOaC2+c+fVW+6s0bS3JQnqcYFy/wT1kWLBgdpaWoLRNKbX v6TaUeMPfGNBsngC7fdHo/SkWEzjvAqIofVHiFoIsqGB9paz0dJ4JM58rO/51a7Z1wLlRL75gMB+ AWmJShgLX4RaCOfkJbYWJSdwkw6Zd8WlVT/wSdvIN8yQeTz/b59F34vrQch78N7P+877thO5Trj5 VDYUWvL/XuzjJ6JN/qK04oA+IR3mFARQCpDJAXVgchzNXRluZuUeqKRikJ8NC4JviZ5xHfCF4ShF PEVnB8udMvWv2iCFculvcOQFrpsWgFZKsG/8fQZJBprFyQ6Sm2QiPlV3IG8F7FBhVc8eWJI+uxgS Gr3o/lQFaO/bAJepcG1GJ/sC/+ZZIOXdDAY0eUKxYm8aJxciiBcxslfvEecN6675SihS8qCYn+k2 gTjUGtsqZtMaV/pbfQv9YK/nO+LI+RPcxO1raQDWznNvlNBVOWm8tOBLbiLEBGkSyXzib4zesf6h XvSU0kNRjEeDcasHrNkp/nPNKONPVROH89+Ezm+YcbtITIpKBhVHLK/ka0n3ztWnpcl+3NifhepQ oyoNhPnptcYaYPbBARuWSa1b85qvyRsUep7OMXr+7IgA3xkb1ZkEMigQCxkChjP28pnpou/jut3/ Eueqjr10rYDBJLG48p/Ia8OMk/UyLcJwyqeJGUVVjMbecZ1qFyiy9U4o13Wpaz7BSCtkMMKomBBt 9hT7uJgNzcgmyLY/++S+467mRQhCwNaxi+ANa3lOGufN7notnkhFFyshwneuDr1YGmzlSy5CGlLz U2N+EQgNzYjb62nm7ypwZOjiEtsE3q24zQtGAgJTOIXzMwvrGGS70ETEqY5dyS4Cpr4Bdnr2GGeg GlTG7Bjown2TwIgvZv5tHjkgoadN5YAntHuaXYbcm9Hf3r/Dd/fHPX7gfG0cE3kyH6qjtr7e22ab fKtZ3vwoIiFhYTPNzUiZ0I67DHySu/faBYDC0FYO4Z78NvdKvb2nwvab6Rm/yk7rX/vQKy/lBaYz yXEA9ous2HSsCZbY+J1SdetdSgBi6J0Bd2evBtnhG8bnwl3pHUqh0fVt7prZbdq6Fa/lyqrkkzDw W6OT6Har4F+KTfrA1w/LvpQIWgFOZFkrArGl2lU/aPw4lAaIa8BKWlfgTyBI2k/MDr3jKjTYUJ7X Z88Xob5lzJ/uukBwrvc9M8DnbyYwkk2sDD/gaJKhP2Z1AlvvcHz8kvSzKC/TBEMT6hJvfut+2Uj/ lYmJmgkNScnGWJ378bfgmT3pupKmqEvcIr2lReDraN5gEPvTftTywzsZOeCRDRoDzFo2UbxaLHnn IO+jl1m9iDX35C6CNgaqqzt5pEGKKSexG43vwWALrn+Z7G8hbDc+2CF20M3arKz7WJ+HlvT6HDx1 /LXjxH1EfXoTTitiIXITN/WnaoOcZlGlYArpRUHbz2K9RgjgjlV1B1mb5bN1etFpTgDf5Gi3pCLf OEIM1W1UedRxYeEQ59RxByMoAgbtF0caTxYsPVt3xLOaDxe2lhZXXPknQdmW1Cxo88DgSLLSsFx6 d8bCorQicWSvsMNdh0awW/l6+Bj0B1wu5q9SpJRc9tQY4XluXfHfRII8FLH8SMIjJg1VgYTwBcEl 0NvS5qlRxPEkDbzVMdTIdapp38z0lgsHJPqroaGITrGhH8JeJvDKHGz1z61g3VcY4sQGHgK3Th47 f0nbNXd5GcGa2+jX6TexltzoWQTz8Zud80uYpBtiUJIrYDry8vcSYHEVM+PMq+2Tr0n34WT460kh aKk3eHLcU/oMrjMAnWtZvC/1ibfjKYAwxRQA0cs7dDyBEKDYhr2oNMWMBlGeqc4Cn1sSGHPkbKxO XJOS92yOt9mSFBHswUaVm/JpkAf5emCHPg1Cdey9Wojko7m6iVk83zIPWhe99dV2OoM6k7hPxy6r ODy6Can8tai0qfJgwxiJaVjHfcw0TJqxhcRwRVvhqZQSz7mWUNUtajExDS5ykFUt4udSDFW/gqcp 7xJTdFINSESZC3PwxoNNPCznHP1/jvuiDZVPnQfjX+m2hz83WquwIiOjAm794i/Wcgo+tJWfQXsm y7iAee2LZpVblwlBrBr1mCZcGOFtqy5m2RXXDQ5eXJmHq3dZHqiC7HPgOXcSyiar+qlgbSskLrz5 KCYU9fvydTnaWy0ii4AWDpDQcChn+koK4HxjrAKI/GRnMZtrasIsfon1vrtYhjOo/Gg0DZ2SEhCz PzEmzbLmwiQZcH4TlWTIQrnSue6klnL3S3eXpjt+ukG/U3Mly4lSOFqiKy3kQ4l/mJOmYI7PCaQd rK+wcBHG9kgE6zDwKsXJljvm/KUNV+IzTLxdJLldl6vcGvpQOEZics2ZOTVfuzrhPjUrd7XdVcoV Qx+0KsHXLNlAJlSQTXZsUE73KwA224AJqBQCMkxIucUOjOSNhQl8kYmIQePZ269INkrJA3TPXmQ7 x++eQrRiQCuZ+d6icUF2H/4juzsZPSYDWRrmgm6jgomzyOZmLjhE+3CHNd+XHtxeRLWgPpthaCZ7 qlH+9QyF7S28yhOjgYfH3MyZb7C6ve30Nlo5pfvwp3zjaO8n5RmV90gs/lInIlMQ/IQ/09jdORAt bvdefs2Mzhvdby+cvbd7uqdBRygeIORv83XIsrJSdsFxwoXZ2vriMTN2Qd9pZ2lucpyzrV2VJzO0 CAATq/V19V79qLsmT7RrP4foArLI8lZyc4ZWwqhAN7DBbx07EkZuxKbKjMxoctaxKCNUZa4wyXqx rtqpCiMX086hsr0zas/A0bakzqkzipJ/SPH5SBd8ZiHErX7ByKJvCOfNEiFISynVSaIWQ6HQNqiV IkI00+1wUQtkHHlsH9zGFkYzW/iVDaCfhX7UK+IxWP3ZQJ1PivfGgs40rdAXERkFlMVMak/YC0MI +rFMmZrjFFUcDiHMjlkYSmVe9lVjKEnxK1L+5dZOhZi+at8vJVRVmfJ1XeiT13jr99K8nqnk7cnX lXsOJO3fuFr1ToKEdNDfJdRwo6SyrollzM4hUTXQfBQrkwKt0p73hwz+ZGD5PvVGaGuLHJ0jneIa 7p8E2u2LG2BgFwuArVvlp8xvYYxxe4dWU2fcwpHJyJbxwzFlg7RUsN3wYpw2QfX88UClPS9ehkW/ PvPDkCJelInBNhL+7uTI0zNEa9Q/MrGJ8SCHSodm79vAj991rqGEKaM+hKGT+CHODnp0I9zX5FL/ GzTGUl/5FzqFEQ3PPTsOt3zldQ3v2GaUbm+djOmy+saX+MDSKpB6eyGufw+fj9TYz8uQcwf/ZoUB gbW9u+Nj6UIpy4m58U9G6PnhwJZySELp3/HZl5tROprQDRjXIwojPLbg2visHNk71oHehTUYx9ap EMasZLxY/wNW/BXxdXvo/juAkzEmTQHNH3vIdMEAOjl2aSoTcBXedPlpzEZiX7npHoOeqBk8j3IL EPmvCfHTVrNprayJy5EANg4H1HRrv6zpLhVeQ/53UDT+RsPIfeVfzxOjWmkR/D/EIBM4qsc1ev2Q tCVVDnWT3YYic/MLVdpOAyB6AByBaTXDSbxCoMANDA1jkGm9S9DRodH+y4KuIgrfIrP4l/rYtzIa TxiEImInRzpO+Nd7ZZDQoT8EA3APqUZtBhMfpGSQ4ytf1axVrl69Vdqc76IoxnezUuPaMRhsG+id k5Dyn9AmADSGbD2BvE2/VEJaqTBSbRyS5Wdubz3RHySShIISCa5th5EBFNCLDUwtGCm3afWNVloC kIB3T7xJexOhPqGhHl6WpGvcBJ6TN+R58PjyxHjKSvxPmqhJG/5u7zfLsWdWXTQ9TQd0vSyUWrM9 bQffXDt6oDXm3Jpn4qo2BpQvdj0msjC7EwzdzYFaxU+VU4Fw5BwFRwub80YGe8frr6pA+fxglps2 hpDr0+fmrW/bqSwK/BKEZOLpdRgKS5xUIEj5rOJfX96lTdHDTM8GWu3Av28IZG2pBFbMcfWfCBcy 0t6eQuIGvVWXN9uss38mvjNQDn3m7oc7JDq4bWPKthIIpAsobHuePVak1W9DtTw3n+u88DlN8XBa KY22I1GJnCBed238uH1gSKEItP5REnFVXyBunjIbI7Wi78Ny0AiZjFerGiz1oPRXTQx89Izsj5pN lYGJh0urfPbo8cArTxlas2pnpFYgrdc3jaPRSsvBA8sHKW9Cj1FWqsHGhFWIj0Apxorc+7qCJuhK aLxmkW4T6e8mzr9oR3WKnBKOH/2k9iRgnc7Oeh4AkNZ0EbvN7DPGGzj7bhkLoIv3Boy2uU/AvQTE LrwQx+HIkm/1NgNyFTkrrsqqcitoAKJ07jq2kYWuHexaX4u9tGnuxlmkUScvjit9RaaMWLtTWQHP nAWEe6KLAGKkgtexzTh7s02yLuKFovn7AEd6V9zQjGEv/u2KeQchAyBJ7nR4mmqBD+wYQ56NY94M LtosEjOkWvyDGZ5JvmxBPkeMfIqWkFhzVQwACmS8u98VCcumUtJOGNoIDIKrzXhK3hpv3krfZ81J EAEJwWjI+bJxWrMi/By7NTXDkSLGDC4qM/TEudCjOMRcnEbrGZmpl8eWn33Nde/kBFdirEY+qqYA rH1mJMF6qAeii9H1a6XOyLD72gVRxiaUbAIe8LVzJTS4tpAFIx4nyyD8fdZXNgeYOVL/LQzbUYy7 aqGK622fQ0EgiqURJF256Z7WygXfBdWwr43UqO4kzN1RFJaqQOkqCG1VnsPh15ANt4oVJnrU60v8 Q3DnBotjT/QFN6fV2iBJoyeVmJ+EFUXqlbsFX8jNEX1g9qIolnAdUe4TDLw+gjbLUJA9D0+Shksk LhJwdTSBLId2iV8KNDxOd0u68QtIWK0s6rn2bSQLJ1LkHoEKgAvOq46owHv2ICzZL7+otMX6IJlX jFMaTZCgBwonYcyGdq8v/3Yu1sHByS8uqmz18jrc0sd6VmOHBuXkXoXLnXxBNsgKL8c2R1ySLqlF 17mpN0fv9ETAbPBp741iyV+/3+5IDlveGvErNoojXDXD40cfMizX0Q279+jurEboJ5/j2bJaNRwn X6j0HMhYxoUpp7HrElK5b5Bo4sBFgZOsOMYUfKAzGBU4vwIED85Ruvvevxk/FnmoHHFWbrWmIGlI SNN59DQVmk3bTbMr81cqL3/uNDNqk1+ORxfxcVhaHaWIx9xZ8bzlbIOQxjCLzmvbN877yb8kkQQ+ mUqqHRZYas9JuaQLPl/lUCoFqSKsvD7dPdWgkvR1U4JC8R9wU2Cy8RU6xtcb125aUnOR6Mkpm9+S H25DvO4GRLKUCmE2TZoHz91TBD2Tf7vV10clwW9s4VbeLab+IL+/5Oe5HVeCVEbNnAp0X8oUmont yBluOU09YYIYRg94TlwBhT6GhkPnLc5wf3MlzJkr5hBB4TlgYbOHTUM385GMSPU2qP/t4c/tmOfP jK4WLqlemT6j7regTibDBcrxyO5tPGBeARb6J50wlQ9DPVd7RY2cDmlEsmYhVyXUtl4H8aIY9aKV vF4418uSvwnHO2zs01A7WYgLtLXfwAlPE2LlhskHr3NsIYERNjnmT0BylKqx/V92g13qP1MyXLMX t3/diRfpuD6v0ot1sy3hYUjd+qqy2jbZexqli02XGAj1D0HFaPqeqIjinINi45GpHnD22ULqxPSB DhcBTMk7RqTL5Q2Kxvq71p7uZYhMyE/v0mp7E5ofPQ5v4HjJjx2NPED3NJnjjIaYfNjC7MygHPDY wPcMLW0NuLHHKkw5d/P/Wk4mjUyGpQxIMDbWzXX/9fP1X6nxC6xKAKuRrOUOta5OpKTl9EOQuvAr e/wLr7i4wC0/Bvy12N/ueVKBBxHQorHvfndGmgGAYub43YUdBzZ89KAswL0MFSXCC2tCRm4yQ6Ky VepdkgkEgB+fBI7dc6SO8l2RQYZ2YAb+RdLQZoZldUDjQv2MMWj1SpbJpAEBZGfWVblfh5yoGO0n fap8Fsp5phUM6rFqrp0QeYYGRkRGwKP0GDAl3kCNbeXfV5ApZJWKhXq1hC4Wp25Fwrhq8Aa7NeaM 7He4xqMdLO4XEtxP0MZbslK5IPW7XfpFxzg+v6uJbrD3mHjVVBYL8Jav0KCYVOsvS5QJvPiHQDHn LvYx+dE08snz7ZZyB6mn9xVBkbyphT3EtiIjPLsJk3hIBOgfKX0imsLZma7P77nHtgQZkg3FYqii k5Hf20HstPrX3oJjTTHLO/H5lop5YI+FVgMD5koGv8kIRS3KlEQf/6SgUWvnEKWx41mEkk7QXIGg LDz7HasImh/6O30+10WbxY9bBqF3TCeiD87qGVowebcZhF+vGtfoxfZqaRFrtnWVwu396utIYLIo h2igrsaLn/2e14yWWcTIXqI+I/737YqE9qM7GVvZDmjUavwWy5lvv1lWZUY9MfSfkl0OKsqKBWez apEaE/ors4e4bbsLSajlvg2xcZGX3uXleSCYzihnJeZMR58K5HJtA2zNZ2xxDDimutVx/iBDSeY8 NjaoQURucvPQtQZr9+euAkaIjN+Lc9M82ZGFtJnj3hYhqTf32sLvS7LTxnsl5Zd0PDU5PX2Hje8d ddMT4S3I8OHiUC4EPlkFiTQO4aTMfa8ukm5ADLq57FNcugeVdbQHtpuTgIqv/L70XShNvSSm5k8x PWcX2iGmwgxHmgBoMAuqhap+Q5m3xcYwmRsi8NSVEOnRiP9MPjMWOaNZwRAMIdyp2bfL5XC1XnfP OtT5AIe6RN6HxbpUUuLGTV0gmHsQGtm80NSIiYQwF6qrVYJ9m71xvjebT5Za1gqvv4d+Y/60NhGx oToUM/WQvXACc2yiB/Zc+TKQqLfLZS+6AiMUciuZapV++/addMFOoZeEg4emr/wJ29n6bqPgktWd l0yNPrubGNQucfVFwOnbSx9Tb/PQrB/cC+KY7scpGbCSnBmcM4DmzCPSbz0iwBk7XJJHtakBJN1S w9kreOSHAThmGMv9EpYbll3cIeTpVHjtThI4p8m0g/PV54K0fTg+S+1PhtrFl/SzVCfzLSlQ+/Yy LGqSNdCkPNP0zT05doVO0dpktOU3adV5dHUs+IAdgWTlwaSgMYI3Gh6xwA6kClXfLhLlVOaYg2E3 RYX88y3QnYHjknzyjVx9rtdoXRu8AH6JDbW7Hi2uNuCnWoO/lcegEopFqqDwBaVTaYNAR0J+HOmT WkUabDD9TuT+hhl9f/lB1oirtOdCyEciavIxNx4NdocLbh6QGT+O5lrPGcpEr6WqxBt431cJdF0Q 91dnzHdhG0WSzeRdjZAIxgOzL4TOWuKfadhV2NandUfkISa0gS3elL9X7hxaeHNU8q7A1wZ0tbVa PviMIu+aBujR8FAExbjdttdrXjyKxLSyr5oco5dO7sKrIkn2OFAuecRFqTp0juOKytJeXSKvOvXU lCTF4HbXuBB6VJndKKDyAcWBgmsi9MkALFAdym8YEw/7cWecdNSNnVm/wFFtD3ICo9iFI5qre/pf ROO/wZddLD1vqGhM3e4+9d+DmxwUlpmJyMKjHaFpCkM//eHGXuP2deAr5tNs5J5nZfpPCSCb7LC/ ZSidufxxsqKQayY/uyUIi1s4kL3CDtDMbCoJOGNxwjMvSwUYKKi0UsvLJEzL99jIBGDgbEzWWb5T SBM2kAqW0h91M6BtbvBg5cMTIHUlpzWDOlP/foCuYB08km1w9grNhpc5kWtP4T2Ybp312067vzyy X2jAcq6EKshuBRgraXj8eF6mfmLys0dW+56eJtVAiH6OlqeIFVy6CbqIiNfQ6NnhEx67dEI+m0O+ cTwrPaGb0x6kswnG3j5NGW2d7jO309PeCai4UU0KbKCZ0LJuKWMAQhsKMx05QhAewvjl3bmyDFq2 NwBtvGeaVUW0zSXS5mEH22TrQtCDpyeLkMauTkt1MZW1nVinYq0mli+pBY8Swp1MlXbMD2FKWz3/ EJ2WaDalLpMeIS1OT6wxSdbxTRjW5/7B2a1Be1x1jBxXKpZbVuJQuI01c3DPw7/xIDSsAQkO8Ngy FMjduJyi3J1g9sziDmvGfwU7opwpa5DcZe+PlcfnbaXjCR1iwAL3XjgLJP8UKvSpHbcesuqSSsOy 3/PM8k9zq8nbR0QOHJN4AH/7zXxJScQAWegevJGwN3xi3YuqU76CFR54xnHjdrF6VXTzxRHT69ds ULjjpeCAYeyrFPaNF4JNRF7mfM+87Pm1GneMIq4YGmCtEvqv3lz6p1pxI9Yp9yNEkKsiNeHlNZQB I0kw+iKTmEymKbw/DsieqN2jfX07QHcAcCmU+YYUbqL9BRn3I65YRsb7zNosLoYNOAw/INDjuLDu rRUx+xRDGlmcBZMmjkzBDs7hPk/RDJBE4zlftad86DT0S9NFIpI+HGI0V3ggt2wlko1K9JqqBeaH MnpMbrJauXJczoxlxvwja4yJ+Kr6f6joIHtkZjyztqhKVLxdatZ1ALlBkLFuDtIyVUTyHGANyOAy 37zb+u6+JGrr88fTttwvBv3ZcDApjAAY1cFcfyne7UxJCD9369qZGWYxc9PfVbrksD4/XPjVGzlZ N5D9+XPUXYftTAcc472OHpF4x8dHBkuk8qRfXuDud7zQmEuWp72UBjuL9Jiop0HJOy4WtL0red2R MH9XwqcsDufB9Wi9J/5A4gNHZsHlIjPlzdVpdUwEAf4vWIzslUJYJhwwOoF/8aimO6bPmWQ0um63 e9Lk37L3iWiVIuT89xYZUFEibTDqVD0oPAXSlHWcvnduyZvorg66+HB8bQh8Dk1KwUxATEMoFW6w dByeiu7AfLhtMr6GOQebiJvxtxFsgbxgcgwunhYocSKn5UjkPET75uPFhSVEXHXRQJ19DShuNX9u RGMAN9XsUHrGbxgya3p5aqY1CPI8qwfjznd93Kk2HyuZOSabpCxqGrnUm40VBF49ADlPcDBqRmGC o2wbCOTfJEcqAFk3NnYPL9347PkLTBAv6d10XcL4LA3ZH/Ej+qNb0B74BfpzaaXQHlx5OxKF9Meh bhKmZKX6L1k0twkraNciaGFB9/MFpC4e2pOfVUTL88j4cVLZ0s5IxcbjBKKvxaQU1LVN5rpBclTg g81TE6t1il93G2OmFalB+LH0APIZ2NeHHb6yUqtmJOxKpQoQw8vqOnQ0LRXU8mSEbHYlgaNb+Wye /Jgb8RwgxvgixuzrGxB2Gzz4OWiXarqZXYgh1h7Euks88aO54hG59obdY25TvhrD5/hcWmsUohdn iUtl8iHot2xOU3jFZ7OXp0Pb8N8agfRPnL1/j3HFtQGJRK9UnHE//tQOO20GYeyO9Qefwo3SzjaG oxBwD/1vu+qpO/dnDkJXESNNsPqK+jDYguqmpEIBHX1PReoA/mgz+0UVs2c6hlRWUqxBsHowUICI /QdYmcdYWQD0jILmJIMhJGncAyZH9PLdYSDK8debz3v2kWXmlVBBpspRCSnUB7GeH3KUOg5RyWcc rB8vuY/dHoN99ql1jEHFxTWYDmGbUxRBF3kQKy8kANKffcSxYIVBs97lyRtt8cJiMQ2YXwAmupi+ U7XvU5QeWPPXm3LvDmx5CIdsDb2x5v4FyeJmuJK5hf8S1zjeoZfLoGDXgb+3Jrd2l8SK3Lh87GZd Pc2d3hy/hvkLZ/YI4jhjUVBiETyz/VJmhjubKeDFMpv6xdnpvzJLvxpWJRlr1Tarvugs09mG3VmV stjJJofzxrDjde8dtRf+0+jYube2CSoBIhpPW/FVuQ7WiVB9Ubr8ZhyacArhatpLC+8jb6ViX5jR fHuB8q6uiT8mAjdDASJUUS13IeYTgQjlNfwab9xNN1kpKRjM+fTSF6Swz9pUApaZzxFPt5BRu5Xb rxQZyp7P7YhBHxmmZ0qgQgGRSqtqVlRz5aWcvanq8rhrnd1XoDFRLzetVD2ms3yeTNlzfbW1HLJw +6PyN2T1bZNBEzY4x5BS1Girn2EcXc3DrtdS3QoO6xyPHLfIYxxAXXELCnPIPjGYAVt0VeQ7MpG3 GS1gBeVvssC1qUo/0YqXM6oNnqzQVkOAAYBLNJ0IhDLBPu0FGHgIjk2tzYsncVUwsRwpeI2ACAuR DVu/bkxEM+rKwYzhtmV3r8MALngS3h49iA1/e1KpUCnbe6bZIyG9ktWUV7jY441eBr2vvaj4JbJe WO+2inLvZLxDjnNHvqEsqcTXZ3ALypk2eA0bJoU5rIhX71AUU82weg+o+0E4WhXNIAkoWeNAwsWm OHDnZoLG6HMXdc4o8Kvlse2K5aCXgcPXEcA0PPq5vU4gwpMQ5CE4Mr712Ls1LVr56yjSEa689biz 0Dn8bL+Ghk0bE4g0898HB3kAzO58ghTQf+Evm3bvGHC0HSW9Jx7jABnGyYS2K4F+Yw953Zqk/88o XiLlsQQyp82i8AmHAHa3d8/kpdcCqF9m/EOH3k9+4XB21D0mmVL9eXDpg2v2Uv8d7knf9hDYDMST YcV5mjQyQs5FcWExxYdo+AhFppDKR/WmsKW/hEdKG6h7G3ozQ4RZ4BnfBU7gYbFMtxCYSaSNPr4z U6G/Z6s1pxBhVaasOOoH2LvGxMY33b4PtLo5qE+jmwF/9JeCUiEzf8RFcmdg8FYGpJRbBs8beO3C 8yzRNILzWVuZVaG4cO7z1rMpTxnFcYrSDZ/IEXF5rJbp7P/Y07GUYKlr2bMMhFiSsxhknbjR1Zg0 G09itPs1aWh5L4zpTxrnWkyD3SFFP5QJY2RBKFiWdCpKyY84zyhPnBkhMcgkDDlM2q2egYKRh9g7 vRN0G4TJ58xVQFUEBCJT6dAo5WsqhAaSey7VLRMivEQuZnQ3fGkEfmxmLkcI0BhLxljCxOeLsWlJ o4rPbEJqXGYRWpsGH5D3dNky4FBzoj/F+57zbFoaR8Nd6X+EkuGBdy+UFHNBlxGccENQWS6K2tEB TQkZwigK1C9+VC7Iszvu/Il/EswnshHljT94YDXJJZCqk1O+Fekfs4rWgd205MeEXAL/qMnMZkva R/gRNyFCbckfppPkGJb6vSXzZL41h3veKS3QI4wUmn0PB/BTZuU9j7qU29aaDM8HKnCBB4NbxktZ mb81MNCwNCnDiqinPnDL13DJjfbI6D17744DR8vob5bKpc0pLwKYYVFoKs7WjNx+hVllOblqgx44 vKRqOUxn6jkMGQeNh1BsFKnu6vnAaTlIFxcS9iaaFbDNuOXIdBaifrs7vJ9ZX3uBWlxdIyvPSeaI ZDKt4EF/ccDAYrKpouSMVZnXMJDRC8NDirKg62ItYxVXoj0C+KUj3IkSOSXRrxadYXhKcatep8h3 eczMUHeItbTjEa1XiIUHX36vhiqnpdlOdbrfpFwWbQkIYZd6kyb5+knS2Jb8Cym8K526HfO9lojx nu3B1pqJyM5HeCbYrVtU8tqr/1GBDwLj5Hk04FOPR7UtpydgYW9x0VKgnXx75PvclYaRibTXBRn+ 4Acl1GrM24yDvfHbhJIE0MhJM7XoAIxyFh+b8nwjdQzvubIwljiVRDxJu2Ate9ueoC5an0mceKjI 2l1dzj1fut83rhm59MUTWLhoY6WJFkVJnLfdH62qk/ar+pUz6LGgh3Ze5K0RVETeQkNYtJHujRnI yNfnJR8fTahqPRdu6CxBu815ooNdyKwp8I9c5iabNWEj3SvOEMDf9AMUeRaPEHrOE6fhkYKI71h5 yOO+JeLjU1q37K3KfwYfq2gh5JDM+tTL4L4phDGOYIpvJ7dzPbqrMmozEUosPZA8Qk9/mTZXDv9q AduPMhchYb9StI1riaRz3Z+wRQhYsWillL6R5M/J/4m7onJr+QVgcEaV06bCtRx/+EgJNIi+DtqK MIuVUrroYRx3aQ3HaEHvLcHjErdG9Npo1MJKO4BL05+YnnK44p77GW/yXvrfEALTaIrm3R9iN7xX KpwdSwRpB80NTf/t0oWWpNo5RdLwyfJL6ZYEf961JBJnjDxES6t33kyq3ymUxXXfIHmbAYvzrA4P rRHossYT8Qzoc1aDWCriQPNvVSM0Ulm7Dt2Glv6sRkRl8USEP5OZVTR060TIr5QKY2l/mciWp+Ji 8WOhgZ9ANXvSqPcxlL8QfYPTJLnsQ07aYMPFRZgBuJPbOaiRnGWsCoZPB3ntXh9AQ3bHOMOeKvyp C+d4vEKfERxoLC1BWUTt0nYh2z5EXOwfMGTIrIHbcuzgt1kreOh3dVupppDXFMqdGADPeSLNxf3R /wMa1Bz6agDVy5yQmnlb2keVvRDIOk9Xz9/bne/U3lhQicrM0jL8kBoOWdQw24r4urgawRFAn6iZ eylEka6y1VpmyhM6vyh9ZIQ9S1uKslKsX0oCeDxY7vNV9bOSNH7Ei7TTszh2CHhzoXxPRWh70bfJ QRK8HDMo0P2+/MkwkrEg4WxCCLRsBbO+fzofS1i7yRl3vwT/9PHU1TVwVNfvuyL38t3RagYuu/19 9SpiBQ9E+BCuCHGxnScNxn4RFRrhy8p7nYxsCaDnh/MMT6bIfK7Dkwo/lcrdak80BcxpO48kV/QD kOoO2NIxZBZhC+IwQ7+5Kk1T+nWUDGw8V/IDjvzJ6ZdDx5JI+Z54QLy4ejO8PBUu2haA01U3dbRk 99vrp0N58BG6bxhTI+Z95pr6g2k3XsNPPyP4Qbny7Go0o7X6Q62U1T94n35vJ5rlGy2FqmrFFgTW jdL3UKjFN8rOtNr7xhQmP9W2/YFumElvdgV5ldeTQ+vu3MZxkxeCUwHxl0XMSvVdvPf7HYWFD1JQ u94dGiUKuJf7+u/97vD1mibl4ROHLQGdGLovluPRWglqrQRRCiHfBvsRHCrvc+i4ElYBLxMIa4uI +92S/wLct9yhwL5qJH3xxV7hx0qYzXAxE1SMUa1XxpD5xoCSft+oumhCM9Mq+sC3IcQTF1HffY8f iT1qdWruZOF+aJAx2sUf3agfirV40Uu0EQY5zTk+ETrXspd3nHraphbTOdpVGRu1s9axf8jCN6hF wRTwtn6aFtjiX6SABdYIdV+Nn6Xeky7t9B0n+akS+WCgqNQWnF1wDPZfZY3f5yp8XdXQnsoQtAQc Wfm/v8ij+P74GJxeLaNVYoyeqmBmzVmb9MmPWOXigAZ01z/5DczwXwVWoKzih7fxsh6yLkEJveZK X4TOjPL2ih0B3BtZrJaRXDCWLqLS3K6KcRn++wzPGlMUyRIlFJJBLrsYRP9mK3TBVqe/MazrHxro 7PCzZbnhVzVid8l7Ru1chXPJzO4tM4Y5sDh9ZOe2QPGyXHM4cQYP0TUsIWRQ2++xEqPYDwo6Sgrh 6dPwnDnsdaQCgbU6KLdOQlnvaSezE+LnKMqsHdvGz0BXLSxv1f0XKFgJae22y3u49454CbkNvzPM ZsHUsWyjafPRRTBHv+/VlHa+eDcfXfHJ2XswlqZlsVjmcD1pn3ed14BGKYLPt+VtXlceixwJQqjs LbbDycrbKwRxixyYtdNdRLl9J8QX2D6UzDOmPKVzPxGUxPXtbpgAb4zGvfnUoKfijnxKdynHObz3 nv9IX4algovz+4aPxdH1atELJEnA+yFRrm/V6jj0LGCHeMUIUiH5mwAkudrp6OzId0A04mudfyFE tdj8A9mq3BJlGO2HEgsQAijl7hhCElUqNOgbXWjEeaQJvD22o7UKa3OYz5Yg34ndCel8PvoWcf82 tMwYuMSmCNUN/X0DHQgjLjg1YARznP3E4wFbI8UC8dfVc+5g/XvQUzUHP17l3dqJxImVNUIjtX+E eyEy30Rjf87E1bM0+1JjKFoB96SyCdEIjLirZOFAmS00w5lsshFoJEq4eWIoccc5JfC6ErzjuofR gnr1XZj8vQ9i2OhFeUs5rWJy+RkftLx4UDToScqMHvI4fMOBljLrQ2U/qcQV3qE4pBvHdHUK5xrR 9+SJl8h8kcsW1ZRKptU7lOG0Jt1jkNYd4hD4gxzQ8x92bLjEsDF5zODuINtuBJU4lvCIl7MtxJJS EADyarrkksSgpG200LJo9M2WElghKULUm8ij7DzZHtJwuQY7HxbpkEOqv2YAokebPF4XPug2B9Wz JP84YmG6OcU2/eexkEILgLZz6Vr+FbVd8xHN4r77SjEUlHkJiPq4tq0RAta4bNpw6+xpWAYupdEs 2vZ7PI38Z0/8q6MKLAnQWtG4CAX/wR2EPkB5lhyjmZIow+bZp/oyVWhlzWHU94xbDgxDtQL5xZMY CDTwGDRKOtXFDGo3OJZE+BHcmZvqM79Yh9vplc5yGzr9HYPf6WhrzHFSm7VBTnR5aWRB4xC3uP/+ SsxfUph9bk2SVKjuNYAdL2RnvSgMwiFE16E4zofD3CmdpxppCtMfVL7PqNVuMdQrc7lYR93KXMUW VULBX+VE3zz3AoNF5BEStfKUBy6rtMAUnz8cKjNSrIc0z0Oxbb0ubSUDE9pkluzjB5CCq61JN99+ stJOC9aslkKEK9NMLLGTxa9OSSBLZQsy71f8JWgCRw4IE6zp17BkJW4nKG3I5Ju7idwgB+8kJkJW J+zEi42lCGG9dE5/K7ZrAtpEMO2Wb21UHlxeC8RTv/qeN2J1cZDhyNqthM7GLfM52ti+T4aNokcs UmKjxmHuxCxGjEFYEXrX9KFXJNGKhVbUEocIx6b8uSkjP0iq6g6UEJXJ613JUD89I1LrhZhtzxPb OzMZTnoqW/Qj+ZkQ0wCwvwwDAAgW6FalgtEEy3tClxAjESGBLtXP4csCtXqGNOGuodqoFh23ozo8 KenhJGNDnIs4E/ryRhl+od7idndFenolVSUN4K9PVVblQTrfzRbd6yfxtppeNqjVkgtigI4grN/7 wQQQ/RknlPuxssJOYxoJYEEm8e2ep1N5hJPF2iAFbWgFSiM6d3Ne9dZVEw7jBxTkt2TxkGf37BIq mGKRlLAnz/AdD/7K6FnNy7LufX8FIIPEJqEHdlJ0xKHD3546+1IDE+exiG3WH6l0aUBJ9LJ9cArt 9nl5BybgNiifwcDloDF/HXk0LRCpL8G0c5L/r4fRz6zhvyho5MQGyHk1JD1PxANtmwWNAhmpA2lF 1rIUbS89GgsuPIOQW6yDL4olWsV6JlN7fZZsn8ANwSIeub6A01tPOP0Yi0WGo/Q2GwtPiD5s+G7u OdrUl9rtP2IBoB0hs3h/2g7GmgUdqrCqvm7GS9sbp7TMQVPT2YEMnH21DyvnHSHf7oHWGAphUYa4 +aklX/0qLypNe31te1SN/bOA1qa/iF/zqf/YSvkdANMTcr1za6GnCm/DUYEcMuCWezLjCz35maAT 2BtIU3CFKdiGAnzwWvmXP5nF4KwF9fnruBLsZHXiZecloBqLY0+EzP8/bzXlGj8EW+4KWPf+mw4y fQ5NPo4AX+G2v5JJqBj8qVDqMgpoLWv7/8MVISbTPxLvIZLw1tdwWGV57H5uXVncnU+RymKgZvTJ uq3zVWCB9AGtxEIJBpxwPGg5dri0B5S4DDqRtmaoEQThXAb1RRzxcuTYfUQauqbjqd2XAFPVy6RN tHxoUr+K4sUD/Gg3Wqio7xPnNZkSdS5mBssOwfH53/NCgwmnb5qPQmJ9pGGvmOyIJEFrsYWKPNY9 CCU3i6Jz5WaT3um6qfSYrmyJJF56M8pdBJFQoCNhaVRsxz0evcCmCtoD5PJGl0qcosOofxrnKfQL MsiOTDQv9aGCMzJdlk2YMkBgtsgekDzMnhclHYkxPM8QbHWfK7rfWZtXkGwvmXaGpy8UqWybzO65 mmOieTFysDSuSOyzDHZE75xTv4d8zerH7ckAZVw0orREqF3Lk8bOx30c5hfZ5TdJcN8ZmyT9Evow n6wS9GVOU4OhsAazE3rCHQB+CmHVWRlk18ZSUth3nDGymDnBNdU9UR6yvzZWSd3gRp/QUaUKk1Ve fus52IpsvWbnlus3bpS8v5EobgK6JsyMLoHzJvcy0cMwtXC8Lu6dWaD77xmj7TV/drn2lsyLTk65 AEFvx0fL0YqCKmRqcl5pUobw4Yc6bJ5BbyvIqMGUuTfFqjROMJxFITcn/f14GFHgBOImw0lwqIui SgztVHwEr4vD1Q82E6K7+cMYWOnZwphMs3BtHTpD1b7dSQlBkshBwT3GKWL6SsbZOWVlvPMKrFAz Pp+oxqc4z6aiEgSgKzCuxnb0h+bazXE0KsjBExsor5rgAvao/AWyQzrTJ3lq1W5lfppULGvmDjDW 824BwCNnjhCb9pBA2lTeELWNkatGWZyISMb+YLbChUtkpJGQlFY9yxrBpHZ1k6N5zJ4N6lj1EUuU 9gJnwvQYd+4E1yobLLPL2juSb6tCwovvVigCHiz04eauzK9rn1mBcsfVcSBiUWY3nhc1XQmRc4IQ uERcofb/dVpBBk4mmknRUTA5yXGDAere+nM7G7umBzNd0HZHShmfvZ0NnrR1YBVeSd516HrAXZJx 1s/QJrejmAbu1BVtkdypPgtG9jIu+UNDBTtzowbsC7Xy+CO9gAx3+BpnpOUPYwH+8VSTE2qKZWZ4 NeKOr/EjGyMmtxl8gwaJsez/VdK3w8//iHlMYYY+q+ari2/rfroZS9t8AzFOdxyhU0pWvL8plSM5 GFijcFMy04Bl3ro+0fRX/1JBkrkzbeK3j4uIghphWD4ECKPsNMU2ojwlyCdprJT+HINP/41kc4ni X9UIKYPGpwJpnKpHUptGXZYWqf9h8mpX9YSXdIE7NP4Y6wvLl/Bh6ockZSSliQ24TZLYmVOvf7b/ LhqtvUnR3DgMfyyxm50nNVm5gp+WYZIGywUljP6hI7UL7vhRLezLB1nc472dsdHEtSv+K5atGWiN 84X2OVmsWSCLj1g18+h88exgTNMBYNSLkG98XyQn58Cj/ZuVdSzhzSW9n/U99lCZrR69gI3G8yWR NOlg/CAgeA/egjpdYaed5JiTTJz/w+YW5VvF7iQ+COmezGmnY8k9XvfQMQxVWcAh39rASKd2LJo3 XIKC0rTqznglWiXwI3cM39L91JCoJbsnH+zzU1I453gYfpvHwl9i7MF8RIliHw4AGWSuddUr84LF sR256jkzjQwPq6GElab/xBZ+ERUkPiPif1DTf5EFRsMxVuH5cd9QhRX0+gCtNpSH2lbFqBM8iFdu s1rInKV6mYd3vGJb5i9iFD1WU6W390SFNKW/oLRiDhmGBLE2tf/2lUCszXGU1aAH/pL6+iq1g92I zEFrDP7L3o1HxxeRRnqELCOJvnuIzHBgXAuovc6PW7WJ2KS3yw+anVFhymgyfsPVSg4Igm56++5j lsPg2GI7MjytKkc189gI6bqaYaLFDq7qvXKPmgaDuKCLcBJrlQWw+uAYnSrD4oScVC4Bgi2zPxTr KAcdZw58B+I+otUjJmoGAOUr67GCUADQcvBMR1k1F1rK0nVDCNxtPu9LCtBV1EgvXIkCrtccMioR 8TSso3DQX25ZneFpIM4KzcmwMSSBw5FGx/IruJ0a4JgoXKtEpILYk8VxGh3zYXdtzvlFN3Wl2b2C AQEE8c/jsjdW6WTeeXCeUm75Zj1KpSKMqeGBuYqdL40TSwzGR5aLJUMuOSKUPpEqIf2Ubq4EsHZR t08J6TUlJ0ZhCWT0AfLaqYut4YKmvajtZyT/suP+L9TVBrwMXDqmLqZtb226f/tGGFTVMvDCYlaU xAgCrvJzcAEjsvVNs7/c+//zHz4aqRzrC1bdNq2iavPvYg3OrMsH+86jWPYgHyEqmsc4upAyPMME MkNQDu73/chifdhsq5KjwVgwdRqFTOE+Lpi3Kgr5RT2RYuN5SHF5Nx/sGV6Nx1kjD6t2d2mgBC+p wdSWoZWQJz+snH/nVZZq8X+GFrjwQCGCJsOUbDvnngKbNXVgsTLjemOuso1ehm5VXFnVK389w2K0 A//nnpAzB0ssFtszU27b/o27P9Z5qOX8N+PGqwD2//+jx/2CCNUFzXQ+3kubmqhjeEccPPJqAzix vRg9+8zqoR9coynzYppijWvnR2ey/fRIB/Zwih1zS5AKHgbz+qrBlJgw3i8YJlEHTMnN6o+xmEYU TzYQV7HFej/F14pGoZ8lB305gCXAaAJlOVCewYHTrV0MaNlirl9NfG+M02pHF/x3PdO6l3nva6dS Hk8ZibRkUW4vkXq/yfmRlSIwFC6gKoT0Y3Z3n0DPMOsUrcBKF1UbRZI2JVuYdeL/pn5AcXU81ZKQ EWajxVgyCd2GOmUsxglY3qf7yXYn8TO0jclv7VW2zCec1nbUFe98enNNUWz3FmrM+ToK8SWT9OMz YmVugaMg6tZQKT1VZLI7F/f9LVqivs9YpwPT9hamLSBG/y474DYkDuBrgWvJq4BSKxQDiCZUbFeC j24fYEUc7/8cfh2JWxuPoeZ5aeQNSzNVRT3Yt3+euBMEYTxFEYgTKShc9WUbseJdVwhjIt5vpQAB OMYf+X9xl/jL7dkZpz6hjSvSPB7coTaYE6CLcMXvhZYSau2IIskTnjzvQa5WJ1qWvvVTgVhpWVdD iHhDpoyEUW1pp1IPkI/WyC/RQ37Aa4jSeRqd2H7zQrsimgKmznY+Iv+Hu/DefssGzAa9IXNu61Tk CLzRND2gXWPgiU78qahf09kTdB1lfs13xYuJjKr8yvraSmEiPbt3CJSh/FT4CW6PNITgiBVO0w6g q0Uqe8zq4yY/I2pNEz1f6zibtOvq1RaFmQRdiwE9C65sagTSEpEsY5tnnxAe8WgCVBBNGHQROiMh KC6LZOsuIQ7RRBZKt4UUpFB9yLgViTY9HIFRq90YFiGuFFwldmwlzFAPCvtMlRY1og67/F/z3XGZ zppgcNwQODrIBat7DvHUFok+JclZRf0WvdDUgrLkXQ/C7zf2ukjl9O8e6hKrMRZhxhZy9H5m4Qob TpHV3UzPsDDq8ynzlAn/Bxkb9+KotByFzvfY7V2fVVXFFXNMHu4GmAZnpRWMvY+FCQJIh2xLxaKl gUYNbaHuMC5zdyLv3/0VV3XxRbHhHnnF4YDZw2W+ftv+oTjx+IjudkyF63bx0cIitQ6eHEaLR2FT jWC4PgYoUeXFAEnNqb52isWdJ+C37r93nREVhwY+oI1p6O32RFAVTPFHLsp5ZdslKucgewkh+V2B /wjtMD9OfTXZ3m/W3DrU7Nf9uXPesLpiAc81wYQdZkL0kGc0a++lIBL9qA0Mv5V2dlQLbjkW+0qp mfcA5Lg52JY3YoYUka89rmWDT9/brbrcUHClLjBhi3ER3fW7tV+ztt+dnzV2luNqutA70Q7HJSHU 3QGX92nQR7dXcgcUS2VM11nFC3a9dnrIdzNwt40BJMD59iJKZSHKsYmmWAm37YwMRql00oTDwCid y4bxruc59vkTUlft3355uAvLRrfptj9C6hfwSzyoJgKSOH7vSX/yz/3AACMzan4YvRBvIKOpE7FA x2SdeAV9ikdqSnUG1QeXjHzIPAXWjqf2zcrZICuOyrod+JIOWnsG9wHgGCiGwXr+bBXZLLUkkLYg b6OrMA04s8O7qEvfzdJ0VtQqTAKFPNhC4CuNBEB1MEBOQ/8mGcjrANKAPY6wyhzYSxpOdRVxS9gT MjuPvJUZVXm+JAiKKaysMp6VvBJ3bwPN6dx2Ak0C3vufUuhNZaWQVYkCy6X4DEIun9Pnv4Q5W30s fZVk5MMcjAN82ldSOpwBi2bLf1fcu0OV0teWO2aH4Nyj3xoPo5LZk0ZoZPpmWV5DifFn4km79EeU VVaqqvoYzJJnpptmhkb1xTU17Fdp6I7hOG/PUKYmSdnKS3i8RSviwiSqmvJ4eYCkFyXUADFhX9lP izx8dS5uH5ZxDvncjbHOJkg32/+OxknxGm4hnyNM5RS+mvGQwwDGx5ENaX70q78wm44RPEkujeDH cqKhYfMIoGlrqA2xYVCXb03nnWdN5Th25HQyQtmgeDqBy6uLFBDMHms8F8WI06ugpM8NpO4lWzu5 Z9c6FJNncu5L55y0y/KzfJj313GU9+5aAK5P1THaLe5Hm5pD6vG0YwQmsXkFPQYQDm5Ovql9G+5W StwF78ddTayYL8likpTE8Syd4uKlN9CbzER3rtJHX0evyC13KqLu18MB5lFzF7KMTqE9SKEQFiVS q7GKDZk5UKtcyF//ORv9m0jYs97ReZAh9KONzSAiD82DpqLue6R5eufD7yuzK48BNwdtiA7/05I+ fozI8hpcqFiqp9f5rdfaFz4+7Z1ULbplwLdo5khUIBm2jyRu0eLkASMm3X/Z02BJrBsbtoYQq8kz 5DaYJYTYAfehT0oa3eenqCMI2i6JVcIv8YgERQ13NuKoGqzSQRXql7ppZ6qLB11WjdcA4cTdjmvh 6rfgu84LsKl7oHBgZ9aDUIILDjF3Hu0OaQ1mz55Lc1536ph3EwKjMay1hwKq7oEkYXszPpuCpw+e fr1jOPCb8ZgZOCsH03UYR1dxLog9wmDvBUm87YJFQhq7zGlXRJqju4RzMWDKCtz0XqkFZTY8Ak0a 7qkniNVwQrocsIuEKQQLq9yMXzZNGwkY509IiE9zl2n1OAIsmKsJUA6Vlb3u+UBsJvd9srkCM3lC iFNLhWbAGtXOXBwT9MnA4z5v5AfwK5rbzFXmeo/WzVJknbcxiFzpYV9aMe+Xm4ndXpoOJazGgAEV BOqbiMLqxxgmWdUzqMwFEfqflOMTu43moPV4CX8eb68rYdxB8JgTY6OILDwYXT0KI2AGPIGjxnnj dATYTqH7c4KkAgdU4Nl6eJexFQXQ0WlUN2lgddu/Key289fzfz/FvSd5YDQFFSOL4v0/1z7DPt9h 1kgkt4ID6Ovu7d4PMTpzU/+A6Rne5f8w6mGdjzxByH4RY5ynPrVoBLK4Gn6HQCm/LH0HpbLkv7Oh ZJrCuvtfut8pdtW6Bopa4glB/6IEaysYdBJvqk/0Ji0m0vdlC7gEI+3Wai5g4G8Ld7/Ln/X38fhh Sn9MqXoxCyR/K7pJ2TEzF5vTeQjkpalKN7rZdluN5aYKYLx+AFP3mlEbI7+jp/bBi7Hn+OrtgVLk RKV3epCme6U7s7deLI5Kd1DrMfZ6cYg0sny5kcHg80qc2AMOutTxPXjom9Uga4h3yMS0mGhp2X2+ gSYEly4n1mFOkFNc/MknRShgydWU3U37Rigv1yr0VJusD6Rv7Pw3ni+S81xGHB05WT7LTUxzgxST blJCc81a9jeQ6iPmtdXQM/lXnSkmho+RqTd1YNAqwTCio7vGwUpGSrVawwOqNV7aPeZRwu0qkWHQ KBJcqgTY5GO0rM+lV33xiyDtP4A9hyFANlhsTQLmhpkPsR2AETyhdCu8eyJSmo3k08a3IDHc/tEm gBUSMYXUgGYjnxNEGJccjuQaLBtqe1dx2ExrEoPhFSSmGe/ddD8ynKtMKbYZZFekQLmeVtL4T4BA HHx0XV8UW1FKLFs63nMc/GLd3FZkLpYDKUCfGnSX9u0FXXSNT+Loi5C8SUyBxGXGBFOIE48i3GdG i5h944gRuMtBtD2LwzYiSx89goEsc4vd3E42Xqkfq4DERCLXfgEgwdz8G4wGZSTyopKcuUpoW+73 Uo9jJ1xS1W6UEA4+b9ZNMVdguaLPuDGdnjF5GaxS+yflGvHKEP0VmPIOOSHkqc7mKRyYp9rjaBTI 6NxIG4ex86JkXiCGGdfV1BAMnsufIYx0HJes8SWxFVgj4mFFjDhSbZAp8T2KVjN2rTB0lxSGJyUY k1T8IXnkqzqwxIiTz4bJnpGH1pmNtGvFsZ9OMIZU5NctQKW2587r67LEnfxb+nu0qhpImgv9DlRP lOC9Pzoc7WZZZrHCUeYMJo1ewjC7+99rAQfiY3aulFCgf2vLunQwoHSPwdN4CIPRLFtjWZYA4a07 Nx3ArPhFR+v5v1TOC4dvM0eXpUoXB3jpvQJ1e0pPS0POWoa8Sw+dm6mCHVUblL93c2s94BVmV+VB 2D2d+Als+4NPMpLSXna33ZHC0nfuX6oRLFaeOLQqz4CA8mUCJHMTNtEE+ur/Z5sfRcGpwQhtiD22 sIQYjO9YiWf4uwr1GUPS1HSPjpXKzYA9wBtzraQxeWsulgBTE62dht3vUv+KRc0NlVsj8ZVtaYll B2ymKBc9LrOWLMmzcRsz6TLy2+5BaaYLo3h3hABQSXRDigeKaFjnaIoBj88L0eVo9DgqvLytXIXF +jFF3cU1O/wSQ6yDEiwPGRGToOld8aZDnFr50Z6/2OPY1LrETcU+UCWesrstcUSLBmTqs0EPLMZ9 gSjX/KSAo1/fgs3HNBntWEAnbiXJ9SWC3YRLi0TI2UQM3N4SIt+smG+aZHSkBW9ezh+NNdffwCxm 10quXhoVPsFrcP49AGVICvEm0mFwKz0ZZVd0gdlLBUzGirUlolKyPqJXC4F9JNeqR3VfSrFPtc68 /sGOwz4nV3Aaa3ny4+8IXBfI3o1u6a/ew2oIlfgzUV3feUvCOFd54q8QcPGRxNI+kGDskZhQeRSU s6vwPMN7KVGH2fbPIax5R6bTg76oYu+6QcktFwldp6u4YvjoK8LBB7ukEalGgqZUMtD3FC0Dw7lz zotVfQ7CltXX6mHGhPRvdj3Jkbyl6mJsuMZGMWnykLaPK27+oThrY/IG0tAVfDpOfoGKElt/tgpQ FsZf4/e/pjY3nQBYBqqTMw9gsj4OAFFk11WBqiVxca+LQdRF2HJGybifK8bxa53GPmK43gzQjwZo PaseSP5fpgt45BnQGzmbySkU59ptGB9k6Wn3cXB4U/ijLvRQnPgLVuR/Ds4uYPkysMWhO48FB89A nG3ert7bX42FfIyY9R9YHLBK/ydZb1uro1iUW2BcgOGKyh7IAR37amZx66TLIxRWcUimcKwPXlnK cQxwcjtnK/1DLsR4B817Q24YUOUTpKcaiiNg7d5QsGRX3tpjmcnlNbmTsNLzu/ngxKBgTsk418Wg lajXPi6iARXEBUk1Z9cyxpCq+pDdn8Vqg4Z+/ndt+OOwPU8/j9LB7kM2gsgphImPfHs= `protect end_protected
gpl-2.0
c4e4e271cb1ae7214c75dd17cac9878b
0.955745
1.809964
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_fma/flt_fma_addsub_dsp1.vhd
3
32,999
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MhstKyA2PMxb+FCs1Yur6egruvUNouCZpvWFtZHBmrWhcaFljQ+YGxuuv5oeyukwz6tNOZXIYKZp r6m134vVsA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cH+CDl7orzmu+ALDBrVmwDLS3kiMzpe73qlDPQwV/C9nUru3LQtmRfVu9s8d1M2aYVdlrqmUKmuz 7sQPWhGP3ZUYBOe/tfXdr9TqLewIxhme7kT/Vcp7MOyg1NKA4G4sIv7r+sy+48GMAFQ5LIqbX3qW bMiB5zACYbcey0JmybE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jGi84HIG6st1VmmLag5NxkeMa2qbqhGAGxYZIdB7GroUMrgVeHPDgHFgOJtgN+DdUH6Sc/5CwOkR LZe+YPoE6P0lPLZULN1kSJjQM2EdC6/TDXi+3AlBxS9hnl9U3eCOAC4InJNdtle0sFrXs9q9oHHV qZxkoFr3EJVK2rc/8zDAyhfPFQeF/NvOlduFtaz62qU3xW8rZN3lhaggh3mGXCVSJTnpwwrUPcR+ H76PUui1vkOIscuBoEPCnUWKj4ehEed2pSQugGvvDoF5gnQLtOlEkM3dmBsCTDgAHriAqnOaoHxS 7oaWoXl+QwJiXla0a9CLmO/0CSsrbhLyPFmMXg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TEOfwGd5RiJCD9iYW1mbOuSNYudaMJIeXPycA8O4yraxbW5xqqfjinE6A7FALKc6kO7BRxnB2HiS 2sdsejPhoKdGy1nfNvHsKMs8t9smOw/dxkrr6ER6+9Pwju2h0+mVQTFUyVUeMigzykZvyHazHHWV Hs6inFyVvQeNG/4aHoM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Mna/RvGma0+gJVQG0kjhwBTAnwtKGUGnHSbkOl9zZMEweb0QKYW1tHWNXDF4ODoI1mAq/l18tFFY 48GQwppvnnryxyxbDnMKpLxKRelv1rUEha0LM31GM5VbUd7YufOVSrL51q6Tx5wHB6NOBJJrJLhA j9ZeI57TvXcf8KR43TFdK2evHmoqQEOv4ASsOgcGh099jtpLXccswUGD78ZqLCFWsQL0UlWxpHLe 5VHNlc+6KyrzIVrmeViLCdRSv6SfOF2UJKn91uR1AkY9ZYvrF/NMBp9wxv23+IvnQERyAvapDCvZ CzSHwIlX/Q1gYXSaYDowhc40B0YtFykZ4MYQVQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22688) `protect data_block LmcFAN8uXCjNBJyVTQHx7fnc/WICbgo9RMCj3FmiN20E5dKpvwGJHRl4sTQhALH2HenE4Te2ZhwO MiKYHM0VedzGtlZn0nnz9lhvj0hPYAAMHZYteBZOtQf6cryERxn/8OufKNl9EwzKH0QpG/CHZD2b ahzI0yV0QOpm50W4qIc+l+2TUieJIOAx6/K8YfhPvas51i5NpTYlTHBp09rHUmamZPMOlb/M3XK9 KJCAhfdzSe4DnuzfED6HOk7CS72zRF/I1pzctLD79w4Qu5aJSwOkqkA+ZrcsAOgN0kwr/h2ApKUA Gwu26vN3AbYMeNqy31dv1a4T35Zn6HJunAN++cXuFogJU2uIQ8fPeTShkKJfv0ijv0ItHJKPXaNe x4iml2E/lNXnuDOGyRQgWQJkRo/kXOT8jwhrpfKvLghAFXC2qXY+pGVyeIC+S/VYoUg7j89CXRZW cbvrCjbspu9HYaDCAZNl+0VC4kuwPAJ1yJU5PaV5AgkShsnHs/3Mf3kF0JdXfW2JD89E5wO7dabg aH6mituonX+nlm73iAn2uca4VZbqPOm0DhjLD2enxCT4anRzv0y6E7zPMbzztPNKscL49aOqgxn3 stAPQDvDXm8nNjjwixattobmEgDVvAJUJYX+kr7Fs5Cw/ovSytWdhdfJ7HfK6SEp0O17J5TXaeJl 9xWydRNminr6plrC3HZ3iKKeXKjkfGcBtiZbYUor2ynkSaPooBme3zJeuVn1Z4wIuSlPbT5knoPm 7dRuT5927rNODvx5oVZH+agyUdrtG8dQBK1Ur2+BS4ZW/AmgWTfOi0rYnLOYLlFFC8LBfQr7RvL5 r4lbFTwE3qGz+cp1karcXOmDTDaUBGeA97ff3fwaXtSGfzfrpTb+ZCNKPGKcWoWLE1lUiqJs9WQC uKCrJKYY6Bn1emzwGZh5dLkH0aFSJj/loDT6X1aHTK8YYrDpsVsgkwg3iEBacGjuAkdt4xR3igRs FhY74ccx9R8bTONodQEDZWwI8dn/CUiPEHK4BaEff9YbOdkw2dfdC3fLAc5rXzZf7OmYvjcfYvce q79N7rBJikeJ0HYSiufN80g4LL2V3438em4jhPNCOYfMV35nopAbtg8dX92OVGh2wM1Ba8Iyq81v wcs1HQvAT1wVTJCvf1byH0XYcDPI9NZnM8UQzyLWvR/tDY17mdOjXNv6tR3h/aaR/qepb2FEuO9r H53imBkudV9qjifJW+Yw82opVEXFNfSaxNr9rxQJVIfdpSGdzCphULBq3lsxG+4noac/v5efrtmU gOallXHYzDcGu9bosRvKzGb4fs9WFiNpFiEBH8FxFlmDUym/9U2uqIQWYQW2+xvIXVbcfnZZlSkf 15XZuC7u8FbEetgOZnGpx1pb5fj/T1aJ9U/G9WMRFJnVrO05QvxY3fOQc9TOGIMbjFTyTZ5EkNgV +N+pqnY8GNzjxy7FdUBvZjSgDeC3+uh6rlrFpkKYtRcShyb65QBrtrQ8ID39I2jXPf2ILlUtfTUz t0CvAtHp+njEF0wYvz0UUvHg9J5P8v/n5+0mZ+1bujtLXbSrP4bTiwJDPEf6otvQF1yJyONU8bPx Q30ikk3kWF7T84CalVFfcPIscZxqMKq1w7d+qTUdfYSLf3Pst9/F4rmgb+2kJ1o8O0A5FHkkjHuP 14jpNC7wTrBOIYS/ElKWOsJKj/4PDk+wJTa5me64KbMpgHPhpeRwjxOF9FLFXNK4hcTNIM8iENQF YXQ4ETpOdA8S4c4vB9S4zCN1vbFkwcenjlPPwhRFC3ifjxK6OSh3J5gDB3J/f7kaXcK+Rh6vJT4R bdMbWKL1YIy3txYC6m/5X0LnhUSIrgHuNautHF+2O/Baa3H5dLeq0BVPaTRUeLISaNOAtGtOcVif nRBofPrlz9Fazt6JXTd3BUydrLwFkf9Z9/h12pSLM/TclQmuOGZKozF+3e2NYtH09gyCTxMqXap5 jKECNoMEDW1AHPX7S2dwVfyd5Rlp9EoazhJycP2tc4uddNIWkKD2nlP9n03wvUPJQinOovZDX8re GMvaYr0VnV120LPf0ReK6CaD85lnQqRCjHM/TsbDDDWBiaeup8ljLuDuP8H4dYUkXMzFMYFjJuA/ u9j7vxsTedt+AWYXQ3XXbeJeP4c/5U4KEOBlGVpQMzAZBTE7BzqATmaF+pHqV5ivXBbEsGjq+N2b cB6Jdx6revtmpr0zxfMGakdA+cevOHzBlpKfT9C4poMw2thIJC1Pvh1uwkzfw0MZ1MT5cLrWDH95 ilEvuQk5xUbXv6avRZWel4O3zYxiA5aSgr/3WATLiYdNWFEWrw4fHHUAczz2F5TiYlmGDP2TNUFH NI0I6x6Eyi/0zREhUufi23vIGXTL/FuUXYQwBhhKYvNuuP5bT6Sb3b+a9zvotiPynypsvRAUtb6l ZRpks4WM/VU31yZxUZ/H9hHR7oK7Rfghr2JCipQPAHustEKKcgYF8WvcnX0JGLldoMxqOL+NwTff zvlQQNQbUZPg1hfQEjDiTfgA6F2Cc8fdncnC3T2lbThFkgq9onLz/tubKDM89ckehyiMppPy14Ir TxS5w0dMS9p8pwbzN2LrkzoxPPH4Dc9LuXTdQWmNjujPSnQWhj5eEYIhGQ2PmnceANSXy1QW1bcw n3f14sFKz5dWDj5zcqFG32E6nJ7H2URne7O2Oxcx0kpcbbu8t1hAntS1jpreZqRNvksZomgwhtpQ TiIYuKN7Obz+l1THD7T1pEcuTaMmH/grLmveJJTgTvyYOF+X0MkrdOJC+lRXP33umwA6tlK5zGDg 6sQsZHdguPFtg/C0dwrsXdOu8slP0fnCGbax5C+k1U4CBaaeMRR53euEe4glTVgnbgNyEArj6gcc m1lDej2BpQjofEHlSUWqBOnMyFfPGV+8lJjLijs5QJwc48wbcTxyqnHv1oDe7u3YYtDeh8C58PFY q2CSu74YGRkAwnVA5Y/tt3LjBaj5PUZgB8fE3Zt6vZRnoSn/dPJIeQ2mL0Zqt0Kds1LL1NwEVvBo nK7pF+z3cPuapoC7TZVGLSmDSaMCM4ZbvD5/0MQYYYBDK2eqsEOch4jAEkoB24McKUfCHTcibTqE qj0IQ0RJf3tCD9WCi26cT6VWON8zzqVscJLACkt5rVcxOqSYB8dsr87VTKADHIGU3lQA9/GUHDyj aKA6q87BbxqinhC1kjxfaEB2K0ho5cDyglSjnQCpevVVnnEYX0606waTwG+/BPrFZx03m4Eul73r Br9HmVmurZ7xhVK9euijkCuuYUfnTRIFMz6crVcsHoGeH7hoTuG68MYbFSjNzenoJA29GldPOWKE 5Ao8m/rJtIxhf59d9dtoqUlRojkfi2opizAzfHIsVabKYfBxcH7D6TJLVdkprZjUXpgj/2JEHL9O CnxyS3FgCpuEsYxnnSGKluhF4ZqrhNiRdE2Cd+iApO3Zjlv5IVa4QnGnVD4AAzLA5BmLcmfSlqc+ /QtD4Ckfh36UtkiF+3zcYMyaM7zMsB0uyu4GZdJ+rsiCyIpRLi7XGG62O0zwXuT+VYzPqXa2L0hF RKTPzF+PLpPlcyeYwfxIC29tWUm7pJfFsarQLNpRBTwRfTWVtW0ms1F7UDfIn/F/Dx0h9OGJOxh9 M28pH2EfCjwcA04EANjXva0ppQrpokotJweFOq3DecYWO6DjZePyjQmspWNitBWpAKOZbwnQBxzD fZTh+43eWTL92CfjXkv+OAyZsoS8JQP60riI8gXUjYriYbTCqv7kpsaBuKCMojCwfgY6OQ2Y9wvg P1VF4OIMgRKQypeda/YmKb3y8XvKlRKJrxnCxe436kzTFY5jcygMbH3SYzDUw9QiB3UCWoQ4D0pm Tnn+W93OeOog92uWLRcxzCF1dcdZpjya4Ei1dgVatHUYrpNU7tV0x+27Q6IgNemfB5JXzzto3a73 QyhjTDlZmj0Ctc7BlbggHfGZBaEhSbYKSbJnuEOXdCEHTX8gK6QGGEftVZabMw94IKfYBmqtGtFY 9dg04iCyMCZnVdyaDaOAzeYDIBbOUYcFxX72DPR3dobYtsvQk509M8lYTMeF+10KkU01GOzzWFib PnKU3sAQ8VkkxpYzlPtyKd6gWtyBOR2A2yldumNRobtzBrOimuzEUyBYuvu3Hp/mhZDf27UkmICN smW/LqKPRsYNoQrXn5NLZkWsBGrz+MI0iDOgpUhLphKmYAWeIu3/QIb+IPStuKg2vvVfLdELEBds s7n9LAPdtVNqMdO2VUmMUKa5Zsfqn/mntjLBLuItLEQFB0fQg9eh/SHJnVuGZ+jHYKedo6mJ5JV4 yrrdeUjN2SL2c6L7lntGTP9yP/EU6vc9RzLAmcTG2OPdFnVcbS0Cx4S6u0TCdWs652BqiElKCg0r zzoMLd/kYWhXZ4IUOQB7sGjBNyIZyuqHtGA79Ml+QX2aSLNiJr8GLEH+F/eUHbCpYofryNsG0PGk M866cqqfCyhDiQr69LTiWBEyDFZfmaiJwzbb3sNX2TJwRexemTQgpIRLzCyUCnfNkmMgq30eRVlf Eb5vGxop3qv32Xjkp+mB7Z57mPMhc9jreBH9e4VS1G/4rdgNh+qjTfJTRZqBFXFFUcwwatk5f88e bpK++oZKAfdWWeCQAALRy1IO9Z5JYCNJXAGslXNE7xE/i4GLPaM2GKnj7ZCmyX75/r9cfnvg5YGS c7CqYZ7QOfSwHFGYN4Y5b+FbiBrLDRfBNBv6X8i3tSW8io7Uj7VtqXldWYFf1qqS1hZFQXDTDgIH iyvnnM/P7AVM7f0eX/gerxJIXNYhuPTLn0yNepx8JLoYetbzAIJjL7bYwhTQkR1HQ5OXSI90Owq0 jhX9vTN1jIEvRCuMlpezyVc3qYpZ2OM9uKHfatPuuC2Q7mQwjpFfhl2LeHAn1jIXpnUPukzgxgsh ta1HyCkmEarAabg3p7YbeQESRZPEgK35Ls8+PeCEvEGcRcgRbL/tY2Ude4BN7K1LjJlgYeoWkVmh ojfbEKiQwTnfGdfhnjzo6+CfSArQAwSTag5vXrsC/XG+4a+nytW9vDzh1w+YO9kP3fCzNNublCGG c5TlncfOpCUuzr4sc+/BdrWRkWW4rzjbFui8AUf+Gr2+BQE6PWQRWzCwknGhwP7XrLRZGFLgRxaA 9EguxFZ66yNlrlzTQU+11LYCZEV8g41p7veXTaQKFpNd12tUae+ofgMLOTn+JPUNt6UEJZ4Ns9OZ 22XhZwwH2s661vJad2eOI27vJu9c/0Nd6NAeNstVpuXO04Ql7rx7KtYn+4SLK10Z00flm1QjfAEb JbmA/rFRhn/KaPvJ6hHFRHGdFDp2KDqmBrKn/LZYWrgDZTeoTXsDzK6Fzdj8OWgl0HVriQT7Vjh/ yIvLhaMzP8aD5S8R+d42sXZqt33v84CNgU3lqw7TT4UJDMNgffqcaUeZ7Ozb889ukNiP3vmz63AO kIR+dV9asV+R+uTH7SrCgpHAiYLE9DDjfcrC3tFB+L0hTHXtw1Pw15Nv3s+1Ry38eY5j/+KDT8hm xIGrUGHaAN4bo4PaldTtEyfqcVqIxuzM5hVyOphaU22oOuiV0LkkxYoVnIc/LUYR7/88SZxn3VV2 pTZFhZjYpKCnIcZPnzqQONZXxzDW4WSa/m7GbO5vF6GDB1+77nZ9tcO0ZfTvUWIu1RPbcErvyWZz Bduh4wvZ7sDuBJL0p2Yrb1s10/qwdombv0Wue+aYcmvzjRMvF0/JM7H81ReaMMlAlTA4E5rUREui HQ/d31KsBqw3bjTd0zQoQE7hzeSoDgGPAUcLJpH9QWamTU+bnlGzSwHAY7nyM71E2lrcbmsS0Eru orMgYN4VKgsmTqbV7V0ELDywBQST/k0OnCdqq7VEaTkhly2y1NS5KIbrLOLFydiIoVpgZBKV0LUO O5RlnEvUHcREt8QTAbGZ6V59WIUuWmuWL2ycs/2lKEixRGjRuA0SzfmRrYBmyicGc5WyiyxNmX+I ZMzzXMe4G1bAm1OiVDkOwNnJz6bT4O4IF8iWP9yKnjhLsAupVk/5xtj0hv+eNqXjTvPl9e1y677l cBMnqdKsc+ZklMNNLY6tflEp63JFiag+DpgW9Xly2Rhpcsh4qOd2aVPC4cK6A3V2VzX7cn6dCyRT y/m+uQIo9N0v+xN+qGhGIz2PaQ/w9Sq490dlnsnhBjHpfxp+WQln03eh7BYqdmw7L+MQOYJ+bYz1 9eEMvW5pQRmkmbJ/6k9mxIstR14HSRsI61KJE22ErTZ0bVE6KZxjCPgVL6iBLq3z301a/6Dty610 7bm68246eeD0RDrrYhaj0csmxndijlzJHzuw/epaFYUw0nhqXELpYgI3Tb+swkj4TsmUZfXVw353 L46Wmti6BEZzgOax0BrMFjimApEjza3SBcGex+Z+mscv9z63md9LZVldS4zF8W2K976zo25126rF pE85FJ9Gjd5E0E03q5rPNPxMVU7TGPZc2CC9RW5ddV62grBYcgGg9aWZAo9yFTQRFfzFlbOdpUVs 0BxDdQW+hSdm/tNJwTFbgUBH9k/OMIYxlYjO/733P15FoWgsPlSyPqcnjQplsSKiWnqWHk0TJfJT 894urtmaIOPvYt80u0pkU24A2TqqUfbV8k0w4DA1FXUNz1z9WESRpuPjyYPYGkQt4ZcCW99SEipO TYJG9VEWg4PA/6kX5bN8nkLM0/WdRarXseVdJi1LmyP8k8HCNheB/TdtXsDN1GCh0h124IZqW69t q3HfkGtNfgu/GL+tiaDI8bWj9kn+0fwjW7+fmTWxRVR8Jg+GQLBMqD9MS5rUiWvglkfQfvYyCeA7 glNtcafurybGZnBvyElfMm00dDi/82OLh4p9veBvhITZ0TI20wiTS0fADWpKYs2FTSJdhVkRfZ8m QpqYzByOR2O/g/7dQvgXGo1/12LlYEnA6UuwYE+ecVth7GGuwRAB0TRUfxIIi0uUq6XvEVgF9Dkr 3KAe9tGAp8foMTZKbUF3Bw9Hlcb693sZ45u89xMP8t5Bw0LqAD3JIyfTPlourGQG1HGPDbupoUgv unDalqioWSgj2dmJJvl6nWCappGw4Khl2qwzZBbq1LYroDTWvl7WuNxF/NyRSTC4iJETSxX+CmXa TL+Xm4VeqH8DV5iQG3XocE/S/XSwMVhGKryCiv0B0ibVPyOjOL4VngcdXbIAPiW3kxEIYDxwCfeN ndj1Iv8iSTgR1O0tSAFfJgABw+rYEZgrMVSI7Q/cJsKmCp8vMayH2fJdq+39vJ1qMnsmteBd1RoQ NXTdC7rXsGIl2m6S1/cFCDU/1NljKLQ6NE22RX6WZbtCTbV0ZN4iA962nqcvgKJm5nPQQlu3f70X G6YjDAwplKAkFxuWiOhpXAg3hUO4ecssQ80rnX7Dae7uI8uIw2zk7yGMBBh7lA48K8ecQ0amnVv7 RP9W/kGv2BwiyXNexOYuX/AJ+Bqdv1qiHvCEiHo0QjwfRQHzpn4IbRKtBdW1NPoWWQ9CHZt/AtM6 f82m3W3qieNRkgcFM4KA3L5RGTDYiNNvJK5YZLbvpb9bpjr1SOZqk3CsqEISXHhThyB0e0RhRO5k gm+P7UlQQWS4786P+AZvMexrpL93asAMBaicXhtKgHZDrvEZJxcUHtRhtz2RlbLtxvw7U9ZrmLrh EAlvMwh6+0hv1lLhgnlzQgOI+rst3A4ykquQOz9XiV9WfX5YvHhTencHhXzAHeL+0sUDA7ocxUha O3FZziVzv0aYLWxoRMkIKco72r84ZV9kNlLRvONXJ5djzTifC6ZdSGsjTwyFedqUU6jidenPMNK+ CrvkyChbzpXs2knjvvJxKgr4JU5oJDBTEEKAgbCJ25MYOKbkakjvsHOkFfrof32cpiq68gasGFuQ j2IElfgooO09kp8ceZyLAvVOFZyQMCFF9bPwY9Pt4Lobcq6A/NiILYGK4aidAzrOGDe1MdCUbHrR XgRcfgZ9a8iDq84DqJ/NVSgrWvUpz6TJLLsuk9yvAy8nuKeF475R+b86Lrddae41wNuXCZEds0nJ YuuOW4soBtD85oeeSnv/8ieOF6Dn+N2Xek54g1GZ9wDdXpPsIGt0mpXomdM/zP9dJ23hwwH0DwjW GjetFHDcf/E5vimBs6+uDqHuqtz5iSFulWhruUC5KlJxLAc3muuFzFsUGcAxzSe0r2LFLYNu009q 15aAdzpTPci19p4JjC8WFc7dF58EMvY7zLymZXavZoYhmZbnau6r436pGs+3Dk0e4mYXKyzSc0av DowSp0uziutKdnoJASxo8NVsSb90CuXLjzkzp/As/w/MQFzAOhzJBk1qxoajlPpJxhwZBPbcSxu8 bXRZWnthn8eMP4g1oGoUit1i55J8gK2UpVua/XHHn0HaW4h0T/6nbzmTjq6B0WohMZZrnoEL51Li I8N15RRkNhE7RLABEDssPGoOTh/1fwzJkq1NbvyaVR0mlzjsXsaCispnJq9UcXrMSdKsCeQe32DS OF129ht3bP0UDmS1qp11WMhIk2Zl+dO0NWBA0Z9FtVf96fRHCQSCokBEL29mJr2IBW5rTB9Sehp+ u/QMAJS72RRuuqJC6ZVuuYRw4zwQw1+DxU6gfLOOHWkyWgmd+k791Za+HgjFRv91KXr0rQh7GjjP Du73nU5LL6M73PuA87rC3noEbGNdAbH7IiK4CHPDkc2Bxv18b3OxrL8TnYQ0lo1E3QAXaqFS5eku NjbHsPShgxVAazJsagLPa0VfV4VPJsp4aThYYcbs5Nm/kQYRqWbmIFA4lkGo+qGSOsHmRaem6W3K DqRty4RoDLhHzukRU8dyfCDQJTbtLcjxnqh67msRpJytCn3jj3Sg512SYM8xQpUpc32RGSuhdSmI vqQTQmKkLw1zwxXHooZPVeR9S6ZbqPxlUpNIMGvn26OfGkhUONtPJg7rBBC6zA18Lt5M9TtImt/b wr6SI6Ee+fS3s5jW7MRDSbVWjb27sVFyqonu+MzkvEQHiakzk9DJn5q41kPQfWF23iH5M2cmDXG9 6d2ht36E0cIhw8/JS44+HjRm6TSSzIO9qMrTx12YEp19vgLGjY9yYBTecIG6BeTths8Vd30hPVnH 7wDWT6Jl/3eF9yt7idUPQo1hyRhSMxavhOpncN8SAQ17Ea9I4a3usQfmQS0PyxjESGjfhreDd3UF cptKCv/Ftf/Gc272m9o/DiQjYPWHfgADAtMj5p5KcjHKblsGUaFxza1GLlRFkKcL/wH6DjuTeFXQ 5L3bj+Z9awFvID5QubSE+6JIJg1KvJnAIkpwFglT57W2vAYi+RnefVtBmhRmmVOAI6h3d9WoWSni TZb0ouS39dZ9SFt1pBhZNJI82DaZ5ff2GANhpOzNTghnz2QtQyJUEMyI8bAuHasCR+ttH/cQmNc+ LEt68tDLi7zBJKSyraKARQowq8/OKF3BBAza86OG01Wzgkq/KdgDoSlY7BwF3XlX04fgmEjfUDv8 nnEYVEGzAu/O/gXLlf1RDjBhJVIXz6XzzIW/U/oBfRV4+yMhIZQNdVtNbEiG+0XI/akht4cU9aap 5mlU8tsy5XQ2ID96LAxS+OT8zKRUOEGaZFjSoREQLDQY3KfMvxCF/LSt9wv84vcsBCENS3KGnpH4 SOgu7b5LhTnCtT8dYyq1huTVokix+LbcJoK9zW33CHlhxTAdodpbsrW1AQkh5N1YjC4LqlEpfCwr B0YuaycNFgS/0BkRGqMkH7JoXc8SIAJyTgu9wL4g+BxqbP5LurMIFki97ZGv2XyqJs9XnY5HzT+t uZ0jG1CSSMaR/yBvTrqXXZEs2EUkld4DLbjeTdla9T5inPbBA0hsDaxvd9nCDI1VhUaZhf5DOiPd SZ/86+Lfio3BKnOoHKNprOPFrx7Td4mRborlYs4YkpPtrAt5F3jyEJG3hF9S1WA3pVaPD0AcQutp lKpsm6XtxO7ru9ZZ/cnCNTe9pQa0xjD2ZCcwFPLWV/0eZ/yEiRiiXnOPb42W8ZIevZmN+gxYXBmF yvvvxsDmNpjzhyfRGB1WfkSrDND23yumr2CPf9JksQkvR6ulDcWeRbrSque13MrFIJa8vIYaC6Ne zsEQHukjDxrr7E43TNoPwZuIIA8Kgww4vVGPmTcpFEGKX32EsDjWAwEjWVgVyTwZ41Kh749tobiK AzBuPKTSPq5HvTayTlJP2kdo98tryQQ8/lmHS/E3nC9Cz3S71ZZGydZH3IHfRfWC38JUXLsXMas8 CfSstTvU/C4OBLrr9P61ea5VFO+j/KO6jXQ/sO32AbJ0forXyLcEsDgJCyCHsauwj/BybMGuiXFV IBzFa+70TNvslmNLj/9S7ZfmpiQH+ByzGMEd1KD/KibyhTVcEonJwHYpH7zafwRukkMnjByLwyW/ uudjxffdOIDYpMbCYz2PJyDAy64UvEigQo5RmoDqBE7+2Sl48OcjNLONSECRZWxPFzUV8soqQ3mF ph5ISELpDZ/Z2ZY+XGuv2O91l+goKBpiLlChgNkzmwIe/9AtQoJEvGt3wi+TAaJB+H5mvihM9a3e jo7RO/zq15oyxs2jBpYEiQOvI0RXUVSB+nP697YSan1LylsI96pUndAHsbYmtUvbA3VH9wCc64xt d32bTcuS8ApQrxRc7yNvR8xdcaN2EhEBYJK1tzodkYizYdH2sFLDSMhpPqBXS2Qr0K2U9RTz/P4g kwrVyCJ1Oe4RTIIbF/IZoIQoS7htoS9S8HKEfpKXVKsb1f82wOlXmwYB4Szgzx0zSB00fOEgfqoD 5e3LZs9cJnTNWimQIhhE0UmXpRK2gykAjWWV3ULGgshMl1oLgg0AVjDeq5c5FZ/0OCzM1cTmDZRu PLtirzcbYeSPVUC580NoEtlIsXE1HfyWOWuvkvy1KExP+eMACeUhhcN1xzDA7UH3fqW+6u6mOyxI g6JWfJ0309OfFZbWTOYL7NQ7ApA1kpXd/IRExselKn3Py2SPK7ZLv1d80yiU7gbOL2UWbpm7BcBB +DPHpaVzPHAPCzGrP2GplXkv7aNK2YvE/Qpr6LaSUAwm6dQQTiaUPB/2dFSgVLsAaTHu0j49z/UK CWiOi3Bwr1zxYAxnZU+jCHviVnXC+wYqyipZMfXjlZjshH2d0eCOIGmp3ATwPZ4jPwvcpkMUXc/r VWW1lVmvYPlDOJiai8x7wbU52TUsvg6Q45x+FKqA/kqGZOWACJ/m4Bpl9yHqs8jrMEiRDiYl7Kpd GgdAfePFUedhFxckJqPiWKkua7nSCvIFcIlTq4pFmR1YdGOxYgfRyyKqfN+p8htorLQO35gThvw/ QrrI8ndMquKdYDhQA67G+xFY01z66JyUfXP9yZ7fum8UQ6O17iJNVJckjdcGYf+GxYguOMgeRsdW GZb4pPIJHOyYdHB+dXOudQ+72zqpKpdACn04nkS15QLMLo0OzUkCME3vZLc/SrkH4q//UlW4XZgz DEG5XubE9KN7aqZ1AJjZ0OyC0OhfC+4ilboWOAFciVF9vaWrObrT65ICmvvXBLFfz8xhsVvWArqH Zd+usLqV9Edm4s0lMt35wHXR8cn1uWe/uarpZbGYXjJMT9o3/THa/z/sMCPF34w0wOxNtiYQGQdu 2y+BYPPXFxO9762vL/HyjEJa7uF2a9AJwOApV0DEwyFkFVVPx6XFR2v2MjKtPnWIuoW0FZCCCZB+ z6qHBVScTLDVRt6QZuhTtmCvpNT+akLs3PewjPf9wBDXtzYUEEHA6V5bDT8rUIzQp3eEAf6qjbz0 iyeuvwIAZVgNPGoDUCuZeIA9w5XbE3+FZRGyq8n7D6PdjGAyKqM3lYUrJ8zoTFqdV9Kd/gnFvcxA oCz4tjghvwXKkXH3gpWCXzaFQ4094TjWMVNUMvSH7jPi3X4FWAC3U1k6iZW1mJ4uSpDtBis0GCs4 6aPrO+9nnhhNyQ8J3Rtpx58pePbB05BJG+0Yz6mIugeXtR6vLd4tHs+fmUgayVRCSprsmHsG1vlI 0KFRJJ/ncjn834JiHrIrrlVNAV7k2y36oXGf7WjoZo/a9afopFeEvkGx5CMY4cpmNXQfmjKIPBhn NB3SxFXC+Zozefh1mSDv1puFcwpC5rpAhqs68fzlYTW/iLJqEd4gou3XcYBLCHh5TrvZN6Qxw7E9 YnMarvjT+SL9mpaurmD7S/Y2Dth/U+oJiSsRNAMIp8VPjB5V+JYIIKv156OcvxNszEY7TGE8Ax9C q7yEZ3kJ1834GGd+82xqGCUvpMzAZhGxdIilsDnaquiB8dMWQrsaTbkK2MZa4MvSjrcDJP31i3hd yksVnnvNKrgs4quAJiwPLnmrpnJJOC5BXpEhXR0XKfYnvfoLn/QG66M6+WgJYeuodjqZboIRK9ir aRFDYFGdKkZwofcVvfihNKZU4s2ZqFYRjwH1HegtOKtqk12IZr+VWBwtN3akpGwgBg1r8kaUpvRb Qq2LrdBRsqUkQRVodg2JRzOxMq0s9pYPx9cUzwK+kzt9OLsElDoM3Gp/vGNgZiiaj0v0fDAQ87n1 shJv6u+iags8FKq5NkFrL+dQi1/wcL4kzeTteKl2CtzLlheS8hn1lkNavrKx+vZA2hH9j9Xqg0tv GBH6IIHrOvGYFrIFqpMb5tS4wcAHBRVjrS7XO5Qf/ymKbII4UhQqNoTpyXgt/1ZbR5CaJAkRglbs N7NC7mds+GZpbtzT38pLNPMp/Do1hPgRAkHg7vIYu0CSp4Dl1hwm7PmQN1qxayfY0w5XxIpz9QiS p6EzoVrw5UjaJnhReREcC3OkZgUaAWfzEUKO1f0MDc/IpW8IkfLLxDhqate+SI5U5fkwJBffBLWi 7aJrvYHtEsj5GjdxV2me3PieUGwJVKqp68o+LtU5LbvQi2AAWI+3S1j9lDf/NuYpb4CaUUcspfoF hg12nW56nbejUQMWp5hy8oJGEvN+RJhvF3Mv+Jxqi4keCcUVOKy5vcMxNMNYMRQf2ZCr6dKHVxVC qIZN61sTJ8j/GBbIYe3FSSoNzDHK7/ZP9bmjVvXP583dhlfmGJQ3SMq01GDJky1eaywLtBLKTX5G PVLX0dtlF9jc32QVmW7O9t9kaJIq8fjmwbagj+bhHUeD8kaepM/aMEJ4z22Sw95/lorAp9GPNyGV je2+IBV2bSK7UKF7+Da/JCME4v1i2DE/Ca9FvXwJXoSuG95DJqqYeYweoVtEDh0moo4/eSVI5cH+ k2ZimCq2ATUFSX+dyqaspoVJ5PrgFlISM3ZDKXNn+UJWFn6LMABjeiQ+EIJ8un0i8NVnaLtdlBmA Yuz+w16w1sT28G93tDd0SbfD7s4rVN+ODwGjB74EghDduW018tFrFsDf+H2ILa7WcuEGJFBexVTR dXFMYfZtdduQs4CJ07ORcfb3/+JIELXT9sdsZZuufJboyAFjk7XUpCmj2yESZN8C3bROU4t83d78 5qE+PizOwWuhkeTwt2Y6eubjqykgdyHtxGIQgaGhZM7WyxXjdWCsnQZ0gHXIuvdrhrEsEgeNGVCv /VUj0eMKTnhyJQlmvJuzEA/eRXpfHeQXvd8gN6P8JiBBNDYQqG77YAALOuWfbsrDid/WlNznAa/k a+PBwWEibTIwVmh/utcio6ILs1rc8gavt2nT1IDI17yA8VH0+HNEdjV8rN0BvpVtCP5e+p8b0BZX I+bsc8vqd5TSAkAretNZPKVAFuiPFAfU5ac6VxEDsho00W1hip56DGu0XYPT0v6U5GW2mMzP9Usy EK3ap5oFZ+Rrhj1Nsoz4MeOY0makEWFvP654EAS82sZfbgf/OscvC8PcZ5lj6Fu3LcQrUX+h4mJU WOFgLpmOIzCY0/mOmzcLQzmT+TLkKiR9UrsWzap7zo08tj2bVZplnm8ykaMUL22tfbfyDWExUDXJ g2a9I2ufTPLp5ps9YPi/Wo8Z/MyZ0/KBFY65xxsqEAyJX69CreLEjW4+ud8LGVvWzt3WTnLxbq9D +IJ8hNQZt2uSSuQfNe08UiYJBOo2mBq1hfqSCyL1vI51ZbKoFdTeZRc+Wg7fst186gljXmgg0yWJ eQOOrVtrZUuRSAqvkfw2MLaWUNj0oXa/Jx+rf03PUksHyT6gETrV4RVvGEWx6qAaJ+w9srmWpWZr ednOw+toAP9u/jMYzFYr2R5DcwVRlyWkbyhtsyJfC0sd5WOzwPbDNRgLqsT35eOmBkfMTpyovXJb hBYWfU+hrO2fINj2+EXFOPlACVBy9o8wukCbKHQBc7mft7pJpZmR7nf22VG/OG9cR+8KyymAWF+t jLwkgSsT4y5P5/QlVEKH+QjORd/lcwjSjW1Z95Tqwet2r1OtLNuefVNw9ng8E3VV25yVS3x9F8YF 0CIS9g1fqHsDMVGruXJFTr4kCjW4PJMBFK+9hq25QvsyT4gaNMkP6NjcHyaTHnwJGU5IPhOukcVl KGydrvu5N0e+ZkEuD3KqHUWaPhBD+pHSGDCX2YZHXHvKuqoUbUVSr6Pf8UYngLyWhBBxYiWFaEgt K1cD8XZjiErm3E6KF+1B48re7Vb+jREkZAdDvgvyeY1QqnU3Ay4oXo+89fHYG7b88exr9VgwYWdp HwqVeJwjh/pqviX8LL2FmiYcTHg1lSnadRX61D2u6xW/HCEtNCz/4dMfLVY1DKS+AZK8z7DfgvNj /8iqoxawMCULJKZ0VjRDFhhTRcSwEiB2aHdRozessNTErTVzAsgGjJTZqinKrPKG28/FkPjJ2zrt 2FKLLMOHV9FXKu9XlBS9ZwdjOXMZwC0zBHbb/66mS226ewUB4F95ESjzjOACGmiztB5LVjijF1Fe b6eNg+hsuvf/zcFDSnUoTeBmrz1pXvV7mieD2UZbbbO/OnY7I4fcIz+vNJUrn/OpS0Hlp00fNTna Tk8PoBERDsMiqNZEyWVXrrbNr6rF1plRA/JJo9KFjjSdvY6X167F10/GqA2PWcOZq4QXYksSRHow jmv8GoWwMtXhBDal4qGXTwNKFa70iom+p26D0jNDRSwKdU1KPUcyjkpRB3DG5QZf1MHaZqAaqVo/ dsOGtWkJZ2qeecTW8blAqPmMb+q1TDnFuV7RCMJBRRQaCYLVxgzIBaH7BrfsueXKbbJascKxj+E6 pzrFHBVxpdTU4L4dVXORrk8F3+lRCSy0/ciGd33ZpvX3xPNSG2NWE+ZQuRXcIjQjXf3HlodVCqoS a5LaYadKAqKR8Wg5o3sXOj+yj5zgZNOXDOVn3+vun8Vb5EOTYJWjLfuwAyBQmU3K8U+hcZEKlyTv 4qIFr6aXKht0VwdZastr6mMUR10gf7Eg0c3JfG5/AaHShmfhSi9v608FToJ1keSIRJpokQCTZLDl 3+q3Hf2cT827I28Bh5s9vRFXks/dsiBNJ8n0aSWhphBWoq08UrhWxbmY3sUlQRuUtihKAau132vr cWyoRaMGVk6VCt7+GYvvsrN5zchOs9lptCfyjJ0rnVZujyMeYGoSbvZHq7OK+FmKp/XypwXXpqrp X3s5kQmeg42o17ES3bXVN8XZzYutcMzQkFXDHVqmgm8xlyEWI9mkxwebhoPgDDSAAVmAUzvz8Uia 8EWi4lOSdaObA4Zjyx8aYiXtQueGKugu3hrWJgnwJtqyWXAC6XQlOUSdSNvcQfn0cO065E8rXlX0 Mob5TdGva0wSkZ8j7+8aHz8DxEzod3xs68PHi47fdTz7ypDueuKMnY1SW0m4574UnE/XDzDkMEnn 0Dja6XFjnPGLfshuizmLI1NJvqOJW9439NFGWukQGOZEDO4j35SjOZpTjHauoEEh1pw7G7fJ60BG W6sealTC1ypUUM+Shtj2im+KR12rXlhUKpgYmkB4yR2FguOFYi+U0HQdTcfL6pR0a4gI5KbPVWBz 0SXZB8FAUNyljyvV1Rv5MQTNi+gUZxwS/mN+7n7M5VK15eKmNOLUgg/VzAvRuZtmK7f+QqjG5Uow sf5XuYJsePoZnAqn+gBApQH1iqzHt7mCdvNanhuX+ZtgJF3m7RCJoxpapQpfxqIFkpskzIGg3G7J JdH4JokFBIRpCJS0VWPc/D0zvch2zLdZnTeCCyQbUe64KJUyoWTT6LBkL9r6tciNMIp3RtVzM7/B OvnfQttPE4NgWoNov1cnQzovmCXRd9q/SOnRzhr6PXlYYKQHvOvalGaFIwfWteqERRaPusKRnpT4 uUYDu9axWQ/x2CvTGb7hIqCNfD77B3yyvVsqLwy3QrRLFy+FmoZJ76WNEg1+MlLDS8BXoq7RAiXk Khphg5GwApAO08lHPOwIM+uzsnqFRmKBUR6os9/+Gu7/OlnY+psBc20g+cRSN90i+9sUeXHU42KY rGF89YV1yY//qS2xIrFIxG+C+2X/lwwSwaffnr91bQhFoizr/kmKBPIEL/XZKaiXC8Rt9lpOLQmy jGchwZzl0tT4HtdImJQRsY8YQzI6QQhQrnsJnJOURBLZ/JwCvWmzkwMx1Vw+92y76bBGIueV5VT1 5/f7+C4bjkYwvcVILpr2fC6qFJa9xv/vUV0znuFlf4YhiYAwE+j6xpGQSoUZd5pl8Vaabhx7sU3a 3xnGAwjEEPQYU+DhCN7V7GJwMDzTxzG18xymzPKgPlM4doJdh0D4aWCFoXck6SD21JF/k380aYts ArtAfnZleQhKcRm0mI0A/WEIu7778UjKORQ80wm9CMDLjyhGaiR0hfsUdvORS1aX0aiwrkQOg3ec +A3Woyj7G1rjm8x6orgnDDfPNovZi/cBgvqIPunTjNtbg3R1Cs+dISP43HxmUk8PO2XwRBhKw7cL lzTbB0m3Fl+ixS1y6yXneQmIrqyv2WfKIMmc93PPzPGYLN70WhZZQZihCt3FP/XnUjF57zzFOQQ+ cD0AlLUKKgv8WYyThs3NrQb2w7unP7DOYj5hXRHlg24Qvo9+xfnDvlw2j32EuguCa8FOlCCzoY9h 180Y5uPS9637WeaU/i7g3sy3Hatsyowg2RT6GqSHDFglUVG5vnxM9enaJt5OxG1DtDaEgNLaFwYl W1HVRtrWo5JGH5HkzFS9+wLZe7jonQWzy5g8pr1Un/GrV8oXQdAqnCOyRNAnLzs5RPn5BeiMiyse rNrd4AVnIR/MVS/D5GsZ/w1lAkcuL9gDNRCisFNhd/JDBZGru9KKcn2EC/t4TyMijXdyDQk4HMpb wvDs8Xe8wnybgSnMwYknip4GS9ifsuoiNDjg63/zWyYKr0FIxF5vjWeoHCK06a2dGyXpAS1mHi+n oUWRNqVcF/6mFHqMeeO/GZhOwqc0YeBdXYFTKSxAloYXXTjnjFvy7f0odEZbO/PzlCkiSzhnt85j qya+z7nbpr/P6F5LkjT1MLJz+6GSa2IvvfqsBQK35V1wiQApK4S9PzwSI1f0wNH8Zjcb0NpS8XVJ yZRDiV458+nP4hXB6LKnOMoogsz4833PO48skEsUfN4HVthiAj28FoWWn2ykO0SMWiF2dEhrmhDh jT3l91v9hRQTF2KMpO0EAvnGfYA2iscTmG6pmvcDc/Hu2pVKbZLNCx6d+UbkB5g3M+0YS3JKk/rM oxKkbCEMMTKs3+tTWC7m4X4efZHmwtFPS3LN31qLUsjM3anM3d+ZkMTbHqqApzqsqsUgVt6yzGpu 3rUBNoaUMyDTPtRCYMr5RFZ+1npKesBjF08YdANz+5NpDPEHNuSa7rS57l7dMdHlMZo/1IM/jNvU 2X+eOCrjotcehogOT1YGGWLtUPHeoig3uCdxwCnloNYT6Kko1A0FxFX9eafUNufOGjoU5XfO3Q9r msrKRXygibHF5SXXmbRaE0Wgrs80BpytAnVX2EhlDMf9jomJsuvOrkAMBEPvzBbhBeHENRZU7PHB q+s3CqiE9UvjFyKSfC7CiDFTQdvt/MHzUGYCGT4sLDnmURgasusangG7TFMGMRoXRBDH/7uTySzr s1qAG2HDN6VJQgf+c+atu2uMdrLbp8vkMF8gKiw/UMafVRIfWduAYKmDD/Qq+z5K4pD8li2BMpQC 3Iemc7FQ6BbXB6jZzlxNKQE89voZswcJUh1yZy4zjc/jbXTRcRQ186qS00nbOTQq/SIqTIXkinri 8AeIuT07fMx7PW1yvJ5r+X1fvocIySPlYjZ0hQVnBHskzkJLVJ56WgaHZ5IKHSXTwMLTTnqjitgo qr5yS4tLveWfRqHFcXLQain0KKKLBfcMip5Rz5K5pEWg7JzA3unjlmdtvXbyzZpv8KmJYpnvBKpA vpy0Nt3QBcv/BFDZm1vkwBvN43+qJAi6R3moUCIWLuahOTehuLrRxbeS6J7Cdn2Wfqvju+3X1Y9B 9VCrU2tqnR1O2tEKUqb2Arhn7VvjYvn6V6M6dnjMYNTyFJrXl7vaFVeqVRYfrsNvo8JY4SVET+SU Mb/qfsQGcXSjRYij9wDNQ6z/02LHe84ZaGlNp0j0sm3cMWCl/xvmfnn+NE6K+A5wJQZfKY+yAlyP ZWKyCusDXh4hlETz213C4PrU3YNquIIkF/TObrVXIpdBzH7+V/9iCxLVXoFlXbuH+PttWw26OdqD JS24HO941TgvFMcsP6rpbuG3u9g6idiTX+wFlvbYY0XLGXb0E7cy/WslI2QRY4EHRNZUAhfJLsuO ejE1XK2QBfi0vQr6J9w82IyjW0ZkUqhMkHTeONSVUdOtGM1MpW8ayTeHaZtSoOHsTCyLOhxHP37C G5XdaCOY2/0y4d4XejJdCW0q1CV2TAcSOs7S2Xnbh8/C3ah0SEkf2ZLstKGpjoVJ92cEqCyhbaGI 4fCR2aIJv9D3B3t5mCUwrAYw3J3SaQAIQMwy1/0uZODxkNJGMZVBbxMxn6VcasAnL+8rC+wWaxym J6wXzC8rrGKVFDoEHbiPqwdo9tox1QtpjEXPArsIG8CPPy/MSYoPEtfeeRhAo+Bhss3aThW0TTXx Awq5unQgkUbcCygVpW5ZY0pqZWLTj4AgQ+JJ+T2zccKFBFsPzI0AqZEH/dBlxx7bOSheF7M9zp0f 7p7poB6Owi8GX88CAKe079SvGcp2B6t8K/axDVe+/m8Pq8C4ZKEI1KxJMQCvChcGM/CJy1Y6Fsht NMqTdJhY9mD0Nz83a1gH1+93dYnPw6YsHMvxriJP/Z/Y3nizKeITbZQfOEWK+27TpzBFdFx+LyFy CfwTsEvoeCZrV7NXSe7V3HXpSoSIlDueoWgFvlnbUYs7bOsSXEbSeEV2YzfMA8I+S6bvX1GY+lVt Zp8U+N8rgTB+inQnI1D5OENsK9qPMboR0ZrojYHktvHiOAMefVRx3DBkoSyn+JFCMPDRN/i6Q4T1 xHqsr0bACyYLfsOGythilm/LFN0E1cUrqUlTVge4eRQ/6YwKbruBoD0YmuvQ1gkV1y2tFxM6h+E1 LaTjpea/zDgZadQWrvQbDb6K0ygdSDZz2aOmiQd0VlGk6VJPp09/1rD21GtWTvzdlZfBg9277u9m /0IZViksNzGEMLVta4S0bP+segnfrxp8qCvpxxIIBOe6DhOoDwrDB6dlfdjuWXndtGLstjeq3nvI UulZvd9KVOfbczkUv5XUfnzEtMuxG8PpS2gl1P1C1VFL/xpPhCZVL6Wouduv/4/bn59zV9ivipXE 5/QkhCzvEE5y31VMN9+5ldutRFof2hwUbYM7pblRY1tuvPgmM3/jHWnZIOy9yQgERFRX+JwjmQ61 /OK7nmbHwDMshtTvFmlEb1JHInf5z/gHExQH5rB8jU3j3RhkC4wt/Onjjm703OcRHOPlF2xOPJCX HXQ2yhWKCOXoyuJ3jZztbpzD4y2IOrcvTJB+uRaQS77OhIl07F5dUd2bgV9MUzucOqM9udMsrVD6 3eTKOVPj1iV3zynnPWGm5Sfdvn7TQlxlKJ85N8IKQVwhWM5DMH1MJydxuq0WlqgYrht+CMr9ibRL ZDqwKWdpqEKaZlu74OQrGxKB9ucN/87RXYltyuIydyIvaDp2ojpbaGWbwJZ7lpGrq62WhZ/BPYq8 vmmgZB5TlHxBWGFCwxP6Z5VJ55p1sViv4PTZmd2/N/HY7ryI3Zh4P+u/8eSeWPC8phL1P12U1NsL qZMQVKaiIb6iU9ltOyW2jsnP1VrDvlhoOq///MTF61wztXujSQaPeh8JEhETex8uGvEswMuQ2AXR VTestuuNlmr48gZzgBri40YRVkCGCNJ0z2WkUjnKhOqHQ8OSM4LbOJ1Y1tAjerTYGQaifY164fN3 EC7K85G6lO+KmQranvI0lBan4JTcDxYO3pfJ1sDUHwbDng3h1dDoEYFSYTawNohqdneCXS1NhZRO r6XVdBrE5BGMbrpF/yfP7jP6kNnezP85VuSeBD1VJCmCmdbnKIo2wa9RLsoCS9W/deYrHoyGWIya IYgeD+/oq1mVf36UaAjlvQNDbGGLaocl/SeeoqPsJSt34Dg+PJGOOiDRFOpbWNMoVU46ZZYx7sP+ OZX7uFvE/GQlRb+yC1s9N5YF0b+K2/db0rCTPEBN2TPCqhw3Uvx7t6dU/WVVAoAcSOjru4nHk6Zg ucksNrySGhP4NAiaJ2vhICxzdvBLp5hmcQQjob5aW/TJVavHc1q/0Tk7PbsrfnfrPa1LIhQangNn JYnepRWloW5J6GEHfUn/5ZPMobVHqkXzHl+w7/5A7AzGd1d1ZrBIy+xC5jACkwJdDMj/0g1uHHXT Eev1jAdUuzbzLx0XIyTtsOO7AtmGEdLzM24Zw43447c6e61+DKeiseOeMHGF0GhSEk6Fv9uZK6Cb gMo437K5Q+ykw6HlwqCF594Znx7tElQcwCikVwrfqc7QlQs8XB5rPQe75zXVY95PuN3qRFbRvw3u g5XfuwYSneZf539x1wOwuXAQDWeE2fm13fJJgCQ62YY+y0phZCH2saWj5ixfobHZn1XJFmhuXOQf 1hMI+mpkfkE74pJ+J8zI3yQhhFZMQJ5NxwNJS5I/x2GL3JYyMEZgI70U5BmZyfWxrfJy0Jys7k3E jkNMQ3RAx9pKjVbrU11cYQcjaf48VhuNa355bsoeiR/2tcvs9WPGx/y9BXVJk4lCLIGJ+dS/Bsn7 eQZocjSrGukA7JLGoN1qs2lXOIqmz5Tow1uD6G6Hu2lpZqAyi0dvD1b5UILgjPqEQje0CQdnaIul fNw5xxHdUKDp6rteaHoXI7tMUsmOnhWMkM0QYPMb6c01OIyojC+XQxL6MU6DmHWndwwmPpsUj1Ky tL47BwEpMjpUzGl7x0YvXf64i0P+HyoQ9bKTI+MA+eGoLPwvc/B3AwExT7hBiXW7QJ4W2xrk58dq aLbCtR7SjCPEhEroINT/cY8+EORo5tK66DlixMV1S2wdtVGmYEls/39vdbRfLB4+q61RJh1524vR AqUx1AGH8Hd8phYkXPi0ifguBs2xxVvqYh1sRlSkW+SSHtbLVNVfu8ij9R5fGe565nn5YSNcYp4D u3LzJcLJYVcP63fg00mYkUuTW1dkQ2qd8Cs9dkzkgyDaU/7kb2Ml55v/szEbE+wRJmqtu/i1/g8a WC8IhbaaBhnV6+YmkBe8L8kz691WYVgZX6Oi3WvxS0P3cMr1BBKlgxEavYetY1f2aFIB5NpU4ZzM NAp1N2u7LNocEFG5uaD0gYysHN8d+pe6A2B/fgGlclCVN19b9OpsYIBTKnpvHqMV4NU3XpjRqI0G 8ZZUw4MR4FDr3QB7KrL+GPwD3eI4dXA8KP9Ekw4DP4mK51TS9lQ/K0D33D3C9MPdcVu2+b1SNUU+ SK6DVGIIxJOcRuBRK3v4OA9zCpZfkwgX+c+skyI2giWuEWqiZ7nDM0Qe0cTHpTVIGfe9OI5m7QCb zM2JNjjvGj9KB7ZUFUCVrAwvpt4Lve1FIHaixCvuqIBLDj5gdjhyDOjnhfF7Stowb7WOjrVBvYP3 EXwgK5OTNDgiojURpiDxwaELHZy2UUn0S0Ymu3lNa+hOoBy/qlodsIA9Y0Eoh8kjXzxhFTGx6/2G YnpnGW0wqcno3AjM6bItSdHASqIBjpMZPAJmJaZb/N5p2d3Y9zPnR72K6IhS1A81Ggz14dhkqzXJ DvNHOPDzCSiA7i+1juhnk0SBLzFBdpLwzn/1f0v3p5dCE0FskAJASuiQpQLIjxyFAqp795QZxWbI 9Z2cCzOZBqPSumORNH/KhWVMpLzlCw0IO6YHYFed5/jSVCB7AJ+46/3otChTUMH72Z78eKpL05Ku bGUJ4GbRrF8H1b8IHkBKWGrl9DzMsw5MOh/vFEEmHFcT8AuN7jMxbewzyxMQj/KLurDUr928RYJM vb8IE9izBO/IuuslymCW898SFWiIqeWJO84PxMxOHvFvCKub9Gbg3Iz9hdvRzrAsSwPy8fr2j1zQ 8n2ld6e/K1pXkL+YB0Tmmanhi6hObAVBHxdH0cF9H2GBt9UxeSahgODiFRc5EWsKXbBXv4m7se+x hD8zSLB3lPl1dosbAVZ9EsfIpPx7LU9N1/VvVwvEzanh2ZxiLCJPLctzn9mArvewi8QLgsP4Sa1w yGajPqy1+WnwvBOQZqRuqmfNipOE6I8PjJS076ImgXpEk4hNmhjFLGh9Rg4shyeNOXHBFqc6z8p3 Dt2OYOZeOAW7+y4jq31zaDYqfl9//plaBZfCqtfxjouxCRDPjdSjzT1zz0YXUPvP5V1KkHCRSCDF P1/cJOYSvrpx/VdUTq8lZGXsvEr5gYzslBnC82KvhWcIWnxoiQQ1hue3iao2qM2WCbiqzuXNhA7m gi+LWaYSDqt9J9Iumf/cRBe2cr+TXcpdEgtBUtjQnd9tcGJFQL2SBEnixHCY82QNmm5NlIJd6GhW UE7COLSFrqHytnKNcAsBrNu/s8rs0JJSXzpote+rhDdacaYQOURiqFdYz/TOKQ2UADGIERa4NtVz 8+KRxJQKYe2dYp6mS3te5INlStRiJmQh6zOpuGSQqdKFEq54wlHnNoJmTEGyL2S99pYzd11RWtfo CvRP2Lg7IyEkO6Vy8jScJtV/Cx/dRBRxKKEi9TVjb/83BOwYmkiSEOYvPbNVSOha9kGpImwUl/ri mZxjwsFl7plKhw4FlZ8w78i6m9J67QIjTjqIdYf16SzkgalIamO6PMzhAp8PBhicgiCciBMwJg5I 9rZ1mlbFIQ0tz8mPdSyq4n74LkbWfeFFTeG/P6K5mnZqmAMym9NUZa3EoVygbVwMx2z9nArLsj+w VyhOTk+vy+E0+yFGU2/myeXa1sn21PWfyk5cbychpd2xjQwM1tMBs6twsdtIauwRetkzfPKjxfzn +NbSACHGFVHsMMBa4e2jRZZ4UzSsBeA/iGggP7j7CHNRTa86leZIPqQwQ0H2mKMRlIwfi3ganM5Q OBHy5SBvytmC4Ai5LPpqbfvvVluvh5z2tx66oZtsHnSrQpzXvJkAECbdQjCGHavmANtTi4mF3jgN MWMgV/DiY9ZoHFpzY4Kppy6EqU2ffgnlhjS2jvLN5H7gbbKKlR+JyOAQvpY9laPHaWKzPjfSiCmO P+uXoZHyV2nhnLlbvQ9XY1LonAYxq7BaGQOWL4r2pl0TNA7uuA4mVV+OULP6E35IsGL55krhBIdX 090hcc0PWTS5/0QdtJxSW42GgX35Ln8R2Aw28izYVpz2S+uYYzeX0z/r3B4nwpv/wQfBeQNSyu3Y HbV5N3aW691H4uLMy9WbdH8xiG7cg5j7NRg0xKzTp4eNYHA3D4a+PNtMj92MdN6D1TwxV/KpfMa6 14MXjJLEQEg9PzlP9mZSAkR2jSzf5PFC40wGVn/uuGG3lhdem4DTweaNKIW94FOA5t/0RMzsgGFH BPZtvwGipx8LW+kgztvFGjyZvgVG3tR40VvVlLVZiKp1yu1b1Aj0q3jtMr9dCcwW9xpueq8NQyZ7 R2qXuXIW9F2Gx3ju2/CvwM617fewu3NVjWhp87oML7QL0ML6nafSuKmFzUeUmHZa5xemAKTDKerR pXF+7U+65g/WEjU2VWLyBfolvxO921phy9iJQeHyUjVKKIAYNXZtssDjq68DCua/Y5hQyLPML9Fz 6Xtefsbwe9nSF9rznuNw4BYMex8Giiha3cHZZ7gvq8BQ8VeyaFo8hJF+T7+7zvjuChqS4/NKSkQ9 lOYGY1Ip7Gnd9aYkHvbxO++Bk35i426xOvahfsDRBgHpYSADIrKdU2DQ0xB8kOl4dOsNEfb6v2Hg CKYgwdtqVzAYRBzlF9pYBf6zy3ryiLKwIIX2F1/FAgtH9rv6oZarM4UdUV/sMcw2mF72d5WEnWHd u7s62O5mzc6yzOVo0THc09gHT5zbJAu444Zybb22lHsavbeDW7KUxJIudyzcDxcEYdNw2KNHCYPs D4wr9dKIfa8dSNwP2m2JneJZaPQyX1US5xBHfHZ7I/sW58rwUVaqJ2PGNS+foujAV7lWjwgl6bIQ CkSuj00GcRIlZGVMz8j90W2bRLd2nNyNCDjDQHoCX7WwvkZiUVZkmze3+kPqF9ENXyvzj7lFiyXl IZXUDc24zsz98GaajGd7SSwK0KzBpzyYhit444RAiavxJWjinq698/tw1zq3V5Cyyh/a1YEo45sb SQz9d5QXOKCtUn+uR50p0q0R6mjjo6S0mSzYgwPEBYuqY56K9a70c+wbbGHzPZ2jVZlnaNfFlkGU 0rf4lJup2Hvd6EHeFvdwKTSCFIiBw4i5bKU6bTXip7ldlce9oG9qn+OhAm+BaGov/fxdT9dasmsc +DBc85FpTUD3whplqz2Qt+9RcYq3Y3A3Zg7x37UnfzX5CpfPfyReBXswzm+9sd9yYXuotsruuuY/ 0wZqw/zeSopovHbYSM0ln8rs8rZuzAszE6zst24aAJE7GBP2T9MMz1RGYdwUZ+3cs5lKlSCLZM7S swvC3gf7AmPXkgHMNO4QqW4DL/gbEoKc0ZjJ4xOW+IPv5KQglgYUor9CZPSH24OhUF590DD/iMWx TMBnBr348rtXWUuzK6rTUa0UaIiyhtSPZhLNiG3n76NqaoTcKlxnYWiP5c3Xs6iPATpVp+Y1rjrk kFx6UiM4IMtmIO9wLt0IMVAc90tC++6CLrqkOFh73GtV/6uGN3iawfRsDehM9PngYCqzP9TpJIeV OqWTp7vNX77IBEW4IvqEnKw8aDH3AIJ2Snt2dc8Y9XJeY7D0CVf1CFH/VOodAR+XfAHk1YqA3DuB mnlKHdQJV18M2OU+4FAhAp0sQZhHey+CjjuMu+V+zFuZfR9iFKqcoO6m4BRlMJYnGkjqYYV0z4JI UuqoHW7CK/FdY8tRSL7rzReHMTcrDOydE1f4eHJreVr0IoyHMXQJQCEKRatvtyP9FMCZKlR/aigf 6w1nlJQnkV2dMXwCHJSX03KblY6NgrmgQE47C9NACEIfDWL3zUM0moNLD9MPOGc0H1OcdPeAdiGm Mb2Lbe0UcegmhIJ/uRm/gvkw/KlTPPdwpe30mNHtnwunMXTMSP9T6fHn3D2V9YtN+sFQ1Cd1GuGq LPo8tIEimBfIPqzZBaKPY5odLayzJ94iCScanYPbsKJsfztu9jEPRir9C3SJwlV34yL1aH7hRaME XwzUubdZ2j+cdMXv/vDyBqsJAJ65B4AYaGN0zB2Zx3oBAhEZ/rtig6VF56TJZZcsbVjO+yf57+a+ FnXrXus6Hr6ofkMTZg33QmlOcliwQv5ILx2mytwBLzAuyYhhhE5+3Zfg8VX8xhir0v3evm5GD0bm RCjjF69BI6lIBZJp02xLUwAooneEjOyuKTJKSFSsS7XMCFbt+2BustXEfOxYp3W2VKh9G/JUHRjq TURb7TeScq2j7PnMEAkliarsuqcd3RomN/aDcqd1NCj4KbWxlgzAx/t2JRTTw9Yhqtf3r/YeCXES eRdRtMU4j8URrGb8davGbCNGYKVAIO5nX7LQr4YEuHBYj+7r7tDv70SBPGWOwOg1z9vQFV/ZBuU3 nXXZKhH/i4mQzaXd9NpRd21LL59/EwW332Qgb3MAOhs9hCyq7fa9G1PuPjxp6ZKFev3PGf/c0sVk lvE6AzO8m7NoiPbYXNipzQHuJ2KGKxp8J3E0n6b4L+6S1x20MRJXjw+Fk06qrupAq4Q5aqIm/m5F G46Y3RYIx1RXkancFCRdFuL95gssaWDem+T0aTx/CIGxF8oHRKbk5Bxyj3QeXYWWNUPSKVE61ya8 U1CPYSC4wnHtMknXpGZvH2X7W69PRoEg1ucLNsI7blIgTtquJ2lXXcevscHbXHnN6xkxslO3aPzt 8dqRZrD726kFOi75UFC/bQ7fZuOn9wu74G19I1XZlFz9XJQsWxg6OX/6tos92ArnTLj31p8Ir0px vas4Gd3yOW7s9RZJXWBUfz6iEcNyn2tHSajA1YNoVNxwRiOx5M1XcasygiNR4Y2EAaXNSHlQLF1u wM/37WWvxl8QBEEgVbqpQ+4g4YfvsRHk4FPYRtslkSAPmtJQdZBELP7cI/S0hhyaPpopo+QI44Jh SsqdMy6ESmIn9EcHMNarQMA45uyqJyA5t92PX4M2+12IBYdRcRYmmK04SvGzXMoWiG8ZOtrUU1C3 GrsYsiZeiLYX1vOBRF0lFts19pWSf0yiy4jNnijxK3ku/M53Dl9ijcf81fwmJMUABUBOBW9kyQVz SpMKVi0OXRSjnWoj5r8pJuREoQI6PpcHWX66yahTByiwKsSn/pn+6cOIKDRFG1huk3WLTBwLVHQt tvkkLZfvKpWZroRt6v0eniFzOSAHKnBuzNGEA2da6x/MruTNytyKILBtWQmk6wUj9mp5nVlCdszC udSsmMOMIu5EKCwbq8dda9aRGreXGmbWtfl9m1/r99zOH32VP13l6L99/Mmxhm7PG6agQnq6hhc+ kAuETxR6BZ0o/szlukxJJjy31zDWpBWrC7GZCo49vjn0k3kvBtp21ECIe0T2JcNRc35TB4Sm4gT+ mQV0L010aNYSlFtBNI2RsWtBWBW23yYHOoXu1g/Zf41rZILVQ6t4Q9/BSuAgz9rodw6YlpTq0gve o3pu0inKuEw6ADFm0vNcKOqFcAURoZgZLJ8hqIJvEixZ+d67lJbZHNTLV9n0oPB0xfgRA5ASb6xs z7KVO5s2kUiwFw0PHF0P3lqcuI7DQjI2l4otbhm1Hh8Ew+eSfYRateRMn/rRO/BJmeNVbkuVBt8t NSumnuiMWBBMqwb6escoxOgCXN+nnhMYlLtUSxj5yAmZZwou24zfJeKkyUt+rO2P9pmgUjMB+9JO ZW3XxO9bxt+tLnQKWxLK1D5DWIZPL2AIbHyrzrP6lyF+Wl6Hn7LSRVE8Fws+K6EwpQZ0AXBUyF2P OYpYVYtqe6ciwzD1yZZRUXQ9Lc1QrlMR6nEPZPIt+GsWnKw4x31H3HLDdkXaS7dCDqj7xr7DW382 bnl3KTgJOuZsvm+nE4rnWtOERLCtyUH6DYhl9CZ0PCvFNIWNIuiFGwjYGs3Yn86sgPTucxatnWTv wL6i6tEr7pfiQr/O5YJP2JEe49dJh2jW5ezS539HesilRhXDCZJy4UgF+ZDU5dyQAEUsuzyyUVE6 3eaK7k484gWcABA2k0Wlm/jfhZoyuog/hz37ZZnRyj91QuPizDBZI+3YbgSf7VUp7YPR6KwnOuUH B/6aUzVst/9dyurOaERBaw46iGb8/lAOvaL8aHJSM2jntJCEvoCV66s44CFHDvteTbwPP2sSCImi G6TtgVPsiSoc3pZbAr3o5UPRVlEWbH61zWxaZlbG37RcQIpE7LoMwWKZfvIu5Fuh9Kdhxjy1Ea40 fJdqwuL5EgocaUu3WyQStSy0kv2e0tSxvzC3/50tuqmxEZPSTCVvuU3IBGkvSqqhY647iImRImOW fc4gy6ZiqiOusdctHGtBo18p94RiheXHFZ4wG6cucFNQqcPTm6JIpqMLoLE1vMb10ie1sgjWuzeG KtwSHlr+RvRCPUk/o51Arzaju0cKg5O2FtRy3A3PoBbIOxA/zBYVBxI+uRtEfiUJZymAm71QLD3N /7sWinK6i5yMZNO+NZCkyArpJtaZSZwrf9jdpZYzsQxcu+ofYhPeL/gTq8AjtMdnD8JpYC7tTosq 5iAdoi48q0FqueQi/3Mp/PvUIsaPwmhipO2qTk3fpP4tyd0mwlPL9fZi0OgKFzIbLL1L8f86jWYI gUGCqspogUjALytq/dAOERM1PIdnYtS4DzkYa9FPjHa/dYh3VUJVPhh7ULvFtKx+sJmFRDLxxQpG 2NrsFB7NPAZO1j4ECvzgeF4lwvD5Pz0v/KDDTJgfstLZN4plIU7yaaby+UpU9QbDdgwjjrAtA4R2 ZuKEG7rdeEjHkRNpNQxLvb/KAbbgGvDowUb6Ylo2hqJjDf+ROQjWhvQvT6em1IZC+ws+b5uIKpfs DsxvPBuW78ts6QecydteY31sL0OnyDopx4snVaVX9dsUI7+3Sz5sElQuKuXAaQWizU/KwXWPMcKv ELMDvZOQLsJr7fMIM7sAtHu305yRDGpmAEP4NByTUHYH34aQW3BPsai+JVMQSnyuREAumSsGXB2U LuNXHKE7Cs6JtexH0DY6nDhLqVAczpf7falk2d3xynogrA0zKjcAkzbr15hFPO4od4yaH4gZBHZQ 2DKN1FKX7cqsy1Po0QTaShe+px1NrljOZRUrcDhxEbCBuugfU1KeRoNwE6oTN93xonM8btnXrwjQ FL8odtUYT9X56FBlDU5QQeqPupoTQnKeX7IdSv4ZqcnC3QcT4a8tROuLMUeOYhbY6ZPQLBsSvXw2 oKl2oiTz4Y6zln3o7pSl9bD7R8DmcaQ4hxgTppkqsrniW30Y50z0YISlDvI4RF3h2/FWub0OfqMa zmRhDFDY7tQECBiJ3efykKQAyBDfJYmXPoxTmib009st6KdaOxWjoaW96TEHeYdS/BiYgu+cHhN2 ARnc5sVpyXIqULN1VJucTj8avmpab3onpOLZgKtwPJCxgLl84+S0BbE/cfdyp8NvW1GS2KQoTq3F JExIONTSf0Mh7Ld+L1kKLOyl3gu34x0uS8LN0LswjqQwJfySy/khpI9g6qm8KEkYwRLoiMHAXxe9 MmJ4Y5uoVswZMeMYDbH/LPkUhcOt0A1Ja1cHPoGjY6qKAKsTYqwiScKnDdbHIW388Q/iya+cgNhO NdE5JONiZalq7d9lIG0y8yitcJ8XpzBM/voZ0OUVZXme863kVrkFoGG5+WXbQ0GRc/vsntad/rHU 1Xbhymu9TP/Oc32yBYr//xqFmxV/FukznMulLF5qXNOjT0v0sjAKLIOsiD7Zk4QbVqmpEL5Bnmwr 9ceK8Nx/DzPNq4jJ4suo3ADpvoIxAi6z4/bgfskXh350Zc1AHn7pMBvfgUCUJOWvCavBpcy9Kjed Sqaz2GLZm4Afbh7dMRgmMbFtvC+sZH3vex8niZF/0aLCpCCUKDIJc6BYXt4pnTSON11G38yfujJl 89VDsfzaKCmrfDDeureJ/c10fzwMeGCLKvMAyP4hkadR9kvvvBzyaReQ9f5MT03MhsT2Y1gzm5Vj Bz2igvkVO+ulpKNnuyqdMbdGtB2JWQmmqBX7x/9p1meX8H9LOBeXPbad+RIJegK7eG98KzV9D7Jg Ak7aEBRldaYRVb918KMvktuzK0ag8zqzBxoLXAYCqsezgZxbU5gtwj+Mxuoj1Ejne/6ku3KMvKYJ K2s4ovAZUq24EXXrhCQ2INZq9wy7U6nfDK1/kfkGgFY2YYQ1CxrfGVT2K1QoG2A7/KkVbN1NeHf7 D0fcRh3TGLW+qs03gHJgBMbaefOyNYmX1v3DIhkewDsT5Es4wqL+RxHFZ/xPlttbEo+PV6rEhbgI mKHW8r/yGVeaI2T1zki2+SDsjNVr0c/gJUpMUwfyiLgnITR68NhjScBowXgnUbG7ORER+ZBSzkQ3 wD4AWw1+tQXZyfE2aK0HfZm0oEPi6gSDWsb25x4KXGuO5Kezz1YSlp/4XS0qxF8IVLbKtC7Xup4V ZCotqFJNMqOwFxgD8IGEcYKAm8t9hk012XcxIulSmj8VNvEh4FzYPijeFXN6vnGlpxYInB8TKxoO faocuh6e8Kd8s/fkZt8HeOD8ZDZ9wuUoKuNpq9U42L7njfOnNVtH0Y0ifma87dM7svaldSuHSACN m5aJviiWWUxSVuwno1E8EQlRkRYGhFvMl5udI8yIJ8o3w7DxddgCsbw1fROZDrllskm15TkEr/Jk tqnAnMNTs4JBRJZeG8AC0FKRKHYuGyFQVtaUfgfsaFv/C8UTp4jev7veCD4nXwF5Y0WE1j1s9UPa Mv8= `protect end_protected
gpl-2.0
1b6a5b132de172dbe2224139daa63830
0.947998
1.841976
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_mult/flt_mult_exp.vhd
2
43,179
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block LJLAC7Y+7nrEQGf3c4l7iJLsd09mRcCB22/BsOOUq5QjNAmFm4xLevHDSSYsNK253KcSrGlvVgu8 ZmLsTeYOtA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block idmEYVPhR40f3IeduHKrDxgK7qGKzoG3rUiq4MQR/oBQ3vA5Im8hh1/RLbn3O9hEVSxeu97AVzQV vaAKkDYMVf3lHmPzhdxkTAeO8s+f2sbXPBP0QVrmE6VrE1UGx8CgIIEBbycU7x7g0HPcKXzXcdgN 5ir5ELr1MEiirWcK87k= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block W7l3kPzLxeDCkFqPT9k5Tqmvs7cqsglj6GAJMoaflxWEZ/T6CbIipUa4NdtZ6xR9erVapO3y9c5A g66t+Yi7h/rBfsVJ/sMk08zaOEdxNZ1WL5Lif64LQH1T3CqnngPyrDT01UTGlBEo7dsXUwxZF4QX DFAnQI0qFm6DotHzZ9T1B9vEsh5PSj53oxxQm2q5MX4/bki4a/nZiTC3SO87HhCCRtnk+xn/O/hs ugBNKvlH6p1WPo5a38OacoY/5M966XwDGNKvzturtZ/Zcgo95U2fJ8d7kcMlFqJiaXBbvnUVxdFO kbhQ+SYghvtxeXR5qlocs5yT5yYdhNfCTO8QTw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 2HIvWOXNGqh941dnJqmO010s1i4VnjBZh4FjFrALQGrsZg9/VT/jHRDs0io2F9Eb0CNM38Lu3bll HRYdXDZWrNbddM6GFg72ltXzVbfSO4XGjMQKGi6OT0MjvLgep7gFgEuozBDW7tqLoKlO4Zkrfr1w QKBCar48P8rXjX9dVls= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QA7zKfS04nrOMLW/2oqRdFXCquJcWvj4ECajXhNziYA6JUkTmomorwWBEOw8IgvUbzvwCfrOqHgf p3oV9/1VEhlEiUc0J/MTXce6nElZfVmte/QpyHcX2D3rs5dGMFY0dQEqY7SwrBcMEFIqAp8mAJft WLIO1qP3VOuePfceslNIBgU6deSNfnXskORoqQqeg5kJGjPEDsGcboOizqX/A+QjwzAiJKurlcmj y4lxXuvULnqh5ZoB3iUwTL45bGqeadAeTvJkaztbxMuon2nMWwF1KUiAKnKO9fjfut0H1yKLV4uq rwfDaypYDo9gCv79HCxNsK9AF1Pj9GWpug+59A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30224) `protect data_block 1lKTCIRsxGHOWEqTiPtYODwCjAlE8SxehfxEz4kIIH8BR6sgkpxwGJL1SPF85H3Vgcc003A2ntD1 j4AStAHDdss79kfg52EJHumOoTBinNDxJzBB4qujl0DryEN3zKCwrg5RRn5LFfr7AyTujhC0qJFP 9WxsrYBMlc2XnHjKL4jBkzGuvqCijwg06GzangC0VCXovhzWgIb50Dh1z4wEOokdDMQe5hMTNM56 9oSe1w7d0z35E3uQUpiiHNbUZ6FquNnrzZfAJJLoNinP/hx5Gveqhf2q4/nR9/2sOFhZLkAQ+2VW V6FMSSlHVFddG8wefc734484zXrhMYBfX4kIwy9UR/0Z2XcHEIkkNstg3cCErSKM1KgOZEk6OOzy urhhtkS3uVtiZwIPCidgXVKIq/vwQ9jEhdxBXQFW3V7Msu+XRvvgef1FfDw27FbhrnOCxrNko84S kI7n8APo4Kt6d+jIHCU2W2gXEzrbBqG8FLUeb0TxCUoFdpbY3GMiaGdmBySY6tHA0kCh4xWXccKk m5NeB0TVtasW1Hymeo6V+OdkILFKlnwlc5+8sq/h7pf69I+0SAmdQP67shRxeVb+KHDuqVQFnIDZ CB+QbOo58VlWxuzZZrwUiR+szYZoUVi9knPXxmRYjQxbLEyHMAyShbg9GXCGBxMfKEe7AYszDm1e F0ASm//Ei7r5A7sxNDOjWeXBMnZceBvSYgR5ucUPgS+GrZ9Cwp6HFHxHB/UwyCvHWK/6zjqZH92O I+tA8h8OZl5oGnMFqK8dLiH6SCDqiyujCYKExs8aEBhP0cUP960+HDk0TxL6pxVWh0PzFHhdrQL/ ZS6DYXSAAc53txfc3MWvzJpYKQo0YVpGvI1G6MrvP1bJ3Mw9tUhRg3WxlaMUU1EB1/8QDgxGrWQp 2mJHdX/HXw9BB6tBYW3rC8X7SWcAxnu9D/qpvKZiGDAibyA6hdOtx7Kq7U+X3d4gKD2QSKtFMJcB SbcFPqIHUOQfP+YE15orYf9rbb0pq33GsvqaE/PoRkMiespRwFklldSEClzaCms/tSM9z82pfH1O L1PvnyoegndZFX2Sd+ljbqI2k1MI3gIi+RjorQyYtHgyUy2hHm50yluuXSrZLYkRB0rq9R1DvB+d aIkgD79fffa/OXqti0b+oiQoMj0zSo+iuJtlJJ9ZpHFrQLIJBpa33gzm9+7tG1iFnssV9qLSH+qh J3X8fgKFrfPNwTKzbBKYT1kNYb2MNxzlSCh2W+gu8l3aORBcEbp/WrJ0dt9xHblMnWeiw6MuLEZd yqO/YUxjVPg5onU8ncEFeyb+7m3RzAaHBAnZvZR+4HpVcYV//R9qPleW1VxeItp/7YOL+nX1DQoJ WA1kUBGYRjAtIo6S4qhV0QBhI3RurScsPfJ2miVVhh7Pn83q3gSNpgI1gC2BDWL6nu2lIfmR7yoO WmaCJSvSmSBcHC3GZTSw3z9XBtQt8z0rUZL+LZq8t4d4jtLYX5YFS91JamzssT4SxFisnjStexn0 mwkAZa8ZLGLxW6IM49j/i8sMX7Gcu2X/melwIDXxsc3+ien99KkcShDIzv+4sglqZKAKHOjSQW+q O07pXCn0dZs/+qDiQG+kZOalOEOilCDpTt3AetzZSe9ZTFlgn977vTSyRyXYA90UnU5BagU9BnmE 0YXLVNBxU0eKNKJ2/7LmzPWDnof5v1MeXCBO68Du48LKZOIM8x/LiEH3DMcxnyo7iZiCIEBnI6+R uzkdzFavof0U1p54glaUyHiX3VgkXOK3svsY1qHV7NTUXK2Hebv51lH1rT4jRHQfRa99+EGyJifv C8Daxu77fPIfqQujO7b2pt5i5b3hf463lJ7k5/tGkK43VI3U+BvBSEbeYzNyQm6B3ZzAPJoxQeyt 0sBnjLgM+Qic8r/I14YMP+FCyl3x8/QKvirMuPwARKYxaeqW7yieKG0jPqVX39E3DSBKqq04z+LF gIZ0rchlNF2zBF5Uy0VJl71w1PIyx4udb9MKVKSJMLVVdgdZvFdqaq30bXM9VAYAMLE4SNFmDbd7 +iJl3A4K0meroCcn5E8LB4vMPYyXiXUy1ZpulKUqMzrfUiX95UwveZnahmvYqkWR6gCPd16ZbcJF AvasdtumVAfhYKaXAfdfiuSP4X8DvD8VaD3e9JWdyVb+Y9Aqko/xEHPjKEjpjcobhBfU/gNZOcxH soRtJjB/ioNT8iGA/PK87XJ4hPK+RruDRGuzoMKMKA6pocJn74Is4hPskisqvDIWFEDTALf3Xzci B25o68ZPnj8EMn8KN1bJdeKdKVn7VmFt9zfijuvbxJvsJhUBb2gktuJ7njk9MISWjxs8nRR3sFsn ooeQgXDN4KIRSUQHz2J710SwRMLzeSSuaiS0KhS0mAgjvS+HdFGxDySGPDPxrvCa8Cjw/F/FLu4+ SbQWMMeu5IO8jKnlBUxeRCkgcbpofeyM26j2bOyj6SHyk/CRFGYtnGiVElQ0Bk8icQgELkcHxJMT RCk4TmjnLADzzEI65mQiE3M2P7DcGQ5PSF9YtDbsurws1ZSv2o9fySFH59glHcTv877i8ERcvMUl NeV+OlK4YM6O4h4AhweSk8OtYDV4+l3StCvr7fYqv/dXOkL8TIbjkUSzqp23iCGlry/q4cUnETUC 9ivl8UflIgJ4+Nk9Q+wfjJ5i+rbEn+CmOImATguxn/7gi1pMVNdd5zvsuEGIIczoSKD2h37TEpCI n/AxVeaXldIO3a1P40VxMQpWIhBw4ZUctdbKE26rjBD2vFHurB1nGTcCNlztKPhQK9D2z6/396JX dF7Oy8eUEH1cgdeZSljPNN2iCYMhDiLBEqUH/JKzqjxZp6ZK+zEmwYaXVE/IE4IdzW4UKxcPE82g 13fJ47jI3cuUGwkZLR0N7AQxfiHJUskf5Z9MNjVjCNcLvtG3d5Kr5NXGXqvLvmhAO13HGCyZCE6X oT45b8tWhAJldFIdhXANNyoQjzNt2eZhIyTduMaKgigmZyW8enIad+f6L3OiuDzSpU6CcgtjeQ04 KNnmt99UfgJdDdlorS6JBD62qbu4mItTX7bm0iqjVrWiVzJuCtEzPuB1uJ9FOE9hcyQrqO9sYW7J 52pwQdJY2BoxE0EnbcSEvbg/ZjT1ewkurcYsDSTpoN3UayyTEmJPv/flQciefoiSoJypXqsiVNdZ IzyIL1gpPzTgeHW0DA7v56Ct3W6QTkAnsu4yrUslHLDcMCLCSgwNDs+GOdSUCqDRv0YrV6+iSTLA 23k+WuhV1dArJ2wdEeWfsKvV+ICz/ruEW6KLz+H/xdlTi5LR0q/QEC+vne0O0ezyxsEe5htl5EKr s5aYMeBDuFtf1sHH5p/T9SAQo67ym6fu0ZhMBQnF9e2e1Obq57VpTG+Mu/8RUXgoJ0rBYRKJwpvB RmU1dCqR6JE6AORmP0tmTgaGoX5XDWtRvL8sS1k7qA6j2y7RaDSifAXpjYUdk9ZIS2S3m/rLd6pt dQGdriQ5PfhIhRba4jS3mMfNEFqQN8n3zIK4JTmu2uT0eq4mSEdHUQKKHKUUc7RvlKwClweh5BvD fFKA+Q7N+0oAqKwP26olI5fduPpkh6AsSPTBU1l0z2d4N+CrBzfQ1ImdiSSP7HQL9pXjlZdGD+PQ JlSznuLzlCVccEls+9wQ0u6H/DylBf2Wo0fw45nm8vBKwJqyxYlHwwg1Ih9peqRWcTfnMVGe5Ncf kYqeaByv6MaanxcqlvAwV9Neg8cxEXqHd0r0SALNZ+lPCQQj7DoIPavgSzlpWfppvDv0AQQk99eR 1VtLIAvL0pysZgpLTSFZltTyCz5XOpt1zATsDwvJMNEEIXsIU2pGEyEDUm5Wn1e554W+iaF9DXvW 40SXHmf4K37YQEbUR5wf/0uPfKYTaNnrJi6LFBd8gMpMiR6dkAuJn4H1iFbLd+Xo5Xk11gZH2p2c STw15kkZG1meo57AEKAZBK341lu/Z8e8OwyY0W1CVzyvD/fqxMt+LxljXXoM6Rm1YnvQYGPCafN9 v1Dm4w5W1IFR9jBtdzLNWxjx5hAhBKYkJ0yNfzK6Wa2+8WOt6M3g+xNIVAlfLfw0qiy6FfvTzVqw VYa2o0STqTnn1N4hcK7FAiERuB8gUA3VLq55QQn+DOA+d8HzMAfpwam2WsFsYbZx2GnIGOS63+JN bseInUUav0MeRcRKB1n59+fH54aMLAzi4BIOF+67PF7rdD/0eMAkFlh7N1r48yBSBP8sS7fhuqkB Io0j6IvvMlrNg2Qi547xoe4YG6BQKnvA/PXc0x6G1MSPW7fhRdoRYRy6DvCfhaeL97ktFYbXT/Ti iLpbcL4G4/ehT8XUOXT02It2aKmInqAAwdMxfBKOP7kA+pdOKA6lmTXtEthot2hKgQkMFV78QN6M aVAsnSnbPXFg1iVgIOwfQUJpCBAi0wWGC8SmZAFZy0K04j3CYgziHW925/5TS+RD7+o2+hxlDBEa 6VK/MOJ5NM3g3IiT9+9Kij84hyM/zzIoeft15VIOrcRuyxccNN2CtRnLroUqzvU8Il2sndKAEzei 8zUM9d55up578TciTrab+NiSjym5a5Hwa7BWcsM5cZVgW5xWKct/vt8nganCC/wXEbLKlgsAKHdu S+6cqJ2EGmjI7GzOWOGx0tf4noqI8UHihuSx8+sn7X/Xa16JOEYw9nLbHf1zoATJ2deykmGgk/e6 7aMaIMADWLTgK/pocQDlvSIe+Jvn+nEJ0dlSECU8NsdBhCjCXA42Is954N3aYY7tVNT+GHJY92D1 J/5Y0Te99MBFoxYJd/KUGyUBIt/RlBws6KFCmutezAG+2dtjvLnRZtzkEkEH4XP0nsOe31c76mpV UnGu1aBd0A7dwg1KI+dLmh9BfTqRPBR3LTMkx4T79d/ZL8hevxctyOeHEvqnyXh62v+jY0nood4n LesfxMKRa8WRm3rNfCeDMD3Y5Zn4QCaZbQ8CuZuT3CpOY9OW5N3Xk022O0NZcp9L9S/bjjzs/6TX AJRNk31hEuEsVLWbWKTpBFUNtgSoytwZYHJdzubeo7TrzVTAJsNrREObj9DIUfDArNSL+E66I4aX 2OmhWFFPGNh0/X2hXGzgrAsegYt50dboUa3/01/YY3elKvc2u+qDJ8/neLJd8ZA/m7gGhzrtLw1c hJwBlmldVz51pfkHjCkp6naOvmjkxaxMSpk8NN+NAV22aZ6uuoSXIHWxYRXJXSbXG7MfSv1BUaLY Auy9CWLJzmsIdbMFLl7/rJEaWoeQbaqL1aOaOpaDAd6KJOfhBLBqkenj7+jNQJaFWjFWLobIBJny AxeaWY64ijKi/Z1jhNos7sovjYZFcC4uBKZB6aN6vBD0GatzqEbJW7SS1gHVyElnAbOaiqVWgB+R QSY+JOf6rDRREG67qq6IwNGn/d0qkNlthKae4PHZUmJRxqWAJtQxUub/Qc8PAsftRvZ4390Sj2G2 tlzuOssbj+ErrnxTl1E0YIgrEB87RLbZ1S7G6BAZaQmKvGZY9bxFEPSTKAN/3v6xEYhjGG6g26t2 iOdvHv/BIt9qXjajE1CJvzo3skqQIfly2WcpzytT0zk3dLOdsa7QsBBPdT7fC408bzNV36yVJ5FG rKv2v6tKwsdgwLlWF1EHlGtmLG0YQcfc81eN6qUftey/XLDQRzGwKu005uCEZFo2pRQK9YAebep4 I/IiJzbt5jWbrbjs8XwDOfnaSGz1q9ODulvXQYh00PA20PQSF5UH6mGWd5FRJ4eQVTaqC1h8KyKf 4TYLhHZUNC5QER+AmBZN2ypBCiJ282tKU9I7uCyw2QQ3RHvtsED15EKvLAdYG+ckjGcAwsXAGRV2 Ifbf1eRt/5obA4NMUf+3G9yuG5RRUlSlI8u6Z71R1H6hjEtvHSJAdgpfa/OD0uaW0UkSuxRFpDvF ioHfyPIi356qwVFMXTWorC/3AfQFJ044ZIiUZBOZkGy+8pjrrJoNIP+wQh3BcGAmy4e/Tb8cbrBc ZMnnePo5Y8jVEOPRIqIiY+L6Cvp5dzwEVl5KMij/uVl284cmbyIR5VHkBYCnOOmw6SKQyeKeuf0b zunClbu6dHzdvOIX6qRK9/VYSm8/RDcU9/ShvAHZoyMyvnT3838TwIuab1QtA+XS5HwyKAT8fcy+ Y/JEsVouv2K+3AKZnySC9b5Sf87PKboFqTx8nk9Ad5zHMxSwKxZB2Kj2ablGeuvukEBy5LteyJEC kwxuQ/AuBXuxzdmDOEZryjnDMKf0AE1F9V0VFuhtw4cYki+1a6feHyV2gI31NHjXQqyLDcM2PhLM vJe/dPZvAXFee1Jy+cUfxBS8h+EwI5uav4ZUat1P+hK2zx/VnIPjIrqmBc/xVRSb9VI89ZJ3CAc+ irLiJ/IE/CgtFWBFRKThQKjuIYm5rZGHsJl+lbzTiK/lasK9b2no8EOPGUuGwtCSuWVhruA5triM PaaH2npMsqpLPfQPU0Z1ZPDRfbQRxIT24SmlBTl4IdPnBzgyI6NajxozB0rs5QeLK+Cwrn5TSwvE sRUBvyxIlRuxgCkUQ0uZk2X4mrbg/LlilM32i+dNRs7srgFbfm/3BABJE+L63FjhIm4OOYEIMkJC +Tm/nIqGQXU31E5MFUfkgIM4S/+CgNeDn73bdZuoquE2hWtIqZ5LT6vaPU0OP+yST18IN8UopA47 D9VbqDWyFI4RpXuxNxxhHWX3ACCwLqihOLRaSEdq/wwHRYNSK2psJAURQyFXp64p7L7JP0tTon/J 5TXVEgdqkzwCSXkXSa4SHG+SuL7C3lxfqIDJb3XmMKq2FvA6kUnxxz9TNd4i8WUYSb7OsX8z4JEN 9xPvrDi/iw8RfBn5OO3gQoLtiCK6vWg6ketx5r4Rgjyx2jZFdYTY6iayHrtlDaufiRJGMjTSJ3EI Fb5IqSd2Xtx4fUjZ3EWwoosXXUkxTrA9NOrm5LdyCW8pPC74iAHmJd2dAYc/Xmrx6YlEAoDI+4MX ZGhtvZjU0x6zqQyb2+iIY4alaSRi153V+ZtNMk0xwPLIRbQ8ioG7BwSG7dVGrvpU7+Tt+1/jHEfu w1EZYk76+oXiWhhYdDAfFKojc1YKyRWxSEPtLnm6Va/sNPI7V1L+EKI3fL7mzPXgUSeSYKah1Rup 5wCcsQmT/6TVzB8Qtvin0k3grHH2sXGUWYueHWRrkVgFoAfD87XKneK0bmdYr/5RlHUzCqQ5S1Zd a2qHcczX54jMpVNyNqNEMdAKh51+5GOL96o9P2vijnksS7eFXjXCaCZQHRF65fvlqvar8704XGvp iv3k29VNMnXW3AwYNefj/XgURXQ5N1qF0wZd+nHgoMPH0QkRyczoN5zSPnoGcj4ZAm0S76StDLIA 1/BpQ34IYVsx1hzfbDaXXxxKiRdOrsimwCz1F5QsEi2R459Z3Eb4tchATHY40d+7j+LdL87esne+ oBiILDSzEfYzuT1+WeomgcO8PygH5l7sy0Pb/YT4PYe/6rmMiCe5vMkQWxpCc9XuSqGzArfzTSNj VqVCyyF5cqAJqkempm6UHGHk1yjgNyaPnVxr4+JABXK4/+hRv25Sf3rYZvoZz6tFOwwz+G8xauTE 41UddwjR2sYSmcBarDujYoYFbUIw41zGENb3PiUrf2AqNckzryVZIQ4NmSCzkqjPPGOSVa3sSpaa IHKRIKwOaTI6SBaRrNsR6Y2/bFXtMH97ed9XcI/7A+umCXM/0hEEzymX7hjzJW8giunXOVW4Nerv R1dZZgWRCiz6lFHRinEFesVdJRgv8p6uBEgxIDUa5l2eMZ1EWt5ujxYEGva9cujrjuDzR6/blauD P1eXpd1R3V9uDsaoN1CXv5qj36di+LqPsd1yOPxdQS8pl0gSlIYsMTMnNRonPdiwUy0u24Wyg4DX mJF0B8eSJvX86MvOV0TrpEH5hXYWqkaU29aBstDZJdKmKzmQiIrX/7s+2LoU48jK3BNPfab1UYLu kaloB8CNqRug69ylxzi4L9l2u+NmdMqS40bsep2uevzOoIt3grrard4LO29dFaNLi+FABTft8OTx 8iNIqxH1Ve6E6ymvtxKkA30cldHxh+C0Uny1marUgGyS/7G1I/odiUQPcMC3qZjayPX5yC95pi5x vLpXH3HzqJ6K1k2a3wdopPupyqfpX7uDPjtow9B6DvM/oQGaPF8qo7jzztu0j648cCaX2TBeNJ8I i6sGTOtdeSskTyutEzMwrQeEqBXP7qdFXKOM2O/BgWlfdM48PsPW+FBYPTrO2uNQYV9802wXnm29 PF53p7pMhkRkxOuyliuIg+GdhsLJ2/eA2UhuZq1PFXOkr9dTbe6vnsp3gVookXtCfNXShFPc9ZPX ZPHPBfOfQc3p++54BwPRimTvIVffEdlDYKrsNbqzTDwuYqqHoXJeZTo1S3gLwNeULOUekdg3xtAZ /tULkxdcxxgrxq2KuMMFqmhpoHvKYovprGLfs7wse0YxyAxCPLSZVMeWTRCcV15LtAfSFjJm+J3C frLge5DcIZaOum/9es/UQwPDJyXecUGCRVDQy7jq8aox3V3h06P4oPPINm7bDcKexBmpb/f4641Z /bJEFHsIAQWRV2KoUZlzKCnpgT1hdyvoCqi8K8Md/QbV3alteBm/2dWp/AiSzZV5HFDzPjoC/yTS PtT26fuZFdlJYmfYobm7FniqYAqjC6cufpVLCniH/QrbJJDVnfVdac9+QGVua1eGDrbJvIwVYmU1 16EpTd62sasqpfPd2ZqYwc7k2psplzZ+k1+2QykQ2P/KhkJN/pVmWWlFtUh3TmkfWpzyAvNqt0GP oR0X06SmnLrVd2kdaEVMtJvvArrRrn8T0UkjHAfmxNqMJPv5vTeyCt9qOZ7rmmg1o8O5UK/4r4Gy 04/wiBigjtCdPXXtyctk0Cit8emO6ZglUMPHoRHMI/WfZEAI1vEuzatG3LxDlbXRQXN3TE2N6VDi 1BUu++DphUDpRTUrFRR99ZWOb/jKrKrscyZfFDjSimOxS7m9RkqFdQvpkljY/6FcilgGELU6u9KG rGO1XeND8SdOY9T68b8jinXQc8kLMe2Cmd+E21235Mk2dQyHBpeZ0cqpLz25LlHQ9yOuyfNoNQ8Y rjB2cU9deyreMtvwBqdctlgEuCiQvYGzHhGCCquYZPnYR8GzehWwjbJZRqXzs6r/CSUiUA/2L1vC j9OspHTQLj/bOzX9/BJfSbyv3C+3r7r5iDt11zP6zFKEdnwX+leCgEhGghG4tBuBc+T0ljg5Vrd4 eSF9jrRLphOhAuMquww9gPdpz0aChj1xiXLCeum53NYS1cMbSOV6w9iJTlzKWImqpITTD+wYyg0k RbQH9LSZWVkBLZvqNesXlZIJ9oZ3FFEC7MqQwGio6myTEuXhTjF2Ma5x0QCuIK2uZpIF9Ze828EL JyQLNvSJHtVBum2gDsOt4YAmVLXBqgCCzMJdtyE0Kazg6seqOzspNguCS1hUxu01BGzwFhjCi3kI 8GuLHw89WsnvPVMLngPieGGU/EGjxG1BYFZG+hyjEETzgKcCffslYbx3L5gwxgh64pkUDqsdV/3A 75Smp5bqJyvhLIBu8IWfZ4UUjcihSr+xkaz8e8Dn0QXAHTquFqKtNYBtv4yjK0FDl6DXiV3IK3jv ydquEUqTvRIINHqBmAWI6uFRDXmfI46uYtvGMhR9q+EIr2shwhHwxswzH71V25AyfXsR3yo7N44v fE3eYF46pb41YWZID1yG9s3G9J8wPAUDApZR7NI0LMcnqK56sk8avF7XbmPJs6Hq1k+2tRGFLAkt m//X+BIo+6UTBnyQUVk//Ryp7SLridYbCWP7nU35J54d6SfQ9M6DlRIVOgYIBsXqk5YP/jDKEvNh nDmJ4VxVUc9dK1SSj+WIxOc535R4TGEE8QLjEt6AmJMSlBVnyeeyJXSCgvI9Tcdd8Vu5/5vaZRcg 6qmEdtu6cy1HIQrK4sHAOY/bMxC66NLFkL9w1qlZzhNf4hU1MKktccOgcw1QODoW++k1Gt/Om1F9 JtoHYSpCO2jvVHjS2RJAggaHVtVl/BSIYpHbZ4gfRadym2FL8hNWsa4nTqNNZ2QDJosBSYGj6gBi Aza9pbfzI73xJBmOM6n/gZGa4iZUUlSzai3kEDa15ZNMqafAGs0uEZa/dYY5DD2Pw6xMufQ/Qi4q Viw0HIA+HRrm29/OtRohvuGDMgYiRW75+VaHJAmfLJ4/TA8Ao/ZHE4MuMOR+KkQDUzetu5BYN6N1 xlxnhxx2ERJPhq3b0JRTS7+v9XIqYVOzToqSKIJ8ATyQITB0yWojji8MrY+E9tdX0RUL1NAbFRu5 DvvSLjk4EPXHvXS5f/CcZ/c27MVMX5QOloaehElrX9TdcrkQ5TfeRhvevgY7vfDfdeB1Q4CWuffN NnLgzmJH3T3zOIhNuTvZPcsG7KGU70NaIPE2NNVDayh7iQmqT8ASlLH9lHJCWiK0l+T0zrnsypSU ARr+xUHobJNmJAsrYDSMSWFM19BQkitoaXHH0GM5VfKfOFrbpSXsAQWDT3cQAjFoKATEb9Wuul3c lEa0LiqKmmxgwfRnqldixZfWayAhpHxMYks+ZLTNbZC4kQWxbHSkWsmoyfKQvB/KIJuaeXEyRNif XeCxE9qKIYkEv6TD1SuGaYZ/MQvRCxCpBcPLtOiqNe0FBVfVWBnuUoGijlgnFnel+xNNAhCk5ch3 T/9ohGl3UUSx+tEH0nMcxa8Krz8zGSmAR1Rhlzxyoomh7rMq40xIyRogQZ1pGsMUHkWWLB+6HJ+k RYLNIwoHPzYRRhlZv3YaomGgPBcHb2oJaGiZyr2Mw4ANd19eXksHrAch1fDpkRabXJu/GXAgUwUC WAtGWe+kzzVlATtLUT0eyCCwMYiKghX71SQ+pVRkZrbe8hS0iQpLzVurxgVpGEO6ZgBVcIpkiO9R gI/2R5qvseZI13o92HkcP/73b3kQXOZPxxvxFefRucsWOFTCv1KeFXF89smRcJse2SM+2CfVf4dV 9F8rsmRXeGF11TfJmdd5yl0wObwmtWcEKm1nQzE1b46k6rlmoG9iMKkDoaUn2XQKO15fRX3leXof qgnUUE1Jwiqhkfrsyy4PQ/UJo2nHgiiyAYoZHeQZpRKdNn1lp9XL7jg3JqGtc29DzIIO4He6jV0q 7//MTizFSbfgVSFADCqIxZejWnvIg7CKW5GBSWZVdeOsfHKuGZzOnY3sxhu/PNHMrfEZpi+xQOGN TZZv1Gr1/TTWtAyZGkFY5vMEoBYi+dBblkNJB9BhfYsljZ/vyTNFQ2oD+n/CnrrJ0nyw0EQ4/ofP sEOJr4VCsHAOW/2dW7j4ThYhZ6pvc/gkCu3djX75nfLEv3Z0XCHzv0CNL0KFbXLjJyxbZo6RNJlJ kY2MBOBGUmA19PNclXqah+e0fLjhNqlzGdT4UKV+BRxLmH9h3WIFzI/aBN+yj3MVYTiiYEuxIof/ /cJQxxHJ6zsKMK6sFEMlIt31NgrTVH6Ylgd5mNLE+NPfv3simwDu+WpQ+D2nUZS+g8XRc6Mzpux2 UEhtyIg+JkSn8aT05CUwn1mbY6eH+kb+XV0DhOnrymfQSEGcgKOJbVcmEtnb0wXtPQTt7zI30BdT s+4fInhpgV3n3zZ/TL2Oxd4N254UNKxHm+E6y9Daluls/Od0w/yN/BPmi9UMyLgOgL9bokDv/9X7 8bM7J9LyVYKz0wh7bZIgTkbKAMYEk0DzwGSL5Rhk5X8FFYt691W9nO6sQLEd0O8iUVB3vekk2Ik2 Ehc9pRirFBAtuTBVkmNO4m6OnajZsutpe0LFvybaQD/xQOcL4XoYImwaWIFnsfKobqclmqjKPUoC FWBnMFPrbVNtE+sKQt71Q0MalYiMTMn4PXYGT099q9VkXVvSn/kK8D+zxTgGwWGWssdToDTnriiW OaW9Ge4/6PLZMpo9HDcEh4iAHUwRKUQ91PI5nLlCDrq4IIxC1YYMOVQZ5D8lQ3M0Dx8kucKl1d7e 1YXdHyuPyVz32L2ttR7+MaZq3lEHY34O8x9P8NCPmBk0TBGpIfeMa75BsJ4RVJEJ9RLwDErkFsse frTmEERRzvDKlQZH5QfFnDOJw3bwaIqdTP+E2m2xomst18xVRZb7ioiNvnQODnIa8joL6ktKks1n 48j6ekXeJCtfta0MyhwFpcHCxJoYIdjKLVHF4IjCt4DVpREDDFsAxET8BlvyNd+KbjggPKAKExdj Hp0dRvYzoJ7iDoDWQYU1l+W4vQ9HDxvodul+l/OeN1fnjTlnsx6vTX5yB2z5QV3iere01GP0nuSu 2sgS1pIyYhJeasfMUZeqJHew86sKNeCLFoM/a3GrRtV7VrrAO7q54caQT0cPQfoAm0b8XqbElYev Yfbr5kdHL1tnh6v/ocM+ii1dQ0bXG2LeWepxAM1UWth0EymWM262qsd1z1tiASR8P4GuOiu/0QiJ FZ+Y9QeySPBfxWYJnpvWk/kRwiDdPPYm9Xpij45Ct57xvv9s5Pg6OrrDoXGvwuqJJ3bJ1R9biUMC Ob1oGzfWYD70HB4Cn8EtmJnbsg1KwI0us5nEU30d8cOWG0CAh/+1nb+CdIgdIQyp6+DxZdn5ox6E Syd/YN/4IS/s2HK9zY1SAkdPyCxMk7qmrA6p2Y2HmEHU/EDsCvGepS5wGpy7/rr40O5RBvotGStE UQi/E4dDdbNsoT/tG94DQn9L2/T4me/4iuAvQcEpLV9Ufmgf7HsNKHqQL1d7r0gLq0X1TgcXhiu5 378MdZlEprx19nuia5lQjJskhzC1ZwS5f7ZJUYf+OuhUKE9eS0Pec2lBn0h4pJWtzw7Z5LStG/N0 ZmzBXCxcovgZHyMg3llZWIKKINL4NLcLWAKgmWXfbaxYwpeLFIYFrEAQb3EEpmu1mnQGGaXv+tCT g+/xftVMJUn/+ntdClbkF+RETVF7cFtywGCHIUD/lsc5qJlFJV6n9wzc6gEqY96mPVyBwUbDtuRg eQM0OHx/s5QNu0mlIXJLGtrRBjacacdE+0aCxmNd0/jrq+Gsng6le18tltiIYI5TaW6bTgSrrlsG BYXdnUYbia4hfQq5a1o/7FiPZqa1OVoCLHsGq+fxhszCo2iarI1YFDE42t6/ovaQ9BLuj9mP6ZUG jNAQ967lI+d6ERdY5H9Fo1S2nERWGjj8KXgsg7EXAu5EMrvNcbRlxvYe0x9QRHvI1xc3gzM4jtty cx9Hct54up4k0Z4WbOJjs2sDBE1Xo+DZEE42runrtow5K9BaLfqa0hvSllQYHG/SyNKLjSgNZVK1 dK0N7o/qUv+TatBAP26Axl75MmI9RV61YcnjaZc/oowa1b7a4J1G0IKkvOFwGZBPluCTPLLycVxT pIDeOTsB4lOzxMXchaYjZLjRYNg2oI27v68DBPztKqSmZ6USrMsxu5PWidVxP7Wz8r43qdUK+iPl ZWiRuNPnvDwc348Sx5bC3RoREwUBMNWNdS8qvUpmtZ/x4gV/3qnFCU0W5UlOu8mlhQkoF6DeafJG 9jnA4XYOQCBB8b60iYAkCKgm0g89u/ebVKpDyDRCm6jzzatz4mh9Q7dBkyOAzVCgk4S/t+h/H8Ok x66WUYAUhmOR4WCw4yaIBI5Doq8ogNvNeUvk2WYvSeMq6ezLCurpFc+jPT0cpLtloBCodycR1n4+ MrEqT7Ed5zSwEBvdlH6DW1iQrglBioiOpFGOdOn70Ib7E52l2+XEQkJVU4I0EcxDqye+wSuKwnes McmZdZEMcHSDsNjMmE9LgyrA141yFbwQh8AOKgv6uGbz1yVji/Jp+/OFRzUSoSl5+OzWviSCEfB+ abhfFKoMNQvbn4Ly5OXZfXFPe/mp/KXyY1HFJ7VVmJu+KLk5FrKb2rEFVBmrZnHpAD6tK8OYob5C E8UyqxbTGipqajrphrDoF3STm4Soi2jwgG/ItljLx0ldJi8IMcP85A/0+GyCw4D7WhPzg708eEEW 4xebjIx/rtr25x9puLNxVP6WRouMp8Hwn1ClI+4d5v0eRtGlwl2gKwBeFsLodcFGnNQ0Af3VCxNS 2GRHE2uaSWZaJ+ZmEjgV6gH1qi61enDNx+PwXUiUli1lMFXmtCPjTwtjwNCOBXqtF8j2t4JVHeNa +BfrovL5SvuSaglE7vO/1olFrlRoWUD2n7aXVLqATVqnpysVkL816gxLpHE7uikVwuhD6gm+A2bG OSXUiF1Vt9lksZKBDeR8+6JOpT4KDLcNgGbHbysg5GOyQ/YQfFNp2Q6hYShXqqWx6Q62Gi8S+Y/y HrReTody1gDBJkkWMVNP+mh+roTPqV+siHuEMaO/45fDQ7hENJauwyVFylCYelSlQmtwLZd70d2e VhhW821Tk65slpF/YWKDXzgwpjN6gxgvX6munDS9pzk5JWyOQvq9KD7dIl2ZPqImPHJRPjNAZB3s smyb1p/jFxu38dBwUdaPWT3TI2F9EXiYc0+LTICz77mVMS17+k51Kpr+sM0B3lNJv8ZIXLpaXLjA Y+B9hVa5nCDRL9+wFej8+jNlCGjmtop3C4RqrIfUG+jwAukqaKhYqK9Yh/7fZEVFT5nuEnbpUP3Y FaYPV7VtcgP1FMkQRJNDRjY0mS7z8VA98cHjQNFH5eba7Gk136fcXmh6AFgn5fJElG3QZEOPACzz M2kyM+2TAE8yQ7GJ67xXX3K6yWxh3MJBTK/IJ2jNwY76cUsyOo0EVcb6E1XyvngiDItA0cMAT6Ds pTeLHI5ugId2crsW+uATcKM1DOpGhz0fK1ciUDfLCDXBoR2bhjmLD2rsj+fIDaIDaGxPzfkquPlY khWGnPUR9vU8nTFirywyqC6ok91RFqaUo5cGghYTUecXXal2jF2LUQjSCE1x7g8hHTBH/UfcjTzU +NrDBr2tHSrZm2AI/TbgA+JynbpeX4NAAz3HnQG61L9TUMNAJ9de4CIY8MTDtD4Hu6zid2zqJSBm mRYHuY2l79S9sTjUE61kV1LK6Z9gYG8sDSFdQ8Qh7QmzUcgFDCndBk+tDpUMMiWj11p3bV/g8XGh EKO1vtpXcye34bpRHQovNrsxMhyc8QNYpRybb5xcULbFenS81Nk7qFR+VicIsd2eHO9qHgvXptmr XxXOF9mkYGtZOkgIqTZ/Fi3EZyzJanrz16v6yI0CNfw/8PbULu/JCkb8kM0jYJJupk27EccTWbI7 dxHLTUlTfDEfQol6xmg/R8gilxGmEaYo1LzO9tyGmbNWVH/cGW9LXmsJ4YfesAduCR8gzWxV42Ny 873K6blZKADHgz/VmZBxNa0XM0CK93nhh78MQtQ6k0w2KtYgstS6BUN4J+LyXZiUDWA3y3tuu/sq iqJIoGRpQw/OI4hpKVgzUL5unFovDSp1oCGbwnDAMI+sVb77x97PPQeC1UxmE/BSfkmeKs/1rtoc KYpgIA+MOO426Z8dXIVrBy9uIS9UZ0duM0L/5vkj9U/v+bfHFefj0N5bB9ky2pyGm+vZRsommYJT 4/MYbkWNRQQDwYLP6DiElxklde9h9B82X+Nat1qjDE/MAlwnHuxuHADH0pN8RS7vVrczrtYaxgZ1 tQ2VYCpfmtfe54Ta2IULsPK/kqqz4n4RRtWxOqg60QStworEBee0wucaJztY5FdH4aO23rAbU46o aoda7sHzduuXpy4/Kah+jq3vLUluPgROyNy0pqU0/24Qb/yosv7hVtwIhrfj7+jSNN+KQU7QpgXS unhHg+AaxybmbmzekkRrgrQCzHdpIYVStdDGZmQL57IiifsBNNpmxEKBEOS3Ipry5HDEsa9ty4K4 Ub8kIOwrmUoPvaeLHVLo06ARZRSKizO2Ag00CdE8CaYt0whRc5XfgptjqzmnPVdJttKCQQAyODGH FHJIcceQ/7sKQMauETvIcCg5koSuuJaCvw04sq8hBD6GlAHO1P47yGS7LXH9WEdkzf1vqDkHQdEw jNbpvbIzX0clADSnau78fY/6LomJYUqUrCLpnU2ldaawoK/1n2nA/GlwhC74QlNI47fnP/U0EODC qV0XAD1uAL5BpUpYl4UVRnT1uq+1I5GOQfTPkHGJw8hWx9aj2HMxeWRDtrJ9YXDEKW7LDh0JB+eQ 29+xBTXXk8iDe2EP/PWCNQcshX4J8qFrUQnEclmIB+ZnuEHxIYcfBUSJefeNJ+QAK8mp6veaxHsK RnRm18QkI6bmM/gZHipAnT06pfoECKoRHrWFsj9ndllHrXHfVjhr8R/zwBzYaVf0LVn7kZJa1Lnx yfk56y6KxBeM+FN8ucL6k0QxPBBOTItlp/qZjox2OLurJ7hOJYCwLunYEGJaH0t1sEhxcaeI+l+v J4MQ+ivZ2zwVQHmyx+5gl/ebdMTNi2eithZp16xANY27ptodtFdE5bfKiQ4laBMdLGAQmIkRVx0g voTxy4lPg7UsXmelRrSA/LELdxWGiMDZDxcHSP3oLxyGKHSEPquY81ttk2K1e4TvhhgTV7rIiz4h 8aqVhs1a2kUweAyUbWjoqox70pd93hbrpZMYtFczMJ6x5cBEz/tGsubZ6eCutyTcTNdEI2jT2PfU RFHXglSbtUQ5KRFSiZLavw15CWpItZUBxqqyDgMP5HxuqiohdhP1nJbfqW3TAgKOrlSpCKGOYokx sDVobe5WGandhjFGXG+1IHEdv6bjYbdqqib08Mc/+WUPE+mJ5lD68lIhqkeA2eQh6OPEClLTEkgc 8UA/JBLxwclTINVCUgAD8a23ZFNc7VWExCrJaXTp+G7Q9/GNNyL2xpC48Z64V1skLZzzxQv2Qk3n CT+t1vi3+d/ise79Yp4G4+b2wAGc9OtsgO9HgbkVmupzKZ/zfJZPmrNF2dKKpzpeFZRTnTi+YLPx JE8wn6jb3bF/TGAPpfypplDtyWxU3LQm8wazmgofCfK8QMDska4i1x6JEdDvmb4STpbJoQ2wGmFY bR3Pv4hGiPXk+NHVb1Xv2dc+v62VHYKNLInebHFK8zIPju3PvaXw4ZFJbhX2LfPhIoJifpYGoLwF unRo1Z1EF34T/EGrS/bxa+j47WVvsLlf4MXHtdQeOIzYwp6HUoGV1RY9fw0gNlZpH3MMqErmXZQF jLlY4C3WneKuNQKLzuvOWfvvZkPkaWWcEwRtWSReQYzMU1MXKccgjKBWiG/fuRiYNetWsFkI4N66 KqDRYZTrwE0NAHRTqQACDUVAczqhOka/vnWHwey2/PAKUXuWGMJowd3NJhxepyhb6fKIETqRwm2F tGnqtDMKMrz0IOun9P6zW3F2sjxO99eC5QTHoavlDX9FmqslEfC0mpQKPQqHPPJHCDrQ1i/lxLCf 7paNDXwwIMQJwZ4EXYDQzm7Yk2hV/orQateVDHpeY+vUM4rMUIi28R8j7u9k+2wVCEiBPMN64HSo ma2vnv9NwLuddbNd0G7tLAWT5jMw31EWonQt48qpP+3mUoBjeY2A0D0Z2OYTrx1VG8J50Jg93SVO suC2fretYpe/zfUSrAVhrH81ounI3cMhbyMu035I3ZqCA0DADP2GHGiPgEBKs1QwJm0OG7zk7a+f DiuR0A2Ie3d0d3S8pBOsvyr98phQ7wqsW35emhWLRiBKd+xTRQ6LXbHy9hQMSrh8U2qZ6BjhIM6S Wha2H6NgKYPYGuTMd/5pfMPZBYTenKqxjU6soyuts2YHafLz7NLMGTyAN7QrTNb5yIYP3gzVOpya TFWT3Sbz3F/UU9L3bVZWBdffxnYbzp/EVDQFA+BqjJcN5LxGKIS8MRa/q57Mcxd7ZOFYeX3gMBlO vFbtFvdXr3G9zJTc0UuK0Mo/uOsTvb82UkxBtdAJVBFGYivVuSG67ugUqTaL9Fe6DA9Fou2nsNYv pwockYa8s7nPoBtsSeuMFRAgD5p/3ZMbGw/mWajTM92YfN3STzhhvT2TaZd84TYScMBWDcWWx1d+ OESqZHMHiVkAA2weGV+4u/+MLg+qhhJ4CvKN/dRlMHTAwyNagP6Md1Q/gQHWqlGutJZBgYyOYwBN 0VajOUC7GNts+6/ke3f9Gspjh72QV4T3Jmul9LGmPeIBkszR2wv1YRF7CEN64iSRn+OvPXMs9vNt zRp7+e5isS+WEP7CvO8F9RKnMwEAyTycbqBUs9ofE61ZLorVlSVXP1mMFJLQWi6uBqtuR1CrnDdV h6sQy7k7LMRVxA+ybZ5JiCvtvmAHqCtvw2BcyJfxVs1i7zCi01VyO36ccHsfdhSluNCK3pRXz4Ke Dc1vCVIn5cy/1FtEQ5WTOqo17Ez042aaKdUNTlcg7gAUJ+1xc115y+YQGJN64JZI33XsWbe6zX8f C+QwNFG19xXvAegNYaRNmFr/y0ls18YMyt8I+Jenx9Th+THWOVqpGKTsU8Wy5XPY1Hm7ufu60sox WTPG3RmB6hkoPn6am24qcujGe7nzTS7FX3ER8Boazw3PPifJR5w/Ofo06SC8PCie8IYgYMkV/xFm lJlNLDw24RFZJAF2g40Xazh/ogmcXKweaOzlUdyVDqLjaFagps9o963XhTP0QkM/uPc6sj/XoCxD sIWKwdqspE2txft+uSwqnbzuSbfHZ9D4iKH3tNlS6XBMJYWaVszUbbpUUjqHZvl1k3XTK9TcUHyn bBosrS8e50Wvk8577BcW33jlJl9duwhYzNRIeJQsTbhqOSJROMwwPkHfPEZNCkf0VE29QHdFxH+Y O9fzc8HeDjzTVkb0OQrOGoMafBBIduhoRIlsdR08aW2qPs037jzeMaYCCXOhWKL/+Y4LyDR6Dy0j zI4jMtDIAEup/sGIS2KLS77zdF9koi/xh5EzFyGw4KX90wfW2a2LiVmizF497O3ZwCJJ4i/pNFYA s8YmMVsK4DZc9a7t0Ovmb7hYdZQbUpjTKtG13hQ1XkY8yH7IvlH2DUXiRq3/+OslU8RiBiZ4OY0j uytrveATLP50GVMBaTIPARTP+oSQB1D5fZT8l8U0QHyStHrBYrpeQ4BXNV10EtONWbNT3xC9qrWy GgSyoINRpEo4mqwa7S05I7jz/xkl851v3CXIRghD6WW23g/hDv6fq5gPHHQRFuEDX7eKDZb+Y6Hy 1KduASDLUPmxSVMV523TMZOHBm0czfTFWF2skpMlXn1qBKYAsJQiBWqtbChMlFQ8lzkXrU6OIWDI 85BO3sbKkrK7TnhKRZWdBf3ARr8BEQHSjNfmyBXlkBbjVQs5+64+i6ep0GKWv85uaZJFTOapgQlK f4lgHkzyfoJchsK71WyYPc9GINnligxX3BOG5ZJ1727ecezoOkuNSenzkfYbW4w3HKfGmBfinjXX A2+RnSJbbeMCQW+kr0D1Qgg2AfeLIDS/uBliQF/wDONs5vIXsSzHWXvPQILGO7WCoFoq5643fnHk VJ1C4Asv7B8RqIWkCNzbQWQO/oL2iOrAvOh4I85Os+OLcPHtYQKe9n9z/mqbzBpvkjgOqJ3wak7O O3U62wVTKKtT3CtuLbNKWgoAu6nvQULJh6r7GfmjqORsg86druJlWJk1aSrS1gAGu9alJ6KWTbLD XlFOYrFj/Nr58YFo9Vr9RLa0C0H/US4AUqpJU2r6osGhqHTxyDzO+zm4fSRNpwlBFtZay7/+g28U qJ+PAX8NeLG43uj3HZdm/Eb2uXYXBlRXN0VG2temkGi8JdwUjEarqC5Kt6AcViyGlwV9vdaSlAhx AA6JPgZ7MIU59klORsZN+Aqjym3xd+GOuZFm7ZINv2OiT+mcav663zC8K/h6Agb+YYj9snDuWSyH l4gBuwEV0nmH9JBUDiQA3dvFvz/mK3eeGrgCC/re8aW2h0k4adpNkQVDWyyajV0zgj24YoQ8ZtRC HxhOCafghOwrjMHBnOkaRd1z2/ATbMeA3rdiAKDRz0VbY7Z0rbWy7otSD4pOohqUDjQ2Iiajmiyo RO65YMIx6n99qq30WH7jVslZ527JdK6NF1EoguOn8yit7OtNGWYmLUvY4Q3B218hN3EG22j6oaxc EFLOlJ55PHSKLdtP+0ayug05dJrgeadBd7OVfLNAOQuiy7CBTXnm1Pgl1LUtdGQfD6iOmvgpwzAx M70+8/4PdCDJ21EMrdWhb6Ict8cS+KLMGMbsmgHfnJ77m70wUKDhC1BSFljek5D+SSTh7T52dLh5 TegwhRmIsX8mLqB6yMz0tlrTXXyZQOlhANXRI9aMJSaFD4GAqLw5jUl4XFNwh5l8VkW40Zcq1EQo 9RUS+nlG1yzk+jsruOj/2WiWoiOStKuH91jGkJ4gs/HDlnsXAeRb/+WL0GDlKuJ52Mgp6yezbvum 2mA0D08e++rBK6lLDaqMFT+bPYKqM4PrtxskGp+kQ74giZkTujY41JLg9QaIW8Pf01ZI/7pZzAhN gRSeaMBEk7zEGrCeSnba9qRJj77Of0TXHp2iiF8415uqPIEjAhEFDBOFVaIVE3wqwGFy4gWEDiPC WdB8m0EKUjAa2lJ7sIabM7fKjczMXqMDX6KghrDKZ2a0gLMwOu2u7cKAdYyqV5QaZg3eDqSrIpie kULCt97hBNPTOFWF6Af0/dlgowz/v2VYMVLTKtS8rVWYByfjznfMDPE5fuXtvb3hk9fkNgtfIEKK yIEehjTYIS5++Pd9u47fIknKKSjNwbrg97AYSjs5wOF9xu3qVhwmxZOB7izq+TuahyjpN/C3wAHv caRY56uUQkXy/kpnsbLLcCSU78gM4x2FHJBGzONy+KUsGd3kWnFzSgozxX/h4Vy4j2JR3UjgHQ+G cO8pQpbQTzjGQA6an0npfD/b0LSZRUaRw2X2hZehJe3Z6+FQfdF6SNSgSWcmQAteI9KtJPRIalV6 9eEM/wHmJCqqErE9UqKUA3avHmWpcnfh7FKUiVKL7Vy8huLJbbH/CTA9A8Vrs7Zzgjut1bb/ldF3 vxON4qaxIb0/GFWRUXdjAR+5qgVL0mV6r1FZ249OZF2gbkYJsJwI9Fu2jGe4hsDLs2iIOURzwZOd ZCYfbYE7TZHr9NVY0L5suVSLFj0lWqriZOJZnTC4LnfVrwwu+L+VWB+BmCFBYcyMn9aZ6W9Lg2P6 c2EJ7mH3GE6IYfsNCP9X4C2SOFjv3s/tqzRpsm4KKyM0n4D5e5ilfigf8tt4ieGIXpHbxrGXWGyq ecDY4YHK0MvfCJh5jCHmCSJP2hxCGm1+VoEwx0/T7bLIWaJKKJY1a6IO3+ii1xhY9b534D310Azm aKzhEmHDBqvCRm34ja5fgyOcYwqy0VGTycqsfesw/AEuQDSyGoLEKR36gv6hqAqqKrk+p10cwf0i yMPRXCSYjQyVIyeLeZ0OwaFY2PL+kabxBVvlggjegJlO8MQBoLKSsvgWj2reM+sep53eKjum/5nT 2rF0yubTwnEFaVxFi6bTzwSBPnU5nQKW/uXRPVJYVJU2z3w9Z/8i5Pmb0LztyYYUCf4AGZ5XgveO thi32rfdRcjIzuZQayh+rHoBiRUXT/yP3zD+qA0W7QIFkIEUJcKjCLnURy9Ehq8QJoZ8kbndVGmx F0JpWPpsN5fBse0UUrLWPLclclMde9PoyV0pVHi5cSJCrq7s0vMkRkix3yq+M65dQt94A8RxDQdC UMbq78+H0OBdHyA/B+OTFrDMrJjJnuQMKa0/7MLx7rXxb1AXdOYrZNq+55XeK5vLmfjHpaDVQlKM eu2k6j/stBcDYUmVhuxvGkAcD9VhyEikHN1BtgUsjWooyDa4dj36NAM3O303XDUUyMbfl1oWCuC3 FDzKY1oLDUZLFGcvqESJaDd0qZMmDdn+mf21+hjs9XzAnKOi5Pyt4enzN4vkgvXAihhTbnPa6ybt ysBn4f83vl3VwCFMggYkEMEubMJJ3ECK+XhQ6RgKdakaprugHLrbkdSqG2TsH43cDJvR7mTGGs5l P0jB/XTQFTgRwzOK230JYIS9vTgiQbCO/Q0rX+pAtRuC+HNDs46Mg+CBCMHI//J/K1F8uWY6wRCL PpNeggCZ6UmpMo+oARSCWYVV/9blHdUIzNaqPubMckwM84MEqjHedQ5kx4B2PCc3TtFjIuGr8i1m zEJtiiHTZyqtFh+hnoovWcnAmiYtccMyibrfoYQdLyk7jkG3QKffXtRd1hEYPK9qxlHg+T0ec8p+ x3mEiAMR/m89OaG/LB62MB+ThC8V2TjqBE89bLT7dFsCML8yipHsa8q8lmnCBZ06+Ic+hqofGYL0 b7YCgugg/jrNr4dFSmGj2USmTM4V7n4zXB56Sjb2A1+MqqKIOr63oj1sQIIgWU6xwkDVNi6vUSnW Cd7QvLi7K63eS/RZ3wjARWnIUF0acv9xJNDRe8rgiiUzlWkGE5qyqq51yrkT/x1QhE9bDyv1zn9L drze6nctgqVKKfC21zGaFFvD0Ak8FPnkuN6SXMwsinb1lTCrBMR9aGM/QkGBUcBE07e5eEZfFp4g 1NpMeRyr0K2+6ikffFxApAWYa89sL2gGtkqz4XxmJr9/VykOxUXbAlI1FHews+ybptgPRmrmYXE+ mSZZx4A2Pa1P3BN5HdtAlkX6x2fQNytE7X3tMu8qT+zMQZzNKwOVPyGPXiGywz+gSNih2Hiaf57a h5ZLyL+7+g2LYh5iq0DYjRprAlfTOIYMRrSZH4MJHToZ8SOSbFhOw2FGOAKVAUXn3BqkNt1pdhgS RWnn6/apnTK89NqawaTCuktEuf2BEpUyEUTt2GowT7TaZ4rWvtXYiKIDyYLelqnRpQTgu9Rv3+SS eVaILGAqbCGECAN1of1ssKbTzunLYkw+G4xXwh6+4lyhcdUHS3VbUUTaLYfXFJaSuJb7LMOsSlaw RK0d7QRhd8J2ja7g/Do9/DxzA8WCcsr/sVCb8jFUyLEylS7/a0O1AABw5PnUVWOxSoZ+rQRq+URg jTk3vi2lWhsVNeZBdyxfhz5oOkyAk6l/XRzo9JM/tz0Gb+X88QzE5j5by+uUvfssviGG6j6/Hm/h D4sVTs9Ov2fFwNOOa1YzlHI5mJtASjTGuNua22bEsiWGs88GoBFW2z/L8MUn/aBmMYUO24XzO7v2 NRfdVLaI3zO9sFI6IOrQgmuFlL0AfCcjHx0G1nxB6xW9kR1Ug+b/okic0GGwFIp69+vEgFEiWoPW WeFizMSEOWjUxYM0KX20O8pOjBAENB7yqiftzXawOODQGEuOK/kt6y3nGa+t7FCWPhfA0wl11GEP iUDsUbsJwTh7hcfwqO9tko5sswVSb0FKtpRYN52CU4W0ypidWpFp9bMxD2DVcnFMoaNFqPUvfrMj /ZEMLoNYteUhlZCRCMQUC8GoXLFVFmUhKJjHYAmFZYy8kD/Rz+46tMBKXq7op2DhzjGM+ASRoDm0 mGXwtKRDLorEJNRLduG48iMmgwIJXN+PXrQBjnwTEuO0ccs368+v3ib85Er2gRtUnPU56EKgIOW7 Q6kMfOB0NsliLQW5ZlWFLjYRTHklNa04WU+isTagpwmfeYiLv0fRA0g8XRUGTtIG2ZR9W3RigufS FhRfBeXlJax+Y3IRr99woCLrFivvrsOmMXbvWVnEb9mEmWCKXuMBVwJF3rFuiobM9WUeRvr83Jxu WofKaadWId0ugvC8YGCDdgNEZ75pJt/4as7at+MvGCaf9sZXPyxq7QyINVvhePWBXLQu0zR7j64K qwG2e5moPmaSbAlTxiLW602lqfrvL0nDihqri3DRT/g677CZi10X0dLIaYPEJMayx6+jhUbt3m8+ vp3YcKJi2sv1DUw6EAsA2l26UPYr1nSQa1riFT+lWk71f28NkMC6FQgt3oIUkrpgX3/Yv0xo62MK 5kUg47PF5DsiAKI8NQxoSli1G6c6ZPL6q7dyVkspri09jIkpe+AHxavxQoQeh9ymhnN0WJR6iOpg DZqRe8KnkLiTek1sOs3Rdjjd0tcmCPSoLRyyCqTfvY1lycPOGFJroVrhtUeioCwkhTqJ+/0Z3pNG 11bm31OIFD8WKtF8Rk4Rz5bBEjw/47iodm43I/CpRlu4D4ggBAeDyPQwBEJWm4c2vPeq457IPrvp nV9cOadFollvKfVIcodiljMeHbwmS7CWWQySljNDWO0cjAe45E75+WXeH9HUlmIxiLsaFiHmJ2BA t1pkFD1MCX1s30+Up4GgyPEmXFKmVlLJSYKG9OWHD8CjaK8KCbAZLIfG4RvJqvKHsb5MPpIst7IC 2AB5ENQn2duibYesHU4uFB4dB9om7Whj09UR7kPRc/hukhR4kBNi5JdW/iYnlrLeFZ58XmBeFuqZ ADLbl822eRx5Q8lDBlTCesvO6fGvdCYT82wdKlCyGYLiU1AJES2qHUmN/r/iEo5eyJ+oOXRVHeKe ptJzEO8I0Tixi8ulj79ycqGsN76Ymw0k+ZrycCE64JSs1+Y/4A7bF6oK+JRmeUG4vYkHHjB39m8l ypXpVz5pkykwNCY94tfTVhv6iSidFXtfBt+/GqWGSQXmV9AdZs5twl+14g668K80jD0e8wWxEli5 q3MnDjkl6b5WhQIl5/uz27UC0gT92qODEgrM+pmyNVJEmB3f76D3ayk4CIm93ePMbTFLyWn4GvwJ ej9E+l0rc153vYqjv6IEq7rgWHRQaEW6SysM+keH2EOOwf+C1vSZ4uEdwfLY8ZL67JO0DgNzpxhq NZhUIbYD1n9zRbuuEiyAom2nfzB0RRqKV1hZXfI6fI8rMNAeMr6K5MO1FID8ENyn2MikdKYiXfvB ynOhJbC4EwbpaH8qJVNPTQVh6jNzp72bCenBrsbFfEuqG0+ghmKrgDCAqXAvnZLQyHLJLb2Ewfhu 1TjEYJdGGkwEVnpc/kXJ6lMCtlD94i1r8LhLKthh7fTa9XU6QjHUuiT6uBkBssXIAGgBmW1x9mnt dxJa/WEQ4vs/74NVhnnIKOnIjsVVqd7nGUPCxWGMwAUNl+C2sugQWvA7Vi8D2Z839+XCrj+braj9 9pqMv493+85B1IpMg7XSxlyD7ZBap7U+K3NzgpffKq3cQzW70+0fuGNNPWQfIKtBx6ooOne9h7sq Mh+PtbPQbkIVRGGopYkD1CKgM13Y1RRoxKMzQ671mT4KVVKCB1drPOa6wKcVly/YutJPsPmUuWRT EuFfIeyWUbgz4/BfIZ9/uIp4gGmNjk8U+w9W0wfyVZLURvzbJXzno4y5jmEXEomnBm4jrf8fYRjQ N5jBbe6R6N/g4dxH4a/8vHNJUpppt7/BUO51uotoGCEsWr76Y6KsikWOBXNCK4saXLdNTSOFH6/O eqMyvrMvm0EOk6bZS/3bTodbBlVhuYDPmbId+WxL9NfhAjWUcBiTmWnrQNlgL5/igYyJMNn1vgAd RP4PzUVTUnTSZAMAOnm9u7LgYHPLm9OxFUc72LBHZCFr7e9M4wdgvI5LMfXzr7cVadGGYS420R0P quaLZtIf9uZ40WUflhfnbQzQmSqyzcFnHNlpyBxVQmZ2IAznX4A2EIv5gVhE2U1GYdOWVhGBqaaU UvqG4lOZJV3EM8tO2fSYqw6bK1lORzd6VhcQXkhWgBPn9iD7RB0U+GzCH8AqOE/MeXDTColm5GV3 w2WwJHlHlVFuWH0vyX5GYtD9D+lQy/pMvwWK/5QotGsf2FDeP3o+/Ltih4XXYLVjz1pcMlGTMbl+ CLi6zw3fjtLk/ldY+QK2vlA3PpjTpb0TpEyc7MsUAVY91BUDm/qQ15V14VN+BbAFsvsvOnSIDZuv MejDgc/s6nGOxSQlY0vibJBsW3Dzom/YWhHEld4gja0v9g9OTCfFsCuvg2VgqM0UR+z8Ln7AWpGv Eb7HgE6u/GiQien3C94aATYs/8zyEAOqIdzfDBRhGK+4TKWNW0Rlr8JWUV6FbmpaTrhLAId2Mqau egzgqEZR+6fjJwTNAcNFnn24rtnyCxFSkGydlhXUc8QvMAVyXZfMKy7OBUo5eEv8vwttCE5LWJFe ifKJUsF9OWHFUrLQVdnkHxzo8OM1Y5qzWeIKMatlhzd7hmN/36LZIy3jESXcuaRLWGNCjKZjLX8n 7yHJV2mivkIYBDQvdEfj2xh6wpZud6s4F6eliNQ++qT6Thr8jGNiXXPjCeJlUxpRGzGruRfttcQO tsViP7JfE4qG14j/1r82EtT5xqci8EHP0Iee/tWQ7x3AyCVEkicmme1TYZG+HRawsBjTg6dZP9Ij jP36RKJ54DpmDW9WjjaPM3H7CUURZ9BRZGaCUxRx6UxNT5QKZ6hz2Hey9SqUpAUztofMwNr/T1OA P3r2QB8FEaO0BOr1wA65FJS6Chfc4EsiqXquoYwV/l9EE1NuF3jWxr9qVunHx53TwnqjwQniTVe6 ueZWPalksT2+7ltugbhE0nZVd7j3p5Bz2jDgZKDBbedDxf7MYuF3Sp/qlneeiICws98BdYTrfNT5 LpuyaWDWyY/hV/2o7fmgEBe4+M+IgiBmTlDGCEhA98fCUHJsggWkxdXJFIp2us9rLFhULUJyo+MZ kK9neutZUHEfwZhl+Cyh4wze11sRTChPy+Vr3BawRCsRLdigHS/qr+lGDQAeOW6wiOnIRg6T6Lwp hRXljE6UT4incTo7gAnU2g5UhH0dPr8PxY58jpLDFfneamzWjjrOczEZfv1ohPKj75nR66YTBbih Si56GqlN3hB34F66x0KUYiXtB+qDxK0g1wSrfwYUOoo3vAoBg8qQNiws+zEIpqKbVTFrU5GSabEI rhZ+4aRhJgGEOw/HAnJeDN/NCvnFtlRvwsfN9bmR4QJlkVBh0GMtAe/d7cxYeAn/ayBmZ3j694Cl up2AG2LsAg0fpz1ySnjbzZkzYWFj+8Tmf+DTuDB8BLJc21MRD1xVm6CgoyW54EXNSCY3RwnWGHFf KWKoqM1Tl/8AeSDxwqBlj6Sef8MjgaU5HpYLk8R48w8hniOgTyOJhBqj8wbnz88O+OC89iykPy8v Y6PdDFEVsJ4S8PqzCmjFiy6oR/czlZ2rxCbCCBVfJRV4A0X1VHD2w2uyLgA4Y+p9IKg9C/kWTWNA 5lOo3vVQU+RW17Tl4wVj1EwJwO8zesPsIFwtcBkBaK+JakymWGxOiJfoB2iOV8tOiiCWQGgTmxcY jtwfY8X3RQJc1mhm4eTjlrS9x3CTTkJV9zFlD2KIoQYxSx+xlUwCxhJllyJMfkKR9UYu+RtuckbR aHsSiB+4BWnBrAjJ2NFX/hHrfzpGBXTfC00g4EqxalAfOdTVuyKE7kmzkjlFhRfratnkvNBTC6/V Z1YrGQu3zYqoLFMFhhJcvlGwPiJxRFEjNuyKOjhRO1bgiEHLX3AFwoW7geW357AUY7wSsC4G4ey9 eEcV/c9aUcD39bN7LjCJHMgzVIUvVsUd3ctFDmUykUovo23lpRcv8CmeqnfA6mvJlgXZqzV1yzG+ aEoZDEX/xZ0DBmZUWIX3NNEdNJIm2QyHSMnnlYlORh3xWUQ7ngIOKmmWgi6euiYRkqLYmiuq+4DJ m8sPhHyga5+gLpRD6ybgRQoupult6hkyk2M25KiLFIfEH7IXgmFXpUoLUXYGJxVZHZ0Dewq7Oczk SNmdYmr5fKscNPcryiXtXBcnKAASvdaQLxaVpxo77msyZKtyehsdRWvUyIzetrJXKQJEJXAuBT0m kbysNtS5kP5eWRxpyr7XmpafOONumJat9vcmU6oXu8ieU1mmmkpNGYDIInjGttmvGqOosyCOMc7p 98ZHMCWR1H2WR/9mz6YRRp0qOOY+2nbpFWz22gbcWoRHGI3YvQND4gKy5NRDlzvNO9NvNWdJ4HCI 1OhYEWrlmOjSvbQQDOyepJrsDS50EaCm1eKkMAs3UQODeZ18lri1cSFpbP7KzPw6neCwW7Kchs1q iXuG2iCxDGMUKvVR1aG43OX+hrEwkJOmTFXjhqLZCI+fPCdc7wnWtekEhCvf9A/ekqpMINfAVBxx 5T+6xqyjTQXlO6G7COD+nXwYrztuQpyFFC9pBQhhEnq5arMR0AnQFjRhmlcgQOi3SNdqszd1H7mx RCevM081VUpSoDEFoMxfc6nynhq6mbbYv5ZTXZgrBiu8274Vo/epAPGRyvHdzGUdUvVAe3yTY8TX wL4rpApM3imTaCKpjUfxJ2JyI4xWoewLzZr0kHVTyiR3vjBOA+7X1BTcqln/xZ8vxMcL1/8wacwg fyaay071clU1c+5faFwr2J9GyjL0/AHcatU3zm/dMMowsyW/G76KghSkUgtLg40KEwcYodKYgDH2 xoZH14n4UTqV0ew53meYKsVpG79TnEN9qIChLQvpokg99G1FnKEYB1FJ4VhQwxZyqE8Jt/YbjpC4 L9YRZAJvMEXPV7rx8LA5AFhToqiXXwj+rakYaAMvg7RRuzQrktFqd1oYwrGdkhl+d9J/SuN91wbi Kt12rwoyaR1us2iX5IOfV0IwlBM+Blnh3XYaQjtij8OGq47Cckvr1aeP/iokFw1t/ESCDEefAd76 tjjp9pxDPMo0w3BzClB95/+7tgea7lUYX+VTbmgAJvKcfTlsV28aPZuPBGwsQqY1DcZiCVv4dWbn +iihr96jBJD3HAuZGbOVNh7q8L7AK/Yq2WAo2CPcTDUiJw5EuJh3ZUAKJK8sSS4NK8Uog4xk1Sjd DIULjjyVILfdxgdhVpjN76047+iGwJP5s74fuEFfOHeJ8GSu59ZlQcPl4nQa1HAGf9gfzhZQKB3I lvz52ZC1ep+H9CkmvNS6SJp2sm7JefB7J+xg2+r+54pXXefGq5wWSSeXyGh4BaZobEjjsOBrswRN U2xWmS+t+t9oSQOvWSRGoTmD1BJG+FhDNdejG2FYgoOlZEGsCySGyTeewxmgTTxlLblF+l0YKEav pPvKqKV/mXhZjEMMbMcF3wRq/+XKZOlBUTYyGO/5eX6E+yoiPqRvUqURENUs25kp2/5bJyUnu4yI cCxD+01JOzzpijbTL7V7FNXrtgifIRZDt2Sa/8VUDWK5b3h/S4NDcKYjK0C+jy8JMwi+MsSkKRfH KEz2+f6RAiC47iJ6jkZTT2nOX791GoGQcdUmPd000cJZlmTS2VyA0zcw8MrCVpOJ+vJ8RoqiHP30 Z1fpc0VRU05s4tZ+k04h7hHhexsn/Q0gsW8NPS/TXgsdImY/0r0AtRNCNdKOesZFlMS5RIDWSL7u tO/u6g+SiBivc6nlyYz6hdT3fItmcEllhnxObKWpixDsXhNfVizw1Wsw8wMAa+kYmrDfHsP+xLKl FQ/4S7lWIdZg99Bg6nGwQBGuW2y8dG4fAotzeaffyCYPqrk0hv3PT3Xm6VixiITo/pTmuEHVmBs7 peavMfbTOiwLeh7G/HO+gUgelsORiwXnLgDKO3odZdjDh4Zd15hEqWy9iO17MI86vzk+UAsi5vOd 3rnTACqqZSe5+ApZJ/dLnYya4VEzONs+14mfR6soFOiEIXayTsXcdquBJIU/7H8v47behAuh8MPz 3kAfp8GWYE//zRniT6C26t2yVRuH2u8WIViZYS3RmOQ7YKHj33o1BtrohU6jcHBIX5KSxxGYYtQ4 MSCYZ8wAJ+32y3NxXabnikRvZ42MZ/BsBzrukIplfTKnH9vPpCzu/rtv5pV904Zr0/gdLptDzejk uI2jYeMrVncpMQiw59sIaZ/wnrmchd2YvD31cEWyoYZmweegcPDPvsV5E62liS+GDNax6itt+qIM fS3grzCWKbVWW/G9Wl/VGYq0Rt8XhICXXGiUEZBIMJ3xBMmp5WzcZ79EU+NGOtshCk8xnvfuR7tn 6KrpAnVbjiWSB5A/WYBvz1SBGFEt24iRcc216oxPieWbxVPwRIZl7OM1WcgnMvSRFWJFl82ixdt5 BEjrfB/aPMoeCGAWQHUWvDXU6z/sopsLMZ+LW6mBkZR6cJY5EQSVGJcss8DgBwUgm7L9edA+l2eV vqQojWyW2Xypz/DH5XL19J3ynQy6d9NjlhPt5YWaTXKz5yNtGBdsB974zIRmwnv8PX9LxNb9k/OL i9FpHv6wmsANwdhrjTIDdmhSBYvrQeAaE4nuR2SOFHL8XfbtScfFpeblCU/Eoq4DkFH/hmWbGUt6 XHbRUF5uAOdyzueiH0TcO80tzmbpp+6dWoldATW/n/xS+/WWAuihGK9eBwBkwE7ZDylmdS++tNhd cuy0CtoKouEsI1WnxUv0v4prJehFRG3xy8qZldqWvSnSoOh64Qf8mY8TeNoO4wydsm7tAghvEiEJ iTj+UZDXDXUMSpTAjGXtPxh6AMhJXh9Tb6giZgCRbItDByQHWEQzGfMH1DMwJwZKaag0nAlg/CEJ TAe477qo0vBF252qO4BOscPFA1mQ5Ssc8qJdxBJoSRvIn/RuC9knOPuuf+/UpDfese3TpE3PfmVJ CUEz4EiIy0mI6ySEpWFBr0CiSVcR1m9Xz2lIkscD06U79OudnREs1g+BxBHaWERE2FXmll906ugO CnNHTeF3M0frKqu1zrbCJSK36JwcnmtBkcupexIFEqnxpdFX1FytmSC3oKo373SbsEh1Wom0J+m1 g06NBhhKQSV9KFxHv0RyNNUIQdG0cJfvxw1rkv1kIAy1VPOubhfT5QwkLM+r85akEW6o6fQPLT1v yEIPtAZ4YMTdxjKWzgzvEQ6Qei+117GmfYnDcM8hmUSfDpGreD36bnfCKfBM1j7anhdfH+gi5EQQ 7NF8o9jx8AHPXpjA+yuZ/Gjs37R4MiDekMP/as3g1AOSomjX95dI+2FtgVAcUbEnh31IwzZGQICQ LtMiCIg+YQhkNaWOLqvH+8vGYcTlfsmoKDNuPtdiil/RJuz9fzmUTGkpEEt01nock8cwVJn+R918 ZWAbkljRtrx06tobQTn9OH0Eo0PRbwOPCDRw2bgCzCzrE+u+kv2KECdgLFL1Vjs0PFbl5hvTb1ik x4Y/hHWVUB5IUOE0bg9S7kVuD6f89e1K2BRAJ2DjH2b1rXTh5062ausKhmJA0ZmgpHTfhDOTfKrE edOi5ZXX7cDYNtE6tg87TJZZOE8fobcrz1EENyrW/zITQAv6jBAuDuYDmIygVTmkPM+1BIFoK4qv 7AmfsUw27RUrh88ZbK6VMp0aB/er6YqVE96daV/bCFIDysGh/p6ShJHEQs22t6AkW9XQvaVnjBWo adCgPwLTORWQtxvKBpM8V/HUCfjF6n7a10HuaIdPxtnnz/C+YQvva4FUGOnTOx/WSxdLhLeJq1QK O+U+G9NwS4cw4B7lYCdrGlWpQ8eT6osR7GUWnpSoUj93KhDAeEcAxdGm7WR8QcTVUrumZKcDQtLq NqrWoyEMyLnqzwAAdTkeC/6R89+Q2KrvPunYjs5eoqx1pzoxqGK5XvkKp6VO7/w8H6lfilRS5ZQT G9g/i06KLtUMpEiIsYvXgO2awIjx7XMqVZnFXp0T0sn5Osye41oo05uVqe/Wkmfbll1WLBane882 WSzw+YuIrn/qUj7KJYKfipu1AfdSbjklCx1JOix/SIOJFqpqnTnaX64OR1af20PmvBAkDJGMkxb9 gboIVI40T4DF3GHJOnaakJNUYUuFD2tBT16WaTyZArYFaqPfviIGXiAiJwjikUZwzg1TG8nPWVMG LUg6e6CIG7lR9f1D2qzjhhD3HqNEvSkT8EETAKR7eRHkiy4hhwWQIr7sj69gG+VHo/62oGDHRKtf wb0l7sKI+Rr51634XbROS7dKuQ2CiYqGmRXum+Rv6PTMUFILaC3NCK+mEhTbagxSaUWByQUCrfjU W2dC6xupibIofIZPZIqz55DKMIcnx2j2xDhSJkSoJSloLM9D49OAwQ2GDdcyWxbUaNJ21GkwmTiA 8+dsYgjTTRv00cwR+Yxbvmp/ZuaHRlZlw1iNG9ZCxhJIzcB1uZowTvkOcY8cT8a91+j6DcgCd7WS z+aFl2fgb4yCCqQfgos6/1v2eftBtW4HqruLcVfmvGl7pDkj3pa6OWDL63FjZSMmklxrVUsz25aM XKXN2JnNpyyjY5wchCC2nIQ7sh9ubgBUoziWkjd6fpteHrlIJvTx2au6k+87k5dciyTvv2zHcIMm 1UfyCVplexr5U6jTqB46LS/B+Zn8bfAI/pqItfaPnhGHPYEebpCkGpsigWhBlCPirFUEzyjEIhLN vh/b/eoS08EQ9mMoHXQ3TnMs749v1AO3md9xFBTDFX4Oto8VSXrVUqvSDPnsnt23ptzImBRsCwRT FS2i4gSrMtGBFfZJdKa0moBqq5RYOsdNJJMS0WVoId7hpnYX1/GdpbzHsaV/Fhw7lzAbY6iYdO5X b4EVEf4lNzdcAlz61swHgq7MvgaeWxvFOB0VLKao5bzUsYfsL5ATjHWEW0X30VP2W+UtBysWiYiu iJFNMjd85c2xVj8fMpIAAbWJo/hySLbyGCIiScuQkDkG3RcKVS8rApWJQJ22FrfhpCOHJGAoUn2h GRDmKFyW2lty28V6HTLBEektWmgAbVqj+9gIIdATcw1JBkQ07iVd7zQTCGR2qqktZLvlwKZbZy1Z JxKuhIw5ASDd4Ay1FonmOYERak9s+uN4+OYR8nv6ylHu3ZJRzQFe6aXHlndNwhyb+td3pDnW82J/ f6cJAKAVsbu3Zp0us5tbfLWjDF0ODS0LWHx0DZS67LAE4TW6n2Q8jgT9Mz2e6u5Vyx60ekBmQdAz FwVzAn6AsfNKL1RHEZOeJgmEU0Vo1sUTfi8KPymBoA2iQd7WL8MLJTRAsCl8fimc9+ShIR+WNBh6 c0A52QwvkLFfwVAQRw15gyxAn5ofV8M9DKaG1h6IC7+4BdsyCyrfvQuGrMR9r9e40AKcrW/81jVi 9funuRzIv0QuarS+TW3U8p3F2ZCb4xLMQv3a+h66p9bbm74U9RQjwBVrm17BIc2E3WatcuNa4GMh jrk+urgVbVQ3piYIh5NHOrGeQbrf0hCd8aeQWwpLyoDu0YU+W11uRBzlYFdjsKMolqDrNpQoIk5E CecVGRpuunlFfrTo3vDK8LyrmLJwO3AL1QKJBlOGrjkh6M9J1/j2jVqHzKV9bLeNlHTtz/pUbShP ZJEb9QMSlNFgACr540B/ToSE7lF4i1JdtrD0/TU0myLFuUFqFwAaYLAuTPycVpCziVfg023x0GzT u2e25qwBS6CZbwMEQJEWH5UoIbz2QnOGoDv20Bqr3+9TTwZRmvUZS9pHoU8bkXZm/5YhnzIqnByn fvgXdfpBpxSp0w6c+47i8DUStwiiv8B27Vl85CjfOcLgnmvjWiVix6HfPNOeHyEpJKu2wanc64OK EFghhzaczy4t7mUJ1nRsA1eQEjvL2u5SxwZQ05xpZezIJAItOlikfjQd7qkVoHtAYU71LLsHnqQH 0GBLSLc7UGGU6n6zr+y0JTDnmW0J2f0sg4idrHFnbAb9C1DUoKAXDz5jTqgqSpyd9MAwPuFC2S8A eUtFcn5cOJR41Nef3CkE04OF240u6Zd7M9Dw5gmOzoPFvSjXzCqRr4H1Ks8zvI1+9uW0hx+S4JDq Lq7MWtHy5liA3W0JD03VutjtzbSPokjcriLX5IsNyCa1a9enpgpjuQ/jt92VkAywAD7atqPBVTXB gFoIZcRd0pVzRxOI2VlpOBzQjn+SdHCXGsa151Q0A62fopdFlnMcwRi2cYuJJsJKjrIUL67jjOMU LK84CTmEo9oZkyeb7sYwV/bBwXeSJO5Q+4is7uxXaEh/b1PtY10abRlfZa+ald/C0bCTKV+biwWS nyClpphQHGUSW2hKw7LxWhMj05TwERQ1Jg0F4gTZcxAjwQ7xhEXVxdNCYCBMho0URxjtS3ZB5Ozd Q3KJDuGHWOHeWwjfCg7Gy4N9Hn0lTNxZCxZMseQjm/ftYHhwGXHj7H67AJTWoTxsCu2vV8keVTM5 JUpM8qCdL/h0pGaYxZBtbwJrtW/smjmfSxx3L60C1vT/FvveQQ0tcVT4AKjXB1y6Pt53Y1EblnPp aWMp1nuQVdv1POJx6fRRtTro/CynaepUK6cVRzgSoJzllSLUp5H7K6Cr7Yl2oT/22/1hWpzZLmNM wom6VQ3yxphUstLAj1UlPwq0VdTvUp1V8Dz7+yO/BIqJyDgwSLOTBkA34+NQJWImcr8KJ9+juStn 3zTovGgZVLu3SWYq7U3dwYodMwjXmBKMmfaLWN1qDbIRgaRoNz6PlS4px+6cf3ba2hW6Jg6gMkID xTL+JFkZnt9NJ2qsQZNU+i3hEcufg8KOpbcwlpb2qFs4n7aiGT68JGuIUAdKr8oHufw646FEP7CJ Sxh5orrckWO284u2uyiPj5OTdTwTXsH1LA2tAA0cfv9M+/HMAT8S3yHB7dZ3mndS+07FzSK60Bxd vJQDv/SiRmoGKdSuQHOErfldzzHQYhUDTazfv7V1SYUwMOnIZbruqbycYpK7ec8EjOLfv51um1Ow wrLdAI3067H+sDsU5WaMSIyU0cyJpA0rtw6g3n74xBwjki34pTuYKIbML5TQ2BZo983pYO6YCFjK Nt0rAnRpERI8D4X4hkvEsnEi/memcR4Ee+Kd9kpqoKqlM7ZpX1IsYfXFKApRo8s/UYU+FFYuKa5w kM5WVshpmOKA7AihITKjGWiRWthWx/mBBwtcQPN0L/Btf8wst7GwQirrpcjJOgv6aKfDOGjxi/wm 85UIJNZz0sv5lVHHSf3jqlqJ3OdVykNYxb+TlmJswMackWcyMTHvajTV6f5aJ2Qg8bbJ3GVmnO9F mEn5iAE5dORUd/MpdgOy+gqp5SXtCLo0867ZxfAV5RnzaOexN+OYkeiFgEmp/wYrP1Tk5W1tck8w oyM5lPwK+7mainXNVbiWzC+k8rpY0Zj3nlUH24Mfti6kptUIcBF3kVLf+XNs76eC3VunVZM9cRjL 5DCWpWRuCTeqYS76fw+F9jvjSe8nTzevE/YyUBQbreoQkCHOhuXvHZfTvslub//8EJcO276mB93h OMdwPgmFkt8xSDmNWAFhka/5X7YiWxFkhgbChv2vxzPIdesANQpkvNdVILmPnoZTt8hLG/pHJu2q jVKYTYVtS3x95GiKbAPA9FF4BwXW2NmiELWwwwhR2tlccAlWMvTE/QmA2s8sMtJDsdPwLc/2PLJH klKjjlXz0s0MLr4wU6jcvcBx77kZznSrdX424qZxHLINDjMeePzHOhEXIQpc9AXkEfBfdzosne7E MKCPIzdHnbK8sZTtdDM9v6FuvOwwoTChfLds1dd8yfqxeQeR5QrmjhdE60qfX945GqPNXGgY/ToT /gfq0G1mtjcArqO1yeTVAoRWO+wq75GMhTZAlTzFlXowgqXiVvNQQtZ2zM3/LWvLI6dfYPY9L2Kh ESyaxlxAmM3zC78o1CngTr5lIFm9MQRPVoTaBs7ptBt/sD4T9pJ92R2gIHdoYPRTRX9CmagvgAQ4 O+sydu+Q1/wgGdkPiz3D8AQ+jHEz2Mr2NKAhEkYlAPnFsk01HtiU2F9+Q5feYJe7XsBEMm6kWoPO fQPG76wshS2hR8Xi3PwIO78N3pY8n1JK1gVOD84LFkIGXjC9ijRYFcmXPd0w2L35TbO8CpnGJvJJ JsPcWM3cUQE/rQKVymJe13b7wsuufJUWAMA2C3WyhGvo7aT05LjxMHWNQ2GfO7XOdveiRAxKC4/F Hx5HKYgSnM5TIGpXfXHA/E4EafUiEYRUznCcefCJGGPwqYAT3rUwDTETMTfrNIXWQioT1JTp8vPc xamj81WpTUvYfyobdwC2OlRI4rRo9vnSTZbQrBMkDMSMlYS8Yfx89Okgs2uxzWxD2aOb+CCBivy+ mQ5hlZFVeF3Vvj5pBY0qFTowDl/2UiSgDIldGfPK52QwV44mf6fxHiHpE4ljCfJq+5yuWW2nnUih mVA8k08kpSeZMZZpJ/m6zSLZA+PvzcEIZJhnHOH8lJOXrNzx8RJm6S3/ispNk0I+yC2udy4HbFJt H5y1X/m1MMlorlL9IpQUYK31La8q19d8BjiFpfxLV9rvdMK6NHDvO0dtdBhpJnjJwsOSqFS1oFBq 2SXqBIYyZ66lc3zPPMJU5gD3lqkF0Gj9hNnkA7uR/9gakfPtnrhFJ6FyLzFcRmgpF9WvDsCOY4X4 ntBvqiTULDv4jFsYN4tB9NxUSR0KcQ4+JSlkyt0hIs6NnDmsbTfPsawEceeu4NNXL5JFcxG7wv5r hONDvHuk4NUz/vLFBaCBFrOnEgyOJ6CZwOXmh2E9ZYqlSLbxr8sqPbCESSS+rIh1OVbgZrH3OwhS IbInBZ3J0vXd8auNUHiTIPEmkNMgQEKXd5qetIpIzr5WAniBbM/5MLj/Xe6y0pgdp83KH2wUvyIY PYOKgrRP2o8IaRyZOMm6YV2zOSwqo+eV63GMp8RoeHFFVDjTTH/ac7IVB8CGZvdbLIR9pVziRSqb 5ZngNHjL4LWCJh8VQiFMLgOU2dqv89kON17pZe787XzjrapWajppd79Z5GjeO5Ap1k0g45u3Rpde pykLhr+ryUtG8UmxNYHOHMQauuVFrZvX5tVCYiZQmsKI6evZlbB+47n86QNmBtsAmEXo6hkyIouZ FQxVJ41BJsttBcExlhe2tNFtlHFMUUSM5I/Lg3tq+vQ8+4GilY17/ezgC8OlRIoZzddBCwO30MWs MAnrucpHG65x/++fR567GaTEZAXuxyMG9hchri1QC8aF0ix5nuBsSFeirXcssUabKELGSQOs/27U pwsMvx37i4G81rAxuYVpvRs+67pSSH9aU1tClHceXWWCQ4ifdG8uYRW5y2Q+WhooR26MXicEzHvR 2nUBz+zoETon0naIankY7ft95+48XMvi+HduNTpDpTQPgJD5WftgxHOrjKRzihN+6C5Wn3oqx66B vTCE2CmzmsY4/sljdGf7ZG7YgPCcYUmu4V9hdlvHL2vFNdVWp5NprypP8apAwaOsL9DucktG2+WW LUQ7ua5fJKQQ1Ut3Et3uyUHladuVT73/qPfAY9jI4mL/sVSc2WJhPj/y2sexQ5vClMq91ALT1qxo b+31eYdtHc8TEkn/U4n5sg/SBpUbVAFFO4wDCdG7M7E9CEt03HIU70CaTp14JrvXMEBh3w0l57Nz fo+2PBWCz0n8bDXWGakmCl9yxW/F6UuqXVlpUhXJixfcoiLh7yhZJlFNR0/FSc8zEGEKVS9wg3VR Lc8VVdwH8o70exzwHUYeKhTkgikAEEug96N+wQ5sw5pinJa9NvHfcc7gG3YKvdIeHYYszYXjp8lN nRfwUCSllrYhiDgNoENv7EWPw3qpeJezyWq/aFV9dm9yzh8exWvJ3hiDB6ZOEMRgmPDMQ1rD5vik Zj17NwhCoXIqFRP0o+IFNXip/3NTv/SiRuwZY6DiTcdePoar/f2xvYE3D6H081jjJ7CI4xCg3TC2 1ACdYcAZ375Dj2CUxFuvdt0lZhZrIaOLHcPXvppGK0ovugKV6GAP2r2FozdhWtiKibLRoj9dPGfq bzlJSyOXh+3cgjfRdqbxm58Nf94OBjcfqCwwaedVvJI+uZdsJuBH1rIgDIL2ybZAKhc9VPc+cBnb zMcCKvlHbJuyPGbrGMyd+g+iUD22YMc5+2MAG+iVlYOdAyArUeFNjh87rPUG4SQmOqXDz6yMUlqb DJYemMGEjqLDJDcBpzkJR4Z6nQLJPubyhs6ynh4ObghZZBiMFrlfMV05Y3BTXkPcPNFwfXMWEyYp FwW+E9ljhkIcgIgYiTHsaL2ajZ1dhx8tMbrvB5we6S8Ld7hU7M/mHuUWImVagjN5PzNaZW8yroJm wA2kD4AFbS/46naHOJzhbDVnM6bS+nCUsZ04OmD4PZrlQJgw7v2J7/ILq30T5odub9rcY5G2vu94 BUMJ18WaVUFPI6b3S279WHJy56yvz4GcLPVUrjn8fcgKC35aH2OwSLz960M2fJVUr+xITPSp/+Uj HRzi27Bc78JP1Pnd/cJ/Qsfl6rSKr7zCHETcAoUv3gfmVJMm0YYAo4sRmJr/UBfHXMqE1uRpzGit RBTIgirct5zg7ArlgbKRSvWv1pxjVO9yVyYXj475Q9Z7JB/HOZeQKDcHYUexdJXQ5CjkCaGNMGtu hVeC0QQ4+bMJmLdVDp+20TR3cJa3sp1S8YaBTVgHuD+RenSxQEv7Skw1c0ciqyXXA0NK2ZKHfRhN RKn9KzUlbvTtqMG0/6A/QUV4qGUfRTUkoQk1KOwqxsVNhUgy4vfDHLny7pNcnY5BP1Tpzvg4lOrl VHIqFdnWmTMoh8aqeeV71bWxhPKOA0uyMD2DQBLRcRp4EYAcZftlPcV5jLeWpIjBGA94Mqe0oPJp ST4vTRPAufFEb98UoTvtr4WCzgfo6CUj1H4ORH0iDg+PBcBuVnPBPAFPewI3JeLUFr1mtznxlwB/ nFdXzqC/w+IwiRgev7g/67/NcObtZMSiV2ZQmxaSsSy4jqSAQEXTwlD6ObmEoXoQ6fCd47ZRWf1X m9py9A33lxdxX/Pz7YG4V0LkUOEOp2JUrV0s9JKEhffYrF7gZeV7QaBoNhHe6bm1/E5UAX9ROSce lDZ734ApZ7ra0b0RLLGj6QKCwn1ZbiGHJGRBITMY4mwc4kX3S2eL3YI9eFIrDVB7hLeu121C7/Q5 aDEcJKLtRRX8gbBQVEryaOfpSznB8RbKCi6EBqFZJvrmQOdRlM9mHCGfGvToEICf3Xrl/Q8wAWPV oXa/rhYsDGGfuZ7YJAoLA9YyjKB2JIpUxT2GqNleFH2CTAKDhxhIRq0sQVPwS6eaViJbZ1Tcf4bq x8xCtkEej5bLdrjjnxvdoRwzDvAGD1k/NZo7WMjTQ/sWAnKL8T2I4TKp+h35D5wCErqX0JvttIrP yX2LOGanQ5EeOgqZyE6S+sQuosjFN7EA6PN58xFPgHXxP7TS4YHBrfNA7I6Y2rlPGJKz9LivIZ+1 IFD35VihF0Lu9Et1KindWYtdZCVOJEg7BwhknZuqUc+AO8BNoNnGq7wKMJnRIA131p348KqsLJaL r9/I6GzJA97VKtJ6t/RB584/iU+b6lGkFSUUNy4PDQ5eFP+0OZg0cU43RRiDW0XNlBN/pRxo8F8h oQtl/NEZPF2fbUKulj/yfARCoNn2nNtZXWfywf6RBqaEs/8KxK+53KBkZfTJj/Sb0U3JBRG8EMyz SKMynzZ1awahknNZu8PlJuoNA64RdDjP5VrNTszVW7NHeIMsVaIqRp956fqdlYtSrEYVYVnBfuW4 sjhl15895vVDrszJZXnRRaVJCTlOV7nedZc/BXn/lUcC42G04iYYGdNy9IOOfEYIooBEr8Bex3bL WaM10QLObW388XBSaVbsKI595pJhy/Igc36JhKqN/TwxiJUtkxB0mdi1LVP/nzp34UfTx0YuVA3u IjuzmXkmHvJMhwJCie53Q0/pP8dUhma4DafnzHKKh+hwo1g8qW+w4v50MgvPampNrCaEAwxl7cUa kQKUvNrw8VSTuT7x/wGWFn7k4ioAXljGbHSiomgY7TfwJ/H0GjjzhFbI7ZqMp97f1s4eL324r6WW xJf2rQXB2wO65rj8MJSpIffNGKDJYyoeGWBTXdtvhSyrPUFAQp2cqgvOjZ95Ggg+/C5/Nf4HKKAc 11DlUaB6/dadJOEc5i6ld9sF0nlNYCy85MYztthxb4BAk2TfYmmlCWugIpoPrzzpmRz7ljxPuzLf 0iESwTwXLG8r8uKeyZOFr6+5ZZFJmaivFk+CARifWDC0Zvxsl1YW5sIuY22X7r0dts1b+nDyvUAJ AtJkGjM1FmSIC3hXDl+4qy8ienc45+T/aL/icATebGM+UtAi7ErV3Y8QvynbPvoAnKgncFM6Rk03 Fm2Xni2k8Fjtz4bseBWLe8dPq81+cxK4TIZeatG2JOyynJkp7K2CeQt756Auz2bOzqeyRHZhMO4t XlVxh+61G6BWFAVZ0yLPOL/GfLFlVKdS7zC40w/3OuaoyNS3txrd1g1YmOUCcGHvbI/2mQ9HRLCE s8V7Mn/i7p/jEn2NLSSAPb2gL2n2S5kdZ0BzISQzK0quBega9tYzmwGBPj6oWBdjA3Tgwt9Rg6LQ QbXvbadXPTJjd3p1LRXHcb3Qaupvl/JY2Js+VmSfAKZoJC8T8qqAQgmPLi6XZweSetCnOx4WyCle oPkINq3LAyBzjk372uEuBckU6VS0gJj3Al99XXjhVMfWJ3DgOtxDI1/XxfVzk1F4i2ya4UeXvxjq EgiQILb4tSYh6CO7D1MSXUVMl6AXMjm9ej8C/9QlTBmEhRBAb+9Dmk7g4pQJ/bsRsIfmuyd9m/dl dNy6+w9av+Ql/jXPjnF8TRfa5DDJQ0SVBHee0GNEREhL4k4T6pZt5BlGOFtJfIvXnfHW5TaKFoBv fWICaAcRS0NQLiGvRVY= `protect end_protected
gpl-2.0
e6c7a005809750e5ac816d05ac3a86fd
0.948887
1.832103
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/so_memory.vhd
2
39,288
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block FJSD/nBaVB3nQdPQhpCMMi4i6aRT7VJxEWlFmXNfcDSyZoJFTLv1bdplXCJ4Lz5jg5v2P/V57/Xs i9PP4PUC6g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Z55vZst8nWxCmqCKvguPWCsZQqGaBeC8imqMtaFYB+DwH3YtPgRNyeCgYMSsjBaILxrq/HLheA5o LNLXI81wRBhTIsanWXD7a0tKADJ19p7q6IZhA8sgiy2Mm9bGBbzLeN0FUKMb32zS9jy8V9c/KReQ A0vUgPs22GfoNLl8dA0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 4JC/da1kDnLnnLKdWxG1ApVcA21OIDsHV/kPeLiTfrWsSdxb/G/tm98nvxgsmCCkmJKNyHt5s99o ZaH4dYpCTsUy8hZxxEV7IqJEeZkgVSwiKBFveQNDmUuAj4Q3h1uiD4qRpTiZA+URJv0Qn9vHDgH2 zvJEdlzqzDc0WHDvwDA26PZW8aeRvhvUGBPFAZ5eO7VmFYNHf+rIne+hQ7vSGqBAxvxUI2f2Uh4V pYvsBL5Ef2FtCiQ9O2mLgn4W2EwRlnY0H7Mu5VMxuYcm1CZJlfSj7JNGhidKyapJ621BfpE582dK 86f+Uqb9E6oCzdO4+eXkPIrA1JYG9N+cagdVgg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IyCMWpCneiZfSscKb46kxH5uZPScvw4iL9Z0euOTWbc+Z1a9qmu+DkU61tZGyd8d2VN344f9pVkL pV74JHdSMooLcXh72OIS7z+kFv/EtupvxcP/fF5DjZ/iPmLxcl74zYpdrzgu7cnbMqQzLRe5lauj Um9VqKRyQWm3fHoY5QY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HNV7BD1avdsUK9mf4rudJETmRSuJIzF09XhF4DB1kTafv8EZzP6uAecCSEoIUksZG8bn7SQYfX93 DTOm3oVK3RyeqULNUjuRTrZBMwcB7WRAJnKQLEfrfX7Lr42pX8ddZSiFoTu3tQhpwLiDl0sLBV+H k3zKrz+5hrc0Ai10e8YgI9kck2Sa8fGfLxL1jw9OZ/dt8fYkZFSsoPH9tE8kPArEW2/jzIqYs9bL LnMw8wJ5nS/1nidZgevbJV5VIswclUVMjumnvq9OFLyqLn/ImqW+SGrKiK04UfciOyCd1ZCGDh8d 01oBkmyvgLtN2h/5JBDt9uIDDJJBdPm5AbgpXw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 27344) `protect data_block c7cDk1GKis34xszGP/Jph63LAFGlw2mIRCvJ5pVRVItugq+Z2xNmEtWkLX1vURo25GZ6d5VFonSR eVhtjzMaBHAYNDFtlIf9fz8Am1W7Re3Wr69Ay8S9DegxWxq4axuaD5zIZDMZn5yDzc8sLL7v/DK2 8J3NGSwEoXqlcH4lnhaRP3Zq37OBPOp0z7COWM2Eupr0cPD/8DubbmG23SFWuBQk9RKdTgssdhIH Hetmj1ij1jrG9aJBH6eCayYfwbF5g1H8td7NJV+hwr+nFYYR5E7uMVUFp6ZpJaAUUku1LbkeavXi QdPfu56LV0pJ+GR8dxGBCxQ2MPQbMYCr9BDCmTb4GZ2Yl3EOqqp7gh7fb9oXUJMN8wS58BwGraLD MMvrmYxGfI8SZDClYwL5ezUjPp4ewR/jqMYvIRQ9hRhJLyWxWNX0xheWSVQ2i4BOzV9oOr/FqZhv m9OFiS9Yc1r6MfHx7YJCcIOodsuUxarEPFIZAJT9kxWuXeKk/DAavnjEykZTc5bBPMy6ebZGvhgf PEzHVcvsLUCq9epeaq+a3gR2CkyKBJ9gYa2L5a1QzeV273fNW6AZIZGxluF/JWxH4iH4d097exws 0f4WNAVdNv2ADmv+7W4/cyoZ1zZQvrEIEJfuhtKytVjzb7Prvx5tgDqreGWqk/QYni2sZEHoMtX+ dSX7Q83MpBjRQKtRXY5Y7eN6M1HVZKmVTwmZX+YWGcMlg0SXl5OvXhuLKxvhJLpBmcLsFj/cgQYO K7iYZswKOWyYuCltGphKR7edizbIxrjOarCc+PVtrIMzHylbGZzQ7B+nswGh86RoTJBp3jY8qjOS i2oeCkNs1OTD6wDFJbuiA5dy4UqyhWUtEtJr/ddj4+zQR4hi+eE7q7USI+eWS7k6QO3LV4c9p00q bVDj+plfDIKDoeyeSKISQiXmhE7Y0erGA+gKJNMxfrwHTpgNs4nIRKVt9k5e0ZnVC7+zTnF3shyd hHzfiWZSKpC5LWVgRx0DGI1PONIryP/6Tjd6bRtA+g3p9M6cTzArTIp5nvadNm6k4Nn1B6HXxmK7 wQxHcDc2isyhnzG72KRDuMkiGXn6GGrH6Pn5Z6gX5GExfiuo+zFWEoYALf2c6xyzQBLSn4OtniJh rdusMX7u8akaeOFwFoqg4HUNlwD24YLNrfLWUnzqSYfTQ5K/LUzyk6VTuA/SWyYaofe/NiIsh/DZ n6g1ufJAKjDWNgzZQB758s+XdhnvhLK/Tb9+Iiph6qaAXktMXvdZBYVf9wSDOu0NWL1w5AHLk1qe +h9vxsb2mIZ/OFRBzfNYgzGaHO2Opgn0C/Y6wNghFzi58I+31QmC9buh16RtuXsSnRpDzdVuq2X4 suLnCon1QVSaZbsKg3Ph8srwZFf61TZfI/LG6tv2OEx180ssoJ60P2mprcV+H95QedbbK2q4Wvwv Rkc1waUrzHs0+cK5M/MXqjmusHhmmNVlD9UhWG4KwgheAOitkT83XVDDb2d1nBuIYLnA2a0Nlrg+ AjYgcoG6UvZNEQ+vRmlrat1LBqckd3TmeCsexiCp06kej8rwkY4uP9Zmwic1f+OB1dpCj13kOuCB TePzmfj36g84PQ1IK0yaz5bmuAIcoblYx3IIBeB/8dyLI+ZthgBJ01Up1Gkr2xkz1VuXCkXIFEEw W1BvZuO5fCq2ks071oZzosyZBfaAkJkv5LlnShMTjC4xEwE8PvpaTsU7z/h0whC8JvqtQYmqJpEL Ql2vb3jhEHjCwXS0pnCy4afnpoHRZglD77bgU3WQ2X8PFbG0VLXdg8xyamMkVVtm9Ga4yO/cVwnt D8sEFP41NcpNx5k9177ZUUcgw7fWAij2MzTW6yoPH/6RLx9gW+iM2V6ueNmMobrf5cu81L0jNWpT QhkJG0zg30hXavpmTqixrKFR/M4zXn+zqJwEQj2oVzb571vBV5pQ7weyF1vKm1Vk57d6XEQPU1BS FYwVzkY0/xV7NfFIsxyGhiluqfSeQ7sSEsMbLtW0XX1Zm/QNBWqBhUSplNHpJzgTwvCN2xS6VNCQ JDC4f8YAh1a8iSwEziqCy+ANG4WjwQ5j3hjbsxIp8GCAUapf5LPwb2qd2pvQqVsJvlvU4CF0Z9zx Ac9TnbzAIXah/9lq8oCJVYib8hnILTxbmKamM1Oq+E7CFsCdCsdcd5XN9muQVnVkJMJ6bC1szkRh LG0iMVtc8MZwQ6YOzkUvlHNd/OeCsvObPtO/BAhwUtm/DCuwKyVxZ4ErXNXNzBWwl/21z5YrNGge odX6XOgnMCJgoPWkZXzKkQh+LbTNDdz0VHAyyosH26ndYLPRY9oblAmsO2MMNWzkDaixIbeiZI3P Fz20j/5Qu69MMz3PwmaNsC4rlJkqON0GPiwIRdtIcGi8ce5ibltZbLooT/ldBblOh9GWXZjUyep5 KHcWvzlRCutVzfX6wEIIm2tmZQeqYq63rUl4RS9MSmjwDBTItuFyUfNiLzcf25cURGaSNyr3Ynaf VZq+aycaWYdy4zrpAVSPC0Cbptqqfs87uafafBxGoRbDEBl3FKkrcmGf7CTsZUH5zfFRieaA+27Z zhQBGSjijc/MBGZKNI5e0Y1DmYZmnr5BJUKBqM92/HpzLT6GuKvCHQ7XHQQIEU+6dt/krfrNY98Y 6AJeBPcdIJkyKghB27dqOmyvnqd2r88bNOPa+wMRSRwRO4dTAq7T9IihB6W0ak/ExhOmsRzwn/3F Tfh9PlGtfENMyu5LjuH6GRwntfFICHMF+gQtwgrDsx42l2Y6KIMk7NM9Wu3VNYUR+kIxFcyn3Rq4 ycojuL1rUx29wcO7b8wtR1SIiVvWsRchEewrDkCHv3E+zJENWkhT2Y/3Z/cJYPvVr5CI70sfZJXg tHfIbcgTJ7dugQlPdyapDtn1R8B1XOsTkoV6xh6AzUbYVI7/nqLAGn4RS1/TLStOuOoVV3SgggGT Veb76sh1IzrT4FOQwJJFsftVmDj/PtBVV4i7G8FGV/xfLDmIPolKi+fJJ93uKV8YHEQqPnmcWRUn DBgkrir0GWpeeUbrHkJ0/yZ2pzYo00AxGhJnQPAXGjlBQ7S+QvHKkCYQw492++nbMP/PMutxJiX3 opC38QmXei5tfns85SJo4FSOjlYq9gWy85SVUKdoJ//SOQTRo3gzNkoeU81mt9Fm1GuVvRuxJ2M4 CtnvlQihhEqvO9hdx4O3yf4EtlDxNh9z/bTJDiX0WUY0daW/drOku/+LlXho2liuok6/3wIVwFR2 5lt4UF70eqgql25Kjut1ivgFjdLONAw8gvzebPat87+FyhkkvoHBSIn6LkXdPSzWhc1PZDMwz2g4 9INFvyuHpPhsw3ZehP34QiTPjlsu7WKqxPAE+Q5LKf4ehCj9DFYskges1RDhk7uGyx/4jldmP4WJ pHcBCciqXR2+zABKH2pOufveAxr8FbE2HUEA5zZjVjR6FQmZ8KWNjZS6Ul505AiNBftkWI4iFZhl vpYxjOQ0yjtt5CAfQYy4ftCw7VwkfZT0EqkLg6cARcypldHazv7Rhls98aMpB088BGL6o74XzlTe UHJNYSkvkvy9wu8wWmUVUnEovTx6sM9mdC3fKvAz8OXDMSBsem+vJWbVwWKF7EJXSeaoXHOexbXh LGCQVlzbaYQWwbAi3pzXoNQY0fqSvWUkZZatNG/fYB3LQQJUIMa162kn5/XfCphybI5DxGGDdWkN qv2ZFQTQg9mns8IMzgMaj6pGQ2j5pjBxR7Z6vKcqgOuCy40cU2Tgry1hMi2hiLnX4FV7/pVtrUat 76SU+Dy3Ng2kKq3dEEO2g7uug7Fwqm3M43615Sl8ZNSJaR49rDpg0AGNq2VOkGqpckmL18e20Log HjUyUy/eTmChCJA4Ho4h3l66GdYT/KTNMLPHHZISVnW1pCLrsoanZNa/c1NUPERO1M7BW+ZBU1CM Ay7dXe6tbuwTPwCGAVcoS7zSFL93xvyJMFzoS/uET+e1EKCzKC0jYWGJQQUSKuVDj41sQBbvWvnk z1FcTpWjcewf/ciDxdLSAHDI0AfuBLwp/BPR+2qyTPhaZIQ33ZRN5IixpwZX3etQSuAflMFIxAk8 tzk0YrunREhl/sFOL6ba6VAaOwodfoThPnrmZwIuz7fjH2qjSP+UIBjEdAZ0/hUq2bEVLiUE2XuC PDu6uEstjOIDgZtO9Yl+AiQm82Xdx0RzNcX/AwVB5iUX/pe4lCKr546CJEm+xA+eELohtZ2UVTLk KF9q2Va5l6p1kCgsWVeAnG6i3KxyzR1uBcbyMOjQT76fwiv5gNygm0JK1PVfFoYJ3h3kR0Y1ogML 078UGBTDFEWAUBXbsK5HCI+ummLiKT1GpoHELTLCFZRTyTq2JpTjhEkDwuLaKXCaFxAVSJE0KtQj Bvy2rXqcNa0LVEKiV4JBkWbfENz35GvJPubXBVZsy+HbLWC9fh0bMOUorlzKSTuctjTCzIFWL0Ol cNJhxy7nwVl+vjV1Kf/bKn3db1nFOT4lYXqty6MKdCAW57UMyyeIZ/+9P9DCkcYM9B+tosGGEHjn SvzkJZMbTF6ViSMyyEG4oekLZUbHQMHT4Ah1fvObrxlFK2JavQIOCsltmer/TXzKuXY69GwAserd qHNVESJVzLn9OnYwpO16oDZ4DU0lirWxlG07mDvFY/KRN2Wg/MglsF4eSjROGHq/LJ4WOSdZpe7a mEoiH4W1XS5o0a0HXAnK5wdaz14uVV59EfdXXm7kqMuj7o60MwpkVk/FU0zc8M1E4Sk+ejSwzseg 9Y3u0kF2fCaNreRaZLexWBXOekeQYyHeXv8VeaoLTM84Fk0Rh7sCd/ATcFtXpApzj27fu9RzkKiI qj89/3BBPhr8Jl+u4UfraA9c78DjYriRFIMg7l1GmGli8gRr6NjPbZE6yR0GgstmYm7VEMtOLZe8 qfxy3UqOdp7ZVb6R3s/aJdsM+UZ7qPt9qEdL+mho9yOgfuU6V24acubo16LPhwlWzZQLj6H5F9X1 reHgp3pQSL7ga81YKdpfxBxkmZXphRhBnlpCo7KNUtL6HbjjPOJNwQYRkzwZQO8c6Rz765jGCoe/ p8Ry6Dj8DPDV4OmH7vBwfBpgoo3TbtbGNGjQlBWf/jU0L4hIWCIeePVuT4r4uT68Oex7uxW6QnSN QAn3zPxUiQs6dtNHniu4FcVIUxjGzI/Eff8QcLrRGZmu0AZWkXrO391pluMXgfCysnJVOVCew4// IJPJlowOba/ZiNWsvSphzBCHlamw4m+EK/aPQpwyrCckJv/LogiopkoICuE+RkW/R7t1144I4us5 ehzCwGhSwPJNuaD/0p3U/2xhywL3Y8ejnHEZilzqHat5AIxgKPCH1lLGuzNde+R6cV1qIuQpwLIX /eonFevXwL6efPceItpPWOxD3K3ykOZ43FF/YunUmyeqVWUWYd4Lt65KsInPaPYP2rORbA0yK3Nw A4Y0l07u/WM6xnpnsvODyRDKDfzhvTyBlNUsZwn0kYIgOOrjRQe6WUbwFYKlkyve+dj96myTINx8 bHZnC9h1/Pxqh68dOBFb7nKVfpe9Ny7i81KZUYq13Z4qGJxNVA0l7PqaEJtZNkmsJ3wx+94pCh6d Wu7bqXKMw8rNOwzWisXT6neIZqkJmnf5beCGPFPdvdZwQazMSeTKI+cMZyQTNxvLq2Az+s7CTpV2 2EPr3QMthxrlBck/8f4628aJ3PJKB7mtx4+gN60sKz0iQq/NB/vFXQqlgLQsEu9EN+KEd2h6/Du3 DDKMfKd8QbaVxXZOf/02cgWg1q+GLc71kynF6mROG/lKjhjXNI1M8bYUsYyFuYs6WTza4DRn12xs uhU35uAbGiafTNgY8pgf3JBiN6jN7Q6fHBvI3o49Jag3VaJSVA1U1ILHEMgJ7tyI1JCN/ZNk73Ar xwSNUFeTStEgZQKzskNq0U8Ur7UM+iU90UqFL4qXQ51hJ5Vheuuffhy6l62Qxfpga2rASInm2KCf j3sSd0pF2HPsHautToDX8k9sk+ULSIBPNhUdyMPHvi4jPgAEuAglcXa4Axgrfa6TspF20APspFl+ hnQY9bNy2UklNx2dpmACR6F44ubhQh5/A0RrQ0ZbaeAc+iLHmRf03fs81PGRTMcJtagg4Evfm71Q L7IoDIjfubFi4tjjAH/T/mc2C1Wc6/CkO93V+WywTcnsbNt1yuucFyRqLbQuBHIbf44Z3wHj/TAv QWmpR/BSQGBwPb5foi3nKs4cn9SuEGsyQoxHwKjM3o4XJZkKGmh1XdblOGFa26u5UVCI0UR8whDZ EbKUPbxotJLygSE4gdD4IGJpHuXmfLUQ1IAnLI/Qz1kwknMi0NypTM6BozvbxrR60j82q8H+jeBa AJLJox/cqOIvx1wR5coA1Td6C6ig7yjldwXqbhYJ1/Na8YZFQWeK4tM76mF4nuqTUV7t9yinzqqf cHsPf1CuTpRIiSkBDB+I/3/s1zXge4VvUkVqZ8i3vEONVorJElV5C9xSDFID8F6LVcn13yX2P2O1 nBynTMe0U65mHZYpw8xXSBimpKWtnCT4/xo4Ae8cb3LzLzLHxCuW/e2roI3dADI505Bxpnh0Ss6e b8FafKiaTlt5HbFg2/CN54zagdFOxOBMdIrBYj4tlnAuKpEglyFUq1fYw57yopkkWSYPX4x9ShEg dRFguAF0OdYDy8w9ku9jvGx+pb5ByxmrvaUEnMe5DvXJWPdkRi6f7xYGBdhyVsng6dToaMCDdpTE pVpGa/bN7RYwYS/qRUefSDHOTUvPWrCJqtgB8SYsZaBtJkWNvzhoFzqTxm1g+GZ4MdsZ5BJqAK7s IGCubPmHcQUm7oBH9jlz/sUD3EXfVr5wXNYAaM0rk4ZJFwG3MThEWN/X9R6HQXzuWOM9DUCaFTVh qcE94PzOnpyA2vQ1xQyunv2B2LIHirrCFp+/R5hUAA9EcK8F3JC1mmx1L/tLtV8z0wY/nQp32pee sSeXBdnPNdWintwOp8LrB9hSHUrh2S4UmMlWvdZ71Pl1e0H+6QEoaWbBECk16VLYne6CyXmdDLWo flb9Qc0uWAMlwEtE+hG1xKgVnXs4MgIKLUubi499+DQp7/Zyj2oZkTeRgSAlz8UfzQ92kwVvhjFc 3CB2O4zdZa7RSCtTERueRduFIMDJ3QVVtT509OsQKs2Du7TBuVU2z2uIFIigf9vB/ijnY/vncJJq Pddp9vF2WKOsIavh1hxq4j6Q4gjDAYOEYL+WvhwzcTfCmF3BbMQ5o0ORBcPx358hPV23qmar4WqA a6YJg7Up6685hkS1Qz6W698xglHRRy+oJ4fB2ij8p3Eg7OK6CRK7T+y71j+93JTC32OI7Xb0UJ9U 3WneWZekucf5dE7Wxr1FVx44o7T/txSD7JjBiWoJPBw/6aqQBToeUygvSf4pXWRn+TNp7Ixbyulx 3AdMFWeAdwfmwQ19LJGItwp8r33BLFZNSHDzBLtXhHoDtwH/SbYeb+MCqm6zNYDkFR19tOw1SwWn gjXarxENXf8gobOonfmHDaeXVv34T8jr/0Si8b6WKje8lMSJCcaYVN4DMepOoMnDHvYv+A3FJKMX 8llsY16wdLYlcGPzooByBGa7tHdgya2obK3U7luUkHSrV9reD4n4gdeNJVap8TwPLxNRTIAB+/dl RDTk5ex39hRHiKRCy5xKKB2KpgAIPjygLnKB+jYJrQlMaz7wmQk1AT7IRZyG4FpKL2Ql6G51TTUy ffM6PO8ww13qNtXjnrVhIXaXhPVl/5Tvy3CJpxTjlZRPqMu7cU0QhqabaLMSNUZrLr6UUKItXgcV 0m61dxwMPNz7jF1eyhdNw0job4OnOiHftJZdOkY9uEmhy6l2o7P1RTXEJmtfLj+g5rfP3fCF4/Ty Nf+13/89RbtKEBhGdgzdiAn0FG/dBHkDpl9kCRBT3MM9r8Zl6ZjzCWHFbVK22UcU6evPZJeapPCf h380UOy2VgriD0R/Br9qe7G/JDXC8SbjHK/3xeMnrSF2v4Sg1cOxQ8ELAIvEXMjLs9qXmWtlK2YL 2uWjp5k+mwrTBckmCsY5w0muRGRA+AY0GwMquXdZmKlFYBLaFhmvmBlW3GIpLVYZnEbYxhqu9U6o /MGdTcKJeh679HdFWIF22Ng4vbOW6csSHGJgu5K2/Bs3KfWZyp0c5vvwaIUVexaFckWM1ppOhoMJ V0bH5nxRftnFx0PYUbefvTj9bYCDznvB0UHtW6Pw5HoLMXpHWPzlq4Zet5k3Vgw7HX8imMYHBKbS RZv1AWHRRb6CfDXeJyaFh9sDFxtfbRyTiAPqmetXhqFzIIKe1to+WMRD2dBMotiSkQDMYPZFr3MD 9aFdePId4J99S8or0AN2JvjkWeJBPx/ag+dBkp2LkR+hvBAppUotu11DU34KYc4HFyid/uVZFb8U CiWqP1WdcpR2S3T7BpvqDAEy1+Hpt5RQCvCdPB5GHkQ71iHImTaA2ls8VisshxlLRajeXMWNoz75 bcXtVJ66GuuKwEw1rHh3z1W5kYVsYCQvTMPefd7J0pR1eVFwsXU/N1mQcSi0sLQAepdVd9eMt7bC 41RQBLSMB5n9Kj18xdvRZajQLXK+XuyBJhWMp0ZNzjs7R2ZYkeQBUQtFwi2ll+OEnLjtKARmh77M 4tFlJZpDyd7vvVspz1nLW+/aLvlj+Umd/+BgkcBDYWpdSg47Xb+umgv6+CjYdiUG41JWEVQq1SpI 2e3pFeEIvB43dSCw7GiHaPYANxtMU8p0HzIeBkZCFAVKFSfzoog0/fKkSDOuyMEDWYm+EkwvcYux C3FIXbOBrz2aEj+NXY7+DgBCQLxbweQsDHAJ9XN6rZcixXp1ZizPfe4QZNlIHS8iMsj9Q1HVR1ZS JLybh9/GTSkY0Pe8xRpmweC5MH+CQJvcdhJCqt1USKaJdFVJDAMMyhnpouNDNpoQ3k6CKMYMJZqi gSDZY4FsqgNAUubG9KZ27HMDsG1jhgIw1bPUf2D5mZFMswKM1/ylH+iCHkdxAp2oOSuQWD/1oj/q BD33hyY66Wx2UkJBd4BlW8HphF6tBdcqooeS/fJQTo4GMyDMO+uz2QaQJI7d+BqlRCog7Z693IK1 CWr4aqKf/K5p7+fKdK/WPQ4PLT0scRaGDPZSL5H3kw0MsmEeNHXj7t00NFi0jsIJvsoBpNWJHUjH 73GCjpMyL8AvLQMZDjpkP1anXWLxClZw8QLCwNM+fweP68DGINqnuDHYmFwomL/LvtnJ7emNuud3 Zsp4mmrjUuyjT4QtdkHIPEJoJL5exMU+uS1ZkVje05kRP2A2laPa69pZxDyPBjE7Znr1ehAzPTyM pBsXhUHGT1sDYg6zM9sqP5ssAvLwDyrCLwFwryWCIiK4NXCKpn4VZGrudcco39LXtsysuzAr4iqS Y2fkEYoBVJcXOLEnav1Aau2rhbJBmDPOy8LLgw3jxQZh/lnNbLiuH8c0/UMXWBubVU66fId8U2wD ml9+2/IAGxXvPE8lecCLEqO5mkEx/XGFnm/sEL10WnQ6lrY9wxfXdsvpwia66GatEzaQKJ4rEcZM sAVsk4KyVEAhsWBn8+GZYJy5X9bPyFB/5U+groQfN4I70DhrGTxB+wSQ13YQDCP0z+z4/qiBxL6J bd3vOPn0h4HYLGP9zRZerB6qwuSYL3x/gQz6x+ZbU8m6nrQjDjCu2QCo1j4M2q6FK1cQAFiP+QEX 1vVNvLnodFLcgOtDQPZBmr0y33LDYURq7aER8yX7qzsLR7rn9LLRduJK8ArPmC95u+p3otib0CPF FV1He6wnWqpqwTtSucLAchMoDusZV5cVC2YiyE3+Vrn3r5yoRlZaR3fgVh9BJMwXFnEJKoQICYAo 5dFojmm2S9KGD4K6txsKNBzMDWiWmwr5HfftthfJH9Bt83BZ8HKA/8gh6u11eDyCnKOrikps0iG8 IBi3zMeqLqbTH8QaeC8TtYhxbU5Zh1KY4THjoI+5ZI1W6XMzLxfj23d3J/pUgLpMFBKL7IAmqEVD hQeEtxeSFWzIdq5wF0tqjfDZlSsOm2z+DjGZjqyR1ghzMMLUkZgKVzaP5uv2AhU5o69dCPi58YY2 5KJycfYE+KsAwxVT5u+Sd0mTA+8qGaTROGfV9ZYSMQ7zxezSMzN0TPyWHrl4QhvMpbP/6+lYQvcB BH1rxOzWaDZIe5a6QodnWDxcDJ+8oZYuIefBI2XZUbpAlg0XDjfskTTX9kD6DjP+GIsgaqgC35KE /w2Bs2/GsiYViCzo7gJMZkiOsOKUAn9eWM1aHD8xhDB85do7udgkGXaAYtVDTfo9bij3+SDHHbdo xQDfqhyF2V/3Fs2nxAXPhA323i8IygtkXnIAsmi451fesQCJ/UKPNfIxE4Xf8Xa1Nzxpd6oRMIBo wuhSjeDoBitkjZBEgI8dMaPjD5q+xGE8RJDFgpwibYnPwoO2rK/bOASk1UqnVDxkZbsT4j+ks9uW Vq1z3g+8cAevAGMeeHmZlzQ0HZwDKq3QxEcGo8zGwzsMtMlQMxpP/bi5GwxzgIBcdv8+/yBvqqk+ pJL8OXk2KQ6/3EQ4ZcsDvgp6Nxy1NiKHMjiE5j8CcBlyNp6/xLPv6hv2KKvnjJ9vbdBdw3ZgDJCp ewoTMDfFbAPJNve3GfVDZ5TFskU59Uz4lYG4j/eHpP0VxTAFpTvDhqG98uFTLAtChDsBj+Rrhe6c cFI1mt5elW2Aky2tENFOz3bHfjmkchvou2WQ8siy6fcR5zmkFBN2kcneDs3LBSQR/YWexzvYHEMd 8V6kqwH40ZFMFIKzPvp4xRhXzbzn5W5kTfmUBBHbcyouUeADydkTKYoBa1OpLuaSnTqxhkU4YTer M8Bt8QjBWBG5v4bavHnfsXJSY28M9fGy4it5W2dBFMNWNL1thTQ2RH5xz5HLUAj8x5zFWxdH2Tpj r7CnhKoTyjMeSzcTtgoCAz82ZTP1cL4l5DWOe7gHo8EMIQ6ePBsKRJDo4HYSTJAqlr8yiaIhXmQ+ C1eFARa8mSh3sxYnYYV72ecVL64dLfHPD5YT7f7eqawGrt/VvoqG6tMdVxRElCVcM7yz/03a7G5m cROv0l3Uvm++I1mQr3NByMKw9/AO78BmDWqh0C7ZAKs3z8pkylHa2DO3Zye1/QWd7IyQV24Y3k3U 3CiBxa8uJbU2IDLPM5+xVpTfDz3FA8XNJiUaxvxG57HjRtwzMreyD7xILajTRiYQmJX4CBav9uts U9WkJyi1KKl/uozIHmyxeWE4XaDa3J3E3Amdo3XCPfDkKGMrHfcXobYuRkI3cme/E+9nm+IeiNrr RjIxM/hGbsJuXW5LDPSWvzrJmZaBTP+SjQlhqbwBifYuPk2+3Cc6QinshDkGFPhLsTNrYykzhL+W jw6gwspxIAK5lBkrT6JCOqs0z/lXA+496RjN52aoubAcFvF04sBVw520aRDz6U+rrVgmQsBONzIP 09od+UUQ8mWZZTJQ46wLpgrRNLsNfJxFNXH9uf/ajwVOBTf++sWdM37rrtDklb5WvKbF4rmjQcyS SpoPGRe22mkmdkn2i+gtJ/4ciaEY2Bd1vZaqELw6vLisVFcf8tqIEfRufPl867N+qNd8L38kJtrT Mav+481MbgFiKcTpjj9MJHBuA2B01Qqp7loDH9Sf+GgCwHCEjJlSleUnxXZBug5M1A4WtJsuye1q sudNhnqc8ZYiGU5rB0WHbArY5kcY+Ss5wjjICB5bHYLVu8wgQmboBEPYTyqwz3xxmcj95jGQ6iOn FlElm1nWW/iHsgYGIHIo5jQDkyvXyOko52oLb6ZDH/FPgCFTmxYjJwwK0c+vXzCv8X/bgt7l36Qo cppnq9B0HOi1PdQhhVwk7TkvutYmbqWm58/bBEHyBdZoDTkzZe1hjv1cKX8DXxZQCQivAq1eNWy8 IrkViIiqrO/idTKXADom9E8JBWrgSqji52lUNb9oxwRQxxWrZjtQnVV1brUeuhBVsEwminQ0RXGz Y2gqOJ2qg7ZEtct9nbUn1UAVfEPfpP2LOG4l7DllejFRtVIBWWJMAI4sNkgAprKygo2EhsFmL0So l91yUtqmFt1hxIT4LP3OES44NUG+B/XIRnz6qjpr9nA3hpHcHDkVpPCJbQLwDS9zlGCkqG3KUzIX 0BcQCjRErR45KxGFmjTp6+khWLyxApZ5ZqJq2wgZaQzVv4iGcz9tH32fK98yoHD5Hqy8zkxG9AfM Lmoju/m5ZrlvoaJLTW1gXGH8/QmW/DyAzze2q0HUG+zkHQKm3YSZ9aRXlpF7Yowdi43ePYCBjfU9 h8UeqVsZTLdFrNrxlG6FiifSWURG7L5CaD6sw7KiEJmglhzYYjMqwieBOA1dwymHPcgCQi+LlPVn LvlriARiipxSq62IMrGpS3GiW7K1f8qZqfcjIkgNQ+cmKWlUK9W8D5a484wH8tGMZQYxX/LvS3nk rp7KALUVbCJbgD9f669hZYSklM+YeXxRaL+DTnNaLSqjSDJIhLkWKstfemLelC4jSKoKK8cf/v+H IXFmeGkD+W5Msm26GIkFm+Hubbyo2M4ejps9Abvk3lRBJZwq8g9MqfHOuuvVUJbaZOUf4jvMoKSq 15rL9rQgS9jqV/Su4b1eLwD01NIf5H0c/FAALJ93Vo9JT2xkOGN/YmH9hXFMla8fSfJAF2WSynKj mpFCqCgxE/696iYFyZ2sL97z2UPJfWHxRIBtPeojkswyWzGQStnFMET+6E2nUfA9GwVwvl+MCW/V Uyylh1sfwBEE+ti/Gb9OLiuz10U6mEvz9R1kRzM3iUXUoCy3Knx1mHBwOw7GXUwvz0adYb96e0xn d3GxSEP0K3gTp/AbDo5koFwzNcbaUXwNIH1p/sxcFj613bZj2Ev1AV/P7AWoA3QlfsnVa3i2HjQy qZTwmeuv9jQL/bkds3ICzmjnWqdS9eV8WUNoB1sUOCBoEzX3b2BN2n+DPMe7Tb5+JaTnOu83LUrn IVH+q/txFMOQ6r31cL1mpOBlI/JcuevT7DENk78ZMgPBhKcRW9KJrjHkalbroErvox1Z4BJxKhzC Vs/I7oOMzS72ggeIVDpPQjX2+Eax6kdwHDJKVT989Xq9UBY21WKb2t+jX9qWJXbRX1xpCt7/KhbW nucvqypBAppGKrr5bSFZ43DMotCUcIjNQU+iotoaYECuo6Ktf304aNN6FldtOpO2fgoOGnBL4OpX RSqb9vE2qB4w2P2dhLipnwf50AkxrVlWL+lsr9PFstOouavuB/YAhkGJmY7e2FKF6prHTZHmwgu7 eS3/bGCcqrcvS7k7V2m2Er2bF1ZqDiyCsJgymHeEllPWGDo6s3b9wS7vXHc1FMP9aLpbXWXRddSI Yu0vWnXqftrRzWSawbzRmHGJK8dVH42v5WMNX6thk+waZfELj3le5KKxJNz5T3ZfutmtP+lzWscP PK9hlN5hrwN4gMxj/Y20hl8kzIC38Aey+bKa9MS23Kfnyi41zvkgeFT7ZdrgeJZQVFGDHbToR09V tcwT7QcynDTMGRD6Uj9MQKc3QMsU7exoUTSdTNC6h01EuADiM0b8vr9zuQcw0CGtKjBwxB/hYhCv SN90J/aLsRDBPlbXFz/OfEJ2Ae5hrVMyU87zQADUBK0hnUJg0QJfJcPiZZoCA46deFrglBD1s/Cp n6RxjWutTPDJ9RWHiWRcirFp7P3od4RYP2prldCXp3lxJyeAmvFiOOfjf0fUjJtt2BGDF76iju7p Bw/Wt0pnsFmVgD0TkqgtVnXmqMP44CYLs5E2SvHF1P2bfXXic5QcadgR4jNNXRKHiQA2K7oVcBtD 3IdWe1+0N/iSviIXxXCSN662X7QmLu/BA/JsVYPS0aRuEt35rCRMmuNjgti+5g2gYw1KHmk/7339 9Ewz2Wjx6WHsZRcPo9puuSdE2VDxen7WZ84J373vweRJe1SMZ/sSIE/imDr7AeqKgasEfpdCBCBm kFBC7A2hq6SnNg7PUYrBvdCJJsB+H1kZvynpasYOLr25/tfhizfvWhn+e+JQ3u+zulIl8AJPAfpf qcpJUI7c01fnpdLIlyEwuEfcum8U4NTIxD3kGExa1lWjtqylYEGYTMJGByJRjUY2u/SB+dfgV4g7 kCcTqSGjyUq7/0x6Bw1FNGSBmoEwP20w6UNeGvvPrH+H/yNNUkFfw0R+1bdc4Bo3KOJZZk2OixJO XT3gTM6+MTPhw8GKYiAjmfhYdbiaUkW1hS+3+vagK8mnHB9XLSknHA4zz6vUzZsYIZuy/pnM0ex7 PMvin1d3+J8cnapXhaXHFMy9W1n2WrjzAydrMm+r30oQwnNcODXYK0SfDI2fcYiMK13vzFva+m5f L5PG3KK3TsSQXiuzC90hpwrjh7puIRXWExvuoBbFjFvLhH8r16e3L3eoBqEo/TZh0LeS2HfMyKUA bwMmgs/0Y7WlM5qAGm9QfGCJnTgvaiqeUFzJI3FWojzINqxKGDy8/GtfU3nFMaX04/jlpe+atlQx 1nY6EJjTaRFVTKJU8JNr+3AJr1nLDjaFphMpMf1lL5rgLs9dbXsIiZmUnWvgz9AzFC8u8JT1rgqN C4N7mImSITEJEta8OTqV/yto5bQ+4bFOR1EX/Xzj/fb0ILH6gQBM6ICXA8Ftzyz6sLG7vQxPUjF8 z4gEd9K3WivLM7JwodwxAL4ZMKDsrbEzd7170wLikvDq6Fm0wjT78qRz51yGKWvBqqocSVtI/P7r qNrpF+7ZEaLPksELvGBzchTkV5g3lSondnt6fxuOkXVFo7yozCuuIj/fHTcOZlf9LNzREMEGX8m0 SX+Itkgu6RR7a0Y+MIrnBW3oJayyJfjr/I8Z5LUol85Pf35KdgxZGo6bv5eBZ8F/vRCbiE9XyeRI NIrHCvo67lz526NLZQ3nAAFaFoWYNz/PL7D2c/tP277wbuHoGCQHQo4i1l/S8zK9D0OCZ+WIs/Au WBvQNf8U3f8gRV6Fu7JPgaMY5Blt/FI6K2lbBpA5YGdFO3wrmM+TtSOyPoq/B+TtaZ/pI60gp4cA nHzVF33WyThm/KAQORfT0FzX5goqBDfBHl3nhFUc6UJVPLCtBYIEUptx3dBvYPH/MjZ5NuY2TjcH 6guOSWo4kKrgB2GeSDd6uSOu+cXtZB713Qs+Y6Rd4etWjePTkBHNP2dot/8utTD/moqyg94Z3dnG 0JCSVuqpU9LxOZI0VesUOUwUbp3n/vy7+I+ADT93cduM0gwSujnkoh/oZ3APIdEuA3j1tKZl/lQh 9YTLkuWPZBceM67oYcA3Zq5xC87TYEM4DZuahvLalUTiBYEXaLwvJ32MPBWcjRZW4ZP+Oh2bbi23 Kim7D0JYdoySEACzAlPSD7IVOtm/BQxnuNWStPbF/XHjmIjVNTWiZkKGEDVcN3P1weM/OwJHldXa C4wndT8zZV/mzOsPx81iGtdMv2jkxCUTdSkQcw5KN1B7SXgEGjj+7xZzitRt6jChi/8WTcRB3roC 48PSLUsiwseNLzA34UljwAVrEWM4lTNVPzuldNUOgROgfWgp6e/0+jzf3r5UTeGRphwEhAe89iAn XGFVu9i0ltZj90x4cA11a3vcCSvRMJUI5CaVqsNAH6+CbfXSJs1LeVnt9E8XuqWnXZ9iuC7hm3sW Crh0q92nFviKNZQO3PNBqQvFkxkZ8LwWrislINj4rfKEn9dCID8KLvwYXAcEqnXAET8xAt4JqXC3 Rw2ACTTFcGCoKM2g3fYSd8VcYJ2r2Epyf1RM8MO+bB+tF51OBnGOSRhBtoDecdmeNI+UA7eRcGfN Pkq+92sGSdArq5yO/kAvDRNILTMqRg0YCrvvRiJwFb/tgKeHPkNer+6e8yHAsRpzSekhzXqz/2pu D5q+eX+0ZOYAcYj/S9l7tx3BK2bC3yle1a/WcidWPnpf+RKIftLpsIRNI0F8AdUeig58b3WEq9tu BEJu4A6iTPgu55iKThYidFvPoXKCMIeI1SoHHGNB89WgCAvY3LlkBusiIbS56CbKVuimHvznuv41 /+mVdSLEIMpkjRFuh/XZ4QvEmBp1INOjk30OmIg8e1BhmasqSRz7OkEbzO8xHEnHW4kXJFrg+dac MtFv2VFxxwMnwbamJLwscOHsl461rRQFfwpMD41YPdLZdKHm7M83A4EdjrUA+3UIf0KQJwq/aTwT Hhi74VADCFcjddvbjA2YXOppMUkZhUlyHDIjKnbHAhjTVJ+R6P3uvwuzGaCuDf2Bg0GO+SxXNuAL nR2FVUHGor8k/mP32xXWQF7pN/ILgoO+Bei5bSrZb5YaOxxtIf9fvNL4R4tHygm80ORcyLRCDQAd 7/RxxcqG1Cf2ZVObTs8LDwGCPdNTiMIk58mVuf3YYxjVDJWEhITDr8id2jIJP4M+mUjZzWR2Zq7M 5sxLSsOu4ruI71YMSeelWASOx6/0F+cP41JwLBiwrrBoKWSkiOHP5nB6x43E3bptMSFVo3LzpTOg LoV3iJZUCspK2swhHm/JAwlTSOoZcUAcxQhHKGuu2jakbqbqx7+E4lDt+YzQIW87MU9/pgHi0oH0 YRNShfRod5Pd/YnfDQqkH7xv+IyzuXVmopoz1tXAPIGEm6JjnWsSrECviycFI6jraMbfFDLnl7Ag 9WoMcz/Tnj9ROS0n6c6/2V99z0Yl7dBR0Bzeo7aff4iW4CtrXY3MeXBsXWEd3fVzaA7WAZssXefd 9uEL0V+GLukmgsSbbZ9A9i3+GD3h0o8A8Sk1rPMIFSEIGIZZ2MaWjHI9Y4ANlYb9s4N4h2VL3WCL qcmhGXMYsLuKQpV7LhP2KT+cWYsCF6rnAQ/KCt/JGqJc2+kXe8/6HzduKRCQv3bXI7XFcqChLHI4 YwevrHDLtioxrJ+Y3/xnlf/lfxLr7RJX/R0k18B0hkCmzHp7VYTfeN9GuSrQ8TtU4vMScsLn83zN X2bxxdcr9cvezA3uFIg87TSka/ny+4i5TVnI678AIeKFh4Ouu3MW9nc3c7THVMcx3ijwK8dofoWg q2j3kouebzzrnFPC1GJTnHQX/qOS2UU5b/9ppFx29gfAKbifnPb8iocj62Ch8muatFpPJBt/mXQ5 nz4jMxa8ofEOLW6pFWvZ7/4Tkv0lJEB/ECWVlAK3RK9OQb3gE3p2C9zNFGiDkMFs3WKlxBo8BNib r1nn+Co0QygSsBgxt3bT/aC27y4jVdstGfdwETa5+jhDeV9naEal+yi3IPZxab8Az1LgsP3JNNED X0kbvSB+p6IIhSrZMkuNEcv08Hmfk9s/FI/3iO6yXNd567PSxmm4Pqs6iTjPAqsUIUXG0EiysH3x 0EkFIXdJks2vPcv2ZIA3OatPzTDdCrLsyQpEAyCo6fRcywJYOP7bkwCn1ha02CsfFm58FBEswbTQ M/Ywv4YI2GqwbE/F1i9eo6U1FbQxOIHTzIqcYsVj4c7mCcCogDyZEeyEmw0Js0RLgeqlfqEDrLLN DNXvOVXKyQyxEIWw+OBdvG60cbokouDlGtXc3/pBr1iIjmFAK1wDsQZcW3dCjMVT/AsNa67qNoJX fVWZxEDr8aCXltn4Y7N8FXRchg51IGVGP7Mc+jJFh/fcuC2hsMwd+xajUk5H7U/ZLeA9AFmouwN7 n5h8VfP6WFTEMwb+rxdlop07Nx4iTLvUsKRU0o06zhpJ9D/UQgZbzbMG1XBhOSJqNl4+MkEwpgxU INHidaTSoFEYbZmQJCQkn1qkPvyYCpjpKMifxromUhY/EFgczE+66hj/l2leJMIGTonKCMWdZI8E TBM9gElvtiozTSnQv5Zb5n2uHy+M/kpzOqNlJCd3Rnr6qIyxPlprUcuR4ie8R3cfEninaJ5dYQ77 imk7BL6LPEKu0lAKenO7+BoSJ8Qacd2zv9Xu1HmxQXiv+6AmWu5LniVlWYOiaYa01WIJ8PbrpVhR +5QtOFur1Mz+KoxWl0erepQehmX2PRkPmSqhPSobaG4w0vjXFDPhoDDUBtQkQu8eBHWMWO3+WCFf 3rxH0WSDRUybRwb4C8KTx/bY74NdU0v6qWQ62rI5Szg+9GI1gBJzfuUhnB7Swua0Nceqx18QHxi2 821Co0CNK7VH+Ua909exYprNHXtOJ4CO6SooMhI6trZyrHd6HmTLIK3R6/BnK3r4k3aL2O5xAcLz SYZkdVng9qYv44LBFWqwoMO2N6y+r8hYKwmNEcbVhbeZ8T+U/QvVhT0+Ns7Bfj4rgmW2XJ/btCTu XjHUZI3dr0i0heMI2RMOsF25+cZtZgDLXLFuw/PnG1WRT7/cEBWy2V3EXwVngHr7uKcoFZM06+Ip vuy/E15/OtJsAFm5YIf2GW1IGUJmFqpFf/xSgpIo4vV0oSq5MvzAy3zZzSDCkEmmDPllGPpacDfU K6Vs4zE0+FdKpvyyMI7nCbVzGR25qcQE5EkppVkvzYjyFPuuPddlF7mDv50+OKV8DCCgRw1byF7X V/UWNp6sfNh5infrhWWq8ebQ9Ksu4+cxGCBpCpisIcLh1GGkzeH/fdeGpCno5dDWd2LY3sCOi/P2 IGATSfnxKMYBJPEoY31B+CVVdeAb0jT2kfoHLQrwuFVQXonLZt/eCh9lL3miR2bRNWP2GN2Oarm2 WLBOoZt0sLqEK/xCbj4litJxQhHbexFJ4QQucZPYfBe7P6AWorz00BggTQDDugDomBlxIHf3OdzH pBkkSYSLY+q1S3mLPD8k9dC1akfGIvWUmnyxHCdpPzQVL+4hA/S3i8TpZQC1sYiurqi/A+5l+sBH dr/u46Pv32DkJ6LsqKtMQmJip1/AEtQ4pPBHTFZbs+ZRvLNy8Md85YIqIhQFiwX1H/TVsJtuxMgx 8VR3W7zNRR8bP3W2+5XGXPJVd2DPHgKgoG6eQGz6dWqB+4F7cs9NFwrZfa9WmaDR8deFf6OLNOXG DVzIP8wOeoRYwHC0tSIBXEpRtCLW3vacHY2ofxOSEwJ0F22JIYDj7tZl0a3ayRzMxnnc6BfPbDRe TP2+H2jQ6mVkUbsjztKr7YAgyVo6DrNHQCvKAkI81AfbVu38xIOQIluf2u8jjhwKm2xtTXIoa2Iq jhvQHIqIyPA49IBzONDHzYUUe2rj5ej3z4/xDNAaydNzLhCOJfdbxFiH2WGStKhAh8ta6aVoYrgL JmIX5UJPFq4Z3pEWI0BkUiJ6P9H6pysiXlD2OQJ/kuZosDpt7fBtHyqKVWXdWRCc+rrEAZ+9dyrs gMzhvEnlKr39QRw5A/a5xHoBs14qH3w2rxOf+AsUyRLA2mDBsJlCO9DKgC4yXWJWFwacVvRhzDmq r3dOQ1A/WeUiaGZa3OJSqWwDGhe8WXBvIiQTr8UHrwgMkPPw9R2Wza3FwsbL8IZFsiYnQSbs05ob j8J6Ht3iE3Fa9MFFaJTU+ksGpazMOQeKqXUi3/qUHitOtUZ+IpWZFt+ZDdInUcv3fpG5IjK4CEKU a+/UOsDLBkQ773ycNWBkkjCgOgEOootoFk4MTshdKT0zuDjBPUmHSNJoZ2XRu9Lf4vwQ9DSMJk9W Ll+EquLsjeCN59JJOhU9xdThLtaCvhgzR7Y7FBPKhWPWuGCgpIfnF13l/W4xEFnVn/zkasm+rd/1 Nqs6xb0fs4fdTlokl/FjWJeZX4TA3QuIFUTeYQOEPQfBeW9fL4r0An5jO/trkv+VCW8F1pF1wNI1 ivAwMZrMP8a2yscQqTJ9G192sktPvn/yn57dW8AeI0kcaBnqCkkz7XbCD6kpZjwJYLudHxPcrSty VKY1aAsljbZQZnfNg6atzyRPz0bJC0jDi7z9cd0Nquyt5zxTSaWVjjkNiIv0ZoDwflP/kQ3gL67I ReqOspI5KEnJGRC22v8eUb8C6Y0q0X1J8qgdebK7c/dunuGh6eIUK5+XoRLPNwnXI4MkwIH1Nq2P efx/tt82bT0UeGDqEe5coP+wf0JzaczDruIU7yMr/+iX81S60ITG9ts1QtTWggQo11SxAHSw/fU4 L4258CYuzMnx14IAOKSU0pklDZCadIC64kqdyHR2B95sTN4YTgMTEEGNP+YWc3HtSD4ENwQLrBTF QO/fEssfIE3puexT+GXLFQc6llb4Hna3wKH8PsMzQc0hoGk/pgFsywEalBkkTg46gxSZzh3vPfEu jEiiSq3yLhWEkDHwZDq43OnDZA5Ok/3dIOdpPv/Oky6WjzDPJGnB6mypqZXOqNY+MVT5ySFbE/3H 39BhXEUF8HAfY3klNlz3OeBZmtuArI7qo74ZoHNBTzdN9Ie96G9b8/qdJGsbCTGCVoOiVrqjkc9e 11ff34DuYC+4q5y/n4YcHzbnBvBSvhg9TCc1AV9tMqIUuIOsGtZ3o4cmUrEiZIBZgG5qcujC2i2Z E/r9CNFKdinltktJtm+XDOQVRjrYzfqa7I6ymgJQdV7P2YyL0yqa6PYL/lpxvyxaps1tKw/BZaCu Vh3OefBPIqOHE8YCElQuUtrx6E1UXMh7Yh2TOWk0FFEnPSyYG3vzbUaGQxYPBU/lEOtqafa6wXZ4 pGVl5JB3v6EpgzBNUcct9DUuCvaE7HLiwczKzVc0QraZeJo01gcB97rddaH9UTyaqwEml9Y4YeyE W1tzDxq6lTMubshWCazTCrvhzQAyNOu9wK7ZKFiIx9VJ8lEyAN1aF4B5kNkSzP67k2XXP1SvTN6m wwfVSoarSHG1RuMVdu5OanuS9d5PQM3dm7tZbZfXVwbA8nWSasvOfN2LEjTfijqbwuwHduVW/m8f b7hwCWUGlq8wL3oiXw9qa9g7IFEmTve0U5OqxozxoHkcBSLffEAksu/xPtVhTxd5KeNGNRR8n7fK T9Uu1RkMTnwUUC9jPa4tkchqVpuWEt8RCTOWuYIm13N9lIVNACcJWlF6tRqVE6R0Tu665Pg3CKmv wpn+mpEzIM1X1fYgsfiWa1gH3E+8VVER1QQjZm7v8qz2CJJCbJAWdifxxuw1nvvYKjWSgimkoD76 N3iYdBelTNijbixsV3hAEwPTTcNs86rcp7f7geSXZfuu3ZXYaeQL8pAQXaOIvuIlgywqXCIzO9iV oCLxMo/7F0yiY6IPKItc3qXd9ZELt+peJ1yXaTl6WJCqWcRfOaeQJngqPsN+LSWpNfXCmXQ+fLDw nmIxvZRapi5Yo55ccOEKjLnbIx22Avs4nMS4hxaE3tfQJ5x0qd+Jh2YYJYfuJM7gbA5a5xre/YIx 4TqTOhwqFmzQks6nhsa/6/7kS+FSNxJkGvIKlP2zTRcNNhCksJ2zGx8VJhEDdtyikgN7DnMyHh9N 5wO+/4OAf6unbStvZCYYC5Nh5OTbOahSja3pEr6dYWho/N2cuNEirblzVzvH8eRWGO2D2veB8MD1 xRht4w0qtXlbNc9TQ/ClVla+FiidVwpdc0VT0StU7d7Ec2LBVz/YJd8FuGOLZU2w5i3lUzuT9vd3 NhQif3GEKDVfzTQeDH7u1HoB40WnS1sgn+6QByUXHB8Pk0lPcJ3lSZmCbMiwrpeeNCmg7XF5vsrR o/Ireb+7a5i4SYt3qi4E5eK9z63U4uxqo3NdDog1nSvrf9k71T/YccOlVGzxVphx7kagshtViZFJ RmpKNpszSoQKu1ZY60ui5fBE7B/yVt0DZTykyg/YVvJu5gZkvsJcrctq1G7+NlJduegSatVLZRHZ YPKUkk6BOAXW6orFIl05KkCtiLTYmhLBDHJz2shZ4jNo3tepPmXFYVyM04dwLZeJXCfIokZYidNv agZeDOrO/MTwSnd2BSlDsfMVLB2aannaOlJOIBamFhk0ddOWCp/vSMQrSEQ2yi2BhMB/yzV9ZsFy jEXCns028U/0Za58F1rEFQ/EaWZnfzjVtl2K7JSstgVXM9+eH2iSQF/IpkZEM5PYwecCOyHltLUE UuQ8Mfl9i65jv0JuUGt7pMPNoVBSyIjdfLHzhEUt/H6IfoQDFWyGrYr9M5Twr2LCjhBq8LX935fC 2rwHvaqMmLpWjKjwYbMzGHurocKwLEBeMH3ci1rk+UlhIB2tYdX02FGIeltgSEc+7MZjFdivXydw GCPcQOjmM2Ff2BIJhg+lxLrBSl/A0V4v9jp81yCH1/ZBwAaPyYwRj01wjPzJGc3pNKdkearyODYY PRyKvwwAsHf6Oe3xGR+wEmaf8XFauAyPKK2KPajyti/wfn3uwbjmnxM2FqAAkfJ9pZRkFCPs5Uyw aDeax5DvSwpWusH8zywK7fjgrccQWAwfPSRIPUr5ywpwlN8JFR+ex2btvrGZmQw5jP8fyEXklQYz oHUeTxBqcXBHkUI3sfjFvulfNiITPojbdWlLNiAqo4C2mD7aa2UHPQeVqT35d0FxtytxQojzHQdQ kIAgwG3yFoZJRaOJ9TX/zHR2TcmHlXyJBt+nIUDQaGqpF6ssbzUhYi0ceoJpR+OEXCTmByvXb0tL rlczF+5w+d0CMm0ZNt17Ar4xKsuvK5ayE+8s1wklw1CHq5vNzuv/E1kKpa0LocSGj+pN039LInXc fE5I3kXBZ9WHE+MlVq/Dt+U46xUNuLtK+5MIVhExNb0wS/aR+B48QEQ1n5nB/o6f2RRxDhlerdTk v+TFmxm/LJekOwovoZHQ4Rxf561wU7Zg24THkm2PZtQhQdz2YV0IKFpWcMB6B1uVQZFj9RwBWmD2 SICgrQFZfjOYWmubQH2tVr79cpY07pBNGf5UzUD8lmSRkLaKc8kIAGWA7dJ6EJwkRj1ZvtE+PGjD pYzqDOsSlM1e9ib5zkkgS3PBaHFfsJALQAd04528+vX1vvctouxPM7t5ozMg2AMPxeNKHnx7ItYA RaVEzjjL0PNmdwQDJDkjyJT3yGeXLzLNJy2xW53AxRAmoAnh+LBl9R0s9dumECC3UEoNkJPmUCR/ PcwXlddDFQmN6VyAeJV/NfjFH82yvrCOc7KiUX5Mc7MHxMbdtZnQd0+G/mIrrQOk4mhpJyj6k7Sk jqO+q85x0RyNqM+bszCAlukL8V9WsIQEMQwyBsMOMyvuQtA10+pCBPEUUx8BDRHrEdWMP0E4Jhuv /3xV+QL3G15MC3/I/do/8OV4cLiNpDVTbjb5wwiYOIlFKWUZ2lgiHdLI5mJfqef97GA9iiVoVRK9 wJFuTbV5GIuyzVI577Bz7ZTQa6IdLqGXGyRSXxIJt1K/BQyD/cXkp/6GFaw/2YRSR+OaD7NIOcZc YxS67PyHKrxJhK969ggzgqZnxQVQrWDaAUiZofpcK/Y7n1MuHK8XTcuorDi7YbdQ1nD0OpC3xB5l LogAl1SjTWdrKO+64IvbqncuNOT0HXJlz7E9ibc6iN0R5EsTRXPdRBrJar1kUA26kWcAA7VWjKHr omYD5RdThJ+h+vgj4Za6RNnIRCaKpd1PqdDEZW8Y30Ve/OrgVFj3by7dTUbcM1SGeoSExmpQXExq 5wW/j9ylY7Lv02ab41UIpdVOK3P6YwK6RFu3mTZJG/Oe9KbAksui4lGljRi5ZgUgAGGmZlJii0oV oTL7mdBhqGNND/x/cAbAmGdY4uvupG4dUgn34jN1+7g3CIw55Vbkzd5jPT0SyYGIFumdnh/DUn7D izUQjhMRmsVeLSo1gujSbqbfe1gvzbLXFgPOfEm8eaNvM8aIlQqQfCys0mwlAno10fYrUS6UXhf2 irqPMtjyncQ76w4DN+aNaMZk5vtT1esfsRKbKkS3akYMiFwd/ptN48yXiXou+V6OLOnsndEOw+MC qDz8G/wUfaz2qoX7P5VMO/jOQEQvz5Ij6HSxzC2XW7FIqeNrho41qC8MZfzOlbQuo14mSQD3n7Zn wSguXKM4o60dZsla3w1g3cGYbVOHvDTyFWjue/g/3KXKoB7AjA+4xALuawC0GltCZufPNAUx4wnM 6ZbPmWYgfZr9naW1VFz3XgZ5qCRz2WLcbdZ2qSqwb5HuOu1QfFcSPc3owS4ffmf8QX4VHMB3aiji 5MbNjdkJTzjACnuH2aUEyFZJQI7VhLxsmBiDZmrqH+jyiaUq6yo+BMX7DClMy26zmNCXhlvWMzm6 3dvMeOgoiqkrdS8IIVG7Pds3jqJv6YwYKmIKkDCepIpYEV64J+hxMlAoT9SDv0U2ECqK0CqZKi9K EPGd/kmLQZXH45WH4UO2qWd/dkj4cyLTGMQCus50ytvB0t9DSWjTx/nfasOBaGcTzuXDGTvex3++ kdzJ5oYTFUqv3u2PoIB1XVIGQRFkwKHhaINanNe14tIjg028IVKPtXO46am/1mAMfli7g4oUC3oA Ve/16Qky45e+5wCuZvLf7yVE9SMZPl+iBA/QsK0wM0Q5CnhsuY8h49QchkiVm2D+tgnsJ7l3qN/c Q+RoIkGFnzqSGFTdA6mA7TdMaRLyaWsTirLL59wgYQ4AE1epBpzo2H4VdsZe2lFHe5AAH80WxY5u zcjurH1br/XbVjt5th/mL6BX/aYsMu7Ygcomn5+jq9RmL2hhCHg+Vv+lWHf3M21HK6cBlWtZkaH8 pmWuI3rmwvA13WcZFgjEuKM6xbKf4Flxsa0ndi7CdGoYVSq5MPIU1IfzpJHN6ZAEz4yuBpwvnto7 LLXgh/uR2dtUspqTv59C1Sb1hPQPrEGxdXs5GRzuBHEzDYOIejiSx1J8VDJ4dnOgc4QXCK+LwdoN eeraISto60NwSmjHuiQtA4GRh7tsvZv15ZDoYC1SMNPsyRzfIlq75cus8ve3XMVcokJU27R0767d ScLX81BUVCliXvROINrzabeO+EDiTcyIfa8TrsrtBu/QvEAvlHAmdViNaXyEhSs1dXd3UPh0aarK ht2bwYrEHtZZo8h7p5INR2XAws/VqhXodLbSaUhl5hg84hHj5HbAAbzohpUaLUjUJStRqQqECyUi GLrPqH6Bget41yi/ApvvBsy9iWhEIGCnbyXj7kYKSl1kAFzVKyzgfM56gNjk9iK8rAthF+5WdWn8 konkmgJXqoL0VbQEjWGqTK3uLDizImAgEhw8odyCS1HtwOgb/K+xJDLcyYt9G+tQHQMaA+tMiq0I CvWOXEahm2Giz4XzskUIGx2Y6WxfAdfeRcoMc6O07bmCIKHDu6X0JJ1dP3Qh+C96Ht+N+69oPFy9 TbGstnvuEsBCiThzM6rFtdigus8YfP4aqmDhpySJ8bWltcTTdU6xxZWCtP5enlRBL9Wdu1+gmk2A 9rlid07woTmmFEXH2Axrcm4HAE93azxw4H9PJ0QD+dtZeFpKHshGNRersUBuQzoGA7ivhLKVxaB5 Qw5LTsf/IkMCvVITdFccErvMCzXojA5z0uVa/KZjJwtrCEjICswTaOk/K7Solss/V0Vu/YlPQMAq 03zBLhp+vqY/5r/Zbk7jztQm4KqCye+Z8s8tORfctHKCBEZCpld13Dh82TkIUmYyD67a0sxMj7AU u99ajQmLm6yieRwuXWxCYCc2YBtJEZgHJl64GOP8eO7wq28YJ+5FMU3axI9mhZymL8TleoHujYif ZpspUjGMoohuXIlei61RBlnmXOmZryq5AE9v4x5qoszAn3a7zQAoV6hlPhioKCdaoga+sM+7fNF/ 3WJTACtXhwoGojEvFYLzbXr1JBVlegLvFIgzcbtpoGIOo3pa6EmboRHwhihsnF3KCq+0dQiLiqGS EtZ6QuFHG29gSkNZwM81HcKDp11EV9KI1xq1JntOUm6rUwGCLjcS7lVLN39h8N1iIAosY0/K1v67 tf/5fD4d/eIf8We2C04Ot9IAoTNiZs34/YThyRnRCRslcWDkZKA8yKuvi6pK5uPrS6+waftHoBVj 9VLEUjorvAPZO9PUdWTlmUdG+ufTd92zN7/tfDNE8V0wqaVfUpLZQiOUwOxVWKtwm87CylPZNUEh CXrCg6JRqGrqxtY2br7LZmN8gFWzncWaSkIb430rgj5cselqe+B3Gt0U4eryx5AVxa0jVxRnq5/x hKlR+CgWAb8/hb9oR8+oGVz+q/nvqjNzjtDfAXBsW0OGpyXuG72jbboAcIUONVHrDEtjTNLPz1g0 kfcJ0bMjbZS9iixadRbWixOjfApR2c8QlzDSmPesqGrIf72MuJCSFY8stPE2Fmx52RkyXAbN/+kp V7Ft7y2NfHnTezDacgkNi87CLBMaXMq1uNBGsNekhpsbsJIihOZRlK1ytG2fxexIAeTZ29a1zMcF xj6cxDj/ORjtJexEGKblN72t6GwzErcI7yiUXq3AM56FjfeNpwc9eRbw7BuFS5TKBs4+k1zbxod/ wum6F9iDCB8L3qGmIx/ZKDWGruGJp1E73BeTe5ofomzZ4k9MRMZRkjhDg/83wzhz3xCRDN2H9Ffo v+qR5vGRXRPxInlNfPkpqSLeCq2VO5M0eyrW0pCmj2j18pybGLcLZnO0F5wZgtLC5SJOAzABmVvI Mr+Q53mBfyxdEbNdUjr/E31vzg/SWL79JB2JtrqX/xFqjoM70bPyyZUv81FYEGihe5jAp2DXjrJr x7yMOsNmaDaiNXDLsJ0T+FT+tITNq9azrqIoroALp/t/3xHUfKaIXMCwIHGfS3DYZBq+qoRZ7aL4 L3yisHWWwA/nE2WUJgNmmo4LOxeuOVSMdxRSlOe1Le+qToTs8x/1KHt/gIO+q6RjGLBsk9KA3iaL ShIecAe7+6OzVjh/lR0Tt0Cir2VlNZg2QOuiZDZn0sRhxg/zXqnjfm5AWTKJBH2UpgUkBVAlJBcd 4NYv9u7t5xtKSpFP+PPq4q6glGD8fYWKpa2L7/c/pLfez66vXgpTt2DILDxEdJ4Xrriw1Tz5gWZ/ +iGcjdyEoN5HEVhfO9NYIfHXkyxScsFjU7WIHes5ZL8emfXUxQA7A1cMgx5iHk0jl0FAsM9f8Goy /OUHVPr/pg1BVOWimbgyoDRaF/MIXPZL0vl6UDw1BRTAk9MuyuqemjAMU0UTiMZ8co1rSruiXUZj YSbNmzL+3BbIduSphL5uWoZCzT7CsqxUmTjDPNh4YiXZ5dYjWHJ8JLBpHDUELpjguhUZe30yj/nG aDFGBH5+uwaTqSymVnFbWAqmFMcc0SiSdjsN1GaS/Dz8tRa1LhjkZSA9lX2picFGEOIR8rOKKoup PV48HAt84mw3qHDGmYpyy/ZArNSAPOP+MEw8C3W7d8PrjMZaEdfzglAnE708VUXZBxmUmGYsEIDl ftROAKpC7gFJpYbLdkF/vcZJUkQ6K4FlnFMvcKTXdDVR4cSUouAmeZo5vDsqdK7wfkwuXPeosAcl 23EV1fUf6RU3AtNp3P2puXpJI4MmPiv/cW6xOPdJxWsYUTySn3QOaCqs3Of4tPzAk4LGOcv0M/w/ ZwKOyDjQaKCwa7W24HUVxHYeXCSFRxAnqWar446JgLdP/gOZO7ibjWY7dZWcPZhdaU91HojkZ8I4 PkB6ShtmmGKmqGdsY3Lg9GmA0s33QBPNbrELUfCUC3NZQWVJArhwxnrKJoIYTvTKc4IRa38+SAkF 1m3DyDUh33VQ/JnReBlJgkmG72SbGs18gxoweKXwzj8yoq6jHRH7tVZEfhNWdXpX3+n3iTJhe26b FnGJyPGRtmgxUyEpk0/Iy4PjLBjZPf5xwCnz6sct2ejiSSEgJ0InI8WjOIh/i02Lf78qGxbhHbA7 93IbZfqUUsk3TAABQJ2UfQ8cIdzIlAa4gHkzZP83CLdcFv5pC2KPQRA9jIbJO2LQXNoedLyIj4yC TfK8fbkj1q+gZFcj3aioX7mGlq/fnjz/AH7um01dEjfUVHZKZhVRVuVIkTAmLFCAqFVpvJ54Km1c B5tpWHrPtAEs07hN9EFlH7Pjp/6IqhBg2PaFjN+i+a0VjrsKIUao9hCbOmwCTQHlBuX1NYHMSrMt LEQHYx6i8vypic9yexf9WaAuj61GBj1k3mEtqGxfMih9LKgI7dnIlxycYqUqL9f6kIBd84pgeII0 lfrZLXGFmUlAX9AFUmPKzku2R+gFKJuhCcfVabUtDvrNMVM4Jq9gELu8p9PGLpTnCb6bFqlWZRUY nJd7bkUKenPsRA3TMUa3d4NYW6kuj/ktjngqEJFx4HK4F6XAXjI9ASD2BRyddmYznZ1ZkciWCLmr 2hQ4Z6NRNYXCMiHPjd/XIPotHGYvyILSNsDtAxhbjIeX5yPa2e8cWrdwmha4fwVHKyWXOHbj+CsJ JZTKrspUmMUmxg3C+m9wEF1qBU/mGZa8FPGwnUsKJ0TmErjoqoNVZsQy9g3/4bha3yRxi7s+qL4r IGmyqfQxboh9gLJWoOSJOQbonCgs/4rBuEtSA8A721KscpRxKRc6F80r2WClSOzB9YjNmWxhE09n GkrNnIfgDxmErYiDyzoA0xXP/Y9BfnIDW1+XXgbRzBmhGZOuj8txFEgFm+3Wao0X1ji0kABYkglc FC6lFnpmyTPfU6zvu7FgOGnfXOKvME5WGM4iV4m7kvgD440RQGY6UFb2UqMXsFu7EocNwI7G/yG3 AhxitHoa5Y2BeyDUVGnI0+r9zc58zMjDONetYxA+hHgKjGmzWUFG13ko6Qxubv0ooZisPalQ8pKr lZW3YMeHE1N0v7uzkm26crMm2lgp+MxqkgZqE8gK0qM9XFuQHb0DtrWulQIWO0b2VtU2kHX0gkv9 4Ho+lyOrOFBkN2vfTKLw5Ctunm851488E2XsQ6X5Yij6ug5hIgarY7xRdLRzIfkkdUfCMhwSU7mC Gt91+dw5ORXyexObtUa6gObZ8omi6uv+k1FigcGLoW41ghHomwbzcxTXWGlAsg5/EDkhemyMAG3x JQr6fp8mkor7cNKZGYdhEF2J6Y3YM40QJHeFJ94dtRb6IAC9mKuFubqBPbtZrQgRwwIWBBSItqBe MIjAiJaqtJfzZcSTK2QIqXYJSYnvxU6oyIdkac9pUm4YYrdb3cCUFRAc8u6EuOwd9Koa2B4u6/mc +IQoqNlvTZqRhgPZ41cOI7+w9yaTZjGAbiyxvrBBsUDk4rD30kiVFvmzhd5XqSunJKK7F8iEsuhb ZX5x+F73wFPbaF9i/R8kyNgQX8AeVXJuyni9UuSdu07LBBCX7gqO1u3YtcL01FyAWtL1XfYDB9IH tZJMue3lJqnJfuaL8wItVtf5EukdDmxkbkiYmdBoQeZxNTE1Ambsgl/HEvnBru63S5vl+prHlKmb Rkd20nO/hHMp/Qgr+PMwml3PPhUKllfz/8T9WRWPoobFcZ3iEHvSevmJ/Ne/xOQKL+ALIzLjiMLl dDdI+eyDxXEgqe0wKT0r7QjF6FGINZdyQwgyivc+3CbJ4aqFoccdD9IhccRK4pu8fAHDOaZOnTc6 XVU9dn9TWDA0IKfCgl6TErz0fBVbyoQmanXkmK+ntMPWnmp4tWU9XK3F8ce+NC4GktkIwnqd9ove 3Kat/7Ch3oXkRfBJnXzGNOckOXA3+T92BxRtKGvjrCPG+STYuyZ2fuKQXy8GCYZJ03tDqL1qB4Dn awu8pZA4RtwhYUl2RIjj4mb4bFxp4YJv7U7mjMQgx5WaqWgixTRAPg55TBn9+CWhKwA+/MYPm1Pb rNzFiIaRVr6fak3BcLM0wA8J4IZzl1sRaav8uBH9LANfJdsAnZsycwVfNiWWs4wCexsJOxopUAHt t5nLs3gRLTnkdW7nKcuAAw2nv2qkHqYgN232l85VeYI6a5nzh3mMP1Hf3v92ZmDCmnCJo0B1sZfP oxDZAnXeCePi8SLWUWWuDgBa3fkEG33QSK5JJANdZbYE98RdC1ZQDJl38MuePXj2LLMTA9Xoc/a1 +HwUyVoEPsikqFTnjCC5kKyxt/25LeXfGwbzhuYHTN0delwZgOFBT4DGKwYaaE4P8Fm095IrlcrI SzoKRnb6zV406UtG6ovnMnFWWND8ByGlrUVpjHfycjbGJNPwvwdK5b+gfYnQXOmINTJsqjUx7Lfe qw1K2Q5RQHGWb88Ic7jHzWh3kiWwev8fZqutuYHGQEHgLTFqYeciOqeIss3cTc7wowlyOiARjJTX XGOUiiPmhdg2TZNQIyULRWbih/af9ZSmZvdCvDK7gmRO66EMAJY118A1ESsFyFKg7cOKb4YGil2H CR/qFWaRJBCNQC85VldA0fzBrrLddJryT9NKoO1kWfsXoFjXG/QT27neh8yFDb2tL/lrr26DTA9I osmiS7JwALKyHl3wwJ3RQklbVpun73W6CmbW/oPQ5618vrkJDsUvaOoYo3HOkr+d2u2SM9QyLuX3 Wm7oxiCJa9VFnB4Ojwz5NCUOIVj4zKzPyZvZ9lvHBZP+6O60ZUfnV9XCr6UXMyWXh/He8/TZpmtM c1UMH3xF5RT4tMJFzEETEKKV8NjCnjMPEP2acZFXQokCDTlBofhyeJAu/jQ3emrqwZzsy+GPRavw K8/rbV/MmwT5ZjDhPqDsKsNnj2DqmkMBOZ0sjj0v0Jb0qv2zYnDM6ho68rrtLeV6UC2w5R9wB+Rk oifNQjZpSvaCuZUxFu6EeYAu9teYq+t8v0NlNXxTp3qUs1q41W7n/+AfGwBWX1PEQMtOX+zJtGZR hiryAgZKJQ230HYzw0eSNNCRrS3XrMWFF0uKye7esJEgmEiy7Urj5wXofoD7XDpceglOJy8zTgFS 5BdsKCPX56fBgvW38JbFXwdoxZjsThsgcvq8speVG65TMs+BBZKySSDHpmccqgVKL7YotVusZIhR xQMrLyNJAsyU34Q7PmDtMbFvTfRzL5WCVR+wVa5JrC6O8EfrP5+aKnTDcyQME7y4wkLHG7m1sDDp A3Mn64xwBNHveMCLoFzmr3mgCCHI+Mkh1/gO8J9jjERV5SJB3H07HsXvPb3Byp8nemRiIXEscTTU HU3LJUjxVvpG7Udx7xwzRcBZQob8v28X6Mw31T/qEe5MvWk0l+YRT5HAkMNIMbo89euUbyBqztsG xHnu9K2V3/aiKkj7jrN1El3ptwESduZP4D2W5uxTWGtg7Uj4DBayOCXCBFjYVwqmmW0dEfOH6Mhr jZeuSP4Klg2QbYufn6w3jfoG6UVEf6a2raVmmDI9j/Vn8ooAf6EnnKaKKErKiDhMnhgyvq3UvziA Cty6kkpguyOkMBBJN9kEL8NzQhzIgZQqgbaGrxRm82VHNAE98SDzn7JYAXLfOn5sgBqaTH05X4a3 Bi9GKLJ5Uy0btMJftGFa35EXOF/qUyUY3QROxUCeOfwOEa4T88dXG5vrcgRSy6xq6aRyUdfinnZw ZO/XbINqS8MNjiEbVapEhK4hv/zGb2iW2XSv4IeQu8RS7NhizyO5/9Ku0GkIZYXLw1D7FjGfuFMF +4SnsHbCsgTrs9MpCFO69AgjVGt1BI1xVe0vuiaAmmPYohW0uskAe5iRr3M8cm7GGwe5A1kaO11v AN6QpAzQIh8NvmHEHhLa0ojzoavadY4PlbyIuxjpMiAI7Bxi54l5ahuM55nrT9qmZusYnuKbtRa+ wZec9e2kIxze+j6dRECL1PkgXwYIuWWStGwjghQF5bOAoampK3yk1hRIutvchZSmeXBPIg8cBNkl XURtp6Elc8Nht8bcspzs3PcTAcWtSwqvknBE7s/4Ojlx63mwDNix9cLQ5yzkj1Tk9p5KX/+Ki2uK kqTLyD+dLPiwSgtaNZhe7KY3RgzzNkC9YK/jeKzjGYReqtdxXe09NHPtmtWMsN7LUIdjWLGpjEdq 9voouD7pIm0HhxPdbHlRpTGUFs5GEQwQpH36RIRxCAha4sIc/6XF/O+byk28kqYWD61Y6WUB9F45 FIiNFe3alYiHtJAWbGT+oRjyiskdrv6N1zxwUhf6I0IcumhY+qTVSXiCoNUuAzCUi6PAf2LEJL6V tluJEXylOslA0Y0JS2TpHwdXAMncL86zCGDWZVKQpwWJoXCFTihogj5YG6bjgtyrQMicSM6Igj1L xgii5vvHveM/flKDe0HzvKRLfFxUNeqHDGjCp6w0//6hbUgzN74qHAq0St22Q1y8OUUqUI1vRDZP d6ZULNXUN5153VovL9fHmOMHynbKBB2CLaFJfhI4L8P5/TqY/Mv5BZxU2SQK1uOiFLdTDFO+voMk YXPSEJWZIHtjelnRu+dBis9Z3KVm13KLt/ytPjhYx0prTp7TFOala4d/CMoH0GHoO6tnFRPZnf8U 9RnNX/Imr8NBMl74e7z9gYzPKJOPp3MmVVUTkdLKd35FRe+6mVf//+MhEcy/YkBW7HAu4KwH2l9y ZtUqHQrqeEgXZ6sYXOP7qh1/GOjnXOOaiUNL0OnhQbS0YODj9wY1VYeQ4Zat5usGGq70/6Rwu12K ugDrfKM9+r8KrFQsUXI7lNKZG3Lt0ONaUX965DYYplFD//gt6Mxf7ezgF0mycNZofVEzuihZIG5e TVQLXzI6ofMn1XuIHtG3VwIu/bPXnHLfNOMxmDAUKJp4U8HtHi/zttNQki9yiULTejLhIPp1C97A K5Ngu4kGMolsutsuXZAAxrjHY8PXhJjeX31qePTPzuy5QFPEr3MtiFvkaXkhklRHLEN9G0m+xKjs YRWnFzwl80NL2QsY82MZUzqoDURTfZmonGXaG0Cg32OPb/2aauyZ6KAcbcqkJo+Ie32yeQIMACXl w0c7XYNVxPtCGF6DRNG0x+EjPsBaYjIOGSHhFnaKv0Q7owcAy793DPQdZcFO2dbRbkDD17Cr75pD o/Od7bTVIU7ILp8I1EoPOq8ZS5vjwr1Kf0KXu5NH8/syfIgFUuuzMAUxvTE7+Z2at6A3mRtlPgXE TM9GyNukcXcui7FtVO+chjSyYjQDcUPQuSY0l1H/VLrytJMdcjHsAySMp0lnajGhKJXKETvm2cVE KE1EUkZ/cXKkabDmBtKAHdLN5HQL0yRlQrEVYAt85S+fWO4YFo+u90Sz4RCGFAM5fEtvvu99vNg4 r9S88ZitGlLdbSVu5z+tB8UCipMRmwNx4b/Xkdz0fzYq0GTSDEDgd997P+POazn/rfYwF8MLQjVu J0dc6Wo2yb3eJ8ta/7PiuCOleUZdbdkSfuNgNvOPUVqwJPqjvUAtbvSWhm7acUoFwnNOXmNKLVaf rzLEhp6PvrOh4ZVxQHY9zs5JXWjH3fIjKyiMdpBVsQbblSQuA+7hxH0huLSjN5RPLhio9GYrzc6P bUBJBpoaJcffMMrMnuLbF7ttS4GqquMwSzTjS5zqLhk9N3jrAj0nXkMqACzouP5fE3ymqNfqKr4u C+bAUKzLrigUBmXnGjfsKdAEDXt7Z5u1OmBE4v07bfv+xfNRDJ2fZhoYhq47JLJAnVC7YnxVE95g 4+3flzswmJyPWT/YYcABA4ASKPhaZwrl3UI0cAwCIfEPfuLeKmBz1DKz1HFDZY4lfWtSRk36MfYv SGuExmYKD+g3hL6Ya4QiJ7p/fxdA/nrthBJV36RlyAgHk7BOOkZTu2HJM4CAK6l/6gWA5+rucW4v vwNnjAqJuDrsOWRa1eCE/54zL4JiqpaFQ6FiOO46SNOLly/cS8H+XvW0dMeLvSu83hGCHChjVIJF z9QcxMrjIGb0t7YpLbxf6iOfMuPcRdt5fYZfCGXgzEd+LODCxdl9JLeTJj2ECrVt+swmR0pk8wIg 41HXN5WAAacKr/mzEeFbgQPOR91X10JZfU7WX5cdE5cu5qLsQeW7HTQw8yKd1F5MwYv3uDqVxMrh r+L4GCdCLrbjt+q6r7Tq1FpkP+sY1s37ChJvuxe0xdfTOwbLfCBPd8MefwNGOBGNa1h94mHgoTY8 ew2xKbld82Fyxfajlv9bJPfO3PnvirQNSf53YEjJdYlCeYSfPw2uoaib/XWUPHS2WRqZIwlDDP/4 AgktiLB6bDjInpPP+bU74tlT8sZ/ILoHrYnZqD2i3LrESUecuGFMkgB5VggO81p3a3qvsq0PrXwY c1awOvNmL7tFr/Hc7jdVKHzM1Y6Ci2V4W6KLqFBtQPiZPWn1ea6jrrESILIKbeEGx46tvYvuypBS YIQbggECpelv4/7YMVIgW+cDChIQwy6hF7YhbT2sFPI1ACvnsea0Z2PyCTOFdbySp7HXAU7n9aHK GOu9Zopf4Z/TvrHgO8QBPv93/S8j6xEoH4dd3H3eJAPc2DRv4UAedF7AUXdoz6giDcJqErs5UzTm IpGjLph6bBVo0e8kuSDZvm/CQ9HmumAO3FlabckE7mxDN8CqAJM5yPdl5hgEZG5PRJQMYB5zIi9R 0M/jMwPOf/umyCzlBEbSNHhziNpXt7wVbD8saNYteQ2lUIDBA4WChtngxX8YIIuEzpIpIaeM8Brs QdaXPnjUnFw8/rOFRmlA/9vk3saOBsbtg3SS6WLEpJAxynebikURWhvd66RoTbWhGoaR7hc6xtt6 o7vKDVLPNVbCqx2EdaRjOzFwFdeTo+0V1a2Mx/QcM2NQfl2CeusY8mbCB3ssLLOXERLIqaQnBJBr JtHz6FZesYZTByk+D0LLhOlXSnUFrULUiuvTWm7jV7aiXxxNiz3y0N++vON610H09k7WW3mgPZPD fEoTCs9t/xQ+zBP4Z6D0xgrFxuamSDS4eRWKrxJdrtfF+QsWjJgl1SeFlDuhLBJTk/lJWYQbuWcP viTP4JG2jYoFUIl219JR1tW9fkYIiofRGMVTxikIP5+soI4169wpH+/Rf1BDZNUeuxFxsHKKkzel q4HMbYXUEXviBibvg/78OYC/0KUILmEoBh9BdhFdVfUYMaEGjzIUuMI6JAqDXtX+/EC3MHRI5hML pKela05b+AdLd3OjwBpuCKDtnHlZ1kjwzX8oWEgJX5npcJUx9dHAMlNkU0IYI/W7H8y55cOup0CJ Vu0rhzF3Zt/8tL4nc/bfs23k+bE8JOXN3wLKWcf+IASsXhBXMLQkK9tHCpj8MmBOFY98EngGzWv1 cD36JoYKNPSU7YhOABVsNx++THIJpDHwNwMCLpfd404TEQyF8Jb+x2qVMHkHIRyoHXXVCScJkrxy 67Cui7qDEtZtE3P7vRu5cGgzMmS69WGp8LOlOnauKtLAhNuzHpbVHvK0iYXDn2POZuc+qvAtSFJM q+eHZTUzmXhTtTAGSTHWPL/SVhFiVR0/HMIz2NnsNXTL1cExecDT9yTohlt1GdvAcfGJhm2gAG0A m2tUC95kqNraNGY+/ZrKD0Wf+k4kufQxRMTOcG1GHo80q7hHcMTf6P8zrQxBnuzMw00hDaD9xkfm VAIG78pr3hrOLmDPPEAmO+kvPXAIV3fAMLV0sJcMiz+mRYskQZH+akfISa/PYW0rDiolTBkzipVT tIqhWYr5jaL/QzRbX9zt1Io9D+FHQz3XeymgVUe6A+Bj/gPmdaC6n2vhcffpBrGfhB8aH4mSccnx Qf53xq/LPhiItRO+OFY1FWjKL1GDMbr6J4i2GPy+ZmzWPxmdBthCIeBJmYa4Ev1+pT1BJzr2nYUc hDmg/Aa7EovwPS8l7QIk7yIZHFszJ1OF0JrC9Il/Zsj24n/JZSnAPBmh+hqgPyI2I29zl42Gxe6w /cZ2SrmvUPgvAoQ+BO9YlsxZa8cNAB08w5B/35sfdALZqIQGjXy7vcriH5wo5gzuVZqZXjtLkmo8 SKRwOOhWWmeZx0TKC8ZzjpfqYgh13Q2bMLVcnLIy0pgV/vE15emhCAT4CY6geviKkqnh+pH39mTn vybEQlaC5TOCqgQUNr5gzcu7iUdnZAHzB3PdJTpo7NwArL1+gW9P5xLTRXzKrNRRgHl1S8CZpvAP 4aUGyKfKlPiFDWe5Rw4QECS+tbl9zV3kKbT0KForufC18eiECwOEgFGcz3T0cdy+BfmpdBWDdCIM Dp+y/IzocrHW6ZsTmlY+260dShzL4iV9iex5Y4IUEAuGl1XoBjLSMKrZXHenCFQiqStJz+5yZ64A 863Xl+BuBTcHMXWg2XEtAT5lW9P+/TqkQUpokMnrGHakoipyBa6wv2Y2srXc0vaMPdbl41dtWHNc tE8PcPv//CGQr2vLcrVkNQz+iGBJv+ojpO5H5b8YLJvLr9dNFb4NMeLY0OqJIQ3Qhp0DG9u2s4mu Hsu+B3AQHJgzrrFrTxT0/C6VKHVWHVsuy3I8CJpEd3lnONwmcmHv/5ANT53ybz47Sx9EiKl0k+lh DwHqY348A8nz2xBE2mx+Plqcw+lkMn9B6CHGCp0DenVqrnFC/SlVOUi8zLKbM+rN/kjuqH+XrTLE rtWf0ZaHrYVImYESdqF34wEHwNmEy3cCRIKvwJpOvV3LkAudDyov6wS3IVyVNmmEjAuRFTAu4KVT BtcYDCyY17zLAa+fBKu7zYQW1w2jK2BZhkRi94Hq+0PaauH7Ve9nWteGfLALTOiaEBnoSz6mXiLE 2o7z5zQBCQlg3JiiLHS3NcBr5dm1gAv624m2WlsCBBmsqN0pH+WSgh8gijCuHL9B6KNi//YuJJjU 1RX4NK7yjjMQEK+iwUgn4uFrgomP8L/dyw+iEaqKOqJaLMd6SRKae46KTdGEsexc+fDaRoq9dKyG l238yP3Okaza7EOdS4jMDBQsuRDO3h+QbC+pbmyxkT+5uNVuQwmMLsA= `protect end_protected
gpl-2.0
bfdcd0441835f2a9128b31aad74eb82c
0.948738
1.831865
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/HardwareDebugDemo/Hardware_TL.vhd
1
3,429
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 10:26:43 04/22/2016 -- Design Name: -- Module Name: Hardware_TL - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Hardware_TL is Port( CLK : in STD_LOGIC; BTN : in STD_LOGIC_VECTOR(3 downto 0); SW : in STD_LOGIC_VECTOR(7 downto 0); LED : out STD_LOGIC_VECTOR(7 downto 0); SEG : out STD_LOGIC_VECTOR(6 downto 0); DP : out STD_LOGIC; AN : out STD_LOGIC_VECTOR(0 to 3); JA : out STD_LOGIC_VECTOR(7 downto 0); JB : out STD_LOGIC_VECTOR(7 downto 0); JC : out STD_LOGIC_VECTOR(7 downto 0); JD : out STD_LOGIC_VECTOR(3 downto 0)); end Hardware_TL; architecture Structural of Hardware_TL is ----> Management <---- signal HW_EN : STD_LOGIC := '1'; -- Hardware Enable Line signal HW_RST : STD_LOGIC := '0'; -- Hardware Reset Line ----> Inputs <---- signal btn_sig : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal software_clk : STD_LOGIC := '0'; signal sft_rst : STD_LOGIC := '0'; ----> Outputs <---- signal SSEG_DATA : STD_LOGIC_VECTOR (15 downto 0) := X"0000"; -- Debug with Seven Segment Display signal DBUG_BUS : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal ALU_OUT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal DEBUG_DATA : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); begin SFT_RST <= btn_sig(0); -------- Place UUT Here -------- ---------------------------------- JA <= SW; JB <= SW; JC <= SW; JD <= SW(3 downto 0); LED <= (OTHERS => '0'); -- UUT: entity work.ProjLab01 -- Port map(CLK => software_clk, -- RST => SFT_RST, -- ALU_OUT => ALU_OUT, -- DST_ADR => DBUG_BUS, -- DEBUG_OUT => DEBUG_DATA); -- STORE_DATA : out STD_LOGIC_VECTOR (15 downto 0); -- CCR : out STD_LOGIC_VECTOR (3 downto 0)); ----> Mappings <---- -- LED <= DBUG_BUS(7 downto 0); -- JA <= (OTHERS => '0'); -- JB <= (OTHERS => '1'); -- JC <= (OTHERS => '0'); -- JD <= (OTHERS => '1'); -------- Hardware Testing Devices -------- -------------------------------------------- ----> Output Selector <---- with SW(0) select SSEG_DATA <= ALU_OUT when '0', DEBUG_DATA when '1', ALU_OUT when OTHERS; ----> Seven Segment Output <---- SSeg_unit: entity work.SSeg_toplevel port map(CLK => CLK, DATA => SSEG_DATA, RST => HW_RST, SEG => SEG, DP => DP, AN => AN); ----> Button Input Controller <---- Buttons: entity work.buttoncontrol port map(CLK => CLK, EN => HW_EN, BTN => BTN, LED => btn_sig); ----> Clock Generator <---- ClkGen: entity work.clock_toplevel port map(CLK => CLK, BTN => btn_sig(3), SW => SW(7 downto 6), SWCLK => software_clk); end Structural;
gpl-3.0
1f7a08bdc6e3a57ced7b2552514a5bc5
0.553514
3.067084
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/dds/dds_compiler_v6_0/hdl/lut_ram.vhd
4
9,934
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ZGPT7GxRWudIZ8UPa0y3V8TTHKN6IBYTHUUKV4X8WmTOt4E4f7ttG4AT+xIkoDX/DaNkoaKHHLcP crNMRWsZLg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VOGkpHm9rNoPWkMZx5VY6VOGdxpv6KJJFtRnHErqTo0700XYskCUNl3MTIdPJcIlUtmN3LdWG230 Mewrm+ePjGm20a5yt0raW/NsFEvf8aoszRJnqHRvi1dntVWZ7iB6Fm2twoykA6T5NuWgn81tuJ9Z hx+NT1/uv0oGUgH34AI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block SFADvPLDLyYChQ2u0/PyzTt2UFyqrncRH6IZtmjuEkr+sza5Ww2VdhCKqrNbA+fqcr4RvqoaG8rC u1dAn2wup2KicIYTD9SKD+wRF6K7V50SIQWzQBOpssA0tPBWqgjNbLuvruoA0IUgWlZT4UCPUq4Y 9KYPUHCNJu35meLjVMsMihbP7WQcYpnykTs94eo8cZpE4gKNfonQslNzDXEXI0/1jymiZqyB45y0 wPdnKpCqjjnMUiX617H03nCZCf48hgaNdFsUyyU/iN5VUwfVBw5i9UCu9njmrNmQ0POuBiCB6qFs XqpaSD90pL9UTHyqAFms2ggq60YT8q8PfvGJwg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GBZFWdKWEiapIw0FAlvCNUiRU8SOAwz1AqOU9MpuMkr7Z9/QI97B1bPu1qBHdUrS/4zn8VWXbWeH ZdUmSXMvxbBjjgKw8dlmgmC0ruvSgmehvdoFtnBCaKarIHwgmLknmDKSF4HwHLc6kgkVb+Ybxs1D UXHtjfK8qkB4oKqZv70= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QzCPfiCr/cE3oYQWmPLWvoVGxIulLk3EceRSrO9Y3oFNjMwfftYA2XW0k0mjcF0+7zlXExyVnECK 1JiJdDJFPbXCfJkRYxHYhFH4vR9VRdMZ1LA1NT2G5a2nQNmNDlo03frPvZj0UXgXiiqJKzxIfCxl rvw1gjDspI73sywrA22nnn1E8CXUC3ghEvZqM4vx9oGq34Voy50Ryh/jFwBqXyo0rD3rFzTHBaWm agqqnvy8K+zzxYUdeE751zwVRjWVsvfDMJ7NM3P0TbJ9WuPqNr0awzsBLAqoVuQgfhb6m46B7aRR J2SDfPVt66c6n7VsX/dl6y0UBoRdM2v694KW5A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5616) `protect data_block sK1FCT1e/GDnrAhr8LNRs5LCP84MkMdVz/jxtQhkeiA1jHDudAmt8XRbY8cyo44dtbHGyZ6Y3PaC bqJGevaDA7xLcxlRhLiCeoT3N3kE5xRor+Ad9ByaGVAmvDK5n1VPsMrOxbh2VSMMHcxs4Q4zySQa zCN8vrz32m4VCqvE735DVZfK/0PW+KaG7QG2zb39QedtRZBe0yUKgcP+3+Xd0NK/xY75JfazFxAm YW/BFnEjo6yon4tvMJZA/BTl33wbHZ/q5JWkUOW/UPfylJJ0cU4eqW++hgtkvYhGsLM9++q1U93n ZIasmdid95sawAdWrC9qICwKuqUsT9Dv2xXFGyXzOT3QFTWWU25qlw02J5LM9ZwPvAomnCp3BFPV YkddVZ2m5wlvWWRQk1ExcxhTb9lCPyHDFHw2SRcSJyaEoxOQhv34zP689BJWeIXlbx6Tbz5caPi8 EoKAzr1Hla0lEauHgBXMCUk8TrKs2xRsB//wLQMC+caU++IpxkM3kljbqbbzInaKWaF7PJR8Hz3y nLs7KoTLT5dAJsM/fdi0UQS/9SfnHWTNsZyzLZiVxuH31LswbxfGVIua5salS/FryQFJjB6hwAtO gV9Ce/0d+dj+TF4Sr3GCtNZ8QJimrJ8bMC5E6rcCsWvAqv3v5zYKkaWq5+fd7efjMzPCxAC+PWpl WsWN0aNNOdZPh9eNvpdAzooGZcBITKNIbf1BpMiRtF7gw+v9yXhGJq3qHzIvmhzElmrfGYf4XoV+ y9xULnJxs84jouELQxr9UH7ZoEqXhjxpIj5dmF5hZXhyRB2lunq1t+Hj0JjvleRqTokNIDKiuTHt tiGH45t61axXaOBr0BSrZQ4nhQbWQCkv+p0ZJEkODfcFP2u/qtIFoDxSKEbcWofN6Tew7ogloxYK V6EkuwUJ+mOJROOaRoqHHUpD91rYqIChFuT6YuDyli1J+2PQ1pl0vplSQhfkDBOWQtNl+Dlj+qYD /8CqSNxJAXVEQUVrdzzA7mIqr5LJnYat70qH4HiKOLLVCp62++ocXpNzGnsvqJmgcYKnCP8F5UFL c0/mpVqCUaLa41wDZeB/kNklURT1ogre+v3d0vkHAfR7jdF129feAmDwKwwomgJ8ROoKxVdtjkdF oVOhXRknwdnNKYgxzMe1LScV/tEcKMwxjGfD25j4hQPtKomWGCdM9dvUM2VfE0kH1cljckvFxf3J babCRZl09udngw5yBBIYqfSZt8t68uPggXtOefm+XePbAIBevUA09XBEOD0q70eY4xfYla8FmljQ ZTXvs+RCGD3STBQNr7zPqQQL8Z4TXmp0Yq6rGLz8AhpGWKSuJSXbFw6Nw9np/B0XmXZZpWCzfGZs FgY4oCeCGKRQN9tLGjOMBPTdgP0Tk1i4y+Yze+c3LoP21+9CCEoiix8a47jdqAm8j7plBXR+NfD5 t9xSwl7KrXoTd55LDmGKbNAIDWKXjTjGEviDBJJhTqBm/tq9dNgG5YBpo268L0YtaxkfFlja83rv 85v44j7zfg3DZiHv09gyIbtefTvNhR0s1nTcnpce7XC89Q0MPE5zIq10u1SRhed5FIP0y8xCowbu /C2iW3zGfQMAp1Vfopf1RpGqAUVpz6ZRoAtGVnKt1kPZuji6+Saib8NHcIbAb5nMk+kNkO2QnUpj CeHDvs5MTeTJyhKcZv3Pc3GA5+M01lqhJtpRK5Cbo0MFmb7+0XzMdxW2EI0mhMbGU0KfeBtYzQvN BX85SEwmkjBFA7Eno4eMhDsuviMFSyZAz/gZezX+vkIAhXASVzA2oam7eNxUgmcmkposQJAr+lD9 8Ky3lNGbyjH5bUWTKbK4jI02medZ3WfyS/JR79/1bPQE0nSkHWqNgBAr5GEJ44IKSucYemRQ8OPz TFkUHKYOqJ6PwN9osi+rUqVWx+SgEgFyjOgoQvftDsMtB04H8OlOqs3JP/JdY/YbqjDK6VrmNn1S bR6w7207Vx5jap5MnbNaNwU4Xn+f2kJfA+JVD9/vqOFnhnZUk+LHTTCYIMFc7nwnd2ofZKSOV4Sj EfTPtmKUMEK+0z6DIm2vRDRVROXISa+eRiTWgGQHq9w5fgmZIIFHg/fChY+dbBwQUatbCsP/oax/ ++zX6JYCfx1kDBcdfTeXVh39KTaeZr1z16TXlN4rm/d5sRkkhVwZbjI3sU6/jMK+h9uWuHM3cju1 8XpXDG7JMrzLmnIboGmrjafvkf9KZe25O4cCvVetwPb301dTX9W6gclLsynnAm3JU6DfEqJptGC5 7wmdx8gfVERpvIUkdehqGh6xeH3bfEvw/WX1LcpuNLfYeiXAFEJQgNiQVBT+9NrfJ0a1LR15WyDf xcUG9UxMQegi6QoyTBSL1KgMNFXpCXSWmglXLhM5r8e+mu+xxxL0QITAU96gWVF85zhfHSOZs0RT 3Y3jGw3epBJ/m7Rk60r7D70uTrtzPTQ8gAfwNB/+3nEzaBbgI4SbzTnsu3GEGxtkzwfyWotbu9lK eu6YSaKbKUjZnZgOghA0fshru+gkcFyEA2pAo6p0o4uZ16AIzBALFwJB+pi/YkzRFN3eJnnM+6o+ jM6Z7/HrSe8Jjz59dKGc3tUy90low/6aKAYGmx9BM8ywrszy7yBbrwwM4EzcvO/sc846YmEaOzg/ EMUSvLM3jTZ+mssJ73A2iosV9ctHuA6Qhe+BUQiqlavhLjG7YpUahJq1YlYl6SoOlSxLhQaPg7UM AzjFHz34rB890vTGG7uT+IBCdQtGGEstvoYM/87RBFRVxO9Jc9fTasufxWgRspFIRXcaLfT77S8A uVFfh8Ovu0ywNllvxGPJHL6lchG2N2wPA0WVjCgMQJSGhmb4Nsc3+eRHedngfwxayrkJuTpMUwKe kak7odbkFpq6PMID41lnSmv9R7mVAlaE3R7H2wVV8Fh1EFT6ioAiOZEYMfMNyLazX2LVnhMoOIfz FClOMfSvli1AWh6ngVLrozDzk0Xa4pV4Mlgu+wTSfvJf/ZWV8IDifyU6m2zWTyQbFG2jXDgL7eZt t5XSyAg0QMX5g/XtaD91MeYr7hQyvTcvz9Zf40LOlcK0ubP8mC4CKe3MDvvC5p5ONs+WC4fNzRHE yhTRwWA39CwfNwbdcmd4rV3ORGwk33f+MzO8P0AHwuiBQKCQEXEscLXIlBOK0rrHYEeqN5dJHzRK sypYtI7S4IejYHPEBZqpZcz5pxsXZluyHqd46OQoWNyg4ZA6i1spYsFeEjdr/n/vUKdX5Ru6++wk mxVpsBWpPcNp/1U5yyZBn9yCt99/gXg7xrMWENCp/xymPpwgGTwymRsvOIisSFMHvlnbEHnA9nXd ScoNcnk/2KCkK1LzC4CWHfUC8SisBGa1rOk5cAsh82HZlJTOv/KDoCkeT1mIJoq829JVFJs/YSNJ xb9Ki+4VH4jA4Ki3jZbdaMFoXLbRotBV+ozPVOHugc8Hbgu+RdUbeiMChOqgRCnf+M8ioxzP1HaI ISJP/dzd7WYMugksWPowsPt5/aGwXz/MveWGTkJAMmRwFTtuhdZ1IEKyqVKMSzwRPfJrSs4tNhVP yljYDaNftGICdsBhJZo12FYWPIMySSlgfagCMeGHHGRCyFsyoTuwNHK8gfuZg5L6rPvlI3/9CVfX UNtXal3HJKitQX+u+X2Qyvi+jePeXsWn1FUJwivpyMbdXDpvmSxnQvBYhYSpHdrfOE5qqED6K8g5 Wm+hr8MKFkbNXYDOY2eDxTknIn7OkdxxadZXw0bKwgDw5D8Kmh7xB9GGK+P/79ZDsRaEDNp0n4A1 KwK0dxsXdswKgfa9DINpRyFVR+JbBbgwdiaMF++V5YzPthczEOpKCfhJwGcFzlC1aodvkhEd2Wc5 YYv88rng78WEzGaxHaO4UizmygpEFtS4KpLLktM5TpJrJRmS8J5Lck0Z5Kg6+QmjLhqOEx14eCje q1XeyRII26o/6ga2qPIX7IxfKBYgTnxFt1S/7GqAtiz5sl03LduDTdB32xVGgC9IgRZi4dAyFkCU ofcFrJYHTgKc1ouBgTMEVsUlT0jJPXWfoOPiKwALejSV925XYc7aa7Ly5TybPVcFEtbjsQ58ntZe VBClVR/biFrZhNckvKErKUA6iGnd2BzoxrqnlExn6G3jJd27qch3RVubETZ/X1rc5NAEf5D0vM1q A77eBYKN8GmBVhoAvCoAiTizgrqDHYTFMA9kOM7CWvukw8f57ud8jnO5wRJCumEiRwYtJzWNbx/c UX4iSnRghIPAn6mWB1hiyMuO+TYOFItMYtE+J7rog8Hrxk4VT8E+nX1f0a2oSRN+uOaU/uKx11Tv yTYIArOqAcgkhy2ZLqIg0yQvMV1KDlqIXLXFbaeHykhz8vC5/cR6DwilQ/XjmDbDY60L28ig8gOP WyX2ySwRBedQq6DS11T5MEyn23JKXFhrrskZLHspBuwom2qt6v3lXEemmhX7ihvWk3twm2t7Lay/ LDSEKUlnKDqzSz9YqTpqv1sUCfEgugtvyHUPE7nbadAwx4KlJisB83GuB6BL7iaVfb+drvu8triU +bPGpmt1sz6ks6LBflvo0iIpPl0A8+dEFdgnulnZLSWLHxuzqyMR8cqzJrtJ9WKcECYJ/NacbF5Y qFWBGb57AyFgLelQarmeRXxU+3kjw4dw7MUa6RrO++sKlPPrt1ijIeVkJj2QNK5p3TjrsWIfnQtD Ba9CtOFqS3osvoFxlhDhh3TaXVsEdm1GxdjFf3qFpjzPMjX44chAqeryO1sjsuXcIutrHPFvssUo nXEa/9JH9UGkmPAjnKElcstvRNUzqcg9szj+60CZwXCfkEgM7i4SYKF254Z5m2NswuY3w9AZjqB0 /oMHZqppqF/qdZw0E+Re5NkoR5klqX0nTacx4Ob9onN4YdkacO3QZgjhAtGJamAQlKIMYE7FxIUo 365qRZ7YQZ+YgTYlaRfwv9Fyn32qBvcm8IJongO8oO3xiXIWgo3rK36Q18lzyDsohOXSQYtJRAhr FccRtCgNTssVJJ441fxn1HFTVL00esamNK3hklPcOwwEypRTCvToasPuFRp+uV0vndQ2seRG5VpR 2uav2w3y/dbKmaeMAfCvsCtD4AoyyCT82KKQvITyvB+Ui8dADsY226bgsOvdyBDFI0gWO523lQIY s/CSZ34Z+xGy0Hc+db3mdjl1IMy2LuQlElWVY5nM2UMHw4MQEuuVcBmI8mpsDMtsLWy0h2eUpbui NdR+TgaRgDPzpBCe4/EAwtp3lrXj9s1cERmaoJWlRRxI8S7/DPa7ncLaPj2ropRLlh1S0QmFD2qR JOuNlmC3wy+gLt6W4JqZqnzd6+5vX9yb+CwteX/csqCn2Dhuk5cwpnx4MI+I6D5oprrgovvdqH4y JeQQ/Jr2Gx7JKRCPJZ870b/vfDgAyVEwAcXsAeHmgszIZttp2g8uo6foJ9LlH701iqliMgyEnkGj vdMC7qUTMhQamM61gPZNh0X3kRrZcPSQGNiES+iL+uUlHJqe7oFcgGz7vVy+KvTbXqoy93xa8U5w 9xplqZq9+ilpjPSahblzB9tnw3HgW2NH52JL1rW8urEWe0yxtd188AjUzf6TtDbIGkMSeJkGkSW/ ru+/DrDX9CgVDOt8l34NorCOB2aE72souEuihF6UeXlm8LRS8nKFNPOcxNQYAEFsBAwreXa0MDOY tnx4XtDwQMFH9riwdFcpxM6BYQ/8Ck5XF0RrnrPCZjBH4141O8ZAv4yd96Iu4Y6SQuCy20qjsUVf x1RtTyuk4j6UubF7KljgLewpH7i5CA11fLyLo/Ppi0KWJCoKiTr5+/TF2qGihPYun6Y/gqusdC4/ aaXdvNWr9d8gPm1GPWKhzBzAj5N/pWuBa2NCra9jfKrrry+V4/qVskatGjCU/mcQ+Cl4xdWX2UXV W48vg5/+uSAar044cPFx8MrgSAn2KL1mnScYcAyirQ/jgPpb6f5/369U+P3EGT4vtV4/jUx/I9zL GdOcyIuOihDZ66bqKa5CGskOA7a/kE1aW+dEWq6l0ev+3zqqDRbCFu5IbCVR0BKqCU0fZg4fLqF9 4navVMhFQ3M1zjtVYwMeUo2nIDRGOhtPRUsk5YdxXBsMU1h1qyxDFpxXrJKyxW0JTNKYNIJ0ZKWK /O+G/QvI1AT4LNr20pMcvmHlLXSB8pMxosiFl94iOWFHhsCY0LUM+vLLOFS8ki4+Lwy6rMMeBjFQ MoXZWogwSNY7toPRRdo5y//6PYQdWBIGnJuuiAmXPGmNQj0CXCRu0aXwDaPhlEyT7p1EgNf420oG 5bf8BESaP9FZxUH1/hfqz4sL93JPM1qdeKcRwZuI4lC+sQ92Wa4zcZuvfjNOIpBh/gkVX1FPFJ4Y xpc9zR+bFpxMQqO+1jt6gcBLjHG5Wt7mam1K5QVWsgLyoeCU1Xf5Vmu496HV6rS3dRQMoY/O0hX3 vRtoW5bgNiDMEtD4X2dtbE9hd3nJF1h2WUH/K0x5qA8IOKB4KnV45sAyI1s4TCgQb4IwlR3qbxj/ 328xCzekkYZi3IO6gRJg3F5Y4qLoh7FpVHE1uddCXizTaCKlxQPQUIgIswmG5gR63Fo8WEFk+/Hp ETeyBZqVC+5dzBt3wViy8mzdaf/MNOYICq+sxyG4cAvoaQzTH7oZzYrro3SV5u+edPgEFula+UU3 sfYadiIm6bxbpAxlakj9+p9pIxOvfyf9aCweOd/e49Q54Q1eMrdVppPvHDa/KFn1kPsq2t4xc/hZ 636OqqMphr01nBWYRx4UXXi9BjgPcZbQDyVamhWQt/MzJZYIeUY/Lc4mI9/Yn96wR77uFFkoFQ64 CM1DIgQAYMNA1XetzyW4Bn24s3U0XAJSh8xiofKAUt83wxTXEs5A/qT+oIcYY8Ed8xa54jsmbP3P ihPXjkk1UkRcG7KZJ9jzskDur5Q5QMhglyVc/kzdM9a6+zxqS4T1qgUptHdUdcDpJvELAtmkn1hJ 1PsjkXqJTl27c+d1Nw8sz1CN1lJSykvHeLB9y02JWe0Wdw57o2lpkW+8hs7fLzDK5JbOqJ3WRv/9 gn94y4t8b8gvSBX4GbJC52zDbkN6CONPJmdIlQGGfwjF01ogpIjIl4PDipWJfkisg8MCyxPzudcN +8zwXqHyPtkRpeGJ4lZBuVAx9XInBssLWYjKlNGlLM+NQOQOCbjzV6JVB6cLgvTHpjJaCrBGyUvU IEb42YIbMtDj/j0lu4gGfRCeDiXzLSFsIZ27JCpg2crzMxshPAS2S5nNZScUVfK6XNefcEnXd3G6 NL7dzPD4bXNBNwkMCRSXOwR2sdZlXpOY5f9iyFOJ3+UD/m1UwC1wjVOqVgnT8+coV7QxFNYOKvZ5 KriMgygqqtpXi6jHNmmkhb+2XeO/XifMqmPLW8HWPtVGUYGs7oBLDHJ0U3UPLOmZQilH5ZiOvJo6 lXrzLvGEBFA1cT4jMb+sZv/FRlJN0rQgl9ZBQGyY `protect end_protected
gpl-2.0
8840c754e719c770808c7b7b29e30342
0.9242
1.916281
false
false
false
false
UVVM/uvvm_vvc_framework
bitvis_vip_gpio/src/gpio_bfm_pkg.vhd
2
9,647
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; library uvvm_util; context uvvm_util.uvvm_util_context; --=========================================================================================== package gpio_bfm_pkg is --========================================================================================= -- Types and constants for GPIO BFM --========================================================================================= constant C_SCOPE : string := "GPIO BFM"; -- Configuration record to be assigned in the test harness. type t_gpio_bfm_config is record clock_period : time; match_strictness : t_match_strictness; id_for_bfm : t_msg_id; -- The message ID used as a general message ID in the GPIO BFM id_for_bfm_wait : t_msg_id; -- The message ID used for logging waits in the GPIO BFM. id_for_bfm_poll : t_msg_id; -- The message ID used for logging polling in the GPIO BFM end record; -- Define the default value for the BFM config constant C_GPIO_BFM_CONFIG_DEFAULT : t_gpio_bfm_config := ( clock_period => 10 ns, match_strictness => MATCH_STD, id_for_bfm => ID_BFM, id_for_bfm_wait => ID_BFM_WAIT, id_for_bfm_poll => ID_BFM_POLL ); --========================================================================================= -- BFM procedures --========================================================================================= --------------------------------------------------------------------------------- -- set data --------------------------------------------------------------------------------- procedure gpio_set ( constant data_value : in std_logic_vector; -- '-' means don't change constant msg : in string; signal data_port : inout std_logic_vector; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel ); --------------------------------------------------------------------------------- -- get data() --------------------------------------------------------------------------------- procedure gpio_get ( variable data_value : out std_logic_vector; constant msg : in string; signal data_port : in std_logic_vector; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel ); --------------------------------------------------------------------------------- -- check data() --------------------------------------------------------------------------------- -- Perform a read operation, then compare the read value to the expected value. procedure gpio_check ( constant data_exp : in std_logic_vector; -- '-' means don't care constant msg : in string; signal data_port : in std_logic_vector; constant alert_level : in t_alert_level := error; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_gpio_bfm_config := C_GPIO_BFM_CONFIG_DEFAULT ); --------------------------------------------------------------------------------- -- expect data() --------------------------------------------------------------------------------- -- Perform a read operation, then compare the read value to the expected value. procedure gpio_expect ( constant data_exp : in std_logic_vector; constant msg : in string; signal data_port : in std_logic_vector; constant timeout : in time := 0 ns; -- 0 = no timeout constant alert_level : in t_alert_level := error; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_gpio_bfm_config := C_GPIO_BFM_CONFIG_DEFAULT ); end package gpio_bfm_pkg; --================================================================================= --================================================================================= package body gpio_bfm_pkg is --------------------------------------------------------------------------------- -- set data --------------------------------------------------------------------------------- procedure gpio_set ( constant data_value : in std_logic_vector; -- '-' means don't change constant msg : in string; signal data_port : inout std_logic_vector; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel ) is constant name : string := "gpio_set(" & to_string(data_value) & ")"; begin for i in data_port'range loop if data_value(i) /= '-' then data_port(i) <= data_value(i); end if; end loop; log(ID_BFM, name & " completed. " & add_msg_delimiter(msg), scope, msg_id_panel); end procedure; --------------------------------------------------------------------------------- -- get data() --------------------------------------------------------------------------------- -- Perform a read operation and returns the gpio value procedure gpio_get ( variable data_value : out std_logic_vector; constant msg : in string; signal data_port : in std_logic_vector; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel ) is constant name : string := "gpio_get()"; begin log(ID_BFM, name & " => Read gpio value: " & to_string(data_port, HEX_BIN_IF_INVALID, AS_IS, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope, msg_id_panel); data_value := data_port; end procedure; --------------------------------------------------------------------------------- -- check data() --------------------------------------------------------------------------------- -- Perform a read operation, then compare the read value to the expected value. procedure gpio_check ( constant data_exp : in std_logic_vector; -- '-' means don't care constant msg : in string; signal data_port : in std_logic_vector; constant alert_level : in t_alert_level := error; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_gpio_bfm_config := C_GPIO_BFM_CONFIG_DEFAULT ) is constant name : string := "gpio_check(" & to_string(data_exp, HEX, AS_IS, INCL_RADIX) & ")"; variable v_check_ok : boolean; begin v_check_ok := check_value(data_port, data_exp, config.match_strictness, alert_level, msg, scope, HEX_BIN_IF_INVALID, SKIP_LEADING_0, ID_NEVER, msg_id_panel, name); if v_check_ok then log(ID_BFM, name & "=> OK, read data = " & to_string(data_port, HEX_BIN_IF_INVALID, AS_IS, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope, msg_id_panel); end if; end procedure; --------------------------------------------------------------------------------- -- expect() --------------------------------------------------------------------------------- -- Perform a receive operation, then compare the received value to the expected value. procedure gpio_expect ( constant data_exp : in std_logic_vector; constant msg : in string; signal data_port : in std_logic_vector; constant timeout : in time := 0 ns; -- 0 = no timeout constant alert_level : in t_alert_level := error; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_gpio_bfm_config := C_GPIO_BFM_CONFIG_DEFAULT ) is constant name : string := "gpio_expect(" & to_string(data_exp, HEX, AS_IS, INCL_RADIX) & ")"; begin log(ID_BFM, name & "=> Expecting value " & to_string(data_exp, HEX_BIN_IF_INVALID, AS_IS, INCL_RADIX) & "." & add_msg_delimiter(msg), scope, msg_id_panel); await_value(data_port, data_exp, config.match_strictness, 0 ns, timeout, alert_level, msg, scope, HEX_BIN_IF_INVALID, SKIP_LEADING_0, ID_BFM, msg_id_panel); end procedure; end package body gpio_bfm_pkg;
mit
0379b736c06e695a986f042f1f2b0c20
0.470716
4.539765
false
true
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_log/flt_log_addsub_taylor_fabric.vhd
2
14,323
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block V0Lt2Y65pKtbV4CXiI87CH1u4doGo9XsxuIErBnv6kiswco5E6N7czrclTmiigxhZ9xFApWKNBEd cCLGu7JVkQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eSRHm1n3tP8L35M7jRugC46WGHDJ/iVYEPBatG5lSyZUCDjYFxip4MoUoNYCDN/adsWjNFzuVprW PEUrJE/jTKoRAv29X9waOES9VIxloj0hfADaiXcPYfL1NpVr9WVS2//AWPCsQw1NezD1jXxX1FZ5 Ycl/F9UQjmgb23R5r9s= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 4PkpulJQEIRqwsVgTkZn2q3zIKDqqUJ90CwUV2u4f8olROumd476AZPtoHF9xgiEtFJf6R7ZAha+ incBJ+ViX6Ehs+5PtBhjHunYgG6xujXNT6tscms7e1MDFMZS7kMfWehO5AH7ZDrS7D8uiH4e8w1M CTrZWYK/sJ8cnwXW4FARJHvSjjNV9Ox97lKm8x16CTXgkhNHvAjcIW/tb8XSfKTejQOxdU8q7PFT OotrR7RRgCycr+jj1p8Kbs/AiO/HBaZ5aCtG3RYOP6xFMh/nK0iO18wnOQEh8ER9CJYfb7WRz0tj wTUQhmX9rpS7edWTzTyfbvkfO8PDqCHt6C0+NQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bHzg1Bae1DVCGcXoOrk7T0/wuAWp1z1pkiWpUOCaVwKNT461u3NzHlqM+Hx2fyXFFXJX96kdJM87 b5qdmY4zpCWdHq3CNLOXaoG04NNWSpDqWEFOEk4CC1ouz+CdF7mKvN1FT8cdSFRssjvQHWVRMf6t rWoOCNBlXbbwfiE42Vc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BZC2rTGslfBwTYPRgZlQjp/Nlyiv0fSn00n4f0j8xQEfAei78+fRA7Hx/wnolatv8yHIHwlh0CHu fyZFAFS7ks8hqH9++I94zoc9SFr344XMJVC4y3HsmsiD/5wSBR88JaYgJyyAix9AOxz0O2kTVqxK Xw6tHOe1uRHclkMwOeP1o5tSp0vr8Ey6WViI/UCY38OjtBeGuQg/OLTZ09I+/8tioK4vsfyviDLJ lmXsLA7j+dd8owKRWzbh8co7P0h3kbz/bWt4+7RcaB2H72oTRguhXkWh9zmozQ4+JzQV8jIgiExf SK8Cl3WLZTJ7Q43ReflYbU8BwRb1je0wNDTsmg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8864) `protect data_block UAywe/UkvMemC3Yj1EPGbfkAb/GRCVuZqWS/yVc3pDRbSfmaEV47QSaxlHKi6dO+BMQ9uXn3rhpo zcwACaZF4QhesyDKtCgfVz5NcXl3GwmwxkMLaaUQS+UuylgNaGenIjkL/IvNQ0O4HNmBcaXIXju+ s/Yqollc9cK6ijVARMeIcesNK9QqLcwQZKuGDu7QWFAdRgljlXr/D5PvBQaHjoYqtN9TNZ3NfJFi 2I3/bez0QRvMqcMfW2kt0zQM6nPStFJWQV6gLWXubZplYj6ffkVDZKSw9ZQfN+lOuY+9YV9vSFUc XXY2Y/318MXYJm/3CJolcNrnriN2xr8F3PnJvxPphr1/qUOFWyFmIfDHL5xvgjnAgrDeCznHRnDE dXRMNmgy6EWti6aQ/mQQcrjKQGNx9gEcEt7UwGcwzkp/Wo6U9sQtEN4UqYJrF/ZWGqkNi5eLD4Wv 71tTyryRUkfi1NDQ1EYRuJ/qn5TJBVTmr3JtjtA7tQOYbV2+3TQQDxcTew0ygAJVDJCDO4s4Wd9s dHlmhRaTKJv1ROYAUkfQ0pIL1J9XMDNKfD+cW8v4LIsYnNfezyYxlphecL993N3yynSlngixAUeC QzFoqyVyNMGXHVVgIvZcXwDkHyMx8JUUMWs3zP+t7ayW6ONr95KVdvR+AlWcnydr4gQX7hmrmO7P lfv8wsFOzBfMEV7hSLLyT7AuO46yxMLn3GTU2RONWcI2qaOF3rbBhU+EdBPQ1FQFx1XIJAcVBPTW zWdlvbFfPlpGZXtlRkAVumCQgGBliGnnucYyyvpOAeIfV3mj1UZCwlQVSVSvX3UcDE7RjC3B/bEF 655RxoCsBBu3aeTN+fyNxd8Za86ccLVarRgJ8HwSsjXlBZS8hJBxLMvmHD5zchTNn2ycHni0tVFu hqIAe9abenvSmTxQ4uMRY7WLjUeVug3rHS/vNErt6yn1qJv6MERcJWHPbVyfMzQ8qI+eVtJTefQU n4DJeujPtwVWJVDM9RHvqQwOAB2WDYn7ThEWEHno45BA2SIKnQaeWZn4E7dfaNsvu+VLRW6N4FZt YXKV7xg2uG0v4kQQkyOr7JCvDOpLPSUe4xv40RvgseeKDbGh8NFD29ZAhWUsZ2tJ9WUr2Rr/L6Zu Ly7VkSKTxbsFkv51zLHSt9lFjVTe+SCWidSGWYTqib92C1OmZTGaAcN1Kf9BZZaWyxs5FK6ckeRP fvcHzjIFNEqX8G2x5j1f4N5bGS8jFqbpQBi9xFBtVadGAHE9e2N1uuWnqQa8HUfU8dU6VzGVT1yV +E0aDGlT/osNXJor+a2sDcNpEO1lb9WbXj2fsbK+VPfp+9Xb1wYvRzzaGJPq/rbef4NLVRR+/IGg zsYN2aq8f4gEL6PKKk+uOjXKzf7jK2SvmJGI+rv2F19pKTBs8xJnIv/xCBP4jYByUxrsEAWZ/4GJ I5m9+pPhv+ZCFBWvkT4PBvOWSt4g+mtlW4GfokeGh0GZf1wARSJS7koJiZqO9xRkdsOCN4OqOMWB a243QpuJEK8jfn2Xhvw757UqGtGqVadKw6YOgaVvcpGWDlVDsoqjhxCRoL7qgUhMy15+fft7GzH9 A0K+xjLtK9pOIeVHiDJQqUhHj9yQ5YuPzUUs7B/CVE0y/NCzEu6P1KfTdgbsWeJcZvO7K0Jjejy0 Wtr8vbsDbSR4SlZniDLWCAXKRsF+dkkw9ApaGOsJYqz1UoHfTcL2dvgEgIFMW/RYmszrbhzJX1dv fi1eJUczpVip+zzd5HIV78B3TkczbC2y8xHkamnao4Wzw0DP9mhEVi9Gtjz8JXqUWmWLjlS4XZF1 DcH8f1li0eD8W38/hIuURDcm5EFdVvthTghPVv8Rcsi3ZjFOMAzsv7qaOPjUEHAAjLAa12EJMCXD Nn9jHg2gEFvy9T0GlwPjYGLnRJ+oKhVw/ikhf9WU8NPJJ34jFfFQwIA10punkZ7de76gWHvWEQg6 ARwjw0QId7HdlFXcJsEoy5Xb4NMzgVIszHoYtNYyqpkn3/vVbJc4b+2YSp+m1LtUmy9H74jKKbJJ +KuXT7rraqOQhiQh7GpmZTXDy3wC68lbvWDVUekkPQnDrGOuVLIc7jbnD90VRnknM5hP6/2BAo1+ w4Sp4z0c2+G3ahi9buhCSduAydJYLgyqV2tArB+FY9mF1vDmgQT6jNXEuzhm1dlt8ojt7NZjc7Mh M4PmI5bH/VN5QFHh+Xq9UlkchzvHxcAJ+StrXkwCUZUB/hABZ5cS0NWpJ6ENl2cDQfZfj+p2YIeV 1+pZSbbX33g5yDUSSZDkiCMuK+fwKjnp91TCT4PRYDaT+sAeiuLw2+lz00xvsVCXc96GO+YyPwjb 5DPpC3cWB55ZDfr3wVmAlPyMwboom5RkvSPCv0oxckjI3q/VdgX1RLyata/MnUM0QBmFMGaq9YaE HdMun6Mt1V8hN4JmjD6KramRDhoiyg0gvQWdNcMtLW7ayrMYiNOp07ApRzKHYaWhNKIkzphzZKA1 k0c/e9DZ8oOo0k9y1MvF3Zq71RZfP+RlsWFwG2jquw+pLbligClz9L4hUi24CnHtZBN9FX+TLVw/ QXwM/Eufw5X5ovvDDDgKRKqOK4SLFZzElp0oyCbg8hDtw4Sm53Fowlz3EiTM4f7uUUIwVgSoKh2w xMsrC/qk/03FuTC0ej0xkKLjTdziISt8R8pkuW/QkJtzxyIM2Q3JVjT1+w4MoD1V/6M1s1UhrkCQ T1UvVo6/Vcyo1txizPqBtVLWGV46tewXJo4JUkiUgi4I/29RScrnwNIMM5KA1aM5+OfbptvORhcJ YH4VwSjKW2hTydNcgXJRJ4Xda3farFgo4BovahZwtckmMNRAFsB1PumbJQmEueJqvkctXhyDTNJo OqUGaseuxfQBQw07nbmgyJOV/WQxPFYZyA/aPZkSeaEU5Mav4t88jsDqxbjkGow4iXuPfKdD9++x ifI8fgfD2QkZDaijoXQ0udwOwesxqKeKaDgWCYk3ErYJ1CWUGI3xmQe1LClPO5qxHUW/78d5TXZs JMMvlbKiR8won/+QGXNTgQJz3LJd+z74a9CDCs6UoG031TiUQtYIeND6K3YcVta83FxSNdZI5De0 argoOwGk/E0iXwHUBuMpnC6neivJsymELBVrRMlkXzhuECDEMGUWjgvLFpxc4XP4Nj881ANvHEMD RVZLskqVts8QXS1cfojk6Y5y4ZXOp0uuKD9/Bb+XRdCGYq57t/u7gPV/CCFgmb9XLEAXLDN8MaKj FRDpFMXwKzHP1IPc9Ck1EQGMcvpZvK3t6PwvXIK/c8bdKWlBa8Q8PMwg10WNpNxZr13B+w/cgTpB rcmEQXDEzgfm3igVnFXp0D+yZXAND5IwRqz7WYuQtGp4phUtiDHRH5QYWik/wq/UayYrBnYs91R2 5G6jhMK1BXo1HqxGDDd0o4gQpwVX7dayNZT1udIBxng4hCZyklEV3mgoNgUG99ZOcb/jUhL60OmZ d98qifEZkllVDFWqxezYMvSEsU1SewFCw3yrxURhpZSezl+0oiQX5DUVAm0MDxnrbfghnKACsiNm F7KAQIrZr/0TK91tAa+1C/tJovv5Umz9sxEFiis7t/2AQBhTiX9iIh/wBesCNgPvG11t5vl6tEZs 3XZCdiEqY2NCKz3OliIEVTk5RKAQ6TYmA2RwZjRozkcTI2M402fT52Vs4LVv1cD74IHen8J3Tjh6 6k+lQOYJLfaIBZttmcRd62a1H5fzQQAQZ/35fkBp7FO/H+uS7tBvASCCNo50nu58z3XXUi+QONNX Uz8iqWkYjJ6K8e4HAb9X4Q5drVLK1atSX0aX9x5bybtrRDAW69oCn80NUCCFrMvoWeJEGBhipmmM mnc1syUZU0NcOVkERat0QQjVMkZ7sPAatwD5gQcrrHy+6iAWeg/ASg6YzKH8+SlLpUdSHG7q+wsL WlaKOge8Xs9TzR3EcLnErauYJU7oY+I3a5BDTotzLSHWTBl1W9cBZEToliGM6ELieJKMZgdp3Spo RWpbMRYHl7cqPN7HGDlZ7NDTwrYTiTmaGD7bqXAqMbU7n4g4wv0phh7/WQkRVjYuRXPp+0YJdJNP VCMiJd6jrJHhJzuMYNCSDbMyIJD8vCbcoVvT46cmknXyemfkJ1lG5JbGo66CTWAS8iRwPXG/nzTJ iC7zoHmhQ549Y0iCgKYuYe/qtUqMqKtYaBDr2EHIaY55EExxv+k6oZIo//a0IAbmwq+Axbl9d8Xc W/SElPA2KFcsO3UuXxqnWsIx0xsK3To8BgRQn2JXEXwq8dyYnnVGhyEpe0Fri/o470gdGuQNvCjf QO+rt/OaUPIZZprW0PalyqLbaIztIfOaSgR9Y8dnKXK1czTupYDpz4ADq99pFe04n61W4gRAcPg/ C8SLs/48QxPqyifiS8vMMfF6i/CUkZkC8mJ2Cf3spD3LL4chWtxOEC7kcV9BtNsedoGPnkKotEnf cRpCZTmJJA+NQhePz9n09YPVYHYwKY7/rpmcN56W8/TyfCk32iOFM/7zoz8SP/heausA6BItp42H 7vIHwGFr1D8eTEn5CwvLpCO01impciYzCDl7NVWQU9p82mUIz2dX0EYuJTXOu0GCze6OaLrksM0S SNx+lutDjonqTY5b+K1nj+4dJxlDu7GTeAqS/TO477z5aiBDq+nkQ8aZoQLri88vXVlhekPxdBi8 CInjO/Kcyki1o57aQ1CSzqeXUkkqDtDG5uexZsRl06z3vb+o2xX7ZZoW2V/tp+aieLGkKGAInezU Dr5Hej0epYFLmGWLKZsOWSBoMG4gIZDumd5RBA4JvfCtG2EkeiOobkKROEdoonA8hT4e/fXyNBi9 YBCQGn1gT8EgaqQr6jbgmD/87eBidmfNeJkeugw2VZMdsUSzTEzuvAcZU/0QDbR6T2wfSuSF3q1K V/PWieK8Ht9Hl4e4W58vmGofYRHO6T1Xx8ehWtDIjk8usTb3ZSLdUSMniJExptOxnTyPVWh3OdOw fuDGqlHrCV0uaM+fHzkkgoX1H+RPugMNK7G5Mr9v9EBkbRGNI2/56k9jdagZ44VH36FNhPv9vGd5 VYxqfV+NxOrdSQ4y2yqTffRQLVJAyrsEsfD1ckyBJDkatICBhdtReSxtYUOuwRunM2NHPgK8XXMk OGvATUb0Y1QZtpDKaE3AzBKspBEcMfXv7bP5iUKUEAwJ656rFY7ssuGT1fAB1ihKQ5/q3Dus/p71 hFImPvtdtJ6kIaLpAXIamKqYXMsSdhb/YQtdp9IPxE/COxXeroHHwAgeaYpfi3M9xlgNaDtraiRK RT9+mvvMUJeGSMBgl8h3O8wWEsat6iqBDoGwVLnV8E9ylOhCiFfHlmaYQ2NfUm/7rxOXwBUwCUDB RKAa5xBIcYGg4JTjMPL3FSuh4I+JF4gDXuTEm3G2kMGujbqOiMu3e1GD5JBXXLCHrjSu2p7tKcpY 5lFbmixqfdztBrGnJBeosa2hUZ9DkRBFnl84Ip39GCeBk1HrCvbfh+lLoiwCqtr8L6iY3CkEvnXU L8oGdBJDySLo8cw/ghHIFo5W135Sk7JWbzIEwWNmGDeNt+fM85U3/dCBQqt0yY37jCRd0UA0A7ux 5MlEPxMQz0msrwSaEyiHqoAbkEzOz4zyz4nzMSeEWfZyjBNj5+o7qE5tvupU0ZnDXqHLG8x+70mh /BEksN09KZAlWe0VL+tRULiyuw60ox/CB2Xk3/vbnMTH4GRIH/oiJx0xg8Umv8gqFJOySRmgxSHX Q2jvoexxkP2EKt8vCbjhnD1AjwzO2yf960gYNJKZX80YBFylTcp6TUo57E1QLahkX5/gXJlaQf8A J3h2YoS6QHOcuVn7oYkJsylozJkqOTF5McL+HS4jGE9RI73zts4XY82o77b/yzu9F94dscNyx3tG 2q29/t6OXOepWAD8IlSOQNeR5rgqDGFSYe1c8VBMKmW0Dd4NNhw8717Cm6f78viiwQJJ+mFm/rYd z7CL1zwdfoLCvHDP1N5jRYlpfNRkSFDefjN4D4jM/Uah+YnClpW3xJvsPwlD6ZoPeOF7vqaGACKm 8/5mlw//IN7XscvuoCGGEVLqRngPbZw9sczUWCMXK82I6kpWewi0qz8Ate4r3WYwgfdvgEGUmi+p tKsxM6nxV1zw8HeTZRZwuuL/4LWCMEUjFUXY/0kN5Hf+LIo5R4sT/iE34Lw0CpombdAAzbryy+pZ DpBXQB6wRjxHuX3qEvWQXnoIYvBmc9461ZfSFsYGpwfRPnIWJEIYa8pGhr4wVfZ+Lah5ZTlNdC3R qtPS4YNp0ziATRxRNcfc9btRJtEm+lNlUkne3L3k4Amo47Mf4iKwPO8IdcrPXv7eiXOfx2IkQEnc X5tLl6BSXF+qqyYwAMklU/0gI9nj8+28RE6x5AllnIJnABwrek0p9N89xv0TKsSjIV3kQ5daBFH7 etJE7lia+5vGN+nrV1p7qU+Y1/8pf6loFaQDpPXYocm8J4nEHJpYDgUs5v6PYD0MYTi1iq6vRdkW WkGs2iFhZo+4UMrWdjZt7w+GG8zLUmK8N5aZ1A5YWyyLolB/1s0st6Aq5wno9/T34lP14eEtIzC7 vXmiMQQiczJ4jeuKCQupUp5ZrDhU21hpLvmIpq80TBGmpoEzx2WtOal6xD79oyHMkz5A+laqepGv MHMD3URnTq/I7rLsrh8O/qhk8TsT3PTdJwuaAh+KO7uKKrLREvZ85zMPbH97Alp6WiZ+zX1i7C+T /yDcogG21IFxxJvUWEKMdV5AQ+tVztFp/G0Wo0edHWfcx6g9/+Oy40tH0kcLEJ+Mgu4gtqb2sSrW e2MlZtAXwmZpwJyy8GZP5egQZSZVOagntzSL9Aup2qMzW+RAGywZ2t4jzPdfWeDahSLMb0wWC2MK jbuwmYQW0yRcHQCxb4CH7s1JII3YqAMMBf0TmTBJE6w30CdP2wqIX3sAXNrr2PJyTvkazoGb+DUw IGhupji0MOUd5gR4VRvAU2JzOwjqMmXRdZlfr56RHcXgBplCAJmKU3jSxXTdo6cm8n+fQMJ/5GPb nUk0edrbPgh1N898YqcHe0fq4OX/VRLLpInmA4jgTpxnHrbnAe99thyEjRxyOYFfuqayneyZWRKR 4vG7d8x95wE1lJcakIKkt4YFVXMXmvDQvzim3qsXFAaYsEyc66o3gIGkcjYbOlblpNyuNmW/2qyC hB+LxaN0pQZvaOpC44UFhfcsJg0jvwhQq5vK1BTDoZPtaC/TYjb9DBd8dgW8kitrasIm4fX+m7sM 5KXcFGuxkM8nE8l7R6QG12EHaIpluofWqDMX3Ut59YPui4y8GJJm+gxyum8Bbwa6G+vywM7hyNeI xZgTHwePMN3eAl3sDJc0dHk0WAQpjNS90KhUyeRXfUvzoAzVcZJHmX8Y8Sk1BezY/JjJtKriVu9K URrim7hobnJsmySSvXqVo1TmvSdUkiCBAD8kf5nOxta3bzCMpDNXoVoFru9zs0eAFsjrH3377tW9 hjiSUcp0GpjI3dCJEp8DmEjriTfalvFS6RLwMt1tpW6V26ltBb1lZh9uHV8/CLO/zgDl/2Sy42+w 0LqrhWHVblwvKlAw6uckVI3P2qh0Ph3DdKqCZ1o+QwSxyxe0eqjbZHCjpRCxvsL02hSnaQ772i33 uA0nqCDvmp6Gzbob7U75N1nKTDtpzjLFRJhKXemTBBF5Lo71Pf3WAQA05yBK2FqHg0QEzn/UDXvA 0one7vDdXbim9o2VTjQsembtQndNopKT36CV7EyylKmRFbjR5RqLbY63ytokOMZ+6aqM4dPd0ip+ cazy/37ljWQgo2s7X/JUgpixXQmsNwF5J4kXmOhWd3HYCexavyA1TXAzgKQeqI0y2Zg5CDKEY273 +zhSKgV6KskbbjNQPHNlU3OfgcLAl1Mr2bmRqT1bh9D2fT0kLJGGfM6YixHRYO4mknCrCBCGpCgW BGTq8Vaa2Ei5G2zktvtCtIZsLL57LRGffbYU//s0WMKoIDAVr8173trmD+fblEPa9hGon15lFa6a 76xg5Li57bfN6LNknuD77IQt8GJIxqf29DNcD9Msr+Hb4v86OqWuc0KxhhXYWzcVEsOyUZahbUkM beaNsnMZQ1eiyiPZyfSecAa9fo1GWoUA0VmnkqCXoKbUY9jVPCwQeWTRepYtgNPMC0j7gvJZxb9W Y33GqU3CniqdMlsLgg3fZtePErD4qkn1T8hz1Qkfj+J0wBPOATS4gkB8Y2yxmhwXvBqXEec2Uow0 gv7hVaRTNFd4/QI/F3k87JR0Il0sOp5rvMVmjSZ2znvaDA0rTHXwjfYLDsatLQqt5yaUrns9fsfb XafYxDu9kPZTQxQ+Xd6Vz17C/6hJZbKakw6nmj2rZCJeurf/F/6s+J2X1IT/F5h+FY5wl8QoBrgt cFWQ4o6PUL/eHl+JqPbFJb4rt24AU0FFEYx0ZDqUsxbvWAvUQdEPmbPj32g8fytlhbFjrj231rF8 bFglsHC1y3RVnaUc+dap1qXP9XY4xQNHg18+PqyCafY2uKMseOab7aRMg966DZ65BSQcSicGKGH7 YJrn60kg+44ysBf1Xt1I8iKlFOCUCc+UTVicpOFWWxal84sLmVXgxql8WZxfdGjFnSpugcfSS3eS qtMja23gXvYrbtTr1MBV16N/pGsQspdf4NJAfHaBIP8F4GYwGhluVdXKAm2w3i0G47I/xGBo/BI8 e0tP7ZYfCOaQZWFfAhsu6M7MyUwDrR3vtfueUQyV0yeC3Q20aBqTIdJarq0+BD9+/EXUsLr6eNcj tUl34tZr+3IAWst/Cx193ixpEaodCuOT9Y4PP6VCpx3FlpYv2akjVm+O+8lVFFaWaoJK4H1uUyQY vwE4/vqJYJxq/4ml5pM5OrQU+PToLjkzm7HaZhpLSSDbgMCSFEsktylkEb0yD2B60S3JubPuBPBf XF2rDr03xsW3NJg+jFsO64pdQmE0TYs1YdmB1LkA8Zzl9S/pk9A2tAlxPmBrX1m8b/8QCw8wa9Vm vlfTI+te6UzSmDWW0iqyj6Q17E+2Kk/jWz7ZdBmJhvDBkXznzHsak2biHri54x0QD3z8uE0v2jgq D+8cd4wMRYeRxGIbu985nO9NnZWwh8K6ZaePNEn2YlYzu+Rd+9XIn/rIXjfqUZnIoApw52ZakoYp iogpC//ZDdMLcrpbgmSskSS1JgEgzzx8dAIMbXgh8WXR+FnCuRjA1cT3JysLxWZbr/s4j948Hzl+ 0KyB9dRifR5SR+mWWG3hUGgD9aszh3Wq4aOBcLbjTF2RLjXV02PCLxWF3/Q1My16lz96jgdZ1wCp 9akdgp9ihjGvofAGWr0f7PSyHOgq5xvtNI37yvcGNhI5ACNjGt1RErjE4e60sSOVrGLMdGeN9DE9 39Mw4f62Qi8SBGLVkRpSht2xeXWOir20H41Rf/ZrsL6siE61QYzk2eVz7hqrflRRffnori+WJwW1 bNLKOfRET08SmsS8gKtf3xtn0/5CWqwj7luDFMigh/J+iFC88haZMxCtKg0sgCZ9X09CqpqkOMvJ W9fk7jVVXdOkSoFOckeTycukFPA8qUwRuZU+zusnqa5jvpq0O6vvMctJHWZbZ8SXUkoQJzq/ZOIo uiouadn3uqtQmXEnFUznVLpIPpJ+DEBBLzEQzOAZYTUUs7VQTXBxAyLUiLQ1h9rFSWM3d5SZcLnE vELsTikfy+SJF1tjk1KGVyg3iSdogUssPyDMx8wlpEnRbSYy69D5kdV5FVTZpayzpl6LRqGPuS3j 1TPnn5ss8MumBZBl4sC8vNlVGqLlrGbSguNE8ZyqusN6BkJj3Cip7AkwbUGYO2m0A9aBg5awpWgE HW3qBqnREeH68FI7edcQcvGi+0dkYxKIPI0cS4wo2V/tuNYpBXDtfTRuHrCeG5SCbDsZzhiOoJyz FLnOd+LYaS7YRzo0INcCTiud5EiVMtMhnOl/imtIBXRbyU5TvodoLQG4Uq7hk7Mr4oK4KsXwo8yA WD7+ixRRxfZmqmA1OWTnkBhA7uOgfme/HJx5b65halNG+2xeSIaKp2V/xb4zCPlnORCY9rFYWnY8 dAvy1p9IVcvsCWxH2xtW8pKpM+QEfCE94gHMS1t7s0knkYdwJfMV6VMQZaOBXOtVC5ovq4fOqT9P Iy6LlBh+PRyXwlvPbIC6aTz6iv2GcgT2EbO//f9fDC0IM2f38H842ekgIayD/PP7i2gmJSvrqkJc lEZ9I910zBwGIxp9mxq9ag/P08APzw4P6ofWs/FeIbv65I53R7ciliZ05rakNXWSmTzCZyj5wSaQ rXTXo81nppOn/XEETUai4cTZoCcr2jtd8T1Sg7tTH5TOXXnBBA0h4inHRIk87POTmXi7qe6wEpG/ D8JMvzjPMmp4AC5PEJWibfXpIrRyLV7FYA9VwOvmHRZGMdM4Rify2XrDt5UD6ZK64ZpLYBc2UGRs 2b4dbixJ2v3MWz4ojrclFOkuSVZcsfGQzPBknUNpAmn7+JFdw4aQ4zgzH6RipH8+oaxcczJFlxui Nxkkf8vpqYiiVt6iTI4OVUyRq9q6T3C70v5khVY3fKINRKbTkY9Zllx1XfjWi493Aei6uJ0AGvBG cLTfv3fEhrjDa1iwXsxxPTzuC4jYKAimUiTXYc+YJrte1zKg5cTeL7ZrasFW1Ictl+9KyPWfNlfL iHkmpD3WNVqP31iEx6ULq0n+1BQQ/HL9iLN5nAlcb7r6xwaKFJMQB0ihtVmHA/8aSF+9mGBfASxD iv1YhqOkWRZOYv7lZwi+mnRUpe7OHMwl087AQkOTonx5NAoUp/tMP70pVOKEDjqsQjmJNPdvtQ8+ 4c4wMWafd8iga6qjMYBrdhYuO4LUggOs8D09bOtcho47VgOddEHfQqy5/147p9DPd97DVCx4c+e0 kNu+9ARW+5kyla3L88TiTebnXJsE85Ka21Jgs7OsTD5DHcY6BYFQjgv65msTqbKx0On+E+bl2/Ej /R1b5tv9ZdE3gEd5K4FdoxCLJOha/la/eJpLypxhmcLQOBrAQ5dQN2z6x3EeiTcJA68lp5+L/ztd NMagj43GYhdj+jJG977odAVqX2ZfQbfsjgEjrHNIFDgnfTreF04OvjWv/rbt3z5wzlaQrHn92wAa /LZKbEDjAaO3VaEmJE0RbUxP3NqvyklOn1+vAC/tfOdEAdCI31SPL1bFrwBRiSX4wG71gAfA2oTj h2SRJ2xwSN13vc7wqqKnznrD/AucGFPlMi4nWwLrKCa4cV4AXWZ6CyLCTq0ywTaQ+fgfQrXULV9e L9nbRLxinwQAYI+fqcIaHngPauz/bcqDepumhYq4T0FYUr/k4aoRaK3c9SS8eNOOuHIOUVxja08T t9eWXJzQ+ElpqhDpuHhpjYIlTInZ+NG0um105oFTKhV+g3szGq73jpdov0QhPgy4ZKVldTo13QDl PMAW8UPL/932jKJ2vsP3e605pbMeuKrUJz6T42I0ze/6PFIpJlpw22ujYRi3Iy3HLV9x0s4AsQyp Xe3byXAZpTvOXOc3YQqR/BrHDNgREFQikEjhFy0ZfffuKsUC0Jp1bITTawEV9YVkXx0Gq2M4+u4v mxjs1SjHPLxNRnqWzi5Hp35h0uQqJrX9eWwj7z2WCLMEDnXq78Zn1Hcp3f2VyHh3i213PZGytAec 9stRZ9s4MuBAaOE1sGj0RC0qS5OjJgBUfh0PB11/tp2HMg0w0KkYwlWGC3nMxl18U1G7LrjsIhGG p9I0jvWuFaM6Vii38J5/sj2riMDDieZEtzVr5r0= `protect end_protected
gpl-2.0
566797acdeb747de7e076766f6ada5e3
0.934651
1.874493
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/shared/delay.vhd
2
11,968
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Oitl/X21EoNjTgbCpfxZW4Qe6rWhTn1D28TmUl+aYqJIAOU52X82Kl0GLzKilXmmBx2VHhQUuihr MyoVqvD1GA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BsmgW7QFfqePYe74gMCkE9sR/D5bdvtf/mmfJtLFLwroWDPjP/+4imJ1v7igLc0NPEfsIny2SuEz hX5H+98yCpQe98ZcM/ZWD7ARW+9ReCb3MSH/SWKobgksKFss84/FRAzoJBZMYgsfKazJ2IRVY2QF eKlY18syBOBSHLp625g= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mVx6JHcIc7Sy7Z237H/zTJkJ+bSUettIGufm7DjTYeN0MckqlgM6VVcp/nfAtYV6KtRbnUfmtTe2 ylYBLDbqXe4SrXpVVTS+Z2+Bvt2Yj4V06kTghIsQhOHWdHNuwC45d2hPhJLrIJYlOupm0ot7Y3eY natr7UYfK488l5jsagGR334lFyDHGypDkWEg15vpLGmuKqH0t23J2QITnNSii8D1l1pmHJifdpiF Xfkx8K20t8QLmgYQujHC+ERS15de+cfZ4Rbyqme7jYXuucSxIAbSMob1L7XFX/pTsIvuMZdb/QnK YSlGBwc1EgYqVS+S+TwJH/pLUxP0FlpBNUdgSw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Jg4MgyAdQu7BatpulShPm3vp4tcZUD6s57ulaXV/t99IKiZP2ztUibabv8uyWT3uuvzRhjPomAdx ZoAV1QvTRh7+FEC1Olv7m5Qc9znzKiD0QHKvjCRTuOokvKH4FwWoan0MvvlrCKQBCrRph2HMUIyP RmM9vOfW2eMjSz4oLm8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RPXJcXWT9OhocQTynlGOoFaQ6ZOXHskRXifdx88smkfKdMSbmAwisH6XpOZvxHbzDbetez6uDSaE Fh+M2QthtTtqaTOu/4pXD0VtqfceVyk106jpBTaXPqamnIqLVmzz1JoCK9Fla+0VDeCFsYdPP8aj rGTStzQsOO6PZG9ShjaYA8Z2M9Rh5afh/LC9/OHsAvc/+KILqSAtxFnyNkKmZIXInNj+wTPeskxU 1W+AG4iRdRjOFCCIzNx+pE2Na3che3Xlsbep1VO7Wya5HR1046HdovUmWyuExfUcKGLnI4023DcN gq+YnvAjYW4oguAAEKSnX/4kO5QQTYsq/hxpRg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7120) `protect data_block WWIUcqOWzH+9yzLAYc3FY6uGxJWJ2LNEJ05G9LUjxdeSwN88SPRti15WeNOfgP74Dv+Y5wJGuWb3 XkIJ7GIqsCcXo2jsrQecKKoT8anMkOFozojEQE7B5MalWsADBh7F+HRCny70SGIVgPSwhWLjYSch f+fFIdZlWibvfupqDvFll62mt65ymmjTjkDJllHXCCr9a33RF9PEkUIDfQcXwVoQAk34/6krpWWj 9381ynEhFrDZJxl8hKvFVdqdDpBmxUfuqeY0qV276WXMTrY0HfEPgfSsWvG8kVY9ds8O0EIAsDJb qNweuelTbMnNPydCOUdoMFxP7fMEL+X7rAUT24TkXrcBodm5vPKQII4IGSAw3W6foOlokvTmh105 fNlewy4lCO0axalVXniBQwmgNOlTOFiJZ5K9qYVRPAce04vEgI1Vzl8FppBT7Rfzt4siTyBQoYr2 FpurnRJpLFKIl8UU6INtK/2Zy7waqg9zNdsbpsluNJ9oZjfAqPOnYHcAM42a2FHNhVpu5fCbz6eP 6H0cohBmfJCxWwU7QEi9ATcBAkY/tO4wDqQTVjNbNwUFag3m1oKaT1tHDRwvi819iBiYqM0OrOYp /j4TpozYRTAx3cMoi098M2WDD9tDCc9Z5z4z4TW75kuI/Ggw73AOJUI/lf4oCjrH09mUivZ5kG9b +Jkmtd3duil1490UIDJYPG70lhoB/FBQfLTE9LEXlL2pA4inp+6rnl4P/z4CAK4mYjEKMtLhIuZx mt0SlIzBt50Cre4UEUir7wcWl6IK/MF99lCbdoEsBZyQxydKd//Xebvbwjbpy/zU7XwJHPgaPrxu U3ClQoXFNsXQ7T3ENRpRnpQ3R0CoHarEMEeCuj9A06VUZtZmdnDJMVjjQxyQfRjbb43yz9/O/pJp TIhJCoFCy2Xgwr9lABB68NllpsodI72ykH8GVYjwXpy6H6NbM83URZnsAkE/w9UE/KZYFPyk6Ix5 7UTta/K4anjZSbLqufyS39TXE29kou8EaKG6+JDB/D+KJBcC+Ls8JNdZ54EBp0aqGcZbg6bn0EMR EIfPU46QGLCzDYQ/Suk7MHp4WnVwycURq6MUDUm7e/u/+Co/kPetIZQgBtb3rt5uRmDX5ZNM/HNn fY24v6b3iPTnCuLHmr5ni1RtuQkhmWmd6gzYcdq8Ek4HlgEAzvUPsE1AcLR0aiSWRFf4GkRIKWin +caqE3ixSd9kVoo1Rb2tyak+4L2fiJiNDRhUPy0t5MX7VQG5dTZartl/F1f10YMSyCJBbJp01XkW 18XZyGE36Dy+w8DfCyTwehjwQEhuKJH0ruRl0lONqSuI2IFP4Xsj5ASDu+iAL1Ckbgqf8NyA0Rhh IBnthNthZzRvzBeEXpN6iNwz6NSuxYfhgDktR3bwqfjETNwSar/CyX+wc2GTMCrCoeNvG89SqqWc Rq4xFW4xhw/taVxrN7DoVCMZbx2NxkIrnHE3AEw6ui/la41coRAjuLRJ4CYTQG4KeDapKCm7Mog7 icaLokhgZGi0rQKVbmXMi/vAtwdiQhFwcoVR6HVMKpCAleZh/9s/BoQDgQaV61aHYUzSespRLy/3 +Y6VBQ+juLCjUGvicRS0KGuZfdId+asCZcq3eVBpN5H238aUw5nG3jm1wBhrLVRPgTTmJWlolb/D yiCSEmz9fo1ID+1ZpR+DIv4q97mdOVsavoPjEuPFR4R/HqPatnGCH7Cql/sj43065LT1S/TgQU60 pOz10v133K4YYL2PJ7LqeMc4XawOBZWLCYO3fexO3dNnRfyqkjbW3fAtfqcx4xojObSbK4H/C1e4 r734KOTXI/YMA11O5NY/2VdoUFbk033Ah58qG05GeWRURW54x2/ngqjLSfV1gWDmGqd4suSetJFc yIxE+a+nn/6lZGpyZh/Wz8mADpvvUCCF7mGQnVRwCfV1JYPoTGkgi5rRpnbgh02U3xm9c2WFzHAR yGEEUN7T5+qdt7d1o7yFwtJH0zmNtmzCOnabuBa3mNmZ1wChA2zkpvZSDEBq/Wc+jneifqa1a5Am jxnTzPejqAjUUaSX0MQKKp+0rYDo1FJ/WE8hzKZg3nRPg4KQyvJc/dskBnDfpXaDXkZSrKG4EUat vF7eTWBZIo9/B5SAEuZvLBBX1W8pTJjf7qdvjf5pd5BRnO+m819N8OVMDQP6rHUb8PeqLMiXHCtW Ob0yvt4A4VVBi5ueaZfbpiZK8dzgQBa7UE6iz3kNFUGgqZ2JzBMy2xNlhpVvckX2ORVMgeM5HQmo cI7S27jAQhc+PWPFKiPvis/F4E/Z3olxG2W9HiAGR46IC5C/NPWXCLPHPc0+c6MSCyv+60WnNvwI ZS1ysKuf8tqX2xwOupKvij92Wjo6Njv8P15ZtDw7PRpZmos/AUxurK83VjiNJyc6M9fNK0mNxKXN hBwUy4XH5sjDUEbAZhCFh6fGQyHandvkd3z8REIC8KaPjzmQplTouiYEsjOxWxijdowcuQmseCFW K7P8/pj529rG+qIZCqJo/W7CfMOuIswjdh57Rxmcb7FmscrIjJ4oU5kjmC7xTpIP1U1n0OP2lyNZ fHThH7rNtFboTCkD405wj8RTIg6qJ1REsSibctgqOV3Vv/pir7eIizvI5JPnl5TM9el3MUCVr4wp eSYYdRq0cCiqbMC0pcf1fGnC4Q0bCF+1e6HYdKdP5xU/WgXGU5LqB8DyeQFICMCUZ+ol/xLwLY68 efCMwbtM6u3I1D+JcvjHIYrkvxmubhBM1C2DD9ftJ3QEAg4bpp3Swo/Q/KkOigcFO31+mLurWv1i sGAqvF97a8o3sJWNYe+QnIpldEbaOMAvhumoBfWX5o/x46vT/GhdJ66A8iPGXT13DF0JmTgylXx8 tXsp67Rv7ksTPf0df2eeW1pUGtt8x12dEYUR2tXk4eR98joaS0xX+OstrW4hPIrhufHDYoW4vOLj XwN3L0beU4GLHVspLiAIyHYtCe6vo2If9M7y+8NFOdXliy3OftS9pNe5KYqvtvYXrBNqlh5Rl+Xb JTQzp1XpMvo66gU+5KFZ6OBCM//O/BA4vNEQogs4x7JSYUoY51Dbgj8hC6COV69hkB3C7/sw0eN+ EHdinPk/OOPbBMjrCaga4SLVybxQJb8a1y81+1xmxAYw/YQMQf3b1wufN90LSG74WTnnds3XyaEM rLpwZCcbnjtSqo0DaZh86tVypCcl1tFkBQcr8rFHinmkkwPK2Xu7mWB65SRlPTeE92AA7SmLj7NW 3mSJhLmrY2MOJ2EqaUQ6sktrVTL8lEfRZbRQHWJdp1didYTBf6/iT1eKJbpO8DMW+Lg9fx8jElAG rtDnZbQbi8Si1wFj9Xg/SpNCX50/If8OcDuQFugBO4wh97WbzpnC6fWdbvo8ObZ/5SZo9csUzAPY Wk8jltQ+k7IDCZuAAXT7tS1+oJiymP/Reqq8dvEAZzgUy5lIdSMn24/zZS2Kj+g21Pk3k1SUorc6 1Jq6i2LQOsxmHit2o2eyqhMPzsPzQhBMtGwaHNaqmuiWAfx1F/DdA+aaAffpjVlWFT5IzfyC4bfr 9QtRyo9ki/nojqDdR7TjBAzsPWhTecnBX8CeIxD1+JikwuGnDwfsMIdahwWP14pa9dNCuMMEWbW+ lzYwXshXxU5XrLO4cqoIYTFYA+h67Nj2JVPKRw0Z25DYN0q4VaqfZjQtKqoaxVzT+4ck0u6+/+t5 FuHfLssPi/eLFMPUd70lMpwiTXYI1Ab3aSHE3j3BZNEI96N0YiTLIsQc2TqkZYQLTFO3zBdlDS4C ztwm8Q8R5BEgFxsOLVIODzFOZF4Nd6JWBiGW5wD3UUCRRVRxPuHG7YRDkUhEeqLATRo+DK5TvpC4 ze1yCc/DzCEIJQGRQzJNcx66fa7xP5rTDbcP9ThFmcnXtS3OejXZF1T5MqtsUwfn5wssCjhua9m+ RGVqq0fTpATCGQAEe0M+yBD/ir3qErZ8S6LRacpoOPZqT0IMsoBUUmPWvSCSxbycukvmKx9eewyW pw4Q00xfLte1IKFtgWoqE42gvGPEwgtttbcBjO1ObQW5fMhDujRz81uG6KWyDujDpg2hZtQTpxiv ZVyVOyQn8Q4fWZQezlWn2rb1k9m0zYEoK4RplNPhWMIiwJclBOlxKjWOpkxmjCrggnhy2Fwre9lB jUHIICkzPZbbd7wJItEWkqaSlrp3Vw3fQ+/tTj3Wg4+x7wLGkIXUrIbRwXzBjTE8nqOyZZFnt/K6 oUUD/vmg6I9Ue+ICZXXrfvLyw38Oa4t38YB+phre9wE7U38hAben60kXuOtKPlE9QdqPykmEqJDQ cz27iSHnm51zcd7Md4gMbbrc70hOh8Fbfju8IUpNEmWR9314j7NTzFwJuVOswWrOo77p2wPSN1D7 U8ZtCS7Kqm8zRrwEe/gWw7DY8kJoiVHpmmFlKo7r7Irdcw6uwdckMWboUhwnHtevijY4Aoy8TpOx D7Kb4gf4kEsiKC0K9EkMnFeHfvLf7k6H3MY4kbUrBxCBd67lb6ty2oJv4OM4W+y/4elN0h0fALX4 NQi7AVbA0ac5fmxgM7Is2BlRwrm0kuTenfccv7PO/tU6edmHUt1ICHMINLNRY2uasZfPdz/848vX SQ75veHBXBwOXOqFgbM8ccO73N9rkZ/+6NiAGCr6VKmLyPdV6+79kKUX5mEzfBOuxqpdERCfVbDR 67coNHgXelLspgG8bU+ioxcHTR3n/E0LDxa4rggagEyuR0uN6rVMBwSXZBFrmNH1vjxNbDaKoSHI rWkY1n1hzsJViJ9z27C35Pp1msNrMeCIjwAmFeKfygGiEwxJb18Oh9Q3M0uGMBnU9Dk5vc22Bi4g kmCG2dUrZnqxkW97IyhHaBZHOOOqAKaEGR8vqpxSf4FM9zK30f+mIMD2Zk5wFj5UurpAYyq6m+DU briVA7FF/LKwiOV0lD+eFikPyfojrJtvOq2OgNntH0+J+mExGy9biYn5LvZTJf+VDqZ/qefnufRE biTuNRyXUL7wvlgkekqxA+Q2yAd5I6QNx/dR9hS5ZIR6huZyP05vaJoGI7sEqcFojg3bgk06MQ/S wRT0/8JthjUjlrH0s4LCe7uz/wHDw+912MEq2dPele/kJzQEgn/8dm217olc8I97zxNGUny+Dx8W +f9iI5XF7TA0d5H3r0Ir9YCxtMOg+A0ZFDpRH9tmWzkn894WDn3QjrlP2otMoEf5bUWXvW6c2v6B iqPfFXagnrrGheXjHou49oEsdJ3+ychIs+d1YleIwY2SkByBqt5X6HZ4L720EBzY4s3SyiDilMrR mq2ksAIHds5VLaIg4n/NAsSFnvEEWCjkn5xMEfUKrMgPldEINcXwi7Ecmzdoz/W0gGmb5S0gP7rX j89mN4m04bha1QP7fZ53UOEH+mqP1DQBYcTvSMwwOEeDbbBfOudJDpEeE6u+PzUphmAHnMjHq8ly sCQ9kkNgpJ6logxEr0NwZ8aZdPHkFEJ8MQTpN/5WQ6nzIpuf51WnsK6UJ4z0/Jm9VKCtyZovqAew vIDFXQaDiLwe3ZmoBpdAk51lqwblbVwk/MAfe6qjU3WHvwEgYX9NYSu/aDmJxqDzbjN8x4sLyDRc ClTrmb1QciC49Fu7/W3vs74P8dBCLiVgUzDuc01A2teSGgxTX7bYyys5wATzE2v6OrUIo0FCjpDe PeFVuw4/d4gAD/sGc+i6B0UwAMysTSh9SwseaGj7yeCurq/tC/8L8/0xu4TYXSgaK0zMNcii5u/r ok5PfJTyo4CTcp8SAAQEGjaY2qixrdVO72FqDi3kugr8D7ZtztyfwM+q8tRBoBNLqxwmq6ryAWZ5 APSK2gz/yfgUAxzFbMJw2oGrrFv+62xxapVH+rnJL6Ti/B5lrwkh11JNWjHkNnknus3wo/BrGRNk It5q5ruz+jbuJsSM/7mFXq4kxaeW6obPZiwmPI8lnJ5sXoJ3EVkem0DTQvZabsatcbNGF2S+uAXb +X4HceW4YOxrwm5gtdktaJSIJg43X7s8Fuc4V90AJB2OqY+mV2Pk1myQwA3ADTdCNT3k1+VCblbB KpkdFTnT/YWQjD9XErTVsWxqevxS7a71EYo9RDEM1YnTsPnfu44pSSLq5CsJ5EEQ1/v2A8CqT5uw bOW1VMv1irYqYwNl3ObtJd/l4OQB4dgObgQcmy5FrK7ul0BJZOcOh7kYQIq5n+UM8xC5SZYWlKWj c8g8QpbFc0k+euIivULsEFvPUQnmL7I188zzMQG/shfB4ARaLyWPpIr4wPvgPcggTjiCjfuGLaZd YPIVFiM7Vl0Y08zgaCv8H83bHRyXU1m7caDT6r4sV4MrWA68fUaPVXxmn4KkEJTQNabkJ5DDgY7f i6fSPT840CPYgnr8Ya+hdjL/24wU8a+t71Tp2/b3YT/WsPcSQSGP7OTyJgBwZgOo/wn5ZyR/xyyc AZnIYnrs0gl7+BHqFtEw4hPrbPtHzdTz3eKdoACKHVAvIGN9dPn2Th4UV8RvOQpbPhfyO4E04A6L 3Mb+byzixTy2hi79/52nO9j/4pae9pUSp8uZwW7pLV0sxjCY+sVdlW6sTVrEY01n1pXJRNj88oGz emdbfMLadIXjvBKH/dt3lRCsYi0hfeKPYehIGAN68TzuDmOye4eqPMUbsV1xRSiu9H3t6PWk8s9y hlJBFwBE78La/ycyAwmxhe2l9x2830CqHosSiW/hYrYWz1uTtdTFMYPfTrAR7fV5ILd8WdFQ9t+j haWvzjEaG36EztNRMB887d7iZZO7Cqk5+PGGoTlEHimMTHplDTltE+NzOl9p5dvZEkyGQgB9incd +cyDRyzoQ3sazvm+5fraWTZ4EFYbZDza7Oy5LQgbCgZWz80/s955ItqeFSN0MW6NgUoFk6S6QuCb pVLQivUn2PmcGby2MIhg1i7UdBvRbWeyoBt3XLYFTCM3XF57gBDrQtlmr6DAvXlg+mBsyhPkcrWM XodSeb4YD0+M334EvOIKi+dzHhmW0BGXhkVKkFMIKFEeUrd7g0nv0/qvkW2iWZ0GlcJmoF4H8WFl VofGuXp/lYqnXSVOCmbs54nj4TeI/GkAYDOo7EMt/8QCXEHZq3vbfWE8ak0d2r4hUaEXHkNQG5nM k4oyjD+N3xUaR2+4cBUbOXOR/cXjpxlEb8EeKetsQyhmCKfl3DZEvgHOYarpnrCViff1qZN9qvrc D4cUhf6gPxlBqBt9IPXHddJCpJ4WTqzVwPRD2BjCwX96RX/FvL3gjIQFDoN0PZazYDUOXxB4YZcv c8ljMjdLIf++m2VO9BZI3IqONdkb7+jHhJsasN2vKkNXaDkF1NbX7ZnykInaMhL64qXsOgvxU0W7 OBJDNYL9huONDFX6L/luQtQdlnrcrvSBqiuSpJiRrM3yK6wDap7UKQ867VpBAXZ5ObrhUKmBLCwZ BuUcBv/7R7WmTTuptBaZQjtXDwYznL5qu0ARL181J4zZvoXN7EcB+xaEOjBYi3vxq4loLPJYO6qo SGj2U2vnYYmUUde1A1whnd1TfpyrQOZVFE+X4aRFipqF8lIYqTUcOntiqIn4qg9aGHgMyx5fqWgr swP4LKJPhV/cKJR1b2zI1Yha0x8uUXEJpr8sm2e0jzc8Jy4BRWKEVmE5hgbg6Dk8zQHvnySdxH/l 6OM59dU6WtrNjLTkW4Px1NxWaktS7AWw2JQmPRa0Yv5W91Xe1qdBg/Ia033NrICmbcoqpv8AJ536 Y4s0IMu72Utwwx2LAw/X6drZJeaEZXX3SeV1Pxl269aW4xsLeq2ITaooYJR6IT3JjEeFhaObkz4u 1kJ2fUsWxw7P6hoDGw73IlsSvbzwsh5DDMh4k6Nz96BArpD+TKh55yJc1s615oF2f4ipcUYCNOtW YRsGLBS7Jzn2BOvls346wWEbfWtwZ3efDHpn6Z6nkVq3+3dNJIbR6iZMAao6/ZOuAFSX7ZIf9DJ9 pY9ZF7QfB0ZU9JlM28GA1ESfeJA/OpOePy61oMey5bPFFcdJWsg6GExj0H48kgbe2bOl61L0O4uh sMqIFqwsicascdYVPJtbzpE9nktJsqJidbp88yp+EsVqP1q1ZzdPNOrEhpLwwPiVBQpwFpVATffc uFoID8+gdaVF3VeduDg0jXSOl7uwbfM2D6ioO8QoteWPgivBoMB3H3kgr5TmUlPI7t9EWqoVsFvr +fdsVe6w51Y5B3ut9v4Ru2VpmlzZwkpPIbc+z0CuVGlV1sf6xeOloJ0uak1dT7dPYSQMhEc7u4a4 2HHm2Ik0WnKCa/0Cm4YqSXhK+WuygB+ArUOCtKhoGGPi0uK5p88dFe/OMl3/6xBynyVp/TDcXFDE wuruM/JJgNh0wL2Mr7heUxAESmHAW5nyA3N0g7m/Qbtxs/rSvJc2lEj+WXEKSEXu9//TSLn+Sgde Zrm73/vWf1mKXEZN8qYwJcGV/75oRPF3l2lsePCveD5dFV0/kOlGxqdSQgTxJhnIpDV0oKlhVH2C O5pzOuqEYfMyU4smIxpluTIRaMebXFK3iDlW8NkqMXJHLTUpri7drA+Ost2eeCze+vSXsotfg1aM 974LRIGLDbOumddg8T55ayrn7NBsADpoR7BaIvUBI0BQGS1pnpcPywdaZ8CKDLYSW93J5LFfDWf6 CbIkSU30geDni/oA+pSyOMBe5mrOvJN50AVSLg/esIHRWyKymaUpVxumnAJask04l8+gza9vlAVc vAQQtr/GpmUDplWlei2FdPRcRe5E55N10xYiC+Y7qulGNb73Bsm8HpEB/rwNvrOraZ4Stpoju4+/ yqAQsJFJOvfVfLiQdYIx9bz+14odrKkpPwtzKUcIgdwui/xdUORVur2uyfNLlyoWYKLAwmeyj0Tq 5aMxTYkT7X/KPXka6ER0nS+7QRbgwNeT3xHDu72BeytyGH/CweOg7G6ap4nCUFHo/BFaEtgjKDmG xmyNLIwsjqw9UWomadjw+UiMKZIitKwsDUB5Z3nZELQlmDxrtPCfPWEC98u9pbP8a726EtbiIZLJ 4J5YZmVl3SIm4mRX5aJrEoqkm6+XOYy0uh8P1N2fQETKlHGVviYkdijOCfMBZyp5cgVLjQK9WkNa pw4QaVS3Zvi3yWYLE3GmIcqEXoGSeXcnLVgHfUU+gl1T+gWXAMLvHHDn0EMOupy/ILtfTk7CQMBR 4XX2BRSPOPAac8anLSmwWEQjp3S2sRqfbkb/c9DxPa52C+9sKadonBxaSJLDoOW0gj+MLXVpf1km bgd3VJ91LBd6hCRDgmrMyPNY8EyGqDeEWso2hMra5PD1vXqm4heHBdf/3CM2++kYxzR0fTNhDy0D WyZfX46MIta6SZZcmhct2st2BZhSjzYYWgnatdViO5LNJBZ/AfLOulqHqI7T6EqN/QEKPjx4YkqW 4XWeKvT5+psY/rzCqkXK9zf76cEf7U+HyGBhkpZkasK7dfxNblCqhz40C+/Us7mucO2/2w== `protect end_protected
gpl-2.0
ea3081fc4390f62388f7e814657c4e3b
0.928643
1.885021
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/fir_bp_lr/synth/fir_bp_lr.vhd
1
12,176
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fir_compiler:7.1 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fir_compiler_v7_1; USE fir_compiler_v7_1.fir_compiler_v7_1; ENTITY fir_bp_lr IS PORT ( aclk : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(39 DOWNTO 0) ); END fir_bp_lr; ARCHITECTURE fir_bp_lr_arch OF fir_bp_lr IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF fir_bp_lr_arch: ARCHITECTURE IS "yes"; COMPONENT fir_compiler_v7_1 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_COMPONENT_NAME : STRING; C_COEF_FILE : STRING; C_COEF_FILE_LINES : INTEGER; C_FILTER_TYPE : INTEGER; C_INTERP_RATE : INTEGER; C_DECIM_RATE : INTEGER; C_ZERO_PACKING_FACTOR : INTEGER; C_SYMMETRY : INTEGER; C_NUM_FILTS : INTEGER; C_NUM_TAPS : INTEGER; C_NUM_CHANNELS : INTEGER; C_CHANNEL_PATTERN : STRING; C_ROUND_MODE : INTEGER; C_COEF_RELOAD : INTEGER; C_NUM_RELOAD_SLOTS : INTEGER; C_COL_MODE : INTEGER; C_COL_PIPE_LEN : INTEGER; C_COL_CONFIG : STRING; C_OPTIMIZATION : INTEGER; C_DATA_PATH_WIDTHS : STRING; C_DATA_IP_PATH_WIDTHS : STRING; C_DATA_PX_PATH_WIDTHS : STRING; C_DATA_WIDTH : INTEGER; C_COEF_PATH_WIDTHS : STRING; C_COEF_WIDTH : INTEGER; C_DATA_PATH_SRC : STRING; C_COEF_PATH_SRC : STRING; C_DATA_PATH_SIGN : STRING; C_COEF_PATH_SIGN : STRING; C_ACCUM_PATH_WIDTHS : STRING; C_OUTPUT_WIDTH : INTEGER; C_OUTPUT_PATH_WIDTHS : STRING; C_ACCUM_OP_PATH_WIDTHS : STRING; C_EXT_MULT_CNFG : STRING; C_DATA_PATH_PSAMP_SRC : STRING; C_OP_PATH_PSAMP_SRC : STRING; C_NUM_MADDS : INTEGER; C_OPT_MADDS : STRING; C_OVERSAMPLING_RATE : INTEGER; C_INPUT_RATE : INTEGER; C_OUTPUT_RATE : INTEGER; C_DATA_MEMTYPE : INTEGER; C_COEF_MEMTYPE : INTEGER; C_IPBUFF_MEMTYPE : INTEGER; C_OPBUFF_MEMTYPE : INTEGER; C_DATAPATH_MEMTYPE : INTEGER; C_MEM_ARRANGEMENT : INTEGER; C_DATA_MEM_PACKING : INTEGER; C_COEF_MEM_PACKING : INTEGER; C_FILTS_PACKED : INTEGER; C_LATENCY : INTEGER; C_HAS_ARESETn : INTEGER; C_HAS_ACLKEN : INTEGER; C_DATA_HAS_TLAST : INTEGER; C_S_DATA_HAS_FIFO : INTEGER; C_S_DATA_HAS_TUSER : INTEGER; C_S_DATA_TDATA_WIDTH : INTEGER; C_S_DATA_TUSER_WIDTH : INTEGER; C_M_DATA_HAS_TREADY : INTEGER; C_M_DATA_HAS_TUSER : INTEGER; C_M_DATA_TDATA_WIDTH : INTEGER; C_M_DATA_TUSER_WIDTH : INTEGER; C_HAS_CONFIG_CHANNEL : INTEGER; C_CONFIG_SYNC_MODE : INTEGER; C_CONFIG_PACKET_SIZE : INTEGER; C_CONFIG_TDATA_WIDTH : INTEGER; C_RELOAD_TDATA_WIDTH : INTEGER ); PORT ( aresetn : IN STD_LOGIC; aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_data_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_config_tlast : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_reload_tvalid : IN STD_LOGIC; s_axis_reload_tready : OUT STD_LOGIC; s_axis_reload_tlast : IN STD_LOGIC; s_axis_reload_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tdata : OUT STD_LOGIC_VECTOR(39 DOWNTO 0); event_s_data_tlast_missing : OUT STD_LOGIC; event_s_data_tlast_unexpected : OUT STD_LOGIC; event_s_data_chanid_incorrect : OUT STD_LOGIC; event_s_config_tlast_missing : OUT STD_LOGIC; event_s_config_tlast_unexpected : OUT STD_LOGIC; event_s_reload_tlast_missing : OUT STD_LOGIC; event_s_reload_tlast_unexpected : OUT STD_LOGIC ); END COMPONENT fir_compiler_v7_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF fir_bp_lr_arch: ARCHITECTURE IS "fir_compiler_v7_1,Vivado 2014.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF fir_bp_lr_arch : ARCHITECTURE IS "fir_bp_lr,fir_compiler_v7_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF fir_bp_lr_arch: ARCHITECTURE IS "fir_bp_lr,fir_compiler_v7_1,{x_ipProduct=Vivado 2014.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fir_compiler,x_ipVersion=7.1,x_ipCoreRevision=3,x_ipLanguage=VHDL,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_COMPONENT_NAME=fir_bp_lr,C_COEF_FILE=fir_bp_lr.mif,C_COEF_FILE_LINES=128,C_FILTER_TYPE=1,C_INTERP_RATE=1,C_DECIM_RATE=4,C_ZERO_PACKING_FACTOR=1,C_SYMMETRY=1,C_NUM_FILTS=1,C_NUM_TAPS=255,C_NUM_CHANNELS=1,C_CHANNEL_PATTERN=fixed,C_ROUND_MODE=0,C_COEF_RELOAD=0,C_NUM_RELOAD_SLOTS=1,C_COL_MODE=1,C_COL_PIPE_LEN=4,C_COL_CONFIG=32,C_OPTIMIZATION=2046,C_DATA_PATH_WIDTHS=16,C_DATA_IP_PATH_WIDTHS=16,C_DATA_PX_PATH_WIDTHS=16,C_DATA_WIDTH=16,C_COEF_PATH_WIDTHS=20,C_COEF_WIDTH=20,C_DATA_PATH_SRC=0,C_COEF_PATH_SRC=0,C_DATA_PATH_SIGN=0,C_COEF_PATH_SIGN=0,C_ACCUM_PATH_WIDTHS=39,C_OUTPUT_WIDTH=39,C_OUTPUT_PATH_WIDTHS=39,C_ACCUM_OP_PATH_WIDTHS=39,C_EXT_MULT_CNFG=none,C_DATA_PATH_PSAMP_SRC=0,C_OP_PATH_PSAMP_SRC=0,C_NUM_MADDS=32,C_OPT_MADDS=none,C_OVERSAMPLING_RATE=1,C_INPUT_RATE=1,C_OUTPUT_RATE=4,C_DATA_MEMTYPE=0,C_COEF_MEMTYPE=2,C_IPBUFF_MEMTYPE=0,C_OPBUFF_MEMTYPE=0,C_DATAPATH_MEMTYPE=2,C_MEM_ARRANGEMENT=1,C_DATA_MEM_PACKING=0,C_COEF_MEM_PACKING=0,C_FILTS_PACKED=0,C_LATENCY=41,C_HAS_ARESETn=0,C_HAS_ACLKEN=0,C_DATA_HAS_TLAST=0,C_S_DATA_HAS_FIFO=1,C_S_DATA_HAS_TUSER=0,C_S_DATA_TDATA_WIDTH=16,C_S_DATA_TUSER_WIDTH=1,C_M_DATA_HAS_TREADY=0,C_M_DATA_HAS_TUSER=0,C_M_DATA_TDATA_WIDTH=40,C_M_DATA_TUSER_WIDTH=1,C_HAS_CONFIG_CHANNEL=0,C_CONFIG_SYNC_MODE=0,C_CONFIG_PACKET_SIZE=0,C_CONFIG_TDATA_WIDTH=1,C_RELOAD_TDATA_WIDTH=1}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; BEGIN U0 : fir_compiler_v7_1 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_COMPONENT_NAME => "fir_bp_lr", C_COEF_FILE => "fir_bp_lr.mif", C_COEF_FILE_LINES => 128, C_FILTER_TYPE => 1, C_INTERP_RATE => 1, C_DECIM_RATE => 4, C_ZERO_PACKING_FACTOR => 1, C_SYMMETRY => 1, C_NUM_FILTS => 1, C_NUM_TAPS => 255, C_NUM_CHANNELS => 1, C_CHANNEL_PATTERN => "fixed", C_ROUND_MODE => 0, C_COEF_RELOAD => 0, C_NUM_RELOAD_SLOTS => 1, C_COL_MODE => 1, C_COL_PIPE_LEN => 4, C_COL_CONFIG => "32", C_OPTIMIZATION => 2046, C_DATA_PATH_WIDTHS => "16", C_DATA_IP_PATH_WIDTHS => "16", C_DATA_PX_PATH_WIDTHS => "16", C_DATA_WIDTH => 16, C_COEF_PATH_WIDTHS => "20", C_COEF_WIDTH => 20, C_DATA_PATH_SRC => "0", C_COEF_PATH_SRC => "0", C_DATA_PATH_SIGN => "0", C_COEF_PATH_SIGN => "0", C_ACCUM_PATH_WIDTHS => "39", C_OUTPUT_WIDTH => 39, C_OUTPUT_PATH_WIDTHS => "39", C_ACCUM_OP_PATH_WIDTHS => "39", C_EXT_MULT_CNFG => "none", C_DATA_PATH_PSAMP_SRC => "0", C_OP_PATH_PSAMP_SRC => "0", C_NUM_MADDS => 32, C_OPT_MADDS => "none", C_OVERSAMPLING_RATE => 1, C_INPUT_RATE => 1, C_OUTPUT_RATE => 4, C_DATA_MEMTYPE => 0, C_COEF_MEMTYPE => 2, C_IPBUFF_MEMTYPE => 0, C_OPBUFF_MEMTYPE => 0, C_DATAPATH_MEMTYPE => 2, C_MEM_ARRANGEMENT => 1, C_DATA_MEM_PACKING => 0, C_COEF_MEM_PACKING => 0, C_FILTS_PACKED => 0, C_LATENCY => 41, C_HAS_ARESETn => 0, C_HAS_ACLKEN => 0, C_DATA_HAS_TLAST => 0, C_S_DATA_HAS_FIFO => 1, C_S_DATA_HAS_TUSER => 0, C_S_DATA_TDATA_WIDTH => 16, C_S_DATA_TUSER_WIDTH => 1, C_M_DATA_HAS_TREADY => 0, C_M_DATA_HAS_TUSER => 0, C_M_DATA_TDATA_WIDTH => 40, C_M_DATA_TUSER_WIDTH => 1, C_HAS_CONFIG_CHANNEL => 0, C_CONFIG_SYNC_MODE => 0, C_CONFIG_PACKET_SIZE => 0, C_CONFIG_TDATA_WIDTH => 1, C_RELOAD_TDATA_WIDTH => 1 ) PORT MAP ( aresetn => '1', aclk => aclk, aclken => '1', s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => '0', s_axis_data_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_data_tdata => s_axis_data_tdata, s_axis_config_tvalid => '0', s_axis_config_tlast => '0', s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_reload_tvalid => '0', s_axis_reload_tlast => '0', s_axis_reload_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => '1', m_axis_data_tdata => m_axis_data_tdata ); END fir_bp_lr_arch;
gpl-2.0
01a4c0e3af0b2fe879a603949ca59d42
0.652513
3.078635
false
true
false
false
UVVM/UVVM_All
bitvis_vip_clock_generator/src/vvc_context.vhd
1
1,609
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ context vvc_context is library bitvis_vip_clock_generator; use bitvis_vip_clock_generator.vvc_cmd_pkg.all; use bitvis_vip_clock_generator.vvc_methods_pkg.all; use bitvis_vip_clock_generator.td_vvc_framework_common_methods_pkg.all; end context;
mit
fdec9fd5b70c0a72854b0afe7474d1ad
0.498446
6.094697
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/NewCombined/ipcore_dir/instruction_memory/simulation/bmg_stim_gen.vhd
5
7,566
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Stimulus Generator For Single Port Ram -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_stim_gen.vhd -- -- Description: -- Stimulus Generation For SRAM -- 100 Writes and 100 Reads will be performed in a repeatitive loop till the -- simulation ends -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY REGISTER_LOGIC_SRAM IS PORT( Q : OUT STD_LOGIC; CLK : IN STD_LOGIC; RST : IN STD_LOGIC; D : IN STD_LOGIC ); END REGISTER_LOGIC_SRAM; ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_SRAM IS SIGNAL Q_O : STD_LOGIC :='0'; BEGIN Q <= Q_O; FF_BEH: PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST ='1') THEN Q_O <= '0'; ELSE Q_O <= D; END IF; END IF; END PROCESS; END REGISTER_ARCH; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY BMG_STIM_GEN IS PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; ADDRA : OUT STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); DINA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); WEA : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0'); CHECK_DATA: OUT STD_LOGIC:='0' ); END BMG_STIM_GEN; ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); CONSTANT DATA_PART_CNT_A: INTEGER:= DIVROUNDUP(16,16); SIGNAL WRITE_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL WRITE_ADDR_INT : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_INT : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_INT : STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DO_WRITE : STD_LOGIC := '0'; SIGNAL DO_READ : STD_LOGIC := '0'; SIGNAL COUNT_NO : INTEGER :=0; SIGNAL DO_READ_REG : STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); BEGIN WRITE_ADDR_INT(4 DOWNTO 0) <= WRITE_ADDR(4 DOWNTO 0); READ_ADDR_INT(4 DOWNTO 0) <= READ_ADDR(4 DOWNTO 0); ADDRA <= IF_THEN_ELSE(DO_WRITE='1',WRITE_ADDR_INT,READ_ADDR_INT) ; DINA <= DINA_INT ; CHECK_DATA <= DO_READ; RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 20 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_READ, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR ); WR_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 20 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_WRITE, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => WRITE_ADDR ); WR_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH => 16, DOUT_WIDTH => 16, DATA_PART_CNT => DATA_PART_CNT_A, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => DO_WRITE, DATA_OUT => DINA_INT ); WR_RD_PROCESS: PROCESS (CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN DO_WRITE <= '0'; DO_READ <= '0'; COUNT_NO <= 0 ; ELSIF(COUNT_NO < 4) THEN DO_WRITE <= '1'; DO_READ <= '0'; COUNT_NO <= COUNT_NO + 1; ELSIF(COUNT_NO< 8) THEN DO_WRITE <= '0'; DO_READ <= '1'; COUNT_NO <= COUNT_NO + 1; ELSIF(COUNT_NO=8) THEN DO_WRITE <= '0'; DO_READ <= '0'; COUNT_NO <= 0 ; END IF; END IF; END PROCESS; BEGIN_SHIFT_REG: FOR I IN 0 TO 4 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_SRAM PORT MAP( Q => DO_READ_REG(0), CLK => CLK, RST => RST, D => DO_READ ); END GENERATE DFF_RIGHT; DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC_SRAM PORT MAP( Q => DO_READ_REG(I), CLK => CLK, RST => RST, D => DO_READ_REG(I-1) ); END GENERATE DFF_OTHERS; END GENERATE BEGIN_SHIFT_REG; WEA(0) <= IF_THEN_ELSE(DO_WRITE='1','1','0') ; END ARCHITECTURE;
gpl-3.0
123880671d50ccbff1cc4d1784a8af0e
0.557891
3.773566
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fir/fir_compiler_v7_1/hdl/add_accum.vhd
2
14,821
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block mH3JSh9mN1LPkECnfxE8VCJliLqIxHcAFYbRmckL5A/uFjYhAcbv6bQaYDqk3eInlu1rLP9E/+rS txgq+T7Svg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Xw6OU4bw35JOUgpOWp8M5aL1inH3He/xpnrQ1qqgFioESnp+NJnGxOaQfRxoZJJGxD9D08Mv7KBR IAXlCdeS6YmM/dlaDAKhES4JcFLmOd/Wfaoc1sd0iWVJr0ZI3BAOHNyv4x6p1PdHt1ZcGmUt+mhb rfjk2UacUzG9lzSZ5pM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block t8ajoA/WZ0yHzG0hw4pLCxirnNCnG1FAGb9zg/hVOXMzFVg1yFuEsv+hipTNKt5yidiJfOy+05Hu NliiIIkLktbRYQ5jYm7kbdUW9yv5GV6tlgGcWv1sII4L1Sd09dv2LuaUw8qFXSHGxpR8xzYRW/T8 0T8SCaVBwaAaJ/S8rrGH4UmwZbLNl85IF0pG2fGXX+WFmOJDqCZbcD3/ERAH4UtovGPR7HmAe882 J07gCTsTj4A0QyQmFtJsxuMZUwC7k15dR6Rvbbt9r5/VJCRZ+Gju5Jg0xMbEPc5jla34iZiybFpr tGqWVndJvZBELKwhKC+klBgJWW2MFHTzYGltYw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block O7KsvPEcGB91JL4rsqVqri5v7TLsdpq954crsAqu4xLLmmhKdHZ4em1YhpWeRtWpNtrK2jsq1MSQ dMuXWVtxfBEl5jPoKiqIuRsCR64Qd0wRxlPr++vpRo/cLvvfrezAgYl9pdL34jwiW6ryP5/qckSX WvzKwzSnvfnFLVBvhlI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block o6Cfc7yHrPAjusJ5drAgeCRmetHuqtT43xVcyPxrvPUoyE7xB0GzPattDomJCT5y1Lcy88poQeyz jpTWDiHVRMBJGZxu98JNYCeYkM9XRkLgz3s+eHk4GZoSArLaBV3m4W3lIgIacDB/dweUdChjF/9n xPEmxloXxGKMCgxtg6nlVRdviKRw3fHgnbszRK4p0Ede9tKtHO6XKPj00fA+PLETM1WzaBiFhM48 5vSc7JXosxdhdsDULL+YUhjfzIEMK+sZM3EbiRXhk7gGOdtMJfE5yn1LpmntO9U2E24RKPZlBxHo 9XgIGIugg+QSb3PobK1vgX2WPx6z/cFKSH398g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9232) `protect data_block NKzVdD+yHBjLPIRWPQsHtoINUefM/uWzWk6oR2l24/3fON0zvK24AY6ZJt/l8kwRJcB73W2GeRY5 AuqyYqi2ooKLmM3+62dVXlIza71U2Q+25wH5h+i0SRTm3cuUrJAz0OfHllzM0PGDDiZFKoe4d3t9 vmip+F/3Gwt5hnD2nUkkGsEFt+GGea59nCui3fy+UKQ/nsn7tAAoaN2dFnQCZuZBwzN8f3375ctv A5qQ2zc0umlPe/l/Hg6JSZqqamteNu4dVabYEsiH1wzW2Uzf92nyXJGH71c8Y5yHDpMwhY2Jbxdq 6/yDbEvaQGiod19gY4kOTfX4cHZ2a7N9nvUT8JEnxYkkRsitP9vP4GXWAEdSQgdQAHdlwZfn6WFS kh6MY2YxH9PW16XL0YqXk6i0ouLn5ZoY6x8u4gyRPzCfCVnz2uTLSGXoMpjmetW1R5lTU9l+4xaX EBPP78VkulBgF1sOHIdZhUhG4hrQ40vNWrkovu28YS06iUn8IXWGx+GJbO/l4DORBovYL3MKFhDR 59ZtJM6hH6u7K4cOdFKJdc8+ISBJChJNsdrHqO9U1YeV5zkwKWfoZ+/OiPBe+KAjeseq5MY/Z2dT +L22g+YvguOAqml9Tscw6uAgKIvG5S8vIKnHs9ZluFQU3RP9P+B3z6Y2dLkxvlTTdGKjLd0MReo5 hZVRiU5FpyLKgXQe5NcR5oYwLxq479abZCYvAWuPXRl+hF59AO0wIlVInAkwduv7Y9kmaqodt9Rs /5ltT7XWDyJPd0E+XAWS5qiOwBmSLy8D52HcbI6ep3qA1SsOL9S3HeVJLqFHJexVPDMLOwxEsoUg oK2bde6p9JAmCtiAPP4QpWVpbETY5dpfckcBdYWJlhxvc2ARE+ykZtMRflsCdyVQcDB0Tv/tE63z ejQjqyAC1gurm7yaVuCZi98aoGmCpvM1oP8tf+ssCi/6z5WWM6n8CayU8JIOSS/JVWItB66P0U+L z6RvJr7xPMEPp5KQRsaLmWSIcr7r/YqIebEKXEkrKt54qA4+tPegDaFfQpFGIsyj9hjWL7IFE7gJ ReBdbg11IqCGV+JIx0TVsDVSdCantpzsrT1KjNwjF4GWrTYeHWW71NTuivmgDv2OHQpZ1SKjgBvE MDxRMp6lFUmPO2W8JDkDnDaDmz8oybvlnYVmyoJAV/AZG81t6q8Qh1JulXmq4J0IiyqSzjxuMdyG R6FaNkX+O/IHyKYKHgJZ1DwAR2Qzazi1YPc5pfwr9WKNicD1+hguYp3ZwI4MhSPFV+n2yjJE356e 8TN6szXkS+2sko8lEDagRJKW+KwypvaIAJCn6ksaAUxZB0GaEzPOqJJ9oqG4eOlAah0eHJAlBOnL mAZkGJbx5d63auPr8FqIgRgBet6l3FAb2d+AcWJ9Mm261Z0ZQ6Po37JUGTvWnTe8DCtX0fZYuyCG 8yxUOqD82USdpxQ9SAPgCz1FV3XgSyOQ9kHrnQGXcyWBtpeit5JEV307UOGxaRov643wkKzu/6hq LVm0xmvunIkLPgL2QMMFig8JcRKbwWNeMylUyvJ+XyxIHzVlIJI5ZIrMDzccO7lC1Con7WcLuMcn G/PkDEEcLEf1bBUZH5yevNyyY7pf5aPyYbC3QZC3FEJmCbZ4Vpp+sbfT+akhFjl0bJSd6U6biREQ Qxc4zuevSArry30FQMVPCJUlPflOVRGIreO++iqBWGbS8DR06kXvidC2OGTl9gJ8rr943fCOH0Pm gnwZJDX0FuEQwx4Sr0N4oM2wJky7eMI0OkPcphU061HDqmULUlD+NbLv9aq5n/cX7bL9uuQ45ojf mTebTHwbfYEz+ydEzFh5qawbKaIhWyPHKlH5FdoaD9pU1yLlO31CEtd/+UGmGFl8AvX0bN5S4z3D aAU06tx7jmyRsaWgOaipa1hUUAxbpXn9I0Cna0q7LcPI9HrAR9SzvSD6Q5wZtkSJFeVGuLE6DjZt 18ortBo1/TKZuEqyzO9I2SUqFivprzXni6XCD+qmaFkj1mjzaA4G4xe5T+vYvg501Vv5MuVfdnZB 7ysmCdjxdY3w3Z9SB5aYdUSvayiDa2jf4TIOwD8la2LeV8XVVk0CJsGXy030/bTDWqYwaLs+/D7v zqwO8Dc9rvLmdNc/+JB36s/6M12/yN91cY3RQIRvQsC/kLonhrPPVV1ZmVvKCdKSFIFYzgA7TtxH vj90Irrq10AJa4jkBs2Jm9f10MIJPhgHFWtd2t5FuCBpybjODi2ML2bS/F9E3IB2ePE8Z0KtxNVY B2BVUyoFOZoRLr78KgnkCCB+WhkCu9DEb1oex/S9lZUjjb9XyR6W1lu18rtH6rordIEbhkzb70RB kltejYAeg0tMyWeii/EmQz2ZMtiN/hKQyaa+XtZ//JlnzcJQznEKrwz4plDkx5n6UgVzeBzRD/EH v0oUsgcd0x/PkHQkWP7peH7eQe6mi0v61+lWhAhcoiIb9b0BHSPdmzpHO+4P2dUfweoocM8f0MIw UG/yjgs8R7rvBqlg7RmN+ttuS8R3zQxmBMoNXLUm6PQstcLhcuKrvA6OQws5A+z0GjUAQhqo0DcG GebgUDObokKSmsFs6/zz4w/Hpkqg9M8o/5NO6txbtc3i3HIl5p7fYe7E35DMc3ljY03wFqxskfeV 0eudCUFsrCtbcASXNkRo9LBbeRFg6FJzCmNy2fg4Xq82Fw9IxaXQjxcxsVHhEzSDj9jzYLj/GiRj djLsi8lAaBptRjvpldvZPk/R/Zm9KQtnUNpWn8ZkuUzH9+Ozfs6ZEB8t40WOYp2eAo8Ye8lkdiaB bDKxzKdZ26sR4KcqHM0JuqsSk2wzQeEXpTDmWliRrbG/xe71+aCPAKNwCVaIlTRnLKVx2sqQBtqG 6HMEvSqz5O6B+yXJha8iLdBShjRR03zGnvJxhlKglJHw5libO+a0GR3Hekp3sW8wqnJ570HKzxPl Dn2QtP1GoGSDUbS/HQuci2z/ELCNhjdNy9Kk6Y6Eu60phVT6vswsqDE90FVXhACuJB0ejT1TopQy LTxZkiOjkTPFJLEaGHeZIRwsFpMeAh3phY3Is2YVbN8AVFoy8TPsNtHrDFbqpSOeD1fzIPvvqcJh p80Cmr8DHh4D4zrAwkWp1l/0Kecu7XZw8HK/HYa/C70ufwfGwoNtlbIWJkg9frY8Vku7tgZMs45M QoPKCNDbF0X0SZyWtF5CjZBXVaUoFw7P8Zf0sI3SviKNrxXDUBs6gJbGrHuzDViKdSCPGSr3bp/y l/xd/mxpgLbSx00teAfkB/Z35ewiy3jpI8AeMXN5X7wkWokcwaOwkbX+EbJ3nAwm8TsXRqYncySM AN1V+VtfAY5J78glnBQSliuv5WUyA+wlzKEBhCNRmEOqRlSCAlmvifplHZVcCn8qM9Dp4jDtzXdH AJeYJW2GdcObb13XeCS25FRQZrQLT7sxXMheTIxjWSB80XDayh42zGZzgMV+tMDEMvQSlvUNL7da h6OxIPb2BBhUBwg8lAe+mU2tWotBB3ecZomT7o4qJH2Ih7c2+Z4rUsljI/JZ7hHrxrNFtZArWg82 plNOnulEe7YJFfs3tGegSXpY9jhteLUrwcOWPpWMcepeOoUlPWD2JMZ4gbs0gUfLbGOezL1v3SvV uBuow42RrBfd49GV9pFGuhQ8AMsMu+WNNAhpdnb1lz9UtkiLrQXooBQuQe0eRy0fIqsN3JzJ3rs1 f5v/2UYK8AktvzGMMk4ppKTwB58wl0UVzD+fnGOW/5YLub7v/caFSxiE6cE+tpg+9Q4n4AKz7xU6 BoCKZWo4zVKVZQx8wvLin19lCHoAhnUU9zozehHpVlC0y+Vgv0ZHX803oAU5uViLG++vRKiOVLg1 qKbha8GiylUrni6z3Z8e5e02B6DqlPpFsBAsOXKddcT5lJM8ys2HLzxBlGAVKGl/YU53fE3XLJji bvXU6dYfQOPsgwT2eCSwepKMv5hFtpxJgdx+suR/TxWvNctGAo3V8RouTywQl1p+83I8cpID7G+P dOKJurPt3omRYmPDF35XxmbPOudrsv02PoulR8FiOH0qMugWJFbchJ+FZ2yRKCcs/QCXzkM3SUJK /lwrXvMpJPvr9P+9OvcD/rLhsMVMV4X4QM3PCJ3f80RColsMniP/cqdR6wvP4/2rtVfU9RbOgOBt +1BkQwHHaLduyJZgLJs5WNvUgnakavUgVcRDLap6cCKHSp2lFYv693LYkUvG1KZWbDV9FgnnWYra DSNCtQHaYssePdVVgrmGVnXeMbAgkG0AjOf/q3Gv9ZBwt84jediiN5Z8hICQlxSXlPSqP7bPfg9c zuEOh6xQWwPwoq62/d884ujMEBPEVAUp/kcahCtx9mBfpjpQyUHCuM6ETBl5OEvRCMnjXaYE/auy nWUY2xUDhnZnxz/mL4sSiVzk0D8M9wjRPm3igdRsf0eHHxIOTtZZkfI0oo3Rs6G50cPvpk0qGZHv Fyn9P1ki+yu/hRROJiM0/Am5H3g06/80XIQ/O990XguhmSWjEX5lzDcm8w/tYcLQ8Nf55sVyQCFa ClrQLOrnKS70oI6Ne1UVAhVVNsn633T1kYS2S/JfNCMRWhIg7IOl9ARtFP6WVwAa7X2cpUjzsMMC 6gCV+daGLkKs6DQdAG3QSaRlKMIvA8eCyehx5UC+K+G0IpbMJc7kA9omZpJF8Hu1iqn9dGw6JGcg iZARkYZoOeZODDx7/aEyAys29MmWwiF1P46sLyLhgFJnfxwZk8F0MyYFVlCHAVEOOlJFnTizHOPz od57nT+LQeLHLkBhTs6djo4vlkW0rIJKT2vEtxjj+PoGYDmByjyX1mQdm4w8GM9jtlXH6g7WCWVi kwhQ8mLIXVfbBS34AT4kl+plkbWqXV4xVfY+g4xACNsWdufqJBzTkpWn0lrIMY+cOa6ghcqqR+EZ oTs2SHyh4AT8inQr8A05EX7JjLhxVoXohITvFPc+h1KoPOAdhQ6FoLw/sYpU51nbwC88hjtDXij6 5V1kC/7SCXM8Gr2fJINT2MAJFkohb2nlxLvdgOn4ILAiHJL6sgYr3DFbzkQ3Uj5fPSTWsDIVk4FO W+RjrF+88MVKiXkn0sDPrkKS+p5B5Ax3yd1VWf6nI3TUkHvJq9fvzsGp5AcxXGb3k4Haw2pRUHqu 3zX5XRRQZv3E0iv2tkMBQFlnjlLU6HJmIEEiMTAMfxPuGQr+UFFlgKJ13a13pRtv4yuQvZ4bV/28 NOD8GK9ME/rIrkxkc0ONYh6XqjGwvVvv9SXpwznmSYeXfnt45QizvF0wDEmJFqHlDRtqp/hrAfp0 R4YPq545j+lV5rUVWZuEu0LvU7Q0Jdc7p3FYa5pAAskYqS6i3xONQaPWGrZtWer8OAt7QUEYy5uW FHL6XiOds8/YRtiAlmpzpnHNdHb4pv7oj2wN1j61xiKYUIpCcNBvcgHaMkJLmuaubVDCFniruXdq L3wsfra+N3z9rzNlKS7SgAacTM/lnKhoNpdlKuGguZqwTaPZvMINQIx1e/0o1EsBlk6Vo3BfncaP z3NBJv1m6Ci+YnGx1v9RBANzuE0eevy5DQpAaq+RUYkOCe/IkADiupnWHUdWlu7GCrPFQhE4SaH+ AtyfcGv77wnwT8gnBk3eWKMjxvhvPReJKNbQ0/Z+nr8zCZ8MEpfkuSrcc6plfAUereCA0rxYZ1Mk Nt6lLrEophuAv64BVg5+ChaUh5VT9rMQY9SunHfpx2AiVAaPhAHf2b0Iz7HGOi4HdTgXGCwTIr6Y bUJ7qQFuMLLY1oEZfooaX02f2YFiMgeUygOXSeGIaws/GVB9dNNHUJBY/OCd8SblbP42ApSmikkq 2nMA/UFhUIdusqVS1FM4C4fafMUMdK8nO1gCxxQd6SCRolpYJaClsCtYsMv8fClMBYyAGyFLeZJH llh4PV4SrJnxWMN5E7qgc3kucP7rOxXcSkZMqr6LRHVtZ+LsULoYYiFcKXXUyXcW+SnXnFQfKukd ffM+VlpOzcOIYzFqGqaPPxkkxUQ2R2rQPgH+v3Mze7WdUKQ/FwagNTl4yDwET9MXgEoKRUhCucnb D378IUpc6auLVNEiOdMVN1W5vt6mUFgh1PDU6gklUGyp01aiPblK3M5Z518eRv/Z+HXqioKhNa9q Ux+Jj/i6zZy0vhmDXvFVWskAsbJPmKbG1URso3s5Zlc4v5C1ppXwVxUCrF8IrL1O7jvmLIsiruch g1ZFNImeRA3gkpYJ11ffQTJCJ/epq3OinOhwSqcmNfj6jjk/UCdoMKS1uB3L072xQWJWVe1eWHE8 ZzKJnK1/NGLFgYANfnH+amsjL3oXCnUxXzMBQJWkSGSBVYn8wkIgG0E1iipFcC6AHcGqlwblrP/i BxMiGmxnTkx/+WpUZnQKbAShZxrUuPmPEg6WhgXA7NKsNbFxERDzpQ3CSt66fnVc6yhMRW2LaXyj nYBkiWNM+aaG/KYDnuhTa84GA7KjKEPnRWxx+HQryyOtBCm7ZdFA32g4PzqFsb55i2PonYOE1ZKC ccoMeO5B5Ldg6Ex78oT5KeSm2YqAYEjqq+G8TtLvLPlksXCmiGmE3/nqx76XCRKPlurFQas4IjZH dgAQTqlWxOQyXLF1zTLuZupN6DLDYvjWDNiuDgaP+JovKb+WY+/lzBmvkXmFarzQEGORnQWcJ+W/ E25nK+kEyPxN5e8eHaj7OjpyzC1F8p7JM4T0uGWCIEcLia4foLjk+FGzCsSu57nFJxbioADu8U4r 4fCXnPxT1K0Q94UsnEhq9DplbwYOxggAubw46YPjfsdtqEe2OU6z3lMrvXftj2hgkfzBpffBirrE rm0frOx3hIp7I5mQyCbdM1TaS8lY6cj0uwiJd4UMsenUriWrj+m7GNAEe6pk+TubABFM1ljFNfpm TCIyvxtPY3SV2UXVC1ZahFj6oz6xa/RrNog5CG3kYlu6Ao+GkXvuaeBAugFzYEBj5am9rjwTqLQq ffrwKfzLwCxDa+w8C20HGYnOeMDsrMyT5ECgRUPco2MFQO5jIzg93QCqdzYxFiy7jFTWJGnwRKvm n3roBqXVY1iNde5iZfU8b/QJjGQ6CnT7QjRcjgnmzkdND8s/BCNsPvzASfHLNu/EHWKZqu1HLp6i 520ghw0RrZLlRCKdSGHTLekrpeF5Rod84j9kmY5FTdZvjA3VSNjop4g9Yy0XbRPdmb1fG1sEGtAG Pvz2FtH0siTluj6ASk8PSe0j8oVhe0mNtjcImwUGnS6tGx6J6AZIxn/0phUsMLRjulvQC2RNHDxT p9yKkcJaQR92P2YA71QGx4eytn3Mtx9JgLZWlrQTBhwtXa/lhZg4aRY6PAULWUtF0lOIlGauD2ny liYV3HWuTM50EP1C2EqhkfrlkFGPZpkin83UgRTbvzgPQLOuHwzqjPxoHz7Py1rReY/x5xFVvDze B7nDJVFk8E8kt8FvoRNuLebhSsArrUF6Eve5LsRkRUI7+730GxzdXxdLmi5PqwAfwzcHx6bZTPDl vprGZxjcO6gK06AVfEVKQqIaBAdj99hJWKZ+10ju/FoK64tWgrlMj8C+jR2EHpR0lbyULzv7dnll +Q+n4RsUzhLyiF25gB66bdVgxRCrCQYW3fgnys+UYDYRDMN7H7jVtUZHPp7ODvtlhn3U88BRyV/t ZU5g2lm1IUB/+udpKIz/H+I1+W04CDkWQHZbMfSb0GSThwcuTebQO2izzz9vb0XrLpoHYM+ynV1P UYmSHzFMvhU9Ea/JtakLOSMwAwovuOpFa8VhnWhY9eAZd/i1twl9wp+Y2oZBjmTddZqKoMEv3Mb/ c0z1gDHSg3scWh9gnFdhkm/6wXSCNKYbOL/7juK1EqaelwUKaWxxAdxbFY20+QuyRWsCrU4+x3WH 3U3j8/viRs9HrGJ67elwucNhKU4NeMHe3L4Sgilt6Mz1XfxSapcQQflSI2r/A0FhufMMpBQSdzDC 9rcF9CUMUSIxmydZcfSsgeedETICgXQLnhzUFT/uuEqEsKqoD5d3KCI6tTAn3IzCA9r1jvbR4eP9 HYNd9oDIW+YSJ1jZCBt3qwdqmM+oKJEGe3IGIguk1RHZfHZa1VwTuPbIS+uvjlsgI9FLoSouJSLN YOcO4T5Of0EYTTi+yuJCcIZbLgNI9FUJvhpVkmesISDPRXI8ncf3ElGVypX69dsT2uITCA9TJ3pb lFBFlbgH7GQdKnc+ijwDZ0XpKx99QkGM5U7Ca4MHB0GA0ezlS9HEKKAnLA6QCpfDzJcXPxDRGCZG oh8Z6skDNCqEacyFl09oXhuyMUBoi/N+GbQXawzCzXIR5RNJYZKce2mFeP+MVkzMaHN0nXiP4gcM T4EDzymvdbJse10RpYnVDkEK2MyO6G5Wcn6hW3izykGwSO7Vw1PNa1yk/wQOysamLmfedQRmsU5K 5CAe20ExNoYydHEg/tbuJZfsHB59O5JxkPmTz+rK8sj0cc3p+/kSJEFRpWROfcxeurH9cGN9q6ep lom7ZGxHMGOp6l2QXAaYLcNKgi708l6yO/Hbs6FwHbjdudV6G8kMUkl9y9oZvU/8obHzypGXtO7l DPDj8I2nM9dbvrYHPfj6+Al508Q5cLGQeRM6clPEciG7UgolecexoTcli9TjiZ9E8hDiu5qPvp0P 3vAlC0LvwcWhFieUz78P/JRb4qry1XmspKuWjf5TX8hSo9LSES/V9GAv4lbNCdGl3bskjQywTUPL ZNI0tEAzb4VFWxc3FZse7my+/L/6X0wwq2351O5fRl1t24L4N/ZdDKf55HqGddN8bnCRhvn7vkit LYJhiT9RASOaUS+MWg54mSULSeJgUZ+xYjdOYp/qwbQfszHBCSAlFVdALnuoe6h5bGx3vezz0Wyq rYHeEvOlUk9Crt41sncKBprCMur2MhGO/kRwGQ2LfOdECX/6+AoV3j6gpopQSLBxoEhqf5oIPL8Q S6lnGiQTmYdyUbvrUcpF9h8fnLIPBeaRuRdHDljrck65nrl3OnfajqZKnHbYfw076oFtnxsbMYqI Yud5kwmZ47ch5rg6CXyikZ2R1qeksHxrs79fw0G8kkPr1JuZdsu+gmiGYrYz8B06u99GhuZ6KUyH Ws7FvTa/bV6TLsLGiXuVxd8J5/uJi092RVgX9++/h3BBUHtpZYEWgHol3l/WFHgrBZxV/oskFAH3 d8ewFHr86Oq4w2Snf/57twnuEqFIgZ62I3YjVvkHkMZBI/DAt4VH42fMfK/xJBb3nGr9qAITHakw tEK9L/uBUBqDedOwH2qccHVYJGKnOF+34x6GA7zMIuLKUnvgx3AJnHSfU1VwUi94JCYiPI1mdxTj mZ79+Cgm59owmXgMUT4V7FFxRZbqV73g/lrDnsI1VJfpbllvmIfX68/IDSJ7wTsr4eo7DsWJ+hfF pzeRWMue4sgq50j33acw5GzMGTU1IHu9QStgA38Llea48Ud+e5FOlqUQyGJoNoaYEuTWo2tLFCV+ WLU0N7RW3vUsd77+dmiFX2fLyTjnXJwxEo3KPDJzY/mzLG/pAKiqJZ0f15p3c+Asj0RhhBfe2lhM AKghiiM1PuzcKlqIzevdTD825je7sWlKcohgdkr8byLB6Sy1Azlj3exvIxsUsLflLCRS3DoWIWsp Trir9qjxm6Ew+mMl6KdYxwos8OlMerLlG/7coB+8u8swzoH4B+Pwxb5BLtcWMkflgwx3nUAgAUPs n0Pr8BglhCzYGLiER7zt/9s7MI5/LhyR81EfIlgbvGTtkh1zkve+75KFrrEB0rf4kICHLjKbnofj fHn0rAQUGEg1MC7uKQdHDk2+j2p5QWRrBeiwm+hPiAbC6AlIbpZZojpu722Om11gH5Z2kmPfUIoj ezDB5ukI0CC0ee++4G9o2i076/N8tyXg4uzX4/Q/M4MukMgS2a82TfQN+Zuw00K41uY/KRFoDPI5 lg+NNgsMsMoC/72uAjDUHUy6gyRTS6QgarR20w64LFeUUEas9vlVBSDVQz1ls6XNYyYsB3hxqGJ7 oxFNbOgdM7H5Y/NUSJcKyHz49sHYF0u6+fL7advdw3NYYVLEOdnGqQVQwTE61gbVi2lNThSreEKd /pLxzfvGNHdNVN/lj2x80XxogRin74hf3A5T9yb9R9TPR48ijB7y7+8c7zJM3GyfoXL437v1HUpT v8OFFgHnbWFUd7d3QwmQtEH/rZb2pSermvChxBJUaJBnQpEIjUt50j++sm1FY1zhl2nfADnGW5jB OP0NVhn6ZsZ8glMe2tDX0iJDLWTdTgu7qipzRXHli9K9XDN6+SkmJ38wp06vsp5wOA481D2LhfyT MeoiR4MPhM2ExBypCNT5lgyqPASQqpfS6jkI4sXLP/v06lqbiQbh9fNeLRSxaoLFsGaKLykLtrvQ N1l4Dr+K09zYYS+HrahXnAXTgGUDdoMVUYZO2R9LTcKQKOmPm7FUmyM0z8UWHEQXBcicWL8D//e/ unvdCk97iIpJ5afVQALW23RTMwcytjHnGLjf66D/msnUzvJWH2pFx8qDVTc8cdQHAdNuztAODjG/ j7MhRopqiJ4eJydHxcaW8duyWFsYbdq84VfWJemvA+OS+Q37CGOp2HlBNlcA2o8N8jviBLY5wgwu MHTZXKzjn+0i1PiEs9XbF5/LkOXUmcwrQHI34oQJgdw4pdMmKzn2KrkKIzrwDhufLjQ1tam0JCxK /bBQT9LTUSjalcPUkz87PQx8tbaNKcJZeYWqLPabW7/v22xC3dpe7LJBu4btEnIsVO6ik131J2N8 /1zyj0ijHeiNI4FZw67kbCCIOqaPf+9Vo4b6oYTt4pYqj70s2a0aoPZzKgl7rUEp93D+BkKTD/Bm en1ZpWdJlfuSWD77kN/tcW34ZNE+B+rMAU8KPqWbIyGD3yXI93JMn06hR6OXaJvadp8u0zBUFC7w xulqoZgc+mbdYYoTQhwa0hAuhRunzBeU6wiwdWCuD6ruB8QNlFzzH39QavpeVX7WoUjck0DjnNgZ TLPWTo1/4ruJFWugafHYi7TKSnB2W69YmuOF8+pgZq9L/MN+rgscskP7jmKZg+pgzTvWPsq/KRdb XcqANhFMCxh/fwuFmCf5YiGtLm7izTRanS+Qr6mbuDJm61VVz7HWIUzGIssEHVkgC7MdZ5UAQhZy 3ttMNu8QZLHpRfquICYtkPiU9bCZZJmkKMtLYxtKZ63yWK2SBo6e88sLG5/3TDOdXowmEGiENAvj tTAIrDrJkpDkS7ysdPY7kgI8r1fNEwmGh1YeuFRBIGVqA3KUisYHJ97aEms83WXekFvApst2NHjS KMzsEpIVGn2dzZb/aNZkBuORFsM7NGrvZOxIQSWgCfD5W/VS7ZHn1SF94qdliRmPwjwR7FHSqUkm oKQtsqD4DzagpESpxD+PX2IxiuExDFmx0CWKJ9ZQlijUmgqALhnLXJhFBPNWMTeXtUgbI9WKimFI jhLqGensLDkdtP+ReBBxpaT7Lcb5yUPd3Bf1qXsDfY5eAkW/xbn/RIyNpSffhdjpUZEO8Ox1ILea 6P6tJBm3I2bsl6qcM+caQGRYjIqZtT1xHZ8vuOfv3UsCd+mHq2K4Ja9Sk3L76VAUJFXYkQPpabVV enktzccg8cvmZczwQqhCkwJ+ndAWajBEXaB05UgwkKzI/u7ZtkvbakzI5L6E5okPuvVvTRcwKcYm LnsGKvlj56dNUZPoBmTlwYecCArUyNmieoaNESR8M0QkL5HK36nsTE4XBcQbfERI8bk17etZPJPL 8VaWicfAKu/XcCvzd5npGEe9mlQaH4ylRLACtp7896w1XUU1H0+NiliYo3Znkhn7gB060A8txhan 3l600Z/KvOpNXgP454dzeFo+Gs+bj80IppEq/kOGwIDT9b4mrycG1tXlyGvEoc5WPT/jRDlsmJNm +dFsvsVZBrKBbEaswt/cm5IIWJwmr4GpEjRxIxI9ssGl8mHojoC879sVickOtiSow77cpehY+prg Km4NcsvcKzeU2yOKSymDeWSUkEtlRKaLLgsK6iCdCQ3evo0D9Ik1Ba87U21KZgfVlbDuidoVlYbk aZvh4Yyk+RJj6pKs3U4vZ9IWypLSJUx4Ece8An63Qas9orkXbmoW3nhe8hVlszTs95ua3uyOvtQO JN6uHyPlYtQ4wiBpxUciI5bNc1TJvuLikgzZ/ATkW/bS9LJHBaXP+Uvl7NVrmi1RIKKscN2ppycD suPYOblsS3nZlVfBXCZUJPh9yRWYPqrnjgh/V/2BdzzRBlWhQwFLRxMk/b1Rj6acq+UkSUo6aw== `protect end_protected
gpl-2.0
c3cf98ea23fde2e38ab3836f4f2ea0ea
0.93516
1.87774
false
false
false
false
UVVM/UVVM_All
bitvis_uart/src/uart_pif_pkg.vhd
1
2,559
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package uart_pif_pkg is -- Notation for regs: (Included in constant name as info to SW) -- - RW: Readable and writable reg. -- - RO: Read only reg. (output from IP) -- - WO: Write only reg. (typically single cycle strobe to IP) -- Notation for signals (or fields in record) going between PIF and core: -- Same notations as for register-constants above, but -- a preceeding 'a' (e.g. awo) means the register is auxiliary to the PIF. -- This means no flop in the PIF, but in the core. (Or just a dummy-register with no flop) constant C_ADDR_RX_DATA : integer := 0; constant C_ADDR_RX_DATA_VALID : integer := 1; constant C_ADDR_TX_DATA : integer := 2; constant C_ADDR_TX_READY : integer := 3; -- Signals from pif to core type t_p2c is record awo_tx_data : std_logic_vector(7 downto 0); awo_tx_data_we : std_logic; aro_rx_data_re : std_logic; end record t_p2c; -- Signals from core to PIF type t_c2p is record aro_rx_data : std_logic_vector(7 downto 0); aro_rx_data_valid : std_logic; aro_tx_ready : std_logic; end record t_c2p; end package uart_pif_pkg;
mit
9792e85d18999d00218e6a41ef3eb7d6
0.548652
4.481611
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/c_addsub_v12_0/hdl/c_addsub_v12_0_base_legacy.vhd
3
80,508
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iQ2w2kV+K5Rt45Cn/JQIUWXwezr7QaqOLgnFeaVbvsSkYTKy2Ui7jq86b703ibg5T5SoIWRvayvq OwEuAUqLVA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A4R9Tcy4ylvYt6JCfD0PSilEwcnULx2XP/1AyO2RhPN+RIx3zbYd5tKviuW4uZBrvCpWGS6PRl8f 7OkgSehIjZuw1Ae/xz4MmBBRq4WLSYGyBNYmvoKhf+C96JJpxof3OvmrDolwdsqfp6F9Qx8olik9 eJMR03lcQDs7gwenVBA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HYhnOEeC7bQAmdbJ/J91pdZUIxbCxI9d4PcLZzvzffdsZ/jKY76/lSxOW4e1FPhX8RgUq2HGnowP wf0JnflFXsbCbsVhJQu8GiTdaY4diJtD/Aqkl5FogcTF7D0/OsJYqpa/4fDVgjLGID2YYjigZqb/ Pqa4srR3xV0phNpqdkAO+JH6KxRP4DTBLWSyn2SQQZktQfrHqFdYrNsWsnV7sVkScaXQGq0gV2kx OnBIwb7UNO27RZ6pcK62itiWjKl08WiclOnBGPajhaOq/TYVbh7CuKMuQiHJKue798o9tm6X5ysl zOM1KIOBcH66bezGYhP+0DyzuWHwHO6RbmvvSg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BeKmCXXNTZa5uIhddeX3d3KjCSCN+BLRtt/hZv8BZcfAUjtC16gqiPlPhh3OBQ7joHYH5ObD2Po5 TC3q/WUcbDMW1VJnsZ/7/nFJvPw79eNzVkDQCPV9eTuU0gALu7kmIVkJzcZ/n8ri2vwknZ65h5by ZrxuS82FQkeKBCc6qQ4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UCdrNlABg9gGB+DdZ1TPfLl2mmykEX++vC0OZIoyQB+/ESLGuXY4PiyZqKGNqyMkaKDACa9XJqny u1RBbNo9/UeOo50wpriM5wNY2e4HrdbM4t0pEMVTJq3P2Z0E0ndywlcB4KltrBWbLq1qfmZ+Xvqf OjtK4jEoIV9oK8atje8Z8kfmQ33H3Da8/1+r4pCY+FH2nFe+8fOCqN0BdhK+KPUPC4DGqm4zl/Bp l+aNMWMsxnlSS6dUmxgALIyZXd4zty3dKR7i7LOt6VzAk50QxxKOhVbwvZy93snsQD5aSSTZm//D Lxb7O++Lz81fnDjURwPxrr95bMavOEBgzNsp5w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57856) `protect data_block eFpE3hGU/S3svAeCFws6W+7q71Gx+A0Gm4ehX5nYNFvLNJ0ppyH7z2aAIh1YoHDwNj65nb9DXCgX uIvbm+vvgpG/Lm8kQvcIuZgmPqtmAl4pjdc1XlbKxb18F0vVvTtKjZkt2ohVOWCT7Hp9cY8Wq7hy 80Y9l+7twtikv8rKcXPbFn+/WKgwwPR15iNTxWxqn8Zwn4pbs6adqfSCDRbKePyaX3daJFPqeccl cFTRX/DJOLydq80zrW2AlHG1aN7qSelq3tsb2mDt5Uyp7TwY8Tq6Thh71XeviPmeP00gEVRlMuRH V5MCP45ofXX6/UH+RP9mBgZlI0eQ9Dz8ivWAt69wJDZlTNQ84fUX6iXwkGbIsMmIBECFQ73d0HPZ L3Czgs1+lJEtWG2ALf1289+S4lK1KKKW933SxZ5T0ugDO3Y5ov/MMpEhQ/q4Byf+yQUCGVozq7CD 9EQbt0zZDnrLn5LCrhS6DDY7XHh4NfYM94U7hk5RzMFdBBiQ48WCm10eN56dDe3afqMNQ7sSCbgj PnOWM0t5JGjqsGtogqYSFr6P3xb/mV1uDsaPYaDiaZa0OPXpyPIzhpoxm7Le2LXC25nrK02hQ7C3 naMvui8kB6NWv5VrRiyk3gyqgyQFIx96xdsX9j6R3PpcbmySIRYO9fFwavY08akWZd8f6dZ+HTZk LTCJ81ov6yYRyhrZD6L+3bIMxVCef2tJ7WQbFiS5YoXGyxOwcF5CEHNtlKY3WtJb11rN+ycqkrb4 hHt5Pg2BmCmPgaCH10EDLbau2wzGBZIZp/2VhIUaCEV66F4DKeO8lCvq8Zs6Q2nin62gN/dLqajS 0EKfrsjR+nAEy/uWSJU2NNrkSalizasFpYeUolDxYthPchzNnxfVzfHR8l+K8hfo3yS13KkvLnmm rZwvDgmO8xexJAj2YndRMZLTIG99F+K0jFdxjkh/chxJ4EHwjXhvBaw1pk/O4djcUeSJ8iMTVEzh GILeSgt9rwl+e4siQ2bDUFEKoAOU+mqG/NfjUn2hvgXhGSu+qYy1c6dmKog2IYvBvSHxyiFiOql9 09fAhQiGnnJr/JwzQL60p1o+wXmLH+U5wL+QOQSWWbgnpcRMvzGV/STqAy7Ni5mBQ0wF9ud5afQ/ he/eAjWFzBbJWNZBQ7qa6hBN5AjYhE/7/O2Yy6k1c7RiEhjR/yt8WV1428wBJZH0vCsiygHQ7U7I FaTuFJ0oWvJSnDguyjkzIr4patOu9alrwp0L22OVXerMYh/IRbpAiXdbFtXxSQWYPPjIYwhHejFK YwuxY7XBWAoe+uqgIlBes1gVUVKfx/srXKzCCJw2sQS9JrJ1aE8xvWaEPVfk3kR+EMgVZuSKH3JM +jD//TSiJOB+KLhoVO9eKnuHfy0czCGXjNqesXgDWtRnGlFXHa4IrNCdJtmIb5ImCmu00c4JXimv DOdAZ/W5aP/82/68g+fkagqsNBGVsejBfdctfZxMwQHc4Chqo6Ide1WARVx78MRiOxrIeBcCOXuQ eVeeB6EqGkxtSoqTM2XkjZCD9QmBxYnij+3eQshc6o7GY3lwrHZ2jJinNA9uX4m7+NZoa5dMDH1G 48fhkL4swXL/KMFJhwCMa7NkZo10CTnPwSOk7+wd/2raLrFgDMkx9KzK3jx6syiuFWmmZ7jGNNyn eMFeV5uVCMbx1m0m57buXQ4dccnVriSskETqla7XJjY8XntTchxc0sjIxRi0qy/AuvuxMfblSZpa 7dYXoaSrJTuxLFHlLNXG3e2RgBGHe1WMc5kn0C6Uc1O1AO6+tg/Si49M5AD1zFYCpmASkNYABT1t TQTc3A9vxojsCcd+AY6PhXb+Mm+gKFfA0rc+GMiGD1TwjaiyzDKHE78tqL3InelyrEbUiHIJm2NF u8RUDv4zgODM/7sUAm+v6wlKw6+O2u4WyE+Di8cpD27gdnVnkyPNdsJvMosd61AbLjrMS9VRGdr9 GujejeVIxRE84NhJ3ffZDQJ+bqRYqz9YG0imm23hR2v3+ckzWqJ8xvKlcPJtavSfKX5auu6tl1zz WwQUo8a6pWHkET9gQFtrUocZL/0WSH5pnNe6HgPbtl/FCCLqikbW1q2x9bznTaKKDgbSe87Lw1ol AY0OInVG/mPzPw1Dd4mb4nA+GiIvD/J8D5Mq0MP7Nos6m5XIEgHaEPbWxkQWKGonZvDz+n6wP8T8 EsaUGhRuNboJ9RsBGUVK1ggLXhmaO4JMORJRYYqv/s6L8e60HKAhWYQdC6LwVbsFd4QWkR70lP/8 AV47opuVOF8PSOQfNxJ6d19eAXEt9PZBgLdL7Its8esKT5lkoofKfpjeA2iYUsf85u/22N3L6OU5 p4f8wIcaqXSb8thsG7LL0XGa1uqZ23Qc6LqRM7gHp+2TtXjs0kwGFtHBKukK1Z0kBHVmvgAOlt/j U6mp0Llr9uLaz8KOGvwVvwuyggbaTLgKBa80wNOAEDIJuglGTjRyKoomOm+75sNKlzh4PPUdylhC rMCJEUmgTazkSnr+Wx/ESa95lR/iJftPIQmWV1GvFE+IkKT8+SQQKkSatezTDyRJdZ9kBueeMHbP 5sWVrrA1G7apnabw377T7/HiBLIxvr7af+eqMKu7H/Omd+9ESzmOPTRWN6fSOQVeifNyUk9u5n7E SX5OObts+Ou2ME5evw2YTC9nB8C3MfmCixdFUO2cM1mev0pFI5I/ebPxC0Qy8DMtnak2b3mMQC43 SNT/UHBfXzGqdlf4uK9K96ZB9EjlVM9kmM3Tucr55Yk2ZSt6hG0HbZF2j+KEwUo4MKjNfQp1a/Hr rqqFkEsn8dX97IWM6fhZipn0zCC6zKO4olr/h1Nr1O4SSSFWJoWoZebGndGgG0Gafw186b3utB7m SVLT/QSOyw8NuP431/iTmMK2uENM+00yo47Dk0/jMjidLSOWg4kdkWwsvBeBt25cHhRs7p1GEkXh lnbw+pY8+ech1V4wdUGqsXPtStBRRmPs8fUvXi+IHbnFD1Pjuab2KF6GKxYadFQIRJI9FJ2wXdk1 n7zUeS/SBy3I6PcSItRhn+4V/bgc0p7Fhr07zGQswGae6qKIqqU+OSHnpKnw2rIstMtdKpd0nVUW tBPqQl3hna3tizMaLf0igOiUMn6I9LFrQU+WpsbqT9Oxaa970WOrAzwPXXhgo01HvZqavwI3OsFV Ujub8fhCLso7cPC/INTk4xYy61+FfLkpxd3oKoAbNBsooI/cAUiobhsQVdHP8QZUFP1IpbW897V4 Iwt4NkapnWgfCWkDtf6qVuIOUX6/6+wei0+rkqw6n5j5whd/tbgzAsNX9vcjzS113Ls0Jkcz4xLt um6eTXFvLOHmQlaChxzWtlakEAnHebG+Qld0opKEM50et+QFZFBXNTqEtBayHPCOBI/xiLH7YQlZ mD8eGgGWLYDuB2jS8hR6KbfVC882mPnawf1xkg2QFppMcmKDZ7w9hWJ/D4K79PY4pQsNMF3LNvej UIoCGNGxBfl6dwXFXER81pIsDWVPZnUCdjYvNCZ3WKaNovFCTyqAo2AZ7Ts53xUI7U9/b284qy96 6aiiv08t15n4km40iKXgGcum8QLqVkm8nBeblyrHovwG9IuT2ypmRSm5X5+Z5ZsDZ7zmrYq5SvtU GE6zqsE5nkI4xnJRQfGDiPORGKohEXddxk0tQ3DxFUNAu2pUTB1L9SUhuJm9vt6q9ataaoMjQ/Dd xNCR4QC6Tfu6Ne+7MgaNb/PXF8PHlFyT5rfrDV/sdof772x2+UctVpwBqwXwgsx+yHc8p9NNsiTL KcDSmKc0ELW4vx8AepZpuIK7y/wKFNKNrYQd6AJ+Vcy+WBLYXKcNw8x46HL8W2uJITJ15EsviHoh UkBGqQ/5vy6jqoLsCeB9R1hMpAPpk1c89ST8tp96cKryE3hwQHE7TQIZw8AwjFG/3RGWM/+4vuOa eP7iCnAAImXwFPfgu0J4QMbHW6g+WH+uisPB7jVxq2T+YiWrW6uupO6ZIG2t70CKQz7zWP4p0YJ7 +PcRpPaTzx5YxAEMS2oYAMab5cuChTpox1WMjkz6CVekHV1wpKdWRknDqGeF63dsy8lF5InDUG9G okHrqotVhgCVlx8rMRShut/wDIOCAe/GShtZF0f9SxyYl9O6EQ7+uLAi0D0yFpFFpsL/3VWi9p7B atn5IN4C3WdOGadyr5xUXyyIa/5FnrSBo9+ukRdri/hFMcYr3TpQDzgZHg0d6sMM5HK+nM+HIeL+ /FOeY5AOTonf5wTODn7cVb5oiDOdMOHD43jNB+kVZQYyVPzCZG3UeeOcoHI43p6aV8af2HPkZazc /JeCwKtb7sw6n/BXw9tvw9zHBYk7mjS36dRJLlRCsg8ZJKtbcMMuDxtPA9tetKMNcTWJUJhJqeY0 RppE9lKiSJFqk4s16wUmDW9tOxIeq5dL3ItX2FDPuMheM2/68uOt8vMRwzS/y22lV3D9A5326SM5 LTD07UcKJFH2yNVc36r85clWpB5qZ7IGDY0Hjqdpbp/yT2STht8/4wvADuNOxcxtASxcWT01dApa S8JH2DcKxSHww65xGKfn27kJZfes0L1wsnEDOZ7xzyaomNsu4frJk5XuAIp5A7db+MchfA4jD7fT JmznQJwCpWifpzBpWUkqxV1dnv2s0DisFdVOg7pbdc65EOn+jhcIgpjA8IOhFaTXMiXnS5+nftl9 TkSs+VoYWGozaPJ7RDE7j02Dij1v1+zhrPc6aTM7zJNHE8B+B0yhAMOyqPU476tZzKAAVHVuCZSP RNcBOgKquMUNfOq8Ccu/TRu4zMQqYxZtT+bmOYudNfCZ6PwSKlxqdY3ngepfWP5NSSA+buo8Fx4+ VsL6tU1N2zFLBcidVu6mzN887RvQq5ow04QkE6lKvaGGE7sw4mv/drjUc9aojA/SpoUYUVsrXN2j ED3W4Cr8B848fWcGNr+9KbA3ysHzml8eNKoIxo6n8Z6WGGnWvvlx2CTcWUOnfaBYJ380OYZ2mW+8 KKfIP4omEAYChtjB/hKG7QFyAFbe3t0jEoHL0dzNYUBAZPwC7lR9s9RGaSy7zZWtC989QlAd6r0n Tlv/NQiUUdnQOPnJdbwFTQGGmFWgUCjSSqntrdfY5yrMUfvokt0vJnYJOUFyQFuVdaKoyKlDzOod hPz0KvY3kyjJyGYxltig8DnmCNHDdragtM+tua641B0k8/dMvXXn1Wy0TWOqgVsMFS4H+yOGI/q7 ZGMKz/w0UTjLKEvieY2SpMxQUXV2R4MHk+7khCTUNTOCDd9EuJvQydvnXUsS/y2LZYd3n56EDsdP HqTSQzZ2EvwOhQy5n5qMBoobLaInvg/YyiKNzcTBG4g7luccozm9giOb271pEcf5uaeMCP9XPyXR JAWIhvGGVhZMsiwEZ0ze9P+liSTJfP4nH2xnSHpvQ87zZXovvoM3A1a1bkHzdcjPRV5OiBWFnmoT kf2D/i7Gqw0RdH/ee9IFxnk+7m2pmxE3OozqeawBJeXQywm/jx2zJKK8i20fOUggO9muh8LTV5HB nTJG0FAD6SVlO2wuxUAqiFFU2SKovXFLaSnbzFHEfCkXnM9Zduj70Tj2KpE+xn4lxKkSt97bZMgF Yr6WWU8bwaudTk7FaP4YBgHeSzzfDb0PxSNDB2S3Jth6JpmdLd6mHy6vSaVOYE1FaShlxpSTeDZc u52c411JAvwJjsQTTST6BPx1VnBG5oL6dlJWLYk/XrChE/l78ERzLNwMaqM8qsi/0+nyRk4JKpsx flwGL0Sn82hIRFJWz8KSFRw/E+SbRhvOsMMtK24ZkE9rnuaFoPN5NeUejWV5UC42Njir9whDQLNF NtVnPcRygHHSzz3OeU1JOodY5HzN/K+ZMlqAfQidzqaCwVcfNdSpaZWMTpF0e9UOGpKA8J2A/hH3 vxkRxr/ssn+pdkJQN/kuMN98uMGm56sf6B/0e7DAlyub6uO7Fr93LWG+u0AXqM0dJbIAYd+1SGSV bgz8qssW9cDYFNK88UvhigD0j43WHjf9x6VeATcV3vZBhEErViKurl3NsSRCHbHk8lrA40CUW3Mv eQ8bgyntTHzpN2CLGDvN0UMR/pLeh4n0wfXBoQd0D0X9U0ko7oezE/M2UzCmxrTcc3gCJwI8N935 arpPIbnSDI4N5rWYnn9R1d9WDqrokVo18EoIXUXwqWRmvkoA0b5/bU7TeqvD8xCQJnjzFLZxd2IJ lShXvAevI80YH13T1dMJIPH8+vmPZW7Rk9ssZKqphaiGcK18H242BF9Ek15fGlKAz5Wy+WymQgSd qbE4A0uFU8iobnkdX1lzmM0W8oss8B7cfyOoqrSCYjiI0DrSPnMcIEZUrJe/Sc+qUHo31pYpMXtR GtKGNkwsCaiqz0TIXH7bwOnBqW3RdMP6ctV7eniTxu5zJ5G2Hcw35ojKxfBKgJh6Q4lmD9C9QUKu 2x/ZHnIVM32y0WkukQ3A9smYXbXjwjTf2q0Gce0wSQiEck3rMCP+LVM8QfF4wTT1AK1qzNpEYfaF T9FTZTSgQ8Wr721Hqv8kVjwKDfpAq6skJ6zsWnzEXS8K5Y8/VFGBChzNnyIavwlV1vvfE8ERmeG+ UAzkyASTASvtBW+kyJhhRQEPQTdhaGRZX4OY8J3sxayGTgNi8oV0ErriUjtXBq6GI8lVJjqM0pw8 U42tCTVbibE9NTPsE4xHXnf7tAlez3Ozrbh6sOt4mPE4IGNDotE6g3Io54WkcqVkwvMwhPRfzddy CNV+nzyHMqVKklRYYLzmeDcZWJHrTM3hX2XdMsWUbcYk4U2iFgMqUmiNCwoVbleCJoTVRix9kiZk nZ+mDc9vAUZpEGDMoN94UghgaZW1qjQUKkgABSXfP0VETBUcgJS24NLCcifaW5fwAoShI2sOJf1A Y3fvuyKsH5d/R7HdRGQ2Yy9Bx541+KxwnyJDzlExJ9RrmdVq9Lpw6oqtj59hBX+zO7tsFnG2mheL Sw5Gacp2FwLRvF2BUZ1lXHfxelXlhxhHYUbh+5ISe/eg1IGMAJhhUY6BsfKzVCCTcpGWLpI79Eu3 YkidlTTeo7j7Qq1K1ZocWaalDO0Nr3sFWe/PwKvU3hf7fhRqVTRuibTX1pyXA4UsGCrDurye28CH yGF1eDa10TBEdWIKqtgPtQbKbbPOQh/Fz3DunvwdQjcduuVOHHwJ2G/Llqrmf6z3k831hk4wnMKs gkBmcBFJPmcsQk/FpCSJcBnLeFELfFSV0oCs62AG0zColLkwUIkxSdcJeRGjlcML71W55lsr4UTI QsEYi8bZYakxjpXulvf5BOnHx0bmNLRpJJl0fd3p/4nG/jptaKExKFWes+HGEIiONwgO0pv8aTIe l1naCquW6Ljd5xIRJJfErS/8+O+6nkhEkEj+fXylG9vcRCnRRdcGcQv1E67l204ctm0TNF8rE75q pa8GK17mLOGcfB1345ErcXuTCSwZkvB4Xw0uZleyYUYB1tzesKntNTuujS1J8LQ7wUrCByihxjoj htcrDY1OdlWI05Qxc6YEFf1ZbhkCbcSqv5aevGPJTg6Rn/0YRa5E3QosGT48VjgsfsacdaGhmWmv +WdCGNPS6Mb95Ymdzqq6jxRpUev9abcZC7jDY14sSEdJTVhrtFM0mJKV1qOcsZbXSaGEamY3X9KP LQ5BmnxNcuAxwhazV5wiULJVQm8XyfBOQU0zCosrb+zT2c7R1pNudFXCn3/T93J5OsacG8+9P96l cWvuEx+K379bWT08vvqtxoDwYLZKxxfTKpFC7RhdhwTz7YeI+E5Bl6k7QRD5624MQ7fa6I8bCaBB Cns3zyxxmWzrKPdXgud0asVTouf877V5IKeya4AcYXKbiOvkcH1v3aO8otKQSozhjAWXThh6joM8 cM2uAjZ8BSNXlBwIT1GeqP8lxTM+mybSP5YAnsAbEiLopP8e74cIY/FIJvyelQRoVJ6fzOl1EI+s igu8/DF+4O0QYZR9grXQSTewfy9LuwiiisM94jzafJgCkFTZcBdBOTx5bkIYTpwP8DipY/lU0TO/ Ln0E/YWZnRuzhfsyxxWEU61RZRCf/IuhrCIOD5MEAIG2veSNeAqg5mCIjK2sP+fTYMTEeSaQ98p6 znyQ8wVzzuH6NBkoayK1Rz8BlC18z+1jImjkf80UWEDvnpDYmft/clPWfTmrhjoHGo/QK42IOXA+ 56RKrkCdjMmTuCPTpSX7QZEyHkYKuVri0UctexHYz5GNh2RbVJUpqAbjG5MFUV3gOkI9ehsTUloP CTFuoaTAXHE0+kDfSFsRULeGpgVVvjiJ4qexSPbD2Nz6vGJQNsm1Q3BAzpDC4ENny/dE+tNp9+qA FhkC6womcoN0l6RNZlwHzwPYaLiY/xUAfAx+JgoZ7wEjoH8qB0qVO9PVZJP5irbbd3lLL/KotJG9 DTjyWh13ZLZpbeJ5xP/tEzryA1JH9HD51Mx5AySIystxl6AeX/xNBvBCvBLDfd58wnrBJP4shTA4 nK8kGPWUIde8Jhyj7sIr7Hm2VNCvIfINxVvvQSm1eo5a5hEPthrDqAsYcjgptjuaJkn1441KAjXg /veyzFxo5XTyONoTZIgkSNOP9UcfKFjUe3BXA6Opv7TYrNFgbJztJkYCzpkalxFiFBx5VVzPc3Xg pVfY0sOVAIV7jgqJMnO/ov3zkDyFs5dv2W2hNqoG6Z2ocwQ+oAVcfhUmiVUYRj9MnLEE8/DMIY/m lIEjHhIO63Vmlf4ZYq3BMJMy2T0BqNebdYopjVg73JvqbIOgmweLPvb7WA1VIrUDxucKw0A8r2ml zcXiOCFV26Z42rCm4Rxpsa+/URTZLPXAf5AyyIkJTr4u5Yul73e1ssjnp0o0RReuFdpctrySD2GL NdpzZEbGj05cinCDsNKW1wr2CR5lkZwB9MIi199oaDNFwC2bl6Oy1I5ks2qL2VtYHR9cRdMdYALT 99Yv+qOHGYzAsgdPvXA+X/WhwjoamdcrmR437HO/Ze75j/JPbHsCxPvQKcmHvo2lfeAxQ+wY7PA1 yaR4JVWfhG5kwnRM83DsRHO2elEv+S6n1Zy4Ab19sJKFZzFEBkd+gcj4uyZ8TOzAnM8NYJUwWh9w bRB8rN2/1N+t0iCvR5dHLRHzFMnJa32BZq0Hxo3Ub9G2C1fQZMe2cvKlQqhEN7jZR53lpxQn4v3w vOw0c6uHJtgg901VFyixuH18M1zxrW+EgswmTxQKKZjiIVwVYwfgOYnv+861bXIIb8Uw0lxRbL6x v8ayquSSQM23aEP7D/1tE+JX3fg04+H8Xmi3F1+e0+ylQrP0lXyxolp9pqpFgCOHJDDXOajpazNl IHJgh76tKRXmwxxleI3gsmf7CdJc8xGd7FCJVOwsiY7C0KPhI3h9HB5W6/hasNvtz8pvGVT2Y+kZ LepYob+gA8BG0O1Cr6Qq4ucEihkZlMZgJhdu1MdJRvS66NQSnHFNCVtTZdxwyNX9E1+0XYGKyGFi vl0SGKXlvsMyG2H/pEIM5XF28s3pz2HTS2oqIysNEL19eGkbfeIPM9CVM0RYyFDpurzDRCwPomfn fhxdHIIfyUdwwqV/iTodbH3VtDkXjfdfK7c4Is4cRJDv9luqfWIcHv9+Quqhu60JI5eBsLMZ5lZi C0qhMRUzVA3sco8W1JRRmPHIY1/tJZapALbpgDs5iIOAg71tuT3fyljVNzimqtQh6ZtjYSJTB6aY PVfjdBbe1bTzW+w3kL3UsJJLyZcemhdMc9R1Rd301xLekqOelK0Irf9B1D6+TN9iNplKcnq2D6e+ yr7QH7Tc8Ajq9jmDn/g8lZgzb2RbQm4DUz8vpyrRiGEEa/4CBQqWaAezk4G9IvzGGzX45JGQ9htx RlLvITqDXC+Ms0vfmqrivifVKYoKFbiYmoOgvDP3kvqfncLx0WCQwhas9MBhRl+mIuIsrcNY4E3F ASsXb/r6zaCJkdBiJgpXXVRrGxWpPGIZ4IZcvgk04Gb6QCDF+ByAqp6SLdqJClilhcFEG2pcFaoZ pDxaB2D1qzcOqBKHcgMvWN/5yD5VtPDdrj+7v0r0iG08VKNfVCVExZqWci8umQ7Yb51kaysRHEbD Rra31tAYITvQiHLm6c6ZWX5c3S1V9CqOamZwKqwZilFvodXwUo27MRo7VDY081hG5Stqrk7QoL4H eTzEbYf32idOQJoyNA093Qu3bEZlsIwyDgODFZEOCBSubP1FJHQ5C8NlAbY2I9GM0JtX5Utovi6a /YdkleLBiAse1CLEyjcg4v0pNQZKNoWAAlrLL+LVptTRzjoKmccrOjV1If10RkGqyd2Ftz7s88IL 2nh4pRcL4CfLZzBSJIeX/DVy9ik0zFVBUh/X1Be8/Y1MFuRmBXETVMEX5gkvrM/bvt9ZYYZrXqa4 DdZCh7zEDcclCEIrvzhMP+2pTSLeUu6wBrOSkmDnPoRxhSTn+UG7mO30mex0ciuT4yCRdWEE7MFF WqG9YGUBSvAqev5Rs0y0Cq0FhhoTyxMdhuPZetEbaE81xzuwlF3YlOzAO27wvIwgwwCACllLtFkZ sTpByWVGSep2lwePRgQPBt6S3amDhb32muQ5zkszsc2GeEAnfeCRP0wSZPCQxJ9ou5y2DEQHeLFE 65F/m4dm147KJm/SH3kxd9SpPHn30gvGfvOYDPITYm0AFXeinVRKiMN+jpurcX62ee5IjHVcSTg7 iWK6xnLksQ/dnI1p65VbIFcTiNg4B3C5vZE8PefjBTECmcOlg8Sd4jeS9GxoQlDExguNV9mVdTvA PsGf2NCxggOO4HX03Qyj8rDz0Yyc82irpakmk9l9kMIT6D4Zw9wBOWMUjvxnz8Y+mCIH9/1a6rgE LW3QfL824D8oG/aM7G5BGASQ2ivkQcj1g+itKmIy7FXNai3ymE8AuCiJpQTlM/6Cke17xy0l1/QQ vkBHJsLIlh7vGh2sh6LqrFkt+/GANSDADmxGiUnNznMShBPVH02nC464bpF4XHKuDuBqwXPNRzn6 4nBgqFEPu3xwiyx6FmOUiAwlTouh6QtFl2WK39fMVfTzhAUDZHpCT5aYuWQAr/X8hStTRcftAlDj c2CdD/iunFZqwccoGYLKPlaQzD/eSMr7+kY+EE8jutB0K58wtSzQ7jtFG60qAttqFFK+Yfu88okb vUEQPNRtceOGKda+qvvtK/knGgDGFHAC7ns/jFgooJT6lzNwHEW12OOS8199UKwTNNXlXCgt1Cy7 fOT3lf4W7lXlBejHSxMf+Zd8Z2naNvxz2yIijXzJoiNxm6Fn/SJ9hLCJtglhgXEvaogMkgg8wK1a dTlRHiquvuH6Q70Z+ieZ3CSyVN0WNso8ek7U6A8WEQ6X+wcei+RvZnfGaf77yIlJz415jfJnWOIT u5LQy/ydy2k+0pLuIGnFdIhDivjKWtJz3nsWppAD7Ydfc9s0850EZAJ7uUoXwAtY3BE4LZamD6Ng KYI8SM0xqT0zpZ18j56uUUIH696GuZT85w9EtPJyynXrmS5cbjZZ88x/jWSRbAlWUpu/K2OoTqDF RvDlj1oJfMNQL1QCxy6zLmORn8Lh/bYZph7hRs7JV7iYqF9jrIevrSmxWqJ1I5V6veTtZSoaYoa8 05cYheVK/w7kz6ulzoipLzBXeaeEzH0oxsW3X2x9UjSQ0r2uu15qDfHtJ7f1ekG0bN/1BsAyCOv1 xHUHJgG875BjRtME980RefKuWZjYQiwFzyFJk8b01sZo84HLzLb3q528K2OQws/tedtpqezE9ooX iTiacjylrFFyjDt02I4PwlfRpiqEPDzBezqmX2wxrZIfoZTH0ZvuDaDFLFdZsg0/92PeCW5/xtoZ xc+gsH/9mSrQRPCQVmcxwnNKmy/H/p55/CO+O+7Ryw6OqJGuXltsjMX+/w7vjZpS6FsrzlUo4ehW yX0lEGWGysSXo72uZhhyKMKaByM8fmMx6RXPDxNw60/JQsEaZE62x8VWZaTy8SxIqu3CdR29CBNO q0bIeyzZ8gwTcBEFJJvpbkTn2oaR0IGLfFjBKKXndWCC1GSLhvu6CiEReb2NAjpVlrEidGRnIAHF s88DjZJVbeiWozZGg2q3EWNOEPz8D/ZGCllvPijEIl82lcIMrTQYeTBKkpxohRDN1b0JO9f9m/SM Xms1Ld+CU/H0JZ77mrAM0qspMNgioz7uGFMPXaMsoFvOfdwZQDE2w7GpHvaPAfacGP4fMiL+p7TH qx/d6FSaQxSPaRLHoRggRP2Kbg5bC70j/+54eweOPehiqmozI3GIvsIQoVQkC6MLaxomFDnAGtGw 9N7CwBG4YUrmk4wCZGsMBRqk5DBrIbUWoSfWJwCUQIGoIeb23CoMa347PgMSqJfgv06yAx4cFZsC BYy9LI6QJUmFdyiEFj1nxM1nFXCRr9xPrdSm9iBEq8Vtjpac48B6k1+7CXI5XnvsczmOwUSdYTaD hdbXBekNk/gptms1U+2QsJrCUh8xCvY1E/jrcCRO02sbKIlXp5joujx4ZGtd+UMbLXqtWUtowcuz iGP2w3JDia3BovEerTGV8hHBCBxsMBVQMXjVOCCFl+xAgGtrzDJpFKnQGeCNnZHXa8Aopt7HExjp 17DqZR2v/TjV9n9111SCLuRZ2Lu8bKafqazBuWKtDmULX1C3lQ/tthu8uCnnAmU31Lq1R/lvV7rn SjUPeTXnX8kY0F+RZ1fcCyQ+lgZHExsY+3Mimxb2yOEvkxRKPNzUR6rE8oS2UKBY0DuV93eWnehE GDnRpR8hxsetJCx1qp1XdJbiCHE/Q5qojWa0ViBkLGj/j7vNUbZrC8aVchubsJg/cRotljJpmlFC s5caFOQumUWhWLP17LUz/if0l6GHURq00UKtzBId+0AqiE9R1+RDagAzjB775YoyVweFREHIzsQi Pf0SEIbsQ2yNgzRFuVXmufmK6xtV//CPGm5NAV19TodeRiRYUr5DHPLV2sZWTRbtx21JF/g3curS zd9tnwa9FHJ27sVQJE70F0tE+26yumKYgu+ygzbgNiY7xffw+MNaXVggE0w4WQhFuYoJNrgsasy5 gWfiiLur7HK01kqSj3HTzR5VVVxHNgmL30ET6blD0+JZgK0kMESyybZmblAygylNRZTPnoUNJ3Cd OvaQNQLvnZMR4l41GfiuM8Aad6M7H6RMvqttiVkLHs8hWxEoxlyQ+0cb1P5NJLdxp/SD9qpfBeoC gJNOivwbew8K7zw0/lO03nNEgYiMif2e7SK6Mf70z9aRd3N5PnDjVSQQYX1kHffZri2l4EnZnPoB LCO3EtnInNp468sr/LFEIDo3nHVSX/PvRbcKRKJguEZgxmUYvU4nWV9Mvh/qFAQTlQM/GcG2sOml 4xzZGwxPZ0Ksymlh6oe1KH2ZXDfFk2uaI8ID4V0XWQjCosOtExNWUIFM58+CXpw5RLBEzEyUfUJh w094jm1feeuTe7UfrNRlnrn271RkbNpk+9MFqVLoOUn4ZqYUURtO8D1bgYbuWKs4d+JOVIHcoVeg 9S1VDgADXxFdnaB+o1dtmipxHSPWw27b4CEMS3glSInw89h1KkZ1WUqjild5M673GXRDgHaO0oru ti5kZ6G8S59M+sFS8cOun/f+MHB4jGeB/VuFnvlZnGvaGCSYBqgg7nxjq5fEVLIifuVk1ynJVmXL oW7HHDeP95ZFQX5nae8mK+scM2GnL5OzrrwRmAAYz/kc/a6f1KGioxhT2K3WYWYDuoDJBIONCXJ6 8YaiH4zny6DdxMHas/ixUC6q8PHHgH0xyG1fyPyd7lgInzmSwN6FkzlIkfrTc8sXfG+JgEhW7oGN uWh+qfPjIUcaVr1DhilJOsDjSASmcvkvOrhc+/QmSBOMsHOelfGXv07c+D/ob3fvQwU/CEyWBVEI V1PkshSByMbrlDRogiBSSnOfuInq0U09gFYLyEzvgXU1/puHS9g4FQcobKJyy7qi9TIRARfeyY2M Oz5sl8j+w/fErXcbEsZ1fKQb9BJChuF4s83dbWvLi9bFb8S4CeOa9UGKh1bnfPmO5mUZ099JFg1/ dKhl1IXw90iSV2KtpjGAP9jHa+9ceSpbpx5xUm5rOi2mzKUArgjMMju7zOLrlRSKKLGAONsY2CrA sCBvkLkD61jhUMf61+vmFOe7R8FqnhGKbo/uoZFEOn3stt4wBOgRZS2BRp9Lc/QPk5yXmsCrjkJN 3K0RuDILGWpXVIUcFRqse2itxOw0D2KQczTL3vV2T9wIkGRgvY5St2fYnVow5EdHPEN3t1Jjq0i6 y8kZ5JuDQnrXChaU/z2crTDRzD4qkKDg/7Pp+gP7Uhr8nueWFEukLAiFNdNimyMoEaLUP0x8ozcm ZiFFVuoUWFOoCeo8sG82+ZvYSPJG+BLUbgISslKzYfFO0+VgruA6dGaEOkiu+Fv21TUohkGZhHIZ 7hHBhEeTJZHO6iKpxt7Ybc1YVv31noelKDMHkfAJqYEQhVJVpG3zs6lOrMOIABWqbrAMwnMGAPTA wprXGnAw7+PdYFkl2OLn3CEf0WRG4Y+1bJg+c3tb4cF8Xj6YLh2Dce9Vr69jW0+hUEngApLGUbz3 /r14/g5nooeEWAn0jhIzXgBl6Ys0nrQr2pp5GIMj7NpAWV551DDm6k3rsdZV9Dtm2NEQO8ZRaUoJ 2LAGWW0wW7TQDpE+Wh70UQ6xM18rXnyo5/H5sf0ewMghBs7xG7gc14pcKlwipxpI4qjCdw4FHa9P jsxrArG2KAInl/n0v0A5PrwAK5MO0IDXSWn2j8W8JzHzrKqGTKsyDFy1vPvncqF/FntOvHExM1mq SA7NmrHDt37ltX0/ZOn2KF/4YdXqxEFV6peUX+3IZWfqe1xNMbY4QkJEfjo1CYd0TeouzcjqS450 JBqIHtwdszzGkqFFQIPqy6xQYXuDcbpjbP+STGvYuhGFX9rLmU+BO5LJa/LlfETcONx4+q9FPDVz lqpzAHAV0ccV1u6ZfcAsmP+vCvE9FJVTLCRYlzTxyiE2aCBzKFN6rYGjvLYKcjJQJYWijHGyyOz3 C92Usx/Ms7Z4l5SOFULQ4VPWw93/RQJnt2BxxwpfnTX7H5z9x5TEk7ROyWKZQ2nSojajbNwTYCxA 3M7tkMylqD9TrSWq+ltsUDlZQadH7sPLJI5cBXeWzVWo+bmiANfq59PpVMc469mEqx4BzqE0FEzR TKOGH/qVLE2ymtu/kLp4cf/TN8PFc+D7Qj7ZQ07nOMVdaSgwXYzSyUAR2xq4UntTmNx5AijvFE1y XQ9WPMgTQZlPce7SB9h+JwWjdrJMQoXNbpdrHV3Hbr7P1Od2MJ4hu5dSVf20+lcfSOmEzxRJ/9QB qmjBEu5Jfd3KOtwmWE1ROtrnHHp8JEIm+6hh82Py2bV4wANvlM5wc2MIshK6Vlti94SbUs2qYGFg jI/VXTlpAsfn9If6I+/d2/gjbwI2b4U2E1sl4LqmsHlj8eiQFXV06L+oKyQ2jOMgqVv9OJpea7TA BGo0DjqZ2RZ9VFehnggSScpe3GkkCk7YEcGQliidALbAFaDAN/SX6xzwTXsF/AIut6++M3XmAMoH iRPjzBL8KxW7fXQyQYjgdEen1pyhpPI2+PFWXxoXJSQ1X5EJbFC3bm6bhS8L4R1NJ5xbBOa7TAGu JvKyTVrK86ih9zG+PQcjjCEB6oO29wAt7mqolk5keV7bOrxJY/DlQfNFZmYbO7Ch0UOlfBTBUZqY TGnXgiutOadNfAcdbRnN6C8vGQlPXFhR3d1O43FC29YZJrniqbX58sxxNl4tFRmCuOBevW3Yxx0C JSzYB67oIhsNcobu5FFgsKz89kcOpyIfltfQu1p9s6EG7JolyjLcUtxR21XPdaZSWJkBjf8XEVnb 6vpK/MrMWFI++/ZZOhxBW00Wd/4pyBfT2bj9KcM2tphvddU3gGBG8MP8HVUdW1G2q8DNjEzeKGsH iyT/DkcQQPBy09z8SYbcLCM6I5dtFZp1TCCxnHNLC7YaFcg2c4n/rQztkQZmu3iL+2Tplv4+CcdY vfVvEkavoaIFUbSsd174u4qcEfESnaN0M/qGzQ7E+ad/MOuZsgCa1Rk4/F9jknn9Cn8/QrsXwhEr 0+AEMgGzci/5tqXNIHXkbp5nDyqfoRRyK5iaoWgcybo8nuNdNWi6EsDmkYmjtR/WX2kyOvOflFWB SSVl1yzSPNPYLp7snRICDY68GDbTkVxIxeOZFhsW5EeXpgg/M6RK6VGt8GtnhanovEVXPP5WB5F4 vTOJAr8Eu9rpVLajHUesQ5xegm4HnsfP2Kg8U9VlE2hfqVPRksFK9+nck+YeiLB+r+50ObtSExdI Ed+4AZu/bKMveh2wjb50Wkza1gQzbetlmzlJaV3nw7XB5G3GW36WnDYIjlxIHdWfq7dLCKEgpvQ6 /+pP6LBSHZD87Zk6I3BVzPDjrEAaM3/IVm2sXGiQpwDh7RFh9vvp9wfHy3OCSJkvgwxOh5HPMKeW 6W0LGoARFocUC0tBwQ/6BFWrzD0U0l9dbgoayhsN7dAqHBcM0yZPeimQtOYkvToBDA5+hj94gSng roiQyrVI21tzG3dXd+kkyG54bqKCu5ArnkCgRARPpv2qQVwpAy5BtCbDV/Ml0HovIRreCXGA4/4v yMWNlh/ieVrM473/DEi8CpKl1aAHDnQR/EJNBz4HYYWkeKWAsnu6/o7iH0b1RDVPvmyWZXvQ6pPR L5GpdjE0BOWdvpkr62vaDfEJOaacqGexCFCfb528j4g60CghNvFfo3dwaLJ1YLKX/jH1s3L0UvOa uc6dCln2EOWMYZZLNrPKiYQswEoX1q6NHhlCb1FKCO7HK605dIpgy87p2HTfjGMY2B6cZLGIM78I GUZYLUXfcspPWqsA6qm4BXvSqdzAKDuz4gxzx750BD0kWIxCSOkeKTSBZFmT5pkCagj5Yytvb/FF aJUPPAYgLpAWjEeFFk9yF35CBgLQT/JxJ+PDh0ezPfaTn5uEWvAfqeB6bQ2THU29pZARFRtrTxj8 eQkZFUM06rJKcZzSCQIXfE2a+Xsuoc5oq/h4svL2kVA8KyA9cEgRQgqy9UO/vVF/4tfxwZroeqf/ rHhloYL4Ix0BtQ3M3kSR/7v23ig54Blt8sS+AHVUF+NAhULx3bA56Ce9aGq1CxnXcrbHb8Md6sKU POI3xvHU7Q5SyO1SwSpQ8QNS3Fzew8SdN7dxf97A1EV9AF4jFd0I+KX6eIZaDYd92Z6fnYy33rT2 H/UurK53Nl96G7a2IIHaqKjEilJ2jfpzMw5JFA4itacp1RY/z5wn2lYCgnklBr3sikHa9qnshFhG ycXlQIuLYB09DKXBjqaIOanNcRkFb3rjNh8Bk1otPnuspHsPSI1SWvjw/8NKzNTT2QPKi7IFx6O0 gfFiYu+xQC7xB6ofUDUgLNhwqIbgHkLn5zgrmwSPhn5GLzINJH9DfPg+fdlnadhplRJgRk6pynJh F3w+zU1aiw+Zk/q7LiOlMkpn3SXFLRprOrMnocKa2Vyl9xTAdHbTbtWiBBr94Lnp+7IUEa6b3jln zK3fT+K5jL3yS6IydriJ75HFZxgy2qRxyKcXd59BPrarGpI0KECZgIQ56ICXXEss/Od/jaojrAZx ainqs1RDPg/4+KaBJQo/HxaDoPFaXBX0psaZcmNLvbe+p8lX2nBwIkKlpyOTi95NFQcnxaHMruUg 36Iu/hDZkHks+e2yFOcUWy6cihvxackQxnsyEEYsmsgoYYwTbD4bz5Bkc0lwvEIPkIex9Nyyw3i8 fr+k6kZaMVV9n9KqOrDYDsfd+AhkGu1hFS0S0ZC6P12Ha1p4/75UkmKI6M0EToWSa3txktL7VHmp /ULfkMu2lTybxVtiSDBsuDLkPjy9R5QSLSOEWsHRoH4FaiK16gFctkJMIbWPjTYTiWHuEplqsQCi 931he5jgDurlfGF8tjqkaF3j7xA38erRQ59ssK4AWqg746eYg3ckE8qT4XRoTmxViF5BlwwliLw+ vH5kdwt6cQXpEhTp9jC33DuLGenaS+2JQCn5jGMVeAtDiCz7jkPtTRAU5Ch4fEU2OYvkqOvhv2sN A0ymQy3fvmDgY3vh1PnZXU72OOPBPimFw+w6LQ8SI0YFXgwcqSP2ACNW/hg90gm+rS0vEi/pyilj iTdMdP1cqC5q+Ljvbv3gmnZOowbq+OmeBEGLJvtX5aGH+bAa/3ZcNI0PZQ5o6HZs9CUPrd+008Vu rGhYXOozhT8QbtOr0+5F5+6GsPYHg9+P8XeFkZduyfnWrMvjfiAxhfnLLm8PY5p/xEG3lJnIy59R 67w7GcEw/gpcDJfVtwjf+vUNIYrGFcr5O5HoF+3R6szOpTSP0NFWugX70zhBJZY0jhfF1FSaMW76 wqGnZRzQgdIFRM/3QaiMbxoTzys/JVuBLlI4H2aPW6+MTB+a5dVxB0ZyrL3JYtcghKs1YehAaQnP LHTDkLdJE5LVidnvCN+9TmGRLQsH08vcmotrO2jbgVZl+5QrHDqrF/w6jbHXIOcMQmbG4jioLVP8 nlefHraileZN61a7lkX06gkRBOmn5F7M05oGrGDAkctNO1Amfqp1vvCJqaJkQw7a7QhavjUWDjZo Fsx7llJYYGYoc5pKgMwhxerijUrUCW84TfJtbis9IFHPRcLN81+GJ5uvlwF6JtYfIn6St93a1pYs LETBFy6bqOVHAb6mffpa4jkQY31bS//8AfO6i1Fp4x8rZv47kbpOlBTfkslam5oLo5jJozs1iS6+ tKwXloi2Iwsl+MkNxb1ADsP0v+gioNciNH2wyof2UU4/coLBMdsoFBfNBkiwV2Z/TVTA9g1q+VSv pyK18jjYlxpvJAMcsrD3PKv76Hf++qZUBtEQsYk+Q0nvJ1x4eZInmv1/jjy/SFnTnYkHx84Glmuw BVxt1Dl1XBDQ+4m8CvFh07hXmn0QVFGOzmSWpFSA5jKi6ugjXDsTwH63WWSGDqjd+kYfnXAhK5ez GoeqGODNc4DdkqYZmdTZ6fWBnB1srruWgFSrnYNQK7ubPw3wHDsywNX+Si8fnue8/wRJG/vDIksg j+oYzNoOe5VuQ9/z7GIThscMONEKJVXSanoX/6SmKv5FZPnSNTVYMrDC3FHr/8Ndi6+UzhNvPa+5 l7OP/e5RO/gsHJmxb88wdcgpkxEzTcYy7BNSzkB0YAwMNX8MyxxGInHiF53hqJgDNAjCNXqQlvKH 7JDkM5RNx/kSyeXSG0dlnPmt58KXqKm05k07Q4fYZH7XUY8WR3RdalRrF4Ig3KPuq+rkbPYDOuTW f7/+8X8uUeku7MPhRxBmJ8clwkltW8d6aQaFG3cdxbbKdQNesACiwsVjuK3qjgP14UQSkOWG/ylG 82HUjnx9hZbnADWuJwHu1rCpI/cTo/P6Cn0qqpatAjP/SAM6u+7RzpinI4GM0t7eyazuW6HEolVU 6R3/Pv/SY2HEYLb+I9u855W6N3Mm/sgy5jYMjMnr8/ev48FIyvvmizVDkSlNQw2HnpeZv8ovMf8t 3F/MxxEoMNd4k0LH4O9KLZjv+RbSmr5yfdRXOBGb/Cqzz+0mYMGlFC+iqaRG6RtMbUu7Vwq3UpOR gXx2YDb6X0rgn0gVzht+M1kYP6KYcvgHEJsi/V4kiUy5hGPd/qNhR+fukTjAxNuDEvLXGyd1XuKG YOkee5YzF3h9NpmPR++NI2G+tXKLramWrupD24UtcFw+zgO2aQj2i05YH3F/0XhmDpibcXvpEDbO tF0jVppz7wJBxgHiOuY5hdB4hwfmnQO6eU6A3v894eWybQSeD8Htl7zA0OwE1bljMnPlNbHqVQGz EfypRFiILH9oi7Y4tDku2Re2mNxH4V2JLL162KIqxHpLlcI2r3jaeLFxoLVAr9F8dqd1yGu47jlX ZQRXAwvEhlVxGj0jHl6mG3XtrkEfBpfk1yHFDoehnAeOvnTLWjeojcfjQW59ds4hyRlfUlM/IV2d K3SQ2ZU08VQWZBMdXaFwMkyFIQHGkRYcB6h8l5RL0tfL3oJIMbs9l3APfN7AczJZMaPBJD+MEtgU Shoi626ASXw8ou76eRqGubNNHx44ydXxiLoVXsR/3p4JzkFl6MKoWHQe4lbjBpIOvvYBvvN5UIG+ 37WWpic7TQ3i8fyyFOyuEK2wHguSOucUtgzTuQgGtE0NXotmV0bRcdDfwTDsXFc/9pLAqNbTWH7J wOpJZ/Qz643sbaqTCLzvWCJ/neGwhGJdchpU3mBHpm6vlOUZPHVbahltEgnjYakEmI2tQTIcLDcS 9zv8h8pUy27FjSd7BunaHyo9NcudjWf3qNtIoFDPy8SXf53kvYibReqtGGcwIBdIfwASIlJ7x3JR 1Qb8ob5w4y+n0NCB2J//0zmzS3wNW5OnWCPv3jCYEMSXFfWIsUnbJ2NbGl2H/K9eQ0dTZncv2W76 d+DCqTZ8pD+LXeMTPzOnuqyU8kIVeDV4/Ajdww7LBv44/CMlMCykS6QB6wR8nGI6B0Tkg18Etgnu +XTJwzcsAe9ucDGS/ShDqmugm7n3//hXkMa5EiBNzMCNrqXxlc/i9ig5iktCLBQv1nmXR4yaRr4r DFpdVc9OU250gOuIoo8bKbLzAN//U74voemeZddKtIrg4Gojo+v/Uo/K/cAwpAJJolQaLe9TRfuS vDboWM1usZbPctBUxYZSwnqZ1apxazZDt7U1xFjHayMJLDsfkVAbgdd3HuYNd1kgK6DlUPjoONKo sKitQv26r2GedwV279Jt5TYpj+3S12AJQDtemIxJA3Jzg5kU85q8eU8a/71sFhiUMUs7K58BzcU6 bmTOIEo6hu8xeAKJg1RKmGZjlBspW7QgYz4scE9eTf3yKKvBTzs7G+9FcgwRR85yafCLXxGOUcyo HOC3YJyAdzoSpmsKX08eSh55g/HD/fTGzk/H8SAWt0OM5PtOYq+mNDMT1YH62aGtETUTm3jFWy75 q4qnR5NXIN3Q/4MoHDbsG70k2I3RE4EvdfXmS3LD/gE2tJ6s8jZ+Um4g4WFtCPQobFYszeVdOGoI niWBIpz7Yed/z8yjaUngI9e4Fa6QExd1czQdoFec5mts4H2sfahaJEX4fZbdhZ5QXqwC/zJpZlOz P9wZPQNg8jRW8iMcsO4lsvzsHDaJDy+xPnvix7MyS1LUAjWYF4Sg12MPoBEnKRP5nCTiq8WFDH4B 2oZdZre2XFVSdiNhrX5YEaKnS2ld/WGaPt1iVm6Tff2p7AecbflQxJUCdCYrJFHcLF2Ex4mgI0hQ GRniJH89WpAPbMDyu4fv8W8JOD2M1phAEfKdZD9qElqfcg2d0WJBaDlZCZp2BHlbSudtHnvkFXBK Iq6Yv9Zi33ZZhD48bsWz9jlTivedzvfHLpZ2MUDdUwTdJ4UwkeuAgLHulXTavJ0qRBLmZx49evTz Z2ydDlhV4jWa59KBWwOmDtqoCq7CCC1ZB9AM1fgkTWuM5vqjEuXQh5qh/HrU5tpI9o6gllkT7TrE +ltdWCqJrILzAHpALNkavehDCxuIVWqOk5n0pBUKkYQSFJap1SztOVzwcy1BasBuINoIadoCVxDu OFpO3jW3r1ExfG1m1tc1E4jkdEcaq4nEroR05Xy/XslH657jcmkY5YK0tQGsflaQ/4Q/MlgKJIf1 oAbwrHTtbhKgjwyAxSGqs3ZsE9JtsGXviS5nUrJKct9zCHEH3LcvaLw2NtNDVYIqyIFzJH1lLhfo QS9pzwF2L5CX3Irgl9aRp1sOv4QUxF/29nVO0qNvOzlNRBAuFqvJdQcmUPIdzmbtKDAuBjxGerM0 kKitGOIO3Jh53mRkeY3Tgcyhi5275BvwBVRNx4SyM5AQvOxrjLdJvfEgI89N/0rm2DGF/UTzvckq spJh9Vhsk4w83MXTxT2u4jkl0sqBmBZuEZ+UdUNiZjHiDnuhLPmMmOhUBWbi4tYBJNOC4aPi2rx8 nUx0UZ9PMETtXPlEydTy3YOMqcR9LEyBbB0kT0rrgC+eI2Mwi4fX2qdLW25X85dgGtxR0AHdWtTX +JICrdbg0wFHjA6LcN51klJ/pxOOtzXz+jcYvKuYozdu8iPTkUeU89PRqn+c+WWueFvYSsOn1XRo OqNsplLZcEXMqWhC3xU86zi8TcD1DvqbIF2U4udrljzZpXsSTt5ZgWxJLd/qMLh++pVpR6IHLhNM b/JD5zOF4yOYBu0DQv/Jfz4/pwnj2Bo84hYiTGHPhJjZNY3qO2RqJ2GXf2QQTtIOJ7AJTIoJ2O5Q 0Wemhs53AAii3dANiKAGaBKmDQ9SqjIF7LTE1uQ/pA+czlcfyvzAT85VbUNBw1+mhPE09AKKBK9k OeCI3Rfczs3QxHzQDvUqYPKs4u32Zs+2I1UgNKEE4tBbsCD4od501Oti3JBsyrpWgQzQuMhaYZLQ v9JcWY7D01hCdbkI9+/qy+TwvEKZrg59/bykC8ZeTj4c6LO3kqOBewIjq/lErV0M1EtwzGZgCuAh xJdzToHQyVHieRAogBWT/1iVFjVULWyzuZ7KSocLOjnDsZSOIl4ysc5pAkLvLhavKRUF/e2x8TFj +Qq7dwBCpq22KBHK5LlgdP/ZaI/qyd9vo9nHgS8tgVq7n0MxDGb6Oicg+UD/FjVsNuGea7I+UMYY /OMvQngY7IxKi/tJ5XswRcm1YHTFFaFR/G+fC9i/FWr46c5IsOJau+0txk95ZNAm4ERpAafIcCbd PustrO4Eo1VjkKvUzDwDB7T/acr7BsRYHyE2P78kvERVC+L0gVGlhuUFPXWMwEE9OV/ZNis+7Z8r /404eqmmjc/5kmutzCLshn+Zkwzfv+Sc705bgeMFdW1xgBNW895TwaHlzRVwoIZdPhIPeEiZDsY8 A1jEaA1OkesmEuvNbmc5hsbgKLSDTJzL2C7fie8FI91KC8CM+Lgl85OayeOcMV27OfGhtNmdwsUp KJiQ8c2qdiYaf+1sE0ErQM2DFijJIs2xDgL7ezWO0J3zPz1tFv57N1Ui5BabPseGGUKl5YweOMWF nJE4bX4CY0+A/ksuyBXaLa4zJQx1hRbd7t/bg7qYjvyURobpE8uoIsv7V0Mh7Zz/ufARxKvvYlxz tu6nKntxa0OUsHGKL9SkLPEPi7z9wZVsmRdVjlkYbe/ddE8XQKKwD0j/iEaFMbdbFlD6CV5C5cIj k0QWhHAj1OKDM76pYbugxaCB/D10/GuWHOY3qCns0OZxVIQ4Y5vtLmk/cVGVAp57eeHNnZeWaJZZ GmI0X74eRv7H0Q3SeWP5MQK3v3NwY5st2FAJEIO8jlGjkDVbekO/3VE3s/rIAitQek2g98OSfIrR Ro0O+Vyy8W3mEl/MXI1FXQbGb6XQ1uhOlcy6/E32Gz59icf/P50rc88iD1RKioeiiOzSapyn+7Ub bL+3nE688/DibTOg33+pY1id4l+jOGY9aFqn/+sXI5/o7hilAm4cMPL4ns7LigOrwr8GBW1lnVpD ESF85GWkayycYub2Dq5w/qSyBISdqvoSrictu2Rxe+9BDoOjKQ6w//na8mkLDwUmErByC3hYYKgN 6zL8wy61mTpaCQ5DYqFd5Dezrccck5R2xQUUgSiC2B0gMBx2fZNwSCaJAy9OvEQQ21d/XJnUaYWU VP6vWIzZWhYpo3eIhes4v1tVxmBwu4PYmr6c2hHB8uBzVitOprzdJ5mly2bmIZnvzYZXek3ZhkIy SSLJ1GMaN2FylX0+oVDNZ6MQ04ZgxDpLqZMvYVAGoTW0Ryr+PBtlDl6MpB0qTXQ7t3wv8h55P9/D fO+dyThAo5IEePKJfdVAUE100ZOBl6VcbZ5mfHztD8L/UgQNAOQoYn5NoAkBHmWJBKfnQrzWktGa aWfNW43EvzyAJjvUYkkTuSEYJHpYiiNN5t2JxgqbWWr6Swu4wKWSa7DQH5va0cBu1+CCTXMrnJZ6 5flS8f97YGhB57/H3BTKHv5EZq53RZAAfe5Grt9djvZIeCZ/a932Y1JVbqiW8DoUcc7VoyLVBKMC MgNzl+2PeEmF/hCxUngJqB9B0Kb7Qvplxe6slmlKJbJEjYn5FSO19j3baVa/jCeZczu82VSxQS2x v0abomVQ+KcR2hAZSXVFfTP6SwxdRUmXzQtG95ZLnIdqytqWcStEAD74mGyWskBPJWJUU+MiTJuf iyqyGYrb7Z3xXUx+dcvEF7g9zKB5FT/35sFQfB9bPcqZ+Pd53PG03haxwA/dvVHQ6JLjKwbK+BzR fJii5l8oSmzJl1NB6gJ5V7NFD9acTTUXA9sWZjdS1x9jLj6AyngY/RKQCmlwgyDddi3O/ktCBGmJ vnx2IHluCzIB7UglOz1QbIw+ULLUXmlhIxqmIsS1RGLfmjkkt0EqfdxOCScg16/CX0fFuoYNVhRq DpozwNbNO40t25051K9mFh6bRl/bG/Bc18ZuqFm8yC//W8fODQd7Y+R1JvNoOf3PWEpgP4ToB5tI nKuQlc/i9idzV/sCFVhkCX6XCuUIcpjpxxZMb9Rc8Jj1C/zrMWgs8dkF1iQTWr/9ODLIbwfiKp4/ nBpD2xzeJYnP7kadeH3pUDVNNrrZR6wWDTJIQ1Hp+2L3Ad98VDJ2hEPsLMdNaOxoostfXjcqOB6X hJshpxG+uHNDl0or9mhEV51aoDmYeelGaW3zkQx2ZkWCvMmNGxGP+2S72uAxPyJmOyOF/14BM3/C hgl0nRGXlq1CmdSe7BXY2bHi8nJoz5+wpVkJ7pK59rwYprf9BxYxDkaKNbkQgMJwaVgnQs1QxiKX cx2DVc5Yz/WwPV8JhtfzQPi7rysLMdpihni8ryo8FQwtrgqlGVhfSAIn6ItSiGZco31h+d2/z24/ 143dhThPBg/iDtyIEvs4+8/V0AQ43oO5A7QhyiB7NocLNPl0aqmSqfLM82zFAkb1DuKzvoV7/avw QyiWZ/70yBHoSLSiksahSTIBWSd8RfNR0QIDC2VxTFjQjOTkaBUIhLtQYDwVrFSsdiqyqqjr9RNx 1HcWDb/ueYyR2aKNQsbtBnahqpJpG1r/d3U6871+he1HxBdZMpM9L7o0ggfQ82G+hOCcvwWOP3Pz iJrt/oxL1gwOsYUp8wecgmevjeSkG+aD06IoNspMvr/9qUXez71iFTOIpMfxpeqBh6gVvhOvjNrR 3wl/obu6tOTbn35y7uNofR7i7Wf7DLRyrjxi1YmfwPeFed425FCrzhTeZmm7b2ByCP4J0r5HJsrP Hp3Xl6iViOFAF13tpkOPXVKyFi9xyS0Rm+0qPE55O7FPPxicd2nn7bxIx/Gmt3NjTRNGpYtW6JBz s8Ka9Wv1mofPgd0JAvJGj80rHGQ+rEuZtG4XTUPjkScnWr90CW7aRELJ2RLjs/bfH/pbtbDZVLVc xIlrdN/q7FMw085axLobS7IrImgxO325+f82Jy7xD8IDoZSZ6BD3w3EbnLZK1s8pX4aOYAz81t/l tmgSEKltColPdsg8DZMdUDrUN2nuaqocH3Nzt38KhMMNEKbgOEIgkzYPkyWQal6VaDWpTZc7jbxd Fj/VXIweWfKlR/Bhy1b7ltYVfBEP09MgcmNmE1tE3tvuR7pPOKjHCBF9AeqMBzm9DqnUIuIxqW+5 UmsVLhLsP3lAI6CZ3Ajxtj4zV1EiMaDApRI2VOzozu8tf9WebjHPuRz7sPdQWYOWtJRopK1bTtp9 /duEeK0579g0Pyl55XH1bl04BUjbSOXdWAyxnLd4ugxo0dznXYx1WEUSGL1XO2qdjfKNu7aUvO1T Jlzso+7e6gFEnQYlJz73DZP6+M1w1tI1HSk4IyT1LOnRs9zAdeulgVgAwBvpQG2BzqTzod6QvXOD IZ3UqUj58JTWUMkh7pQzTAiVf/hcKdm/QQHpZf90QYHN125BpQp1Bq7d1yEokAOEyxSbDHxC/YJT +nSO7E9a0gAiFJ8QH8Ilck4kE7pGy2nfnbHiicizpzYqDmOchALjmV/qyErzEyVUV/vVRA3FYcys YOzaq5kQgmthblcWkNZ7GNj8WfjjNGP6YhT77eQqqcbPQiZ1PN3bAXIXwgbhUE/Q3bTA1T4EFLda F6KyMHEBfo5tOPQPhG82TS+N/+X+gWm/KBPnaf4KZi/UanB8ZoEf45lCNnzYaGp2CAqQ0HiTYn41 b3H0ATmoc8FE7EvYP4xujt7iWbWKHNHHMEmxiYZ5D2XG9MeJ1uL2UFZQljY6Cfja6/cJSPTSWhGS dhmBQu/Y+KlBBwurbsAjWPJpdP1KZj7/iqz/AZJJRT0+dTYlus2MpGzkXPru7dB0HBvXySPyPQkt Ftj+Qe5Rlgqvxn5mEmqe/QhNeKZPmOShfFFXGBs93yIuEF4Zik7exfZMGZzoS+Tl4Bxn2qDoSYZm 4WxqPD4WV+LuyJVpLEH95W1z8HXihQNCn0R1B/DpFFohZvQT6SJfb4vWmqaTdU4UJYzgf25newU3 2L+omfXxUsgxb3Bh0LlU35MaUqhXErYEYyylLPvhpFn671YK+3V9+wGr/+GCymn0QVJ+z4DN1uiX LA/luLuH+Ch2jq96JKA0IzpDOlZzXpEsD+L1EQ0S0tRYso2EH0Y+mQiAfy+qYpoqDhHxxc8dPuRZ +fi1xqjCeObP1C0Njm712Vt6/wsyJBPDaEdQsIo6NHet8au9YVvPV7AVOlOvey9w3YVQFUwBf9Dp iYbnKRn7Cwb01XMk6IjikxJhxfo2mKFRKlK1EL4yhOdhWLDE7MWKcmVK9m5Njqa0/21zJqQzXxR2 ADY8DPTKGFMKrLJVNunaMaeNu5WxA18LYy70bvZdGX1Ggf8pxxDF+fnvuV03BAKTHFfDS63S4CPM DoLeSkKHptQ8A74F91ZVwfmJg+EPThYHP3xOElTBLcMEPko50guWGVnJBXs+/UK8JRnrJnIAN3ir gfqK3FsolRzcas91XqQdcbBjfBItOc2BDgRwfLHOKKaHea15IrQ56S8/Q4aM37/SL+vXYEZs+2pO NRHi70fIH2SH5civqnkrE0+aotKwd0t278B9WOJjQIRi7UC1ANwZoZJNibLaKPfgQH6SZG7EAYyt qNI1njk5lJDYydp1raBbeAZx2rhKjHDHAHBtcAcWpBzArjq1jWCDqrk2+IsUhNeeFDykE69K4r1t chZRAxViN6BbC5D/zNwHRFccdow5l5soHEFC2tSi6rlnu3tlqV/7Fkcm8+m1zRrjqK5vBqcboNou u/ahGBNsbnnFTGn0NltV+I2hh0ykWk2DFEn0MFR9P5hnBCcHRyJc/1zHTYJkxqPYABrQhsyKWPnl qhtUILOKVqnZvdXXLrxoVa01dmt8rBcMDtsFMRl4CTCPDyaY0P5IBi++kEDTyIbEed4wq3fNXJ/W /vktGZv+d/jjziJBIAggdPc3gU/kwGDF6QPmNz8WrxSygYOFdOYu6HxCpzguGNBXKUqWr7O9vigD 8nLIHdgRu/F+Nuq6zWxsOL7Dy1TcfdIiiGCj9zXoMjdBrU+dYSYHiOq5XrPmKNBUTGduclThk6Vq Me6Uiq43cUeWVTfxEggK39IazZJpTkhTdr2S5ajP23tMh4T/a0Ex/udQYy98mrF7jeSdPP55JdKD Or3l3pZRFZpoyj36c35Tk26qp6VTyg7I7l93r5G1h4wdR4HYHZ4UhRHmDjGham2D/d321WsX2k4R xIj8EgjL/Vd+opaHg0SvU2Ux6vaaoBvKk5hZjUAP/udKlVXIiOiaPEQ7A/UyhZWjGdbNUfmTORqM ftAVGRI+hpH5f4FY7FmTdYJ3gCRI98ms8vbevFsEvnMKedKZYTMoxFhnkNaXehHv+rEO1OyOaBzD OapeVF1f4ipsX4Xr4HwPc4DDWTs9ksE/Sa9qjvnBz27kmAM55lvas1YVZbDYHQB7Xg33dZG2rQMF Ufo2rdEV0kGNSBKsufzwByYdtdZjz58q1Gw85UeSUqYsXj52TG9y9odkqj2vTnCq7ag+X1N65jjH G8B58pM7JiSJklvFGw/9YR2Nzm+HeqlwdmSkaJyP2ooNzht5MmgAPeHzAqwgXT+V4lpLUZ/bKYPF JzrLcPt9+ROrk9JdDSxlw5hngN5r/mEEMBM+4e7FOK2+Se5532BQ4NnpPfP7hdGsTbiM08Kde4tG kwu/Lsjfr1ICAxWdDpGOxDULm2sLG/Um2YNyvDrvme3sCBTMflzranzG06LQGYGZk/7bnIbrcFwk u+6vEgZ1fV2pP+A9Y1Z8MuUIYGMd1N1N94RDIaA8cSlTq31JPQuacLey/htbCg0IUfBJ4T+P8/bz pp8tB64eJGi5VMlC0agm9VhG+q2xrOhh2V7H7kAeiwdWIJTqzdXvk+u3Vlfn/xgpyGumJOys7xLF fnajkoUWrmHQuMmjFTpr2wtFXNRuAm2igAQzQYvmPcFRr7whmDtf9yO50nmYmjt1dpgSNu4oGDvb hlM/sp/T12mblrmQk/bnOF5NIvfIZnZrTM22FJM59GSEpLbv1kbmmFy5v7+HSdRzKuAbhVXotG3P OA8RTwKGrt5FnIlyisOC/nKtgns8WH4NmXK7blLRwzgxPI2fmG8UBK10WCSXZ/8JxAZ7lgkjKm1B L4Ia/a0wVyTrqlFF04mTJlKMziQsyTLJA6RUi1rmRTObzoCZcEmtseI5cDPZVN5t/eUEV7VfzJX+ MBqIV4uFbOOG48m4l6TFayJpLa045Ii+a+Jx6/HrVhodlPB3qomK1mWE5DT0eEB7JWbFZI4UXeHp Q9Fvv2Km48dkzuJjRCYwC3iVP474I60TdjWhZ+GdrulA/diBw/gnMPAmO/sKVNSDeHcdxjazNpBX vovc1z8DQtG7ut2fsEe6l/EG5C1cP1PMOK92bbVgQXiRbXq+T71BE+usS86tw/BFrC7HhAcl+X0O ZCNXjZMW5ojXulFJJhU/aBHWOLQn7rv7U76z7CBvzMUUamFGOCmN6ZD1FbOQhzDlhV+/9GLN5ChB KNeLfoo5+jBrxj5JiOyt8xJmPODxQ7urCVnnMhqXe6G8h3Jkm6lop0mvupcxqB8ziymwEfO43Bi3 kcPht4WcODuJiyQ5DRs+EfEXLdWgEu1EeX+DjIMyStMGbt1BzCCBIF9KEvEwDEkZgAvC/BlwporP vCb9o/95Tj02SjcQ+nP2TtzzeykmbpAyObuWWfdn0BfxkJNQMrLl2tyvfH+66xzCWGCPQoNu+qsl bBt0PB5BalUuucPbhxFjnKxSk1b19kmWb5f1smScDzeilpMXyNs0hImhIZOoTz1EZPy4+t1QKbAg HtH4esiOnszLR+Ne25FfzcOJZUy9v4vFXRJ13kIdiJQMYwWcCeFaHQxmcVZhgpexF7Z7bytQ1mMP odRaPKSweHvkF+pKoS0tSHKNXYAfBgg1pHvFAVaFTRZl8jsSNQn9A+eT89OQcXzGTP7VF7E/GcpY zByo83c3CGRk/eALtYOLw9lj2AtrtWqHL0UUYyqfiRQruMm730i7DJkYu6oidb8lLSV7jCWsVtrV zsr+I3OO9K1y/0X+UnO2rVbucX1yiHHfm3bow9ETr1iyOosekK6s2ry2lP+NzyMMaC7v7f74F75Z x8ICuoLp+B2t/AC0X4yh4xazdAx59GEpJeX20c9GpqNfuEXrYcYuddo67U1zBde7AMVEhZH1wMqh WotOleeP6XGfyqMSMqIYS4GC3w4vBxm0rchlOXW16NfCNF6NCSVQXcncjgax7w8oK9JK56EITTY7 KL8Rc+SlC4ipkL5UOoYNU+I2wwVeMvUg6oFk4MvoISmvpvHY+rKOXO/Gy1kL5B70gEWyU/UYKtNx 2euAtWQ0cjYm07JNYTNhUK1iHDBw8FkY8FgaHkpu9uEx0pubiCzt8I87VMOzhIt0ZK/a/slIbsyt DPPGZiuLqRZcbYq82+wirGnmv9NGM4P0BU8irH+9NuUkLU96F4x9KnIUvG/bLL9PATInDokcpcI9 1VIPOS/mF3RYmTm9x8SMav8bz23wSeCirWt2XV+3oYv6JAI+gq9GYvzOKwtmFCc5Z1ZvyGn2G9vp c3yAS2vuAYYQTVcBoeAWXcXP5KJH0ue/o3EcgHNZ3AHtiF97UjYzr9xR7GBRaLTjtgBLMtUPel+K iM8O6dm6+Vvaqvd4vVbWz9JyfXLQPVileOwj2UnmmDADo8h4PgiUJFv4lNqPbUqNnwVUQLwOj4+3 imfcd4qoDLf1lTCrXOeZKo3mkdYAnPEA39S39BYo7Yj9YMEviG4/5HU1zl0LFaIkzWpakV0hr+S/ o1ejYWa7kXVhUzQPULUTguAFu8P3jOQxbIQ1Gl4EMVFdkSyRjiym88uzPJelB7fCOwG7j5cQIP1V MMqDao39DymFJcWE9Wr5g1rN6BC+VSM7BBwJPOhEqHdP3dHW/+EzKyiqVbOFFLsNvmmrJvWerKTz K0EKk/BC6e5HzgQYrNCDgjeWmOx0GYjCN2OEV+j4KJUHFrjboyHF1RAwWiBwSJ+kneyaOTEIN3N2 LxUK3lLGJdrY+A+dlba1e8b1I/BohO2cbhmwpDf8y/mkI1HjLc8aebPAhzDYbfBXa/CxV4f1Gds4 o6TPEFO+hSQ7a9mp+rk0P9H/mIcJihSFg58Aa/0JTRwn8AP5C86FwlVfms+AAjQioLQH6qBr6M80 mm/YAXiXhUPWVMxT+ehlTjtY+R2J9ht2NeSU3HHq3MXBwprZVJxRf0qHZ1gefU1/ob98wqMa1VEC Ttz3uSpYfHxQB1F6yfYwJ2wEcE9g89hJAGGT4a9tEKXWw+f0tKkJRICW1yjrp0/NGZqTRvMXPS0r Nc1tjS2/i6qm3ve8gRQphh4L8Q/0mDBHvcuQz/LDmkC7u3v8mqs0ylNgX32cAUnutU5acs02vKZA H81vayRJQOOGsWu0RFYNu9Q5tQDotq/iudhW6ZptygJjdX/IlhE79SElE6MJDBjLL01Un1MT/vug ZAkFDoaF6qXigNcVu/+oAmK1/5lziWVqIATxx9ugvOkzFzRX5fkK2+ObvzETgAdEzQyyNQOeSNfz 1nWbydLILZg9QYDLbxTmyCF4c0kNkCoh6SBpeHf3RJvolZbv8AfUUHTzV6V98sqL+hrn/EcUTlwY CP/pfjUO7nhmy2IfTgJR2mpY2rdYyli3VAlnuP8dSuKTanJs3bsAkLRBf8wLnK8uSJAQLHyoI0r5 iin6BV5NT3xliYUJ8mcvQxCHvbA8+6Vhbz8vQOQwyxKy5Swltr7Bm7RxpOMG3jihXcJljyXDNJlg nEE0goDtnjyMUZK6hHq843zlfUYZnTCiAg6DZs9o9NdU4GqZZivUtJvafISO3Sei6PIMjnwWrLFi /uZkp3ZeEG8TDEHipVF+VYrHHOzs3R70AKTCIn6/HUOQtUKj1QAj7mIyKMO9UxJKmFsf+FWytk4P xiX9lhORz6hOTuKO1Ie5iEIXH4QDrM+b2osQhTdslZs/t7RPe/9mvljEUPIyt/eRgLIfNvtW1zi7 KBYpbpFA09X99t6j83rDdkjbAG0A/E+zszOXT8GcVvyGgY2ZR4pt54ac6/RdPHgTadPR1IuxsbWU mIH1XdXJTe0KmgHbR6uy1i2WRfU5etGUQe7cSmW2vCZ6TsMglKhgjVx9AhghjK3GpDUD7zwA6qSJ 5I8qZs9zH94QyB2ORyhQr0mUCNfHaSZIUlmr0gowNUzi1bGyg+EuyydtD5WJPPxr93MbxQtl5lvM enGKeSRpoIrPSsIBRyOEiJS1Psech1n2xc8g6zvfqcSPbyH85fbESvV+s/RiZF0ONgNFmJyhlUUi Mb5VhQItDJxcJSrgB6pLQfWUBLGO+4wpK83uZkf5b5EI+VtrSm+WOoInkL9AKojPNwEpb10OvKje NmUw/1AUpWJo88skPCv2gtE2lQFe/sARodTACono3Ek0V2q6RVwtE8OrwOKh9NtAU+jx7eTBg/PW 1KYHNlpE9UoCoQU5aKBnZr9x8c+ObortvEls5z3Vn7UhXZbIZfqAp8Rp4qC0HIylU6UAzNvqfh8g knW3S+VnLzd6AtehVF7NO9TBqPWYwDT44Ox0zkh5ACJR5AUiRmszf25f8IjnSVA/LXF2Dy0fvepL ZuFsyA1Q0frcX3VkUW+S0fRXMfUlG1aQwNiCLwa3W2MStR5NHo9QCtL4rn7JYAAcUm2BKuVd5/R2 gpkYlN1YJcr2IJ/+0bFMtuu89QWR+uKUVDX3GJ74OOLRS+LM+/gV6QFQDO5ke707g+VyUH6Rn8wQ 5sWmDoMIQ2T8zoSeDJ405i10dQfI1g6U+bgxMtTOcKQJaxtNm2hpZLh5sxiTsR7UqTbJcS9jA8aw 8zwA6s+qN9XM8pIcqCdqbCE0W4U+EuRzOthJwxnyD761FOfhytNEGYVfR+/iCrdiWFneJeNotjTX tDUsEr+Tr8CT1kpwIDt5B9qOzqx1KILgQ7mCTDB/fYjtQC8i12nRCQsM+6R4o21HXtiGu2r7+hkE wTzx+IZfw1yqRxz5Zvl8ZBcG1yWKFp9sSwHQuzq+9PEgNLUfTCoinfxN/t6RsnfuGJCClNl9XGzn Qriygmdj8GjXdoYGilqDfP6uRQMMcZ9+VUcwixtP1OuvCNqtrk6u6syNoyVOba53sWwUO+SoUkrN VrUyNLRkgSes3zRbLY2+MbLZiBClfEcmYWzLtoAAHaaB3COsbY/XtIIUJ80Qo6ss7tAvbL/IDLP1 /9dscHqVdVy+WDUfvgiLgHMXY1fsL/VK2s0UjAs7qSXNaBFhkARSh73M5nO0r0cXq4ysj3D6/7Z5 PBzMkc4TfhOoqAKze9Ack7K2Ih8P4os/bHY31ag/epXxdAy2u0SZGO+9Er/mEGTbI4MbaP8vV1CK VhKzPRglF7pFnExm6ypAScjRuXU0rjWKPxqY6eCXvK/KwyOrq/+H9K9pEyXrJAAzaJ0xzaqnfwDv OH7lccEER2M7ItxTfpeWdGS4K8Sg2rNPk4LWBy3SKgysWA/Oqkb3GG4wRMUigaHh+zUHuabmAX37 ePMGsCdQe4IntNboIJAni8GBYdpjZJ5twvUSYhthEG+tOw37Bhi3is5AdCAFVjOJm+VkWuJMx/t2 29RtBInPYSx1t+GUL2nB9M9zoGiYqq85W7nHfigumtmu/T1xZ0skYtA+Th8DNINNX5ZCsaDFNFJN th660u4NqKvShwQx7C2vWBimaD0sSpBaY9seqK4d25VHXpVqS0WnVSROM1CVrGm+SyRlcPXAEgcU C84LS9bUOZV20tWfj4CwUJX0BGk9EQBXPjjP3WEDQpg2OzTFw5ufTXdvF8jN45K8VzA6goDW9vF7 OjsZnOBbTL58pZNtrnzkOyVeH/AkPtdzZvmwIIZcbXkLzuMyjNlm1dXpd6ntLBIN1RwQCEMsJMwY 40YW7OECf9qQmpQs7XT+ghAVB1O0NyhI5W9jJEPxDIMsK96C+biGr/lRHqWpC2thDmRT3e04hylE cdsxlPUOgeiXZF8M8CUDEguPJHcQI3G5+bthMtAmS+mPTmW66qYjQfoUbNsH6i2VgGbxm/k3m3D3 rjPzrTY+gintHM1HctDSKBVFhD0x3VbUNjwl/g7z8/y67IljEVLodfgx5391h7Vf98w5I/2a9O6H SXDMoZa3mWCgbg5gyyirV/RFYR//D3NG24sVq76t+0YJ/v7+J83wW0p1kS8Zs2Gsfo9kEICftmlO HRtdBaqWwWmvnHJ1UT2ubKf4AncR1zJhP3zzO3MBLZrWM+VkVSPZWpmG5EVCp4hZtPFrercXN4ZN VPdAqkhLT+g8VWc4si9iD9sE8TSNZ/5eL+X8OJ50COIJQ7INxjqsRUBqgn+p8j3lcjuLVC9Xp2uF fsSeXk40QTPSNrNUchutkmyW0U5JW/c7LBj9fsZYYbLt2DVBNuCjCfgop7G36D9fhuDboHRChVc0 T9SnQ9K4sqLhfSZnBGYG9WG21xifvLczKSlFuxhZ9Bki1Uno9+/uQdjKhQ9O3NOQkM7Yj4tKN+n7 1bCnIxriQloGimU5F8+5JBEHYvu71sBu5+sGt92+9y5CszDxCVMC6t0gxGOIVhh8mhO9uzyL3AN+ SyuCvMN17/MrzXosk5q/97fOZIX9dIqxvJ5OkD/QhIsdOU5XDk6jMYVkkkoP/16352TZ/wxt5m9D cyHIcvQt+41WOTefsmWsY9UwriVozTJe58YI6Is8trYA6bW5AmAypkc7LLa1rkJ9uSrMTfELmt0b FonMcgtXcJgviKPiWXNZTsn9LIcxAGg8w0b0XnTqi4v/MqN5mVN/pqZidn+DZR7GT2BSDXOZTNB8 Uz9IPErHVOUBOxsqe4T/MY7gIjwNltZ/w9thNU4+YLgBQGFIoPdlEkkvMIF5SQVgqIksqhYxEcoN 8S6heJVIqV7BQTCED37ox1NK7Xci0T1YJ7z8uEWanw5YsaaOnizY7SKPWPYekQwVBHVpvRqsiSng I19EdtEn6lIqhzEwL4bo7+JdcdyU47HHFHBOoOXjgkrHhHAD4PrIE3r8STM2Kd5dGOzYJ8JXbGHX czMuPvjRu3x4bCmdpXFG7dRwz3g9K4laxQmtC9cpNCUupIip6n6+o5/yihH2B6RVag2wKeH2EVlo Cq5VrV5Chlyobe9y9RLmR+cdyS+29rKJvoaHDN0f6Mgp7u0lGisb6lXUxUFi7qsydy74eNiaWyzb dMScbVsjMNNi8EmLFriwj8Ym5sKX53d2xHBuDjyDYNke6DoF9fgybGS+TfP72t6MKIFIWCif3VYh mqZ4etjIpDDwmElL3r8XhChd9XeqIdEomMVdrcSQ+tg6qIpvsmssJoBkcch7ChrwIhEqR1uEwbUT h3W3pCIMwGsK9jY93p8TbfGEFnZaCSvnCrnLKPLbd4fPTyKE3jvg0BDWmcsjEsDXLQNRcLzzrUpE 4Ulkqqk/+/zyl1nMk0+4K91cuzY+hM4+IvT3r8Y/ZncCPdcUq7Z4jE/xSRsXZm7hWDaZBs2qFwVN 8gt2pZC++1z1OrLDUuvnlmM1t6sBgNjVo9EN9dkoxq4ph+DHyaEDyMq1GsbFlWxZAi710JqnQh9s Z5cHAjlO0gqzF5qmns63DOJ/54AiXOon0+EtX5vtZUUUjkbIcLA6Shu3gY5Fl23GVGa4EuI0Udqo 0wsKH8GjZ4moXADJXL5xGYQL3jK8qOlqh0Z2b8wbSteSGdGumo69jHM7UYhxydqM12/igzzaCiZr rbG0RT/EQaRM+svKL8/8ytkXzFmJp1kylQsNytKWvejvM/RSSjzwhGiYCTS9oWnaQ/cKpwpYM/gQ CaEU7k9/a9HpFuMGpDnW/gRJy2XIFTIDk1WpqtDa2vbGqV3/JWuUdILllf12ao75ZrGE+vOgy/1F /Xm4eT9y6VZb2xlGZvJWrtX2XfIar0TYL0FPY0T7rZUd6Gtxv102QWX6Fuc9ROTosU1SnKpVV85r IT9JhFyTfM4WDuyqMGOvivBc0k8eH9/nekhkAeuw3AV3CVSXxuvSOie7W3i+q4XB1LrH7fFGwOE+ xSp9Kcf4AP7szssiC+fE5p9r24qlEGZiyPswziF/aqV9W7Z6hEG/uIOQz1C10L+9fnQk6IDzrXOe +8AwQn2h0aKOTep8gpG2SYPU4Oc5UyV0Nfo5VjJ8/KWOFnVWKfg4Ue+kHAthEJENjy/pMIyqHFkG zkXfSpVkml85e1H+A8TN5KdaWHDVsJAdrNvndRKRhuJTOFVOWnaLsh0E0+ibRYl+PDxJAXr0tCs8 Vh8+BpUVAJUio10a7oq73s421Zh8l5al7CQABObgQrR+XTb6v+p/OOceh0Cpgysr0kWI6GU0dP4v pdV/+USVI7woW5QY/2Wm9xRWeNJ2uUSFaTkJ6uFL6UeHImK5rAEVA9hvfj3pHGFJLeVU6zALjOQ2 qQGZZZY5lfE/VIy3gaHN8wq7gm6Y5JEOwEzjEqds6LeUNz34QD9h13KxB9ECsbzG8z6LQChCqbP+ IGYvVli0r6V8lkegHsyB3Jk+KoUWjBPidIAlJxWismRxkwDHF1KhIyCqKUu3omf/7/Awa50Dp/er MNwMQYINOFg1kbkZcnP8tQ/vGYTpCPY07l40AgPOO5/7H1+0ZNUGxxKsj3b9Qk+n38ojhaVNIKKA Jk/wPja1wAfb4+KJdnzAit7qRuHTlPIcgh89H0kheCIiRWZ5BK4c5S8zJ5SV6Zu21SB+oOSm7wP2 EN9ke6u6EOphUBQc1rEIuYRX9ri8ZeuG8AAJHXoaWbLGaVT51MvED+nkkv6KrvbfwioNNs6JNV5E lXYYy7Ht+eERdHhcjNMDQY+Djq0fyiBxO/9xQX/68XyTH2fzcrLwYskfxBff2y1YMvJt+4AmjOQG gZ/niANxRe4SxKi5oLU5WbW6h7tXn6L7dM0aeyzMkKm0DzZKvnDt8dJcRkdyGDgIibSqHQYshVvy DtogE40JlKHheRLlS7fAxxAFg7fOo8ZJJU6mH6M2uII6uL2yLam7PPcqaZFzBed/IhKssEdhLS8D wtKRcP+zTWfOJ3h19UWUjGPTizuShJjwXzc0NVa6UZUowUphoOTijASA5RKCu3apD2lhch2/kCK9 2541sfG6dauxLCanhQyXBLdnNKKGfRAa/okXLikOi0yfNUSYNWFBl68zRt1P3FEnlo0VUncPbkUH dVSlxWv+SlNP5+IBOHpzRhRcs6J9iitdSc8eda2VWYutO0RMEBHbkW2bi2uHPsxIQv1wxNtSI8MN oVAoaIUL4uIhBLV5gmCwbleKmwyUwVWg9kj0dytCAvCRu+ys3Y+fHKTnDvVnzN9dwlLf/JYT1KYx JLRs9sG3VQhG80fuxevuGCDXA448On2ZJNCB4hka6jYhnj8VitBvg7HjvVdQQ7Jss8kRyDG5hHkd PI0ZqdEtOW+mR0wue3P+u7kId7z6BIz0M0qiLjuvYw/w/PFbngje5dZznDVQRuqjfxozHt3NYKcP 6r0sgIJS6wzyiNcn+E/ZBg/nF8URyYXHnIwk3bssCaGO+D1ETsG+lKiYiGyh7G0fw63UlAA+vMlt eoKlw8io6bwJI8yzE8WSvZJ+TXCXNqXhUTfOPvThXBBeUHeWzcAzuRfwafUwrtcMhufMumlYGNQN vpZ5yxYNLjvu3RgDDT9FuFeASPvM71ZsToMD7pSgXcsSIXEFA983P6gg1mUGHnWkrODLkkFdQUeL IoXbYY3sy/f/OYtZrqWXBbEFxVfgqVpH7aRGPymgGZJ6tG0HFqF4Mf4kOIGoVae59aAYJBJtl74p 8Hgm7tbyqPHM4XFiY9Vd191FLfjEFj0Pfn7aNnULIjq5i4JhVykDjVZSPbOCu/nLN3gvHjbzJ7Jt sy208QAUpT/xqcEWboV5E4epwaxpf8DYK037gkU5OSyaJKc2vsAFEYslBRoiMNdy7M1y0XVWjwzH aI359fkT/T4UR6M6hQJc5H39eE1zTejOP32MjJLAgKlg5YcFXiazQr9Im9de3gaowb4dQ6+ZoXmA B+//eTNeDKFzT+lEEoogkZsVGN6YuprNo/MK2f0Yo5y5cmlq0/ak9BRoFguWECGESgHq++L6odSk A4rWkhyaZhTz69oHhNoAK3CWOvNuq6GUsU+Bo3PKPvpL39ydRGtlRGrsH9Wlrui+jvPMtCnTwVPh ZaegOfImgdareR4C8miUGgQx5hg80WpH9oIMwxDVaX7DPDG2rBWdO5pSzyqdCHn0E6J+MNNdxT4Q AP332AxBpHZk7b0lei1YvMUtFs2B566avFLGId9rcwPGxgoypha0IhJOCiS7NTj+DsAWOqrIg9jJ G2QuNzaIUmOAJc+sgqdBWDE9xbFAgLUHpPdZs03xn/8hi4+t9lEcWPMviEeBeJhqmVNXR5GD1jwg IFSKBM1iufS8HPM16e3KKXN1ixnOT7/HtRJ30CBVZaeUkUTN4U3cWeMKzdoe4ZqZopziycsiNEqn Ss6yWbFuHyPbK1v+wky9eF//pZD5hRVDVbQje+MqzlnalqHN8Nyz14XqmXk5TJD9/08K9aVU3/Kz 4dER7W4mQk+Netu7ubcsj3kEpjfaqfUyS8RWd9uqZY5smI4JoYXO49Z5dKNJYnK+Uxmo6mKVmCor 8ajii0EvKGRqz4P0f+2h7fJfCyoWTwU3JgKiU1DtCJqzG5mdNU3xZMlJYDxr9c5DQ9v9cCoAW4by mxl/45s5HOYo9jHopnHL4hD6jshBKryrTBowOEqTp2AGoWG1K2vW0daRxcG+CYWsOddtqUHOmHem m7zBlOI3FxJmXf868wgTmRWcOqzEfqh29uENsapV9AqoPhlNseGptoVlOTd+JT5WzKK99GKgOLLZ EqUTQfwg9QR+dH8qesxInLmHtNblv8jXOy+mvUcFD72I+nC//KW4sIl/qrnFo2ioQKo9bbUPIRT0 QWgHcwNESTLWUNdcPHBhaQLyRt2bLtNGJkJgEsgskziJj7sS8le1E6NxfMuD+KvCnaZiwh/3y4pn wZdb0RVXaQQO+KE1pvS/kUDQk0QQ22RodHxmcM5PWsG5p26mcW6DWcQoqWWVilBLazjjLGx7dACZ YJL1whFA27VElVjEgH4s4Pgw/MhM0j1gdCYPFY7f6aBcIQEg8fAZXDeG5mgLSSkgRDFD0INhEEt5 8DOw/CEL3qQWvvbeLVvdxman/vg50+2eaHSEGO7Rb30lF2y59FWc3817DF68my6L1auchMbDraeI FyDqeUAo+QSfBGsljoN+ZNYJHevnADkWujoC8dOB5am4aDcwfP+ig024KxKq5AzqxsoFsMwn4ife SV60VmHGesD7h9tal5uvfh8BT2l6oEHwNY3CDcpCORER3uc+Gud6ZvJfQhniKpf768K0H5UqAieQ FgBiAJ1AEj/czeq82hxkY56KkhpZYDCfXGrNseIf4iJWL2EZApYg23RuH4lwkjZKcSdrDcoeQV0u IYaQ9JJYQ8ViH7wnoqC4kcgM2crV26U4Su9weDv6vOIhkDA3vkeSj6WDtYfbJXjMHWDHQPys068P 6lw9m3y093+eJDa9E02a8LyG0UfhtLpW+qf8QUZR56YV0JgJkSDPizbw0XJcT8OWhqCWar9ddiWt zCB/T9T6gZ2Td4jH3I2ogm6xBpWkvUeiVurSB4q1eYAoNxYnVv2HJrvSMbBL8vXp/g3aNhBcGCId kBwSF4JNaWPPeD4Xy0WQJ6oO6bg1Du3BMz1PsR6NirmdVGV6iuCicKs07i4rP3s4eMpfldHAvpV6 8UXpmflAIRb0qv8Bg57dlUuWIpJb+3Z6sgBE1yGuwKLkLqq6Y21ejMLOBh9V5OEnk3AFTH54dAG/ 2Ms2yZc2KPYAODUURa4jsO7PJdCwmHmN28b25EuOlL0B/Z6htvp++5GEQLuYFqFym6QwE3I8T7xJ k1CdR9WAN5JABDjPs9ldkX98pIu2QhLbN3gw0G2KpxjIbO0uSv/35plmqITAaCMG37wVsZv+iXuM 9ha3Y5vI1FLg+IroMHj8tl/7scm+e56GVsVFh+Eyu+bfaCEUyeSYwivcuSjknquJ2UAmyAYTbvPi +dxGE9/204tr6/S6lrJM1FdHRoZKSohENTAkjHszf/OjdEGzZRG0FAXEKNqSO+siPYtxL1dv6c78 zPOSEHW2mFINyetIUQXMnTM0q1kTe5EsKmBSYOwjJFTV/vaGw8JOjti4X9hlzoHhPtG0Ydu+QPWG BpnkRCNEVE9Ho/6OZ+nBAFlVxP7V6QH9bIpA2hblgx5apAC51j3jaimNtL4cjuBmRZhfh370i+GC Ifxzi53CZgOMj+glZK7n6Yh2IUqqWFc4TuasqhYElIl36lLR63B8OgXWwYUAmVgCufoJ6bMMy8KH uSQcshkNYTdchrVHGXnsIMBTQe1Mmdflp43IChB0kH8RkN34wp+xVuPnHTq+bkRxx4ibhCLuWlKH dzWXCX8UFv+yMlFQTwA2qA0EPlgO/CdteWLPENtUcnf5PC48UNen1UkR3jzCMUmSy/v72hGOl8nn hIRMAZtwGuIRc5RctedW6YBoMtbosBw+KPKwJ+hsSAK20vB4O6AlJiCP3nK5yfIjrhRot8xOwXcf CzMhMTpVvW64o3WCMX7TBX+5roQVFzZ9781+Y/3K3wOPBMhk7gVrodHdgJRJuwBAM3Otv0cmAieQ jQgyvZX/Qif7yRvXAnZIcdaXxLBZ0xGRhhZOofc7tIPCFAklyi3+u+RWSOBMwq7WaUYIf21ivl51 7ibXmrElgthtaZNVO8ZQYA5DlIEcz9lJOLnSQjgzXYbgwZMZWySAeXhNZ6jjb6PZngTAReqgVEl3 RZMS887/FZEjXwAcBPHrwifqEs0KS2lCasrBlAFRIzk5B85izRRzTEPZYJy82xLZk05OAZbN6z1r Iqoxr0gvSyi0fbmAJl9ZJrCmGMrJ2cQz0nTWInnbJl7p2fIV3Fdkf6qeUTGg/7T3mt3k2gEd1qu3 6pZi4iEP3DocjhUFAPmIxX5ir4IaX4yNPVpwWh6S6kN7xvwr3M5K4cygHd7yQyFZRCu6UbzwQ5VD xefZTsMz1kZXUzWb/6Dw2nA7ko3FIESQVmRvlG7xkt2w/4w27w4b4hE15dN9hFM28zbEKE0oV4GH ugNrcpV6jSN9VpptghMGbLthWri+hELDdr8qp4yVTR+Auc4aW/1Su03UmURtUA7y+Grkdap0+GXv /gvzmK/Y2svua9aaHBDwheNb+wQCr6MDYR9/Cjhme9Q/XlAjSgLrgNTsxXjui599/0YL9IkdxBqA FZU6P4iZ8EvJ2KBwOz2J5rqvrd8XNsCyyhwolv3lt+9s7Mjvn7ICoY97BLg6anWpXGdeTO9CZ9+b fm0MewfbSNfRsmH3lCXgQBpy86G7zxbzYTBGmuRX8GNYZX0mKllZ1vFZH3iE8Pb8kH2eheuSbT6Y ydBYjMH6DHfUavnzieTWCO5MzovkmwseLxBJMy9XSJZD9GeN61YJRoXas7bZc0gBcRQx+gg8adrp MUsj6O7f5O2arR9efnh7hqWese/6qwQ2IlxHpjfRf44+3pvkpAz7HfEkMOMV7twYrRUJ+YxUWXjN IqeZkUUyMMfaWiiNLPQzl6nU4PnSGjVbj6ahkMRl+H9kLeJRIvEMBDMNXZjhn9Fzr7hpPoIBZli9 KeoUjVW7lDhMo1uBrY4FPw+8GiptuVJAIELULoqp5Wt8MEQEDzA+NeGdJMcF56z8724FnllWigLI U7TxNaa4Xf3u2FmW51YckXqU7KK/7teS77kuFIgoKe2JA9yHzJYIWVJhoPy/fuQM6fyAPG3Rsgl4 sgW8hBXpj55OqxGoLMcMeFZrHLSxnZQOhVAGVhOOhc66xE20/NFpqsuSjp8CEuYu8udyHCOXI4un tOVHBcvqRFAa51p1pBuqGxAUPZoBg+Vd3xZpyOoHBJqkhW+3VoplYBh3lcVSadMvm1A8SNdY7Mty bJUDOqtARCXbjJMwjYhRlCzre6o4EpZYxA3btpoH46zT1U6OCOcvgNqFtp2mq5XF4T7wPHuse0tU 2ECJun4PT8wk2usCPapRz+ybgCHBdlqU/1BXlYwQ/dBqagcNIHwpgLju8QSnrYkheY11lcdhD0Jl wyoVn8fvC0oJrZOFvA8fnApIuFPnO2Tdise1d+3tRW4noBaD7TSXMogg6qZE5iqCNjNuYYqGGM7c pCXdFjzQFcrqiVR7kPrvJkVU72SL86aACS3wWUPPEm0Hl5W+S4Rce69LaaehF6hysR/xxMmAZ4T/ L4ZynBQ93GqdB5SJ4yp31+EJ/1zyTjcS37Jjwy7YZifzA7ayeo7hHj2phj93Umu4x48HySM+r9R8 X7XigTc5xYq8gnJbwxSeT0drdLsOT6qxF58bJhkxOuhBGjEpuMYTyNycaxUZA9oLfl6KDOnFB/8m wIkNYmI8Qrm/Cz5T7f5Arkf8pEjVSw4Y2Ek5J4DXl0uk4+ycOKYlZ3M6YSqAT9FUsRBYzml9OTfY Pgi9lbyEWqtxwM2hmXkAS0JeXeXnOZrkr56Pslmi2WS8o8fziiz6uwHIczTrtSCPSP2O23jaotmL XocF4WuaRtpqRvunEvWoiepCTOtMCiT6M0lPI60tlEiFHP3Sr4vkZbkMVNH/D1Pa3QmUI+jGUXCR JT5G2+XgjDQ/73dNpYT1x24jRAzma4gO4WDRAbwAoJ6ywmmtxBIOsN8xTjc81Ty1zlwIVuNTO/J8 poesamkR08T8N3MR7Hq3cG9M/040Gzi2jBARcx3uy64OwzPco3+P1rsSQ+F+TirBj/smzMtWhWt8 sOiTOTeCaOS5wcST9P6tsuuZMmbQ2QjYP5RJAiG+VHDYtFzvI7//w9+C6drC1zvGSM7L4yTrd3Yj /5Piu+IHryVz8V/CUH+gphQAVNxJE3wuRG+x4geLHIpwAkpQhIurjbNo9EDx8u+evG8JvIUPFSaR +2Acs1y55lSyrDGLios/74bOtJGJDJV1WXVYi4yH2s3pKUgq+6eTvC7MdWmEM64tMQM8YGudnLM1 C7XBrlWD9pYwPfc1ufX/WpZAyNJ/leDu2SqAkwqrlVivSVOINEMnUjFvtDPNDrwKO/4FFCOEOqrT fxDKKJAmG0wjiVuVI7C668NzDV86GkiyHnL2VmX0STzO+JjthKQ3zPCD8Zvq7lwXJXp5O7xSm9gk +dk24prezLP25cthqKLonsiwtUlhf8IY0bcMsxtg4k7a9v9pwDdleAwTDEevmvc21X/rMixA6u+I yzipyOxtRGCeFEx8ntW5cLufruA1RJ/gsiIqikiRAjqbhhCTvqALYjYCrR7hFPhp4BAyPBIWWA4S lSBSwETR98RriUJVAv4KSkAIBNuAe7UO0m2beOkv9s8JB32dEEow4y0XCqwGdCrhEo2D1xNJBiDn 1DWGg7BrKKI9YH0inlEHPyRowtC6pSvEeRQ9BOpiy2hoRE7KQUEu+K7K4zeQMvbN4Jl7hrkonJWb +aliCybStgkwGXGXMqeAGVG/cRcsj4cbU+tfyDBSGnaBd3um9lk3i+igak12oTapoTcvDjlPPxE4 lDN7udqYfWAWXqufYF5Tl3UQ5Iay7Dxo0IiXYibZb79v9BUD8i0PVFBKLA07IeKGqGP2kCUYV8q2 iycrEDpf+SKqB8ehWanD4B4ZoJVcUmSN2MhRRoXEjyNkd0rnIMJ1eYH2fS+iGjDt74aPl5P+gLuv XaPeCZH9ZK/pnFvD7zNHEGYF1tS/wd/DuYPHqtFkwAwohiZcBn/ZX2WA++6vpuiBJVcK1u+frq2f hCNmAGLZ6N1cf8sNTwNyrlZFI6Yd4Wp++sd8epF0l7s1UdHldS74LOgD6xCtzkQb3QtDpIw1UHn7 CM2PveuRtV7871meEnk7xtWXWd/n09hmt60U6LPeIDYwnBNdSmmIO5Lvxs+dk8fXRjb4HhtZSOjd AoYHTsNmi3Yk03pvTlv29NombYpNwvz/ISR3B/Lpo3+1Gn75sIqooOBuw+YevoZ1LpDQZT1I2ykb F3zFMb7SNFld1uyoGG7hhY72GA0nbha7wayNU+CpJBsOANIQSl6m9Zl+93bhtKoY8bokgrYal4Np 5LDQ38E1u9KtzEGrA5m5QGBzTJ5XPfIsJkzolXrYbgB9SuTEtMkLv+9Rz+G0kZUmBhVBwwOuLdDd eW3iOcR3PFpzVevlOJJZeoHt/xwUGxakNlsFI3Nas4SDHSYTKltPj1hHaq6KUsdqhsrWxurrou7t 8oopFgOINeol14godhmPosTQwjY0NXcUG8OkHaRijDBUbFNeLXmwJkoJ5NefV3pvKmV+k0b9V1RK dWk/6KORShtsiOYp+dyUrmzk5K3vbmkH2VpYLLzywNT1IefCDpU8mqVvt7L+sGJ67h81saybPLie VYOX7Q9UvTm1F5S8QBnM2WQOADsYWMKVEpP2a3dlFzSeaKOu6mMtjeoEQZtVhp89Q+pxF70XmXq2 BgXvrx05r1N07UHEeQ0Yfk2lF5Rbx0xDB7RomsgKIaZVD7Xlkqn12xyDbVF+5U6jAz7cDL3yoPBP lxcd2JEmYF8lJ/U2XbZW7Yte6siG/nh3ziUqynEhPqfOgFvVEUFMhbLeeJZE/tLi5EfHbJl0KC7a +WinUtULx8mu/ehWSjDXwrNOwcO4hkVEOtAlbARawJo3GtNymR5nOT60z/yf8BqZa/gdKixDa4YP kDaJaQOL0kq2xocbGa2HPUeexg+oTCtj1gdh9dX7sSiReTbSkZOmFbRTjoUjg+ty8HHZLMlqEtH1 Fl7cOryvtjmlDQd5khJ1owuiLVz/+YoJWCBC+P+tWiSXKoUNZ+IT4DLpsgsRdZs3P3uhpGz2/W5+ uUtsCJkG0x4owFLrAVB1Y/x1GBO/Bwq173Bwthvj2uyk0+JsiJ9Az5MbXVceuznVopYabst7+JBG mkVDqhv5dfB+4AM8R6Q93RJxx+joTYtIFCPe0PTTyd5bMaKPQ1xlUfNG/tbjkuAFONoyoK3wQlXl K4Pop6Wd4NKVzCX1Zte54MSMXoTHSM2Svo1hQUywJx8OEdCIdPja+0hqM8yImGUugIqlwOc/P8b+ mfVp0TPxAPAWP1m+qXM6nfymH5N6k9rUff3FGlD5eYP/6z9d4Vb1tx+Hm73+di26a8s+lMt2G3GG pizyMMCVTXLzOv7KqfoSqSZ65hrBcyxTY+N0XHh3VLW3n5T5WflUDkRdj1zVb+P9jWdP2NTl2NsU VZIPBKdnHPY8/t47y5bRC5YWRd8fOiEy3daO6eBn8OocRvC5+RxvEQOg9TqP2ZygKsnPnpGJzE0B 5+Z4CTfuGk7RdBkm4nPCgWO1VDxKTdAInRg3JlOXGO/Lio0OyiPpylSC0xnMx5gxpYZ27YfBy0eh BmN0RZl1Q87MxKExjpWh64FT98HBFr6NH0VYdgGI5rqUFwZM0RnC2Z/iijQvjLVE7QKrOU/36lHd 5bHPWNrRoL3/+25FWSd2fBvpuI6qmNhmi5korEjJOfHJCo1y/ER89TRRnLMGpO0c7/AsolnW76bn infXnhkhdSi35wD9EH4px2pvFVOrXgnLcV/LJKi3dvIoeG2D1SmJXAI+UUwhMbk9DCmKqxvFL36H 6TwyDv1y0STiZgygCee8B99jWxj23vPQJrH687BwvE4i8xSHEIbY/Y2Y04OqseTC446KSoXyixAx PQS23KalYQg1SsCz3lIZNKdvaY1kUbul3rbn/pZsrtEe7aAgifK2TCQaogHx07WOJHKTSJBpL6bt N3WdrFgCtoXiNMh7Sv8MVe41gWPh6yMZ8ztlUgjEPKO6hWXoV8Tb21lpqUPcmAWUp7Z3asltfx5J r5hPbsuydluHiJ5MA03JW0eSO8vgar6OKXYswbyTESYPU+vWMu8gMeDRRNgojZ5tfwyPQTBo9Vz9 stbRkRu289Wg7d15DZgDHy4HbzbDuTxUK9cFTsxj2ZTwUAu6UEQ00WtTz4X76n5B22xu7Um6ez9V SyugT7Q+ty5icn4leRhOVWyFcoo44PxKDMHWRXscQ1TFmQZJxVY0XijduYPmtr26jgyjzEF4YMco T5GIpRTlRwi1py5TPOOHpm10+FuskFOBJuq5fPPiWokAHL3/hzde379yNIbHhwCYmU2U5exPapXq prcqaPDv46+CoRHdgYBMVYslhViIWvlxnxgDlT0lcfplmsU/uD2XvwWVUoK2OdLfDMoCpYbogORz hEcAbGmcCUpSPblR7Wj+LyJKvaU5l/gOw5lrVI1OwCk2/Ke166yyAFLocRbJFMphme6X5JcgEVRF vjAsKGU/Ne2vbrIQ0L0NZpEck7IYR+zfPz6kDbfGXdyZrjxmoYVrrKhQhGSLzznSXsy3Wx+6p+wk Cs9Ka8F1NPM0JIFwvSo9z0XPRgnUUkPL+EzMO/cxB7FwrM3696HJTb6dV74RnyYyXAgQfDt+7pzH u8ExNs9Ag5TAwUdQtuz8QgJqSHlNDZ+6ycc28MnYmE5pDLzmheoXGbZ6BNzGeNyD7mqC6olJMCVr iAsSahDsgB7maIjPl+W4VdWaSqFzd4r/0vUF4y5LxHiWU3T9PULWcKUb8tAK+iL4NSIGzIdPGhaq lIkGuTj4h+548GHMsC6GTfVs6/zn7WRlUKxYRqnISVat/+qv9NmhWDVpZVLkEq5mKs4t2SGdfeJK RSwuYLmFNd2eSLzEgNs+oslWR8xfKlXxoPRTzvc9+IIrwdV1EP6LNBbYMLcAHlqsBTg0k6X+znIN vZ973XqtfGE29HXy4ObFK3bRh22lxSyZz8l+bKEWR+wDl8gsqbHKtYB+CqYsUx6hW3hBifEBvwtU lGu78GgT77c7JJcwuuQmShnkrzVUvpAUGMhD5i3X9wQGQezYcfk9wk1HrXcytAGsr2OZopwaZp8g K71/J26BvZastPCF8q1PZnsp/80fCaG8txRq6uhooYVCVIYZxUWu/5SJQQ7o9YMC+7HuSJAFHjMB GhiVW/e7UX5+Rg+KzzJVMosLZ30Q/2yMA1oBFgEHerT2Bz5MjdrQS4kJh1Br8uJSD1zYozqJOA4e V4NDcL4hqKgPTefjzavEnaG7e5ehwmZiifIU1QxCug8w7cox0818WNBCR5oMD47gc6+gZv4T1FbA FZwFXNcC64J3steD3hK1Banh/eD3x92daZzcuWWbcoMw1arWYRCqTQ3cRI7zagsjqoNGcY+IvKpv hvzhvALsEqUGl+BPsQ3jHeZfzvIUEaPyajRfZIVDsl/LZW4jI0K1S6ZGDF9Z1w9YoKvc6z+LdiID A4BuyiQ5TETu7mjRcz2my519a6YAIQDY9qUyCv0vrjPiOZjQt1fDl/DFQkQ0YzuYTQbND9OBvUNR dbj8hxK0NyGrldaKaxRzN5DfQlV5WQTXYh68LP4Vn1WcilIONVJaUP3wHAQxWU9K4U2s4NG+TYG7 4xXaGoUHj+y5UVzLsJuqakaYEH1+/bsc/MgFCkHy6xYDPKJ0xV2gDXGy7udlPy3eq/NkO2hTePEg eIWBTtC9rwWtz4dg7gBoZwiUFmhi4o1RpPUhmGVxj0Y1DjAe1s007/pvU072QdXrugTelZzprjLO loonFrrPaQwIPfpAc0bWfNRMeadKzFpFuCsawmAAd+x5Rz5O5PYa6/XrfgGS4kd/+vDOPhFQ0so9 euH8lITULyDC3/Htj2ta8ZVXjAK1LhdEZ1l237cscrnhJ/9IDbyk5nJiRFaV0yONldmU2YCf0zOf UVV/mqB38LnDD0TKyJbvwcQDvVuOhIEzsxIR/4/jm+qeAYb4NFUp0vW90TlaWpn2/DO7qHlj7Arz hw3bQNkKobyU3D6HzOcHQTMC1hT+wnGWB8faD3aIZXUe899HVmpAyqPeQ4vn8EpvDqT7O6n1fqXr 7UNwuEkjT6nLobcvTpTf2tqbiXLqva1C1oZhA2x/9BLAxmqyWTujJeqObCE9S3E/PCezLfPCypmi FAb+slsLqZ6aZYLn6VwpSEJ02FzBDweToMZExAMAkD0YDpHp9xcnCSE5GFDc68pvknVKSK4G4Ur0 pY3OTGcCXmwHmatJuySEa7L3Uzi+h3Gk9j+qnbZ/Fjm32uat2CaUmUEoVkDN9bRm/qykHAkmM4pp GcW2F1W5jqxuhYUfdPC7e9IbTEH5adEh0hyyG2Q3R/bV3PlZz9PpYOniJB4DXGkyJNKlzzpCTL4h 32M8in/LreO9ILzZs7jli/GAjWfcghCGldkGcA/KZgUTG2bN4kFr3lKiem6+34j9KUVhhoed6Mye R77SJA+qlefeu+0ovN9sOmbhsSc951kPO/3HtO4vCshCn8jpx9xhPGp5wcIVtfTlLBk0/VcAWpr0 NLoN4tjq4wHAVvp+TanM+lOiLhFOKXV+WfQsToEt7igEvV4aRJdNZv0XgdUrFqSyDkTeKmQv8f4J V5stgs5oKpmZRmK6Ydk02yzUWrW4mb7GyqZrwMl3Sy2Q9Pvi9rHgPq0jgRPEfRHrcjzeuWEXKo07 wz61SHN/u9ga0Y/cmaoGG77bDCf1JaHxDrJ/z51b8m2W5TWJsZ11Xi9VusUDNAolwHmuuzXc2Rv7 DOiS36r8MKAIeI++X4epHP1gzr6/DMcjzgVEVq/lmYqH7FdglINBjxRejQaSbFcwhSFuNsRYWa2q OEbQl5OSdlrm2Z342SPZjA4TmP9QQWQZHXsVo7rzQRzOCYq9s2TwBP8mSGRAa3ynq74muWWVRCNz nEw/k8ZldCblRtL3T19z04VsNh5B/3+bMEkeQiIGanrSLgf47045BI0e5iYmdyONYJYfEzStOxlk NwfFyWvMXSXBDFOkl5T/JAk+ptJKEdZMJLlm+SjJbVXREPhJV9YGDlSr6F26cHNRJJAdZApXf3vO JEkTJdqy7dLeXGusfdxPpB8hZVKrbfUL0/lEjWxMAuF8pDf7SlGv4n+FWOUtMm07nY17aUI3m2Kw zCXpa9qgI8O5TreMsWZzsOEBHz92/e4LkDP+ZzmSeNxVahuSZTy6KcWRvUpIAIy9PWTOApem/ueb SXr/FHNHFO5Cs0RRk/xCPUPckXZsmaoisW7YeOsqiujnIY+8SFczkQFfBvNlethERYcOzSMdd40i AFzEz1Zb73+tHeLx5COFKYLKMRwOPIwRNyDUYdbINBKeqaKGsoP2nItgGZ5zgO4pFR3ymxOpITUU JrvHO8h4JMEhDM/fnGMSFYMd3yNlv1Xd1TB46xioj6uN1shoFdti7owmejimn3+kBrqQZfC5v85/ gUfAg366j3Jx4a4E+75TyUA36Cn7M8Tf4ZDq0xKd4OAP0vAbTEEbU3SA9oAy5iN8JKeoA5CAKwrf EZZCqGNkO+9aj1KIfcrW5w5qYk0bwMaCFyWvMn5V3O3yIghx/jm6lLn56mn7MCXhMRx08NEtjpNo F4OXQBTZozupLnqAbbPyMysym55oCH0t87ZzX64J0292FBqgvhSYoh38lxB+bOqqvjTI7Mx3GZtp iQlg3JvqIR5cQTLz1m03WcFTCBcDmlFQjlig9ebDxN2Fv0EbMjE/UiGStqN4eaNnJdb3QcJrPK73 QdIy/xvn9sctiFK6u8RdMCyh2cWl1NDd/1+nQ+mX60jfUEAmDI3rEoQaMcpb3rBCjTsslcd9OMuE OwxkqNkBJ6ZgmKfj6XigwzNarvbCTbr7wn17YyHSxfZb0noaecpC4WfW2DTlsPHRXCI/qFruBYXc ors3prwOCg/kSerRDoQgRSPVkHzZWo9WqluMxrwlSV8U540l/sF5WtWDF0vdjS97ijZMy6SjYzqI sRhFzYkWP2s35Xp9q0KLAIuuFPmwc/VqGb4RBh+WXV9cy+uEDiGzTNddFfwAkGqPMG1earV4xC+k BjX61DLoTcRqdHvGTcTGWeNDX+GEBobKrSOelq82JovJOaMWNO6RnW86/zg5S9Qd84pIqGM+9EX9 218ZLTTII73Gx1OlP88E5J+L/0QWMqThJTZufEoIwHlfy8FqxREYwbEhwUmLkWQvo6NC1zz3GBbk +P4KFTJWq3rFOC5mi2mmWfj6yH1LxBO8TYQeceCKHT7JhgGtZLSjgHMDUrYr7FUsi21/8hFCxuFS OaXxtSaNTtyscNOaBQNXE3jF7M0S8X8WKOSJkXoncxhYy76qm4OnruwDSJasjQiHG5z+CxGANMyO nw0tY11jwc76tDgJaYVjioeaFEVlGEdgRwoDI5WYZc6rsaE5WZwNM4iwQhbdhgpmowc/vvGLDwxo FYTYfUjclG+i0iH32MWjlafTYH2K9Uwr5rOC3bkVp6qcxOYrBhTXFdoGZMzVl77u1pY/Ns1oRJO3 yOrYgKg2tILeDwaPyrZ2O8NRUeEcpF7RvfXatnlJu7stW5M0Lxjo7UEFbVyKRvddmInaCylOQv3b 2tsppM1dKy2SpLgZdKQ1/qt9E7UGwqR6BylIDUF+XpfCiUIAA3SWO2mEQnLKhcOJByFwQHSGEGJ3 zICERmBLf7z1QHST9gi1raERD3YRVk6fIm/aFDhAocMW2OGheFZarIanQl4lsMJP2uJQiUxsX0OY 9fVrh3ovSfSzcCzdrzwgF1JAY2EyeOKN1Ud0dEEeF+IRYp5lXwk5r3kDTopC91rfSYNJCRRZrUeV mapNpYVFUFqhnMgQ74C67LXWxApJVitNtrfOpm2vH9/GnwpBhU3IRr+jAX10Q13qS5PAOXaLA8Sm NCFr5omW444NaxA+LfZxHg5VfpOZcnWvp5XsZCEEMv2tOKVEFHOUVJ0Umh51JN5H+0i6nmGXT83Z 3tG77Pf8ZBsyLUSknaI99tHnoczsaEcze1VFRoDhaKbVRfDHq6dZMZKfp0PeTW8epVuYMHkOny07 0y57vCmBm/fLcOaC/gYuPoXhFBDoCnWFttu/Wvqq6GI8/Sr24obplB8c4qHK7pGlN5v/67yYz3ox Fs+MakckCpSxsetRHD9CB/Ee0/cldVS4TNT2DECpKyufETbzooQh9xTWU9jkmu05p4vOMtH6L3k2 79E9KGC6iTT3I6ReeDgLc7HHeTDNESN9cEXG0dEgq8Vn1dphcqCyv+fgK2Vfo6GA9oDT3SGRz0yA AMyrlpPKxnjZbu4LkGQ2cSBHaXbZvEar2gVOVHMe5V48dkd5//WhlPGdhCKn92cJJujjVGBp+lt2 beU0r98QIo1cE15QJp1bnmrdo2MFrJfmvndzXJWlvSAlKN86R2C5s7lP3Zeg50zkyCNVQKLrhGep mLpQoj3YTIUWrVYy1gR2IpYkfR/athPNH60fFVxh4Lbjdi41lBEdL4Z9MR+eMkcAG9jM91auV11U WuR6f6b/RBM53MwUA7zmh0JitdZNtYsLXzxvdqWwAyRgFzI8GFUKwvn5A9rtTl6QDUWOVALBWCUg xedFBCqM6cPPvYaQfTvE2wqAetc8a+VAKv4W//MOzvZy77JmpECTobB3RNUPHjGglDfECz9w+7nn J+gkApovFe1ZNBbz+cGD2RuDrZfnvUdo57hHhMZdSjsyNlIjYqy79GKnMf+Brq/xDYrLIz33dUhC 9r0rESGbkPWw50WCejtBvpiK8m/nCRCmijDNP7V9oXHslXbeLEOHCp3P+0+IUYNVnBlp52ZlRchz IXB/yNqQFcxXaL58prlVbPO6QKXpcdPWF2gOWfgMp9Dm6x241nVaQQwCq4rf5dTkT+ZAy5VcX5vW S/HwfZsHJt7/EI6ZlBqF311AoHbbxtX+EjN8jDzl4h0iwLFL4SrbW0gEBYFDePZ/n28LifMLQ6Zu X2UYksFtE3/RtNzCntg/JN/Jcm7+0JkX+H114xIF/kGbhrQvp9ygJAAK+rlu22P6gnTwwACStk1Z WyjD2gIeKC76yd8zFy16/GJ3PoEr9mfjeXUfwWz8sZzhZHSF3pSss/0+b/l7V7j0WcM6vCC80xoO arIkQkHAuW5FAmbQ/rHJJgxCsej+kYrhMdOo0ITFdh5TDno9Nwb4qDQesdWKuHLld9FGcxmEiFpg fV8OM+S808ifNQ81TR7aVR0K88JHpOlC1rPrrjBxfzZ+IeRik3z+YB6h3cdKCX1jDZCSNhnASi+Q RX7QvLOtXss8Y/xPAP8oAk/z4OEo5Gf///hUVgydsGXGNMzooIC6o468cbxYbS/fyohlS/1szrdq dXYfdn1Jphrh8gDqr6R/RItfoE4Cm0La+f9t4UAPJmIP/a1MG6aMn/mvNoQUceA7ljOmxxiTKH21 tM00so/AgWugF7rLNvwwGECAqCIib/GiD2Wx/JgoHkzGEuO625UOJLD/wXC71puCAe/W8afTg2I5 Jpx+xkgLCywrwKm9aMFtaO+X5hsJR8bySAhqLY52rLi1+dvTCW0NTYIycra4WuLm1iTr+ZNAWFma A6NeSPedueKR0OZx4aRloiT8k+IJkQjEcPmOq+VDbqQ4DAgY1mpTlYIDvjskCI3WuyB/T1YrwglX mJiDdjktGbq4SiASMVZLkt7j4+y4p0Xah87WLEf0FcQxxYnzH9oaqhty30o5QxdwfvTn3u6vXp3m bHEmQBuNKhNSQ88f67QDPWKCRSUQHMn1Sjj2UpWAhGs1G4B4NbK5XfL6IS1t9i0TGsc7RslGyq5y SUvzR+qjqX2dzkWqZpZGEhPv6LPz+slI8h1m2z0XE5Wg6GfGI1EV7uWGhG5IkjdwuS3HAv22UL3A HWOh8O1l5p2ON+rJPcBKo0lr2nLRarIsi5w5edjZOot3f6I/vTDFy3YFQ+6eSEvWz6QQlXnb2UAI 0h7wUP3ez4fQ4Hxv1kcuR47AWTdq0dxWx7TDEE8DW051/vhdGhlnOT2KMVx1WFmnLOFZhHYAvzVP NPd58D+hBApgtZSX+Z5Q0cjDU6JViB3S/dH05bgYcyLcUL7kfiv2Lwgnk62rOuCl1iqyaJm0RsPQ EvkUBsTcLoNBeTlixyxlPNUOaIiq+uUnF5nytYcq8s4RzFaNIIPCLcKdRvkpOBARr/yCgb0buqWh UJJdeSkKAJzqkms26q4btnjScES096ifO22AayYK8HDwakxWYPcaU3oTQYUXh+a13DxzMEnNxkFg eJbkL52rIXR5kRHsm6vX3bV7KSOsf6M5pcGAtC0FyXVrHNGPlFfixv0nLRu2qZdz2pcFGaTK+zz3 YfO18ZgDOmCCMAFT10NOUeGrzC6lLRylxozkIgRZr2Tr76+j2+v2u93hidRoTCpaHHX29tEMjEKd XteObiq63P0xFyKSu0A65e3Pp0LOAJc1gJ2hh1cIiU97qMTfnLe2t/LHcz8itzVTT7b3pUJgfFcQ bxzLVhDW2TmmNAY8UkXBSmve5R9isE55x2Qk5liZzKl3IgEQ6LITkRwP0s2Ci/h8FClNel49KPEw WjFpKP8oNflbicMzvphtIozX9qOy+muKlT8AfVBGl905A1KGBE5OvDMlO+jv7nb1+FjyGS09UH4F QI4AFbcXju4gVPf+FvzCb95ZR2jLmU3nHO+7rWgD7BrVfvH1orQwlfofwlCpCZWaRYmZPG3ij6Bz zS4AxH+cnq7/TmzKe1m+hX8O3gNJPMLtCbTRoqlHggbk8pE5KNt2p82ZCbDyUT+0Vc0g0iY9X8Gf 03RhsTvZkAex3aXqvXGjCD02aDXyD9iwHdXeEpqdIzWfN0EIHvzN11KvOW0Y80yQTdibrxuxAuw0 CBhnN1s4xOb5hLurWswvpdYJrSu9pcNAL0tL6IdHwnLmtetcKq9eAlBeFwS3fZYDsxdkaQ6A9WqT yFGBSKjkBekFJD1RV+AWiRJIogyzPDnJ+BiOQKQ7Y6Sax1qlPgvJ8Afkvwj8Lv5fP5Kj+ZanAKjO H0jQBmbccK1TcdFY1XfKMRpjTCw9y34UF5rJIHfsy6/eUS0OBBSNM5byfKtpzGjFsawj5gXdqejq h/rvGA/xhg7cdpk5C+f9tfddMaFhdIjRQccny6HlCk5VWP809V4IyUIe/Hh1jIoVqZCj7mOUHtak PBVOSmjTynp061MXPnPgQIoLzV7gzuTcoF7IrI2ocqV89XY8/rEh3Ab8uIVP66UBs8uhMTfBXuDK HXrgJU/cYLfXdK2ipzzKmTGTL/GJ17kXnqYnAClArauAYVoBcqGHO5G9x1pH1N7d/p51p6bw3CR1 rCjbhibLaB9rg1zj6glRqiZWJLOUzOtAWBMgwRKHRB1u92uLYglMrjpOmsa+gAHY3fPkb9sKkG61 sVJjXo0eXbvBoMvRrEeIcpNwstE+3RzYu9gXwJ43AMtZUVwvZHA2D7LYpppR7azX8oMM3svKfuK7 J7n45gQ2+zFqsicv1S2oB48ld8RJ3f7fMbHVeCEBPNo0XiPoIbc+B7vGokx5QKg3vvIgt/FDuqDC f7v75lXtoCCiRk6dcWjmFViUAj5dNvHssxuduBvZUyuga9PEOdpcY8l+kJ7a7q/xmRHDEpPClmG5 QzhjNDy3+0yAT+vvWCgBbng18Q5m2VfSWKGaX3n/+VVV9CZ8uzC0CjqrT7dN5ugKTTUTfm9BVMZp M/flgyLwutKHp8Sv1SVcS5DRwhpGowdJ1oSLV5c6NXojyHStWTwRd3rPlASsNaxPfRzvp92WBXf+ Jal86XP6L8/H6EdIDkuZhgmazesTZyzUni5Sig/FW9iVwSVA/rFDfIfFLWdbqlBIegge6+Jdhzht kZC0D6e/MD4aJ3pmptcoxn8JsamNs2bh7FXSrR6SZdsZ80be2Yztexjgzs3hxiLTu9yDIOpdmiHB wreu2Af0E6jNB+RYsKW+vQ7kPwG/IhAiLw8DMWQXuu/mt8NYrNd4CY+gmrGcm96N/7C1IKG74eVv vDrCNWxUSfH06mXRxj8gnL8T0TgT9krETtJoyzq+Ok3MCggcxnlOreHwkTsgQjblUlEZgvP6L87y 9mHMuq1ugIwiUc85mROza8kmyrg5R3xd2rdKKdVJ3aW3meQTCZHxtbhumJSfDCVRQ69PMgmT6t8m Rl98ByXy6W17jswGze98KwHF80EjV3tsyQxdC7pvsvd8V63OONf0FQ3NX+DcD7MQGZoejwGU71Ru n1Csjps/eOE7fwc+aZako6HSuVHd5BuNn5xVsyvuHlvwWomMu3WOzgrXsduztmy0AUEBqfZTh3hI X3NToiH48tqavJ4p4S3JkBy7vBWndKrNTAcQMc0puHaIoLbc1XQRjGaF01mpkqHUb+AZnk1oaHlh +rOnqlYJP53LkhZQ5RVKbe4gkmrv5knbPAEeyI1jtQUXhOIfeqzTIHKOneFfkHvCkTPyj5nVWGiC 3rpgWLAhxk3ZH/852rR6rT1LBjVfVTsRl4AwFJc/uZf0uV6fRr9d9p3i6R2rgo71DM0qKu9vc/PP rc52fKYD1A1vBNRhNcK+IUSJupYkKOuDA/VvBadehd6Uv+TKzS56rQingN6DI3tz7LSqsXHAZDEv RHLMcI/CR0lxnvVWvwErfC201BNdUDc1k7DRYBn83BrQHcxZzaWenOPYEeQ/sezlRqfkMiF1nV+1 jfaedf70TS/FDTsg8mTUEdjT2reiYU04YHN/MumK7TAqd00Pv4Qz8KPb+5GAQgVi4cXQgooVV4ck 6YsDrYbM4qWe/ZS4p6PUpt3TihSeJg+a+p7MtUPWzV20QKxaxeED6BxT/MPYCwE6yq+E3kUjTZLk CxxaEemD+Ij0khiGr6BwVrlD+SJrrenQcYuzgGy6gzn5G1t+6lrViYySVWTzq8gayJjpzxPAVviy DguZOBpRpVDgdwlA/9v8CHlDSRWCYoc6sJSZ+ZWCXslBsqejzEDSGMYCnLPozIccsMzdcyaGuLDm GD1tYYEyPh7UAvtxxVPVeKQ3gWSdDQEtFVA0YW8vWY+cquTTf2pj16JX/7VdICvL6beCNdIzNS9+ 3M9ZkgRD5fwEt0khQh+sBndSCZVlloqIAfYMP3LsWljqj2My9Rp2BP4+NfR0R5PMmF/keMKutpX4 4sCZjy2ByCWJM0rggs0whRa4Ni/I4rvokdjDQBgPviWlQNhHDfxC+BGevjkHNvDOXISmMDqlm5TA q5Z6E/WmtYQix9foGQczx4fV0zT2TEoaZsJ98fjVjryxNsemFJKhCkWrlw7vxa0F3nps3UGK89vd wDWtftnPQ9C1EWqqNY7lH+UKUJqkvJD+TvDN8G4mFiUv7KQrHYpMUIlDYfjOo/uA/SD2A37V/Rni CozAxJu9kBUm9Wlfi1md+z0/XFBXG0chnDHT12s6deQpwcG0tw3ibydk97/4l1Ubx6MSb8k0F55c T+49N8TeqY/gz+7J7NHC2Pe6IRZxQ/tQmxL3ML0Copy8FwdH1r44HF3sb0aKLT7qRYoRiq3nnWUI s+PlJ9ap3f9iR1jqrSOGXijWmqF18AytcNydSYPGHy+ZDnVNdCsUukiIsYUI5TTwLtqmMEnMfeUy 0DcgIgzCN3j7nkkAHIC/mhIQQq78QkTAfDK3N/gaorK0OFAxYYN2IPSGYVeLJA3wGX+t3kAZh4pu pJFk+Is5qzgo/VEmmvVQbdVVRQy5IhEMYKjlRd2DFN6We+JhzrZIyvI8u3FfEn7FThZSgUaxeIGQ mwbXrJHQCIAcSTJqd56iWaJ7gJI22WTkYzV/WOFSz2xB173ugqyu3havl4aXxgS5uUI/Eqp+oLsa LmvG/lyDAjOkYinNucn0cAzvzCmuXG6ghsv8tG1cWlEls1mnaKmsNP2L/lliMXi/ovFwsZx23FKk yiHnBSRscdJ/LeD0+y4zo7yM2XjB7YJJOZvH7LKQ9WOo5TOcfFSrdexQYUX6SZ3Pvf4JtwaD0hDV fniEjM12XO6iGaCaV0GUGRayM/uz+T+fXPMcr27tFwlV2OXrEtoQV4+eXwPaY813MSQ9LbvQPP/L OcZsjXj+yJGAAWHIzJsf+64NZXmYlqyU2Pt+2mh+lPWs+aHYsfAOO12+GBtmfD2gQ2knSWUwgNEI o3BFMOGk7oQg1cPjvgVkw9HIFFAljJu0KwBNnrxMV8Z8CzUXf8SDex3kJxlT3LwUQEv1fEwvJC0M BJFWgukZFIB/Ne1MrBTBROMSa4tS42khTpcRZ/IjB7mCPFIem9DT6Wb2oEu6llLsLt1OqYi5qh0x uvTNYN052jikeC3n81ie20Mcs+MU1dvIFd01SpHiPEjKnGcvJBdkKP73Qa2CnkrrLo32CKc/mNf+ hMoyW33hsROpwuwbO+5m0gfIMCGAPrAAPCDYfPABp8fppfBP0tzt7f7UGT4N+M1Wmjf7uHaliV0x FAhFiqUAk+0JBbcLDtueBEsfH1/ISPScNy7n/UWdGVPh6zew3cTi342cj00HRiOjVKHiJmnJICtW xtS0dL7brV1xNQWT8u4/NR5dqhGIcn/pQFFkcupyAhKH7Uf/PYX0TrTceYpsKzDlZepjEl1PtC7t Aywnc2yJJkeDusGJfl7lHe+cBEOavuQ24epUnpxt8siy/qWEMDpSVU+RvfRDlrkhHZVY9sqVuXjg kwRqysoWn+Q/ToRPZ9P9IFsPoYP0idwuBD9RnH3YSV9gFTK1pYZbfTXBMGh1bYE+VVohjzA8Q5PZ 5T2Zc3M6G8tSzSjSLs31xNYWujRKqx45N0LPP2r+pGWnSENTcGexXPv6s+FiBRGKX2SkI+2lZhBi TXG3fislP7Wr3e5ix+erkrQJ2E3fV5alrm9QFwnPMV5U3SJ9euwEugY8+DRDntqk0PjlQGRwbdVO S3EBRt5V8qZZkbdv75nNt3mhHgN2uEIlsrOSHBqOf4rpmuzty0C4tS8yViCYbeG4jxNu8leJZnsM ob3YrhxXAhU688XozEXwtNTh2LpsBcy5he5eVKKAGFkYX2R9SiGHtIsza0WcyOINJ7xi4KDqY/Ft 6bUs8JJhrFC5JpTz6ktEoFX7+x7qF7gHVWmCwyTqzRvbCSMR2nhCBUcB+SsOC60cEzhK3huraqtR 4nUzmT8fGcvmH2MvJ/HKXo10UztgyPp0KU27CLdvvhqn17wnlqkTSAtAV4nMpmwz95CutdCQfybU 8wt0LF0dwHVS1XkGXgG9tcB2tf1t/9YvRXapGmDmB2EgM+kB7QJ1zQ9OGqRzYmH+3Y3uoXAf/M91 Ow4xPs/hmvRW8esCSIJucp+hETPfsXvfc8OQFPpi+yGi7l5+y1/6Rx6YeV5SEMJShgiMsTKTj+Rw kZG4xlqrRw6yvQ7P1jdtM141EwJcblCdfLw9Qp/+Bn7rFVUe5vhJ43xxY/focmjPBnow4rDt3Y7S gKdYaWZ+tUCyzpJHbZlIROuvR+EJBzwBYJ3lGbWNxegEXLm3iK6c/zfY1a4hXdpt4MH13axkEZLW 1cH3k0rvw4g3wZw8AwUyrHFByRlj6eM3/lmbu3+Hm+oy+KJGwx1Ge2bb/yVfCQv7p6kmweRU06nj IeupmaZPuB88+XlpeR9uiwMaqV/ekQqX9Oc0GjCQA1qRTdwViPrmjQ0pbVdFQ6/2LHHdPqHYyknz EGMHqF0vCft1Ne7Log8sZNpWhPnz8XfHPeUm5507l1UtfIcOq8eWFalN4GlPPf2EB+T0+NhBkzlo zO0XIw1O/q3R1/psMAyDX1muO39yLJn8Hvf0F4Z+DVB+RJWOokIKlvvrRqmbzMcvqQUc+kKU1wnA vUI1dOfUgSGK8UysBPMItcKKfTVpmTes07UldV/ZZnGG8+PloYSr7Z32eCEe6asAlEzYPv4vSpSB k4TSfwj3AcUhGHNbberZ4V5JUXtxOCtLSWXgTti/A0GKkCdANe6v8ZmhcmfDqMxRr1EJP4hAsSnM 77IqPlrkIjE56j4GpDNcr/ATFFB5VHo5rtHL8hWSo8jYgVAOj7EGHxHdyzE4ts3MTA4S1f9gYH0c Wq5uDUWIQW2NAxK90tpzx9ezGq17vfm6tIXjgF7PNV9Alf3jZu4BzTSRpRzzIaEtXEbR03FRvQWH 8ioUY+B0eauM+Civ1461+DUm/CzTe0G1T29xs2SjmZmAHSyPmuVuMV4dz1j+Iv8f1JVo+ASPda3/ 6G+wM6TPZZXyb+FmdXaHJRpXADxuKwnzvbtY4dgk2X2QEAN2oaHDgrYyxbP9NX5SNAdVAZbT0CKJ 6zOQzm/0pqbwPPO33mT/utDnSlDj9WvBA79VyItyqeA9sIdt05sRCAb4ESld4U+uVAvpCyT4uugF ZqS+812UKBGIRslHs7DIomLhh6HuPR9K86d4uATHLKPF4rSSnmCmKTnOQpxaVzWalZaC8XrEHHYi 4WnIW0bUuKJ5wMY6FwvnTQQ5jwr0GzN6qkG8I3C7fmpsevqYLnmTjOESnztoeGcH3156DAt1A2WE Q5Dkp7SOCE0pcCLhY+xe2+tiAWyHOJsmlbaA4aZHqxaM8SmWH1TfRVQLn5TlHeAvH2uT6J1z9Hb3 g1XjR0ic86LozHtRG1xFQDSr6EsixIBXlvRrpqD0sp3CL+UX4swhKQIRYvZxdSe2+30ejIbrlvG+ euT/6v64XztR5geiqRZVP4hqmzsHvi729YGA2W3OKeV2Rf3JhFXhahq9SAccgwdwxYydAdRNLNe4 6NSCv/jUSMDOPzJsIW1BB2yVaRppENGE/H7bisFTVblzCsAc859bsStfPXX0vHKxyoZ584eMKMOx Dqc4EMuipfTFiMApUc7WN9albXI05yZFXsYTzCmFtN4k1agNqXJiDvnONwakRrwdvzP5I+qJ3tnH Om/VYjWBXpyNua6Zikw2htOcwqOvN9rF6jVWj9+vBRHiaPgdFcsqMEOxiXleopJID1wV3Sz+SRmH 9csPVMx7SjPu9G1f8J2vY/4kjRgfaWYJD47cVEIMzDU2Kv/zteO9GkWGm7AbaLaH8XQ0IGd2J/3d 9crnSGSbTqNRt68yrbpir03iXEPOqPSE3FdLZenJC5PW18fm6Cc/wQPkHfPwkErJJ22+br7EuGbf 9wZPq85SqsU1uYKBw5yy5x+SPjx3pTnct6BcxUYpla0MPHG6f0+23EvpFfdXp5N/PQQaEdFRJ5KL 4Exxijb9HE4sJGxbdJZoFd0xK1tcUwqEEjIGan02Jrs/4JVfnhP6IfAADLcD9k6bmruIUIfsAHGx NozbO46r6/UUNbAMLH8h4EL6tFwx1pg6o5Vdoypwpl6rzbFGBP5X75Am19LiLT76DgR2OSX79MWE WFGIK8iIXxIjM0FS5pvJp4eRx7PUycCsXwDOBdGavja7pkfJ7hCgG6WdfClHPh4a6JQW6O8shhgv blM3dX/LmKNfUXyhxcQLVn/bMADKbJ1xpOV5N3hByHcAop57BL99f/hJ2g1g73GnOKvWDwI+VZdc zt0tvlXKRlKMcS3lUF/hhpcTu7BR9ockGHpafdBo1ec6qH8VMZ3Uahofs/Bqi0yxgobNgOJpcEJz 04BKsxJEj0FV1Lo9pelBBetrc8DuUat/WBTHX/fnbMCeRSpsIsVB2HG+SxIYN3Jt9yrKNwC3kwOV Qc10/kvkejn6u+e/fZbI8KlpcApC10DKw61tO6FGvrzJc3GvEl51Zk/q40CzGTJG4Gg27Ka7JXCH NTPJrCXVFCUdXiaAXwNbJf+VfxMWwk2uw7Tiln0n8gUO+M2EBPJAjZMHaW07oHxFvL85AjI48VOj Fv1GfCXRkqcZZICieeDySIUtplecwp1/htyDRDOqEXPSLppfEWCrrlTUvs3J6c9W0zcJGPuJvR7m zhBoMGMrxKfhVrOAMIT4LfHtB5Hmo2VAr3878Rp9dTLDyRbpYDWCd+lf2DGXLdcCcL6MQyOmZrMA ovEO+j//kQitwQ0iVLswJEoQ9d+Ay1LT528j+8lcvhlqyxP9xF+qHRgxiATbNN3z6TD+dtFguAIR 0hM2mme2l6C/dlCwiexduusfIQ/iYaX/wzQBH1ZcnZJStF35zi2A3gZSuSxCzoArjgWShDer4AlB f+QhmmvAzBP5EwWjpSba/+S5EgkcULcXq5231RuNzYrWU/noUG3XvI3mV44fCkydQ3hJ04FpJvac b5DjLBDV+Ef4L3YFcAlg3yT6wxkAHS38OJg1BxwwcB+JO+2c0AKtYUbfaO6djOKSY8N1PXYKqKo/ AQIW9OaYgymriCoXuGye0J5Yyb54suzba2OdWRYccwEc7ncDxTq8X8/IMQo6yIkN0xnHHAITixLT Ss0NsrOv2s1O/8jXqY/QMI1vnsv/iIX0lxW1iZgL8hPgIFBgUBYJvdg+owQSGyEM0QxlT3ZI52et YmZqRZGAAY7A1HtqTdz+O1j9YmYrkfsgaVtaIWjGV/Fd7lkmd58ExcGRS0fBydwKSyIG7QIFnRl+ EHA4KPCvtme5qMzqA8zxKBk8/bZtbzbFEq4b1L/5ukHQIo6bRkaz0csTkgm3xR2MB5JTYH9stQ8I pQS59H3KZC3cxks4t794yvi83aCG2y7VXiaF0aB9AvH2OWZGlQ1x9g6KOzSCzenAFGo/Q6EhAPaI 9C1gPpUqZ7HbGr7P3PUqk3PEEXVDViMr6WUS1EGJoCmQAfSuirnCJuwcNm1sNfjzq+26J7zptilc 6pFr2xTVPcINLGUw59L/JSieUl8iSqLRB+0MrAON2GjVu0spAUtBMhxLT5+NYFn3Pep04hbxwC17 C0m5wGvF1Jc3hZqskiqEirj1mZdu8MKlmZ9v7PPHghhXi32g834ybcAj3N6Jz3u63zSobEJmLyRs 1TDmKw1AgIWumCLL1OSpsTCMocHiUxzPeadgNu8OI+QNTRDqFbpufwhHwCi0WcDKHR32r2PCVT6b OxJJtF3TIMdd44nCfxWbw4L62mB+tPpNS0PopAwF5WkjeYlPkxgGCAfLjbejTRT4ZWFm5OrRtp4E 0yJxbKS9ScsoMyP4eKXFGZJIUo4DVLGl00ADxyAing9e1kuCYs98PPruQ/XVDIa4iITActXRliXL gSkEyBqzQwov3uHPNnW/zgu9usza8O7U7ZYHJDmXrmXpeHY2UoVYXbxLJYR69oDdQPdUXaEO4H3P nsG1/a17ox7ztb+AvZFVl2OD5avJWuAu0SoKLnLx/WhiW/tDGpBGRyTfA8vZrtOCpprvmZAziP9b spVd80DdaqDzqzsK9AiQQ9wvmAC6JI+Qtk6I8FVYNUbHROqbao7YzRt9pK5jhk3w4oBY5yfBdcR6 +tiKzAYpaKD2jHi7CpeSUu/i0jLcRGazwFQks5+5QczsIU+Yv00/G1rxTOM9h0r9D86ZB0RGBPpH 9PiiLur9UUHHXWWyz4j7fUsgANzVkdX2HdqXIBcQzmAHMdwgNzSzBpgKfZLO/Di0989QRiXUeMyF b7QNNDl0ATuIiVdAzdmO7+DOAcqIr+zIR4ZqJY83IRUz9RbYZuVSv5nOjUkgyV3hRGWLm0h9huTf ZklvkizEqBL/iGm8VD2cVhein7Z6YrkR5Q3sbRQMGTn+FwWzJymxUwH77OHTDuGGTtdDLx/MvAOW YCVwUG0QuBLB6iLKD29LcF/x1Eel6nwwOvMdULaLux3jR//s4C8yUYnIyJonCTjBaDtRAQwVTHwe tPhSsupDTlVZCj6WV0tehT8rtyVcypXKocKw++hwsgoTXyuObCGDtz+bmsOQgIHx8RiiFmj6x3ny 0lBbIM/OS0mK2iTcG5+fM6WzNoxMSTKeUc23s4aFViBnL/SsrSjE9OG0OvHoBAYOORqAa8Y2QgSr APrOGUjoEDdyE8gjciEyrl6CjQGAGRaEun7gzt3wLSq1XZjWAV3eEfJ24OBwTQS/tv75/LYcEpVv dyWA2fSb+Oj9WoAUt0etF1Y28arAqg69Y68Zu0je6gpB6Kkm13FcI/P97LaKNpccp4V/AgPqd443 2y6qOTMwmQOp9ZNacxkqQgXhysSiT047jbR1opPZ5xqPjXLUNe2Jw2y7i3Ihj5eOas4ZJgkb9sqO eWqNrBr6IIE3UAVd/2l2Qq6/f4Y0kHYHCXJ2OzHsH5OKsRZhoKfqKrxAwRzlzkP7gGxjXXU2vS+X kJUsUYmkTenvthEQgISHhH61mXVGlx6/BfNBOzGDWa0Md2pG7txlRqVvpJ1xig2xGUBe8XMSAV4a rvcJWyYsWJ5PwA1o1uUVOUfHr0zEbh07LjBrysW/x+vuLsX0sfBapTb/v+aGfHp6HDyLYAZQB898 0eSP/XRilNr1kR+U+4meWp2QApJ91uSQCABxpqxSxGet1Uq5c9Y1TzgxH5FgB4BfkyRfA4cq7gpm qD2HXV4tNXIoYj7PyeLsm/nfzcFVeHXB/55FAEDuXsm1UY9ZGHY9DXiSNAsxqeBq1mCLAfswujzh ZKQ35xCKvdtFd3K5JZSkKLFbn+mRGK1Rc2JBDOGIePBhIapwho0P+UjVixeKRaZYTFcEtnGmQpih p8tEI+BKAN4HWGbtXbdOgRs5obywbwP3VzUhAMRRgSCF1zEqwN25xbfzSn3XZK9RZqrP1ftRSIzl P7O7NF6YPEAAu6NAQXDb3GoJhiuqa4tfnINQRtA3UKsZ8USf2Q/P0Su3H9dVarO3+Oyiz7QEc/Zl 5nNPWds2ExiSqY7hjNE4uAosPvaVCFcpbMOeLcxNOhOWri3eSn6hSvKtPIIjuJUA/QvWWf2IgzLq xIQBqcyV5fEt/vqCgvPEDgvHxPXDz0A1vfO4g3A3y/X+yc7rE8OUWtzI7j+vQcsrEpwHNkZwkTO6 YjISiZfMpGjK7quOZUiIArREfTBGoLF+1s9DWtFbZWoAfe+pEjEZxCgCBtVViti29vodQ4isaeft VtvWJLPb3+KufXkPT/YtBxpHTBs1HDdbE5cmVK/+yeg+VCD6HJODrDpGjGA0vJJwuntQ7HmtybNT uKwdb+VJf43ZjZS0JB7qTfTPTlu4dxK7gscFKb+kqZ9NsTkybAbm9ftbFtookpdeSvRsiGmnEm3X HaHYeMCtWKlh0buS+fSbGFV/f56z4BQfwh3aYbxUlg6TregVTCu9fG2u02SMuMsWpAt0JMggf3km hWzjzUoV1thrzh8O9hkeFvZknALZgKMUhrTbQZ8bpE5rg6wblAQ2+6re1OjYRoXul0CQeRmq6ENU 1CtPmy4khOycOB7UoTq/wT6CIDOLAVBJXwc6w7g8UZ+XvGCptV1tYFwO6mt/ODVymsMFRmiFh7Be HkDtxtIWgZHgaKuSiUuRIgiNA/XcdJpTMvkbIyXhHkCMfR+dQN7TLMT5Ak0lKZXwPwqiwgD83zgt zIoqqHCCCtP/BXP8LjxyYf1Ar2jwdxTS2eK1xh7miL+hKL9N3bUkxpHGmlXbe7QGH9NOplWkhGhj 3xbCJdoCa0FCyDIXxlacKUZM8CyBEaBoMxC0zlyrMsBr/LoNd+Vh1EVFvrRJxXmLlM5huwUFli0P NmgE++206XcTpwwTq8CJuyup27g5F24RRFPapTbH7Eqf6V5pUEnP/RfPpIajgkA+hR39uyZMNpPI L+1lbQZYHcGtIswgrThKCfAMr8dBgZJygKGtNnBRfLnfErXRIGAZkeA14DrxkGY+7Bd8Cn5dt9UL xQ11KNCKe1x2THnFYtE9s2KyTRTis7C/7xoNtj2fPeENz00yWsZibkDBUSPWUsZpJcuiWgGjdlP3 JUCpHP8SiWQ8PlbLvgkGGlt0lln30zqFkPSO7OHgrs7jm00brUm59AIyuiLC1D32+qsZyAeJXwC5 3LL16BiZpuIqz0UgLdy311YibDR2M6Uew/J+krAHWdTo7uTUrbRxfpZ/qBgciBZji97A6BqqxTY/ HKhQEAzV7W1Ol4SuNWIoKzdoIy5lJT/hsjKV/YVrYEa6Tc8oMTNloIxdByS0yocYXfCnWzPE8dvm 8VK2VAo/4JSIt3DPF2Gb3htWInTvBEA/KKNF+X2jCGu2VCQb7G9d+t6UgA/HLfen24vgmDQbgj++ BL+PRuXIKayWG+AVr9sC+87b4ZC5JMgCYoRBllsJlHls+nmpwAkJP4xU8yq3LxSrgHRCz/NF/CEq GfmIgHzn9RAiCPE0dPB8/KvqCKa6KPm5zc0ymwTyBxrhFDIATAndE24IJ7tpHsQskFNAclnkVlbT IEB39zVWVXZIVX1pl6gICGYcSKUqaJkslq+FCS2DY9qHnOJaFvWrhTpYKIYUhZ4coelfmUMX/rZ6 4nzMYINTjA+3oZIoP3u9aO2Qvth1sWxJxDNLwk9LcBmuliJ+Mv5NOeRliT8996SEW/oSiBYD2yQm JCvSaEFydn+K0PSXGUdl7KwHbi7Kg2i+wUS1DNyGRqni51611uNThpGcr4yrSItNM7fjvV1cJHw2 q4mGNgJClALHT4br1bwbPGzv3NyY5Sw5D/F/q1ybsRWwa0/79Uk2G00NX+Q0sCpuYynuePIu+3FL 6kNshkuuhKopmOfBUx62wW80zSx/0egZN93l9fIl33fBYBIayDhrRtihXK2a/bzEqgwuFV1s0AqZ joJFWmCkfD8JbbQftWS/kI0bcYjKsgolJsE11PCnmu6v8FCxUjHNI8XxpYHLCkSsrtWLTNbs+zlt VZm3qYgtkCIvSyRtUSQyPGcKasPqGJZvl3I3FgKyLYAwyuRxkgmYukNjpPH57+pxY7qi7q7PYycz H9eZJ+7MuBFngVCGLwbRuVyS40ACCpo043PzGiGtv4dJTQ4CT7Saa66AB2QM695dUOZYZ9GlcFpt rxpxe3VBiCLWLiYAs+SkJCL9LSFLpS264536uFvUa8F4O8m4LhZAv9HHVscPJ4E+bG3ZN5PtFonA wZoBCM3VZ185NMr1z/RlQ5GhQ1GogMV4VvMALJms4MRMaJGOAIN3/Oza16lN5x9eH8JACLcsKIEf mJiM67BuoidbBkDhzui46QEZgh2twkHeq/eYWqSNGanicuBrf58B3/KdjFpW0ucFgQjlPlYsR4od ap67k2oIbH6and/qqxZ54WRsYoDBmcKvBKcO5XzymGjmMJkXQ7KomE/BIPOfC/lpJdsW6YJBGOLq sDQNZqAhg+ggrBuwSRRh56GJhdNlya2KufHZiuNaELqhEMiQxH9U+scwK9ZU8uV0NrfOnGFO1Atj R74s/D9uO37sk85qzGg+7rSh9EBNTUHL60WmHfULyc8jAEWBiRvCnD6mk9kww9CA6nE2lE5Onz0g wRlKVXwwYslMGyys7C2qPDa82NGwVoWwEfQQsTL85bSie6SY3jh8QzyVEPdI9Ok68OwWVYH3jFBF AxplMaR4NX59HjNuQIuX7xGhSzJ252R2YNJOqEN1mVLzNt3PA+KTlcR8u/OF1RXk+blnEuQX1v8C HlTk2LoRpE3Dh5Ao5RjFHuYWQCqvy0gqLJR3beN3gRmD+HDwXRh05NHfis1b8ydQek+r2Zju4aWm sABePIb/TdXRAVtNE8AGZ5V1viysyDfEtu8FeHM2Ed9EziAvxT8GhF1Tno7X2ZJFhmiHmji4FQjy C085of+LBGiXbdu+3NV42bwSp/WYrCV9GRFFKPc/J9xQxAeodQpVE9ZTpeUAx7tBemhrAa4h2eyP Dc6Oy8cPsp0DkvuJwkSs+hDKaumoyukGzX1lL2b7DYjgUo97lMymBJXFttkbMcvQ/LRjI/nWTkzQ qWUz7sSFkg4G6WZSLmoNtQrAooDzTzfkaFlP487HNQOhSBFv4KLcfR0rICmaK6UC1sluh5CRawrK 9TzciwOnEIsesWNUAb7yeEIjU9aO6HClioRiqubqcYAZGBR6BCT1RCy3Ry/UWKxfOY0GFJ1AQJD1 NeH0u+OqIQCViJr5TBdrw7zsr+V/ldPt+keHn2KMcO2TJGrwVoIJfEskiLnrrIUNSCV21ErzVOS9 3lWshSe+RHT+23HWcua1cPG1TJm9rI8HDauL0WPHaLqeik6hbT0hIf12kFepKxw8nYn4SJ2nEOWg 8+F5saHb1S+5EmGAMbX85KNzKmidupbLD1MuGJXiNU0WrPMHbWD2JcPoa8ZIuOWQ+x5jCLLGDfwa Yp4s41D9vLMC8tzoxYhTLLcLnEe6JKJ4d43u4l93jEfpHJNr879+QniGuH9sp2JsbX1aEWlPRYtl Fovk1DMs3bUno+D3NAk1QMCmVCYGvLCOu8EzVv3JmbtFqgtjZTFGF2hJjk6tPmU5SyGHjXZtsQ5e oa03aZwT+WbXD4b9r4a0qnaX/gG881LukPhcmmnSIfiOYIY31TIHEjW/+mdfxJ51m53yrZ/5y26d Ev8p4PKaNTY8lAHgnjJ48HdrZ6vhhHzF4Ml6s9haAMP+e0U/cSJDbED74vdFKtnMMozwmmUM9tu7 qmtxnx29juxeKjzAkJaQDwldBKdDL2R/mpHWn0oxitQwVM9VvEdzJQW39A34KqlFqvic2RwEmNLP C2Lghgn25OorBqtTlmgIecmtBAnlCB/5SlH2O2ShrJR/UaFnUzjSVjUU1xcqTIcWmwX6+q7n1+2V eyml9I1xq1t/AiqYUl9Q2RHz44Z/nPQgCB0tk3s4fFOKfvQ07/24Rma2nN2jv48XTREX/wCmgI7n 9OSxOQoAId2RJ2XGt9C4xdZl2CM/mtWH30IYOjzst1oZt2yIbMmu+cOUIppwwKeJPk2wN+z4DHqh 5FrEOMtesoWgTXPGuLIrKqvwRdFrDQ1GrIMf9XcTzTYejnciyLEWMhQPMN1pq0TIftrkA4f18lcw XxiHUn5kKnvZyfPUtzsCTuEkqDKx2BkfpmY8q8K5C5hYn07hkr/sfU73T7Zu/WxdJAKM4PbC6fAU 1TIgkHkXyNc8DlGtPIsG2mHwrBKEJiV/y2dThYnN2U5WIOSZk8e5vVi3iNhlnS/5zF6nOQ8pBdvr +7AxJtpouH5Vx0ZplxpSuPZQdNArpxXW/wvasZXcDt7nZQ33x+aT+hTip0VVvxSsL7ZEd9riQe97 jfsQoVdrkCU4yiFpSzsmxf0iGCk+0BuHEFk6aF/3qc+SgugkvGkvJyBPfZcHcLOo5fsdGej2PA7+ 4IwZGLNJlctD7HYIVuskiU2erj2w7meTjpSiF9IlK+a5aN9sDsfmjWsUBVWso1g3hXHkNHbVWKWI e/hvhc03X5AaIxBGef7mXfc36b8NB2EtmwPirbC3KxLv0qJ9A77R7l3MdZH4dnoj/zEd65QfiyVG d92OoNf2eS5rUe7t66qIlMlTKCgkg4Pmf+EfLW6NL8xZhMkp/gEmgOT4x/yhSuzRDVxVnpWQFPz2 oAfAjDc/Erl97qKBfHjoAOIc7e8M1hLIgsoJSsqn7oxGbKaOmQtpwUybepwN0LrYr4ROcW1m0g4h aPXisnBkzyqVc0Qz3TiJWz1asu/rAbiB6KmnNRSqqe1PIMsTYqps7s/AmM++2DO04YHUdeBxL+8U GAaUzT26QMkgJoZNu3w2VlXeUOmPlpyCy9DQvvfzMllT/AcwtU71UOOWD7ZELsghGVY3neoMArZd sIoL8vj+xh3afdlXIWM01987CvlEeqrFECdHC2x3ecykVG1Y6zFkwyKy5/+zT4e04GS6flskBBK/ yHVkCr42X48OqnpRHsj4kdhCMnZ64EIQUwdDIcl5fyve5jAJnAdjnl5wlFKgWqznNZAzWAyxwIFc KjZ6vLwsoOWiWt2anrV7rP4TUo6FePRA86+bMLO7MCAC+toaBLkI86vpg2AWRg7mU70bTzScHpSO bC36MsT+RGsJEkgVor4+Iln2wCtzX4viuFB8nQ5TPF1ExZrn5gUDAvp8FfqG2YgLpVTGNBT/dijL sx6EDstadnUKZZdh7S09mIl00JDdVjZ69XbPQQm9d9yCS91iPXvi/AaDHqHRHp7p3tzEuDM+n1nA WkUfNpEzvc79sFIKIaSXd/+TSC6LAy2BW+tk/ErM28NcjgPrry7yzoDJBe+Z2sqtR/dMV3iDEDLB GKTKhmIu2VgiqboXaR8MwqSzzIrSI5rcAuRJ7Dp5cj3XVr3HAUyTL9miuQjCm+lfuI/1WuTzgKlL OEIWXvDXe53accCNWOj2QKCGJhzZNoyuxhxN1RVyCDG6JzYezpeZjMe5r5dV4RUkgA7bhzTSJ4zs 7fDdv8F9osZh+qOXiKuuPNcpyWB/NyKj/ba0RG7hkcQm4B1TP7QbcLnBUgqlkkmYZ1xZPuSotkEQ I8WUgG3GUc5U+jTz6I52bzcLm9l1Ufq4bH9dAdWq+VeuIhs2+BSad+2C0b3VGOLIlrq6iEdnHqG5 cBKNJs8K8O4PVLSpXuKgoWN87p04DEZUl7OOYn9+6aiRYY5nh9Nb1+5pP9l1kNZMKw4w07dd3pPT rgOkfg+Iq/7sBR2zcxmTsBB04yWyws4MctXCZf/yAd68mQIaOo4BOZegcPUhV08gUGAbAm9V5r8s K5PCOa2ex38Tnm8V1lT/s2Bx9Q4yOROEAU3GLRu6CxEXM1fqvyFt/NWw9ep2nJbMX2APFpBbVuYc Yw8jfOpXKZLP1UFhUc2CooCZSLhKm5hyS854xoPfZm3t8HM0t9p/3KJlgdWMO+jXkn+gKGzvW9HX q4SHZxl1akuIwsj+M/sk70TQP+kbhrA/rSaHjqYCNTCdN9iOG38K4+uUNiKjG9/6YYTTUTI7n96G 6QwJnmivT3HIgyFrKiFXAxPCcvZHwBd0rkrgZ/+PKgj5RfiPjaebmWLernAlTEHimhXUMXgdBr1C G5yUiyOy+SC+f/RBxfTLqvqXPXOJxcQTUth4KoQTsUmgSjKbzMG1qKgVLf8PUF8w9IqszdM77zS1 HtPrV59nMg/WRd+bgUxQbk6ZEmq3aos4DVgnP0k9g4YOvCTreeDa7EoUDXpEuA2QSrNxCii3Bkxb gWiV1zjboMGh87pFfNSuSZR1ti8ZMSKVKFAZldPuwRYpRZgzzoEKzrJmqDD9MqqlpqRIkXwUYXss rRfBI1osWHPb6tbD+66QidWyX5l8UqNtRoQStIctAYwpHoThdm0rCGgRAF7glP0mkKZWuoehYhtt IkF7HvXVsXIJn112X9ahdT5gmWQbO+S0W8H1VAUEizoOZFbWIIrbh/QuaO+0yQ3Xwv9BzLSFY6EK xFywKOOHsgTd3IeiWARvg882cEcvitwcKHbHBXwet5o2ywZ+5T9/GIxOdolOmN56WfjwqmZ3IYtj fuhCUQvWghlWvc9psd6Y+YdkrNtFrWjpvj5jGTjy8UviahzyQMRzdC18BG9mq1rGI3LxgD/CajTD t4tMCD4QUr1GpGpZZ6Zd8s1Oq+ZcUm3B4x4YgOdbDn3CVoW2I7PvXQHF+cKfydnLZRJyu5kqcUDt LWiDB5mDXuupKlz7pJwJPjQA7wh/kpFhk0isuW/EI5bhDOFIyVULMnmkoXgJFK+UJePWxt8A9m5g aL0AqbU1EVjjg2Itx8bg/0UCpIhjtVLHuHJN56E+WaawtvvFaIZoSumA6Q6AinD+SjaI1ai3dUaW QG3IAiK+WSxANNTV7GlyOLIzMLYOAduF1B+SEUgWiQd/C6kNLVYMHgjmPlkWink+wTLi+Wf/XQ2v 8c57ASeAufk1cECafQA7fW0IuMUv8C5ayd4XosXTRxpCiHXIsApIe2MGcz35K3RruOc15JThkNar V7NokUSbsbb6Pm06gsE0pfvYUuwU87teB/qUfbJiCUfwLk/2i194LSL1kLcWVvbs+zKfLIXWVJcL IiJMhJm7z+sAhHLsuEmb7KzihR2ammXr2yj67GsW5Yx0OrY9fNmh22MO4uTp12ewqlgzAa0Vocrv PR4HI+UxBKE4KJ2LX7qccMq/XBsFO6bHHn8l41zOFQ8jopSUdrIdA1KMcJIuwIP/db//JNi24Lb5 cX0iis7bOZkyocgf7laHTelQyHpc9fzf/P5V9MSWCN4TaE75xgzRsEjfDL5jx8f+QGobgWt48JjB octBY5d9I114625Skwo6uRnxmVqTnU8D+1XEa6U6D0aTKCDEM9inFVS3vPRLl4vcYqJz4i+jUE6W /9zOKzTbcJpB5gg6VCpmqIF0r/Mz5L0SNuVxs+zZTGE+z64gxXtJhyv83qrRa2dLS6ShmxrNXDWc NcSMgul3p4ceHKw0gj/RzpcW1kOafBFbJ9yYl2WUAxrokiiwqXcIPnZq6br9IGJ38uD45j6/3Itn gxImgpPfo9EAkhY4mtaZs2GKsuK1vbiiM7UtsV5hLWwHgzoVf+NYIeksrEBIRQR0o2VmrujNR895 s/yQL+J6Qnmj89fD0gAsrtKWevF4q2FOaDGQDsx1vPG87PAq1x3KZyuTDGcdBeiiXcgaDAAVUP1b YB5hV1/NUVZqU9gM5q346B2q0wJiK5mq6AZeIayrIUDLrGoUltMF8xv8MQvoDyFBYjjwLS7e0tEk N0LarhOPVsp/+3M70k4yMhIyqrScJcSdAmyRoH//yMqs+N+/4Pvd5BgIfC/WlNpgDcIDgnHKXs0j 9SzAZ1UiZsKE65WMG74KxOMqZ9HquFm+mFMS4EPkLed/HZTIzZNWxk3XHgVFoPk+Gp7+jmu+0pQI vaBsuj3UpuhM6MZFUHAEi9sDPN/wHGcVZgt2u77cV4+F2WmOK00owkVr/TZU3Ew7AMtc2Hs0Trs4 SFIR4bjyB3FoMEOG8IXLawrvMO84htLvCFmoWnRiZ6Gh00KVnGeo2Q22P2wGkMnCpyz9Iyt7GZj7 9MjoDmpy5XU73u8tovQ3kB3+kmzF8VUpfb26rWPi460uixHc4k4dbE8ZIFRqWmKhGgC1f0E+K4Ua lO4zd4rVYrMLh3Za943DtuQ3aSthmYgN3Ki+FsKnOhB30zir7tIjzDfPYcR1UdO4cJxmFZhEJ8NW TT/guy0zNj4Uw4iBRBzDi/RPFWMrL3JjzQiOShisOBdPWdILqytHPwsNJb8Mri1KhCX2Q/5ddx17 6iHA4WrG6vsYEvf4FUT4Irp/ylUT4fQMKCxfgp/t/g+OTlk83lxpGfGgLmATpUXNJRl9qhrd07Cp Rxr/iBwFtLdoTWdOJMCKu13P2Pyrs2oPfCzqNppoOIT3VJZYAPve8pjU/pM/zgsa3r3ZuVKoCBzp oDfHjZdPYntX3qla9NB9RadCqd0aO9USw90mVq5pQtPPVQrs2JsANFNGpHcWphmDrDTRDJPDi5h5 N7EXC5H3qBzJYIu/aqz8EMXAoMYV7xt6BIaK1wctioHfhOeEmZLqv/6sCvRn4kwGRyjOR5IQTJoU lXh+RsqSHhIa+tc9VJSKrS8p6vmysmh2lnEwircudkXaZoUE+gXWEPtO4xbpokov5CJBkZrxC1EF AoPRf4tWCog+Pl9Le7h7ZUOdTxnkHHmMGUmEPvfF1ApPZB/wN7esNiFF1CbY5kJRYhCa+8flW9hz oO0O6c2sBVOkcR7YfuGAtNCr7F24QK22o/X+CtiPSqFAGZVwpuw31VirzSrzYKfrz50MjSlH1wCu NooDgdOp2PxQMs3ueJHsQPXfssVfQWu2MduWaliGVHkrSV4ieCT6E35Y8/pf6gIBZsbDBRl6/4Uv gAfLEjaKTc1oBJa3HSb3HVTlMR15pPMit0myws1oauMli6VYKqz+Ffw9R5XoxkKMDXyJ76KffnX/ gWe7PZ5uoos0hRLEPaD27HLtx8lS/J16yPFacHH8UdOsbZKyHaqfV5xhaQ0qBMRTQKB3btbmKw2X VaO5YWhomArScXf/Nw2+MPmxGsmrwD/ytDvPWajzhsAWYWbJdLTWI6K14G+i+4Cc3BsXUGeA0cMQ bUmJXb+BcbXChGh5hnNd0NZgfydTxxLedc+OGrCmRxJuHIzkexJBS9zChb8dLdtlVFzBxuxYWm3w mT3VH6O+j5ytH6kPpYwR48Ak8mCdvSLC7556AgNMOqDBRsbi+4ZmmnHxijPXLEZyjBpn4hnJ/4B6 qik2/79yQTvcbICx6onJVJxoIe08Tv7kC8oxWqwP9a1GxFmtQWePtz7DiOnz+ZSH0cPRI5sWVjPf dRpvhySBWMNXjpNpkKXoKy5txYVBJhAQ28CKZKo05Sy9UXWBauongG3nCAaZmR0TMEoW76UTITpY dACUcRJBjM5mHuh9/FibJhlcOBFr7mbsrFP5ZlOz500u657i+0D6vOe7Max2q5dsiTQZCfYtlTTc ZflcBdhbFZo9d+LcdSMMjdHU4sCqCrsFqrtWOeGuVcJCfq1H1aLa4N7U2wGpKiruZFNNfehPelcI B8+t78ztUuGIfPZiojuGRFb37ZD+1uSpP2s5uLttCffOpX0xHTy9/1/nQTFEOon3daYTf6KcLQjJ mwj5TR3yWaiKvSzqb1UCmvNEiLEz2DhJGFK/x+FxpWgDQ7ZwtZixApT1pi5kxzHPml/8B9RG/B8t 4mpB+RMKcHgmaAIBrPG+U7HKuqazArRodXc3lqmWQHIEkc/5VonYZ8lS3d6hoJFpk2FsfjY2oSv+ By72M3HClUo188QZuE3s4yT32zjQqxnSMRqKBw/Medry2T1Fh/EqTPyzQgQY7CoiMGNtCShweh9w wxnzAGR2nbfrdIuW1KKn4D/IBUSUT3fiKF+S+fDBiaFCz+a8HFQYZN0vZrus+7kKnKYFuaAS4/ox Xkh1yk5RHS782O6AhkmFZMbeWwRymlfKLsleNgxXylfjUBMP2k+JEQ0VVfKKueHH2g7Y/aiBHwNK g9CCiaMls9rSBlwjBqTeB+6jw7ZQAEZ7O3o/XL1LFp/IVXOMLc/mK0LnHRDWDBkn+ZIWbQycoJrM 9OUy/CXyoneUoG4EKLgeBIL52Susxu0ynKwuS3uhcr6X55DEkSNU71XQ1P044WPahy1peq7NmmEu pJb9hkpEDql6EUSdckEsK7oaO3uIt/BFtlerVuVb1E+SnD5pFYYK56YDSh+mPWgwR8qZxTnOXXm2 tKTSlad4Swfh8MmycPRguKzLnbx+peDlrXzA+hGUASEmKYt9Pfds9/UNb3bocCsf/FnpWUuFlJaF sqoidp6KeJxUvFAPoUMNoobvz+GdmplJko2HpmyRgBy3GVPFkCMEPSnbeniYiwCVYdpH79957Ag7 xloyudg/k0SIHlsNqLW/ezDYra9WkEoJLyUvfNNqdJpa8vesiOSGqmUxwqNitRehxOOW2NyG6+hD GWwKvHV6Vm2p31JWBgkHeTW0wiBWSDfyTUYNUU2LQNRCpgrWYRU3jolSOoptls2oYZ3GbPS+gCB8 TzU6LNR+JkZmI+/Q6SGHSE5HZfiX9gch+lDMlJrKaRsAQ6mW2DZSPD14hQ3wnKF+ErnYAG5u7mSc s6hrhX09miv/A+EPK//NxuytLcNH2QQIoXhaTkxhzGDqQ6ojTw+4XNrkFuxopvxuK3UQsYbf1uSZ 2nA6ybOA06SKXi6JdD/ABlpRy0mn8YaLvA5G99Dah33CliRzT0UVEhtoyDeavylDV0BDOrvm/PDm yCC4zYKtskZQlt0WNY+9K9BCrNIKlVU9/DkDCH6fUTGooiECEsxI4+r58wc6WcboAhWy1jooLrR4 EsM4Mip8eiUdkFdZ69wm8VHa8NlkZE/mIncn7PDdJeRWQw5aYx9o8Ba7TSmvhaBZAN0WzKqdJXMv gWRKQ+HNn08SVrJ2CXjQGA+fu5bfh8CrT7Wo6zexkL4tliuL0fHRcHIPUuemr3RfeL373SSlSMR7 HyP93GH+YOIyspREMd3bwURcGa7ypGon6AQXp6m2ioCFxoyrYY4Tus63/FSrozpvfwtei3kLUCiU JUpIgUzh1AE7ZINZKe8YSFQxgV1YkYaDVVvvSD3XHGXGN5x5Du5GF7xK00w34EY0SkVPelc4AvZp yfggxlG5EcfwLPmXn/TpI7tw5MIxI9ahITO5l3t7ubZXDBzxbSxSotxk1v1znAfNAfs+65L84eak 4NgzhIJjzmeqQGBycPD8kyKPlpgzR1R0lZE0BxLy/OLx0O3QSRtkWNmyFuuBiWHvX+wKWWMgeRCL NMAZYbvkMQYgQPm+37HOmKQorLpjLqoQxR7zZhF6781SbSlqHhNInI1JysNAZv/FMZGxGD9usCwx jt8oBoJk8yp7Sw7cpKKAZaM02vFqxJBNGV0/qyiUdDrKCQc4QJJTnKh4xhQxIXLHmagRR1bj/5mT gepJ4aX9eYpqbiD+EPW9PHwlE+XAv+7jDkT4cXEY4oZCnv49Vk51KovZeRofM2YjwSmH2cTONLoi ldSGvgiKdZbzFnwfchaTRJ41hTR4AvN5YD7J1ka6zcFJ0xeTHGRIGhq4TLngUhIt7OOsPeQSYQat YIOcj/wlIK75/IKwDc7+Cacjrht4IdqsZGpH4RxW79co+MPPJ7iIGUsul6B62mw4TVfLKjq000L9 TV3BsvzvC8kpOcEhAhmQEUYD2nETPZb9x4mzIIljpnryHkMBMn0ASUu/fUkNVWZYLgeA0y1IMM5N Ez1+gjEQiP8px2m/Ecsro0z34vW44mTCbSKH+pROSD9UITztGl3QhSFklUKV9ILywBRhugeUwJ9y NCLBcF1IHhiah1sTs+GbRoHWVzyMHw78ocMKJ3gA5dxNdFP+Sjt9vOlm/Rd/CPABOU7xqZMC0OMK 82/C7HsvOS8ceb2+nttzzqbq8zo2td07gI6Ui+JMEsLqFlHjmD4Ism7JPAeW10x99Y8RIKqz4Ti9 cJUxXcefgvqXDQZo03ZulbFGH3lFZ8BjaNaPFvBa6bNSwSLwrgRtTUQHv/Wrg/tbn9eoA/tjKZxE vHSDQ3WkUi6Jsaz3IhX1+SiqB7ZWkY/soSiu34MHHECMVyk6yBRMRPWuZI7gxO1IHFV6ksxRQwh0 DvVFP24o2VG6wG8KdEuVkYy4YU0Mr5M0Ac9ylYou5t0n8QMSnBkUc48Ypzcu/Dqn2gXbfIBYWXka SOpNmltMxstlz9xtSiLdOyIDSUOj9xyBXxjkFOMQWiht28P8kLf7t5aSlXH731Lgn5pvP8X/I6QQ KX61WBUlZqDYRvdMkY5ab63o4eKPwEV9Q9eKhX/mQcId+KXyos3AsteTfncCRCXjFa7bFUKVP1aX Ido6dBnATDwaZ05tLajjJithwEklsH1wkqxot3FvmBBkHX6F0pfBUZBgqvZCHnjvwsunpuMm9Q0X Vf3ZvDYioiS69QXdxz4HaFgKu3a1MV6k0WgMtKk5gxcujJ4oWMhl6EDkOAKFHigQNM63KTYiE/Vn Sv6qsSf86r1GadqdoMDXCsIU9IRKgVdWdNUTSXdlmGehZ39LP1ky5ePUJe3whfvEh+VInGtmVf7t NCMyTP91S73D+D/cH3r+uNW7JJZdkGHP9oB6mXBx1CRaxIQ+gsivTqa4M1D3td6XlAz4viqV5XD0 uH//CF9oQ3M6sWb9Z+VjksAg4wg68H6kILLdE4K+gqo9ml/ymZoGt7CxG2Wlfehn2hB7QaeTxP5M S04dHUf6Ss28CXPvcvKsj2u7thy/OVABLgZdrJsIzbbAkfhgkodRPFVY+yS+82GkDr4IgsWH647j Q6+DAAso+zl2X3rjtA8QZ6fEQhxr7/sKsnlivOEAhxboxNsTix35IFvu45U1l+BjjGZY10kc5oM0 fSgxuDDVdSEg6uDYB8pkoQoTNYLP3sGQ94Z1xzFfNszAgkHykEXSBNhqAan+8v/f3hPAKMHc8bQu 7VKsbJZRomvQk81v6KBdMNtTiwIxIWW0SqNf0y1fHXxtZYJosEsC8q79bR8HRg5YkXzKxQ+Wt0fI NvMu5iNndkyoU4JAkZzLrQuOlhfxgHGYuVoGHsG5+WwbXArwRDN06cE+Aboly+L77jEdKS2tF5XK 1XdX5blFYRFQsSvF2yFTWi/mOR81YqqovWNGPAOTyqXkKxTSeMUheBERGc8duC1gcmA94/hR+slg G/2+3ZJJOb38Mgc+5YlKBnrDBLGdCJqfU7huG7vcPMrOnIrXVQYKIegTUb1P4qSc1Tzhi7rPwA0V s/sJeBkW41QtlRWBOlJp/18MwrEERELiFG/uHpT4r04m1FCPEy1G3vvoHt2KptI1qng9OwIIP/Hm Z/S1GbXOQKxibBiTK+iGkwic2jwA/JOTocRdZRr/HEeb0xqy8d71g8vCG8hACNPrxMIOIZ9Y8Qwz 4cRkiUUvs2LqGF1Ebmv3dDbqqtAFAjgKWyC/+aRRvAj5ayZCn8dopw9ihmdEh4Sk86UdVB5BO32w +hYY8WMH6vladeVcwK9hCHpcZ31kYWgDGO8waPLTdE37R6o/3+8078VF9lxiUurB7YvnJQtnzuR0 65xxFpQIKzeJEVMSF92qY4pf/H3PUkivC0nkaeFk21UeTRpAqrkc5DhuJ8zUC1hyrxukYUfLPrIL 0S5xNQBcdsyjspAU9jLeuPyyGVKCaEePBqvtgeMuJMlUW0Ohm/T6TpanEE3jQbMfo54cDSVuvHC0 9hDBNCV9qz+43jAueWYzeYcf2e6STfjFJ/7788im4sv4VuAICEMGG/DSnQ3NyHU1jfggPuYffFpW yydbbgwFt8u0BPzzBVe05Be5I0/QBBUDCHEhXk6TsRpOhMvep1ZFAnQJWsmJAA9lYp0CQyVTi/Db jOXVBiqApy/8D+Cs6kTfOU0V+FEFpaLFHSlNk1p/rE0Xu3vftigHVyoXnieYmSXQyMtDvi0y2L5r eNW84mzBEV49vdihoNer2uFmd2q6155KTxBF+m3wYDjb3OO8R7L1U2SjN5s9YrdVsbinRn4s5b1I HUb4rcYv0cxB9gS2QrEH+Recv+Eh3KLCzY8rRrjyefH7BpxGFRQKng/PGiJNgZKMJUu5yOA6erXQ VHtsmnrwOK22/NpW1pq59/xiCEreAb/SnL/vux3w7w2raJdfkE4bLdycn9S0DMxUiN0jLJgVfwwi 9g== `protect end_protected
gpl-2.0
67d03c3faedd2034052dfc2bf68ee488
0.951247
1.818691
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/multi_fft/mult_gen_v12_0/hdl/three_input_adder.vhd
12
60,881
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block mt1j6kuu3+cb1K2ZJB398+FLDRNfQGSIdQjXp7qmVQmOQHPx+/rlWaa1dxNuR7NekpTe+npQXqFf SXZR41Vk5g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GzMy3XYHpujLbH1VRMwcnskKBc/VqM4rKnS6c0cP4yPuUMIsIaAk84+K18/IiLBq4VJntGzVpTrK nNPZphAJn4V01s5T4oFw/WmMDaIuyrNZ460qU6SNP5sJXuq3EhbY4B4GR+o0Hvcuc8QMo5QBzZDa k5HDyO1dRtAjgPYgYtg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block AJGiGYE9s/Mdc+oo1Ze58OfO5hGRr1kGvaGRV7aUokiK6HDR9rWX09vVk3hohi0zaihQ8YHHiE1J cY4XbMg8CM4Wfx+OiYzs34NMMZIFCIKpUfXISjObTIn6h1DDj8hFqmTWmiyEQKqqbjglZEE8D4DW hegUO4UFSKebZI+ZPGcxR0SSRD8ZqmJZMekxNW7SEr6wcoys5Q6AfOapNGWCmMR5vmGTJiAj9gtf Fn/Kl5f/qnZmk7CzgrCaHyfJUP8dLNRR4skdnbLnJzy9gBFm9DDm+PyvyujH/QAANF69u2sms3dY 3e2Jnqg8hjV77dbxF4tUhVpRVKMMlSBoAxEEew== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nVR7EPGvZP9aSMp1TeQGqwX2IVO58loMmrCMMVAhTm+zov2RVpPn3PUQ+P4NJLddCCxS4PYmRSAA a4qY/1LBxLfCShfwz+Ry5uLC09qFfQJ/9TCtlAxC+0xnma3yZtiqpKsYjnNz+APEV2SKZsN8T/lc QVi94H+Teiux9vcF8h8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gLA5GVUJ8mNsZtD9Vye1GMuPTQRcmBgyzSuTdfHAcVLzMuc9lA9OMZub4mklVtN8nuKI34+By7UO 63jO8lXVUDTrf86yc/uAZZGp2C+XR5TQ9zjsdUOzGdzOcfamMfLKG/JBFZRIFdvnPwCp06hlSPGv S5p/9LKev4ie7V37qCXLeNZ4PP7BVM2jGTUqkZJMGRMVL0GO4Jg4fh40u5OGonvv9CqHTjqp1ONO q9rkMDGQJ3Cm8TCYgZDnjhuladgRFeg3HtihzT4qJlpwyFJgt/ywu/FS1FYOsYp8HEsrl+j4gNcr y+Jo00Ir4CxGea0b1CdeQzk6RR2zoqbAxDwoEw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 43328) `protect data_block OYBgoTVc0IlfVespt3yAUFDCZsT0MP4WhPFYokzdLbc96iexqYEpRX3LiDFFYtW7+eRqpjrAT5dk ik2Yz6qhgkqueW1bqu3gH6X0vB1Rn7Sklek+ELgeGjCShPV1NHJrR7PIc4irLy3MYICKLQk5YmH3 oY5lb9pgnLpwQwfA/b2uuZCmBl9uvsP7BOVMhRTNkyxEVXOVl8eK4OdfjBXGEvmdd9XarFYqG0zo 59pJSBmdZRlLT16TIrS4b3RbHowN1oaDIUjUkYEzgT0GTQvGIe8dNt26Te4MtGTfDKyF2kEdIwha rvFJA7ixgupv5NDdQ4K34PcGVAykfNaXy7nP1GKHlx1W97bZSezDhlN/I/93Cl7JIKDlYUCd3qtD xY/U2+9MyzuW5gSNXIEOunKU7HZuLQui1+BY9WSi3ar8joHPM8+K+ndKSfOYdXQybgTAXDUzL2dp SgqxfbJRb7lxUc+vE6tV5cSotlhPNgFFfYv6EZKlgI+kjAddKaG4uIH7jo2tjwQlpbn1aRkkXlHU 5fZSVd+TA6ZtE992UITXDsCZW0NJwIiVC2dS+kSAJM6sNqzCbKOebAIXdLwFG1d62WsT77dEq1D7 BsVKmZtSsdNiT4pR/CXIoglkCxvg2pe2nONuP6tH7+G2jUJ1MVRBd368Svw//+Hj61PaccGzEsEF xvdoREQNmRY6tS7pScnaapJk/xSAvna0JXPeWUzCH1uhG7zLdd9rJoWHzksNjZc7ob2BI8oW1Azo QRR2YajitFpPiayJnBvVASVJ61e1Hr2PkXOexswqrnaahxNcTS4i32gjuy2LRFt42loJgoYmPfq6 717xadU96YW1i9DILz5Xom08ysBCPc0Glyn0uUoT+Vs28oZ5w3gRxAaRUdTi6W7LITJSt7yAszfB Bsl9nKcbK1lJGXI0PLouinAgd3kIAT8lrqyKr2UWOXjB5j7u+9Oq5mTSBYlSE54FdwLKQdzORElS 7C4RNSLsDXPzJy4OYjsiet0fqyoy8bKqtKqyPP2z5l+JK+g9wnXFFsJ7T5x1+xcqBl2uTjOgjA98 imIrEVs+2fnDWh6CZWJaTPANfSHSYffr1WAXja9lZ2A39EvhHQL5dNCWWyodPPEcMqv1z5FcZNra iX34uKtQWjplCTfxDffC5KqfJ3eTRz/Oz8LiJxpZD69sVnZlM4cz/EXjEFTF/YprdjCV5F9uXTkC YCk9f948UiPqoMSaU3Cqq4eVd8AIfmxSI95TmCZSxKFEQWMa9x9fEpMnS6ecfLeVDKUjWA7Eh0lU WWvD7AW8aBWKnqkquO7AGoYFfoSTkO5EO5N1P2awuhunFsQBt457uS6tayNqSb0q2IB7eIOscMLB GP3H5wC9xCrPoUZicrtaAn2FWbdXdkN3T5zKL/U8JKraJP5FwdeGGFeWtwy8tB2eyNz4+Ja51m9V OxUSmI8RYnrKe2iAXH0qXa7qOIm3VIlB5twklPtdwKTbnuMSTLXAbOrZAc2ZC387t4rFyXKcwomS LQlP83eDJyr+YZK6ZluIEdEncD0+ukaBSo31kDMjlcIqlQamIUdrFsF59Zn02F5Trh8ROCVfEm0P J9IR1leOmJVMPspO0F8MtE4+4kBaZsFt2uxVNAg9YY+nSbiN4XomiSobeOqOVTIZHAlWw9lV7qu/ weCa1NcNoPN/XnKj7OEDKk4r41MbRmnfUDgiQDNefbb/PIpV8ho39gduVSrJFW4stcmmJK92sLCx v/0VArruB2S/ie14oua+I0+/BMcINLJngyyuQqAirIGZK1qG9ucCjEeRNEGrGA2UzUiqte5ZqLpQ /LYtaW4zfPHvehiF7ky0lVqoQ5WNvUuydt82FtqQOgBfuRlmurGEqRRRJ/rcJV0mGbAao17kU2pg J8yOype1UJeMN+RsxMtt/qoqWduoxXLBU8Ts5yLslNkg7QJihP1fFqT9HnqWH03U1GCWNfVU53ET QKT61j8cnQssKC5GHLdGvumrTeZkEQ6qcsomm9HEyczRwoAUogXmiL+2B5ukDx4jzsOzbIDW5log ik91mO3tByGlS9uGZjCZg9vf0vZD5pxOS4N4V+8AdinVR05vS5vlM6SQr9VW6Qg+lTCk+kOcQ00N zE+budaxQ5nV044QsgewTGWz4H8yqWxO0Y/VYDnGfKyn9rD3OzfJlbz0osPENWE7/tbtldzc/mUW sGWc8199cIRg9+3h3ClwNWvv1hMfonDyqxC/R6O1a87WwYWvazEeOw8ZEr+IfOUtEzqwoG4nk8v2 ZJeJMDn3JddDAuPfJ0JLm/jMh1ZgXCcYFTnt8GwUPUh+hvJrIt0nodJ0pdgifI5H+kedg25fEhnS Rix2tn4jCNV1wcloln+Ndd5lrP+F+qnphkXq2EQOXTcDg6l3BcMbt3mlscaYENy4QEbvLDzUu8Ji vY//qDyVzxnC/yq0cwbwkONId56LZYMB/wDtEQbmOa/JrYGawC5Z8nA29KUSK6BuxwXNfbQffm6z xNowICNbTliekrMM/sB2dtn7ppfs6BjlpPUKG/7liEtAApPZsqrFEXTxeHuPFTz2ntogj0dDbbio 20fseswK/89u3S6ghl8XPo2REvQydkqdLFhYxiYLwywHXg3zGRXTEJIzN03TU2N0m+dWw0K0yb4o W+jXmW6aI+QBeXmP9zykHNEZnIFAGK8nqtMVpf4xURZ6eTFTQEb7VgqnAkxl9+4+y6UDgSlWkQyc R5pYq+5ji7lBlEzzSbfYUlF/z4syJXvOGfAJc+xB7WQDFDcYp+DBcig9nXWCDb+WzQ3y280e1/wR HnFdCnGq5Ctkm3Qk+5eg/FBPFd3JAaJcZKXp1htpx46W4GrBILYYPmEebeK+7mfzenudU/hoWsoT 0poNBud2nXS3f8Zj878Iwes5iDRYHPBeIEPDasEBz/MTcwuq81rNqk/y1pkMt4L8xXJCFKzKdMIZ oTPNW+Q/0hefRyf0O13hKDpFiMfl834NXUZPEoQDccBM2x7FUELWwVI9D/ls+WSGJbkuhFrBAeXY ZwQ+yUxv/cryMYHnZjeDRLx9GpIFF0FDwoR8UFNV9YEBMxXRH9FnG8tE/KKFprSbDWXLFx/tz4we d6KkOoyTWd2VmSoUp7RGx80lffcRRou7vIohPhxPLnLF41sBZpuMA96EnifDmqUhrUSY7D5FEDNW t7CqKscF3AjzpYM8O0NHBf96k2/9l21IV4+tSvHmnZzgJK2UPQ29nGieRff/qIveaomsyVcmQf3L oz+0+t8agVtqYhCGt3mX6YBVaRlPYdWRu8IHpbHWQWSPFDMBavTFFrNqfOk8jlc0tas6z0WpWO/u DauaQBEE0cK4p60qmfqkPgzihQjG+YDoJPzYzAC+6vY2p2v5iebGqa35aMuqqnYbZVZ5xKLzXBJ1 1/wnqckqQtn7RMFVvEtTGMhJx8NUn28v/nLyC5M0obAq63hcN72vB3BKR7MzywFIwyBnQ1+a0St7 tDC84dvbT/shHbGGbq+AU3gj9iKVU1E6cQMGlJ7LU+c3tU4qqpUjY7m5cGI01ctQZmbVPItlPru8 SCvbOcVCScrYFHgDafTYg7LEBgZPySNdhvzmCuytPw4IiowRGg0kk9exwgMkYsFtlMzuZQNAXe/1 4crowpIbjQlt5/B03VGNK8RhuiFjt3Q1GlniMvprGFnXqeumuUMjpUFRk3HQuOnZlKqIFTBM6NqY vRI+ZuLUkgdYcDRCt0XNu4mGwYI0LcTGKkhVMpQTcAse6j1vlxjfu52SxqbxOrBisS2PkZuWpuvA CdHMYPnBZk1ziqsQOiLDL4ToE6gkIdEc0F67jRDdsOEgSYYGX7RWE3aRO2zNcebr4kxoB7Cbwy+r 0XvAsIh53WggCkMsmM8nJplb0HuiiSMbbSTB3/2XGP5o30fK0BRJPjvl7a15Unes0afPYNPGa4fF dN33GvHdIaWEc43EhChMJyu2JtxH11o4WEMFQ1+IBOa0diVX89qdPGv1ZrqC7mPmTOMj6oeCR3bU D9DcBYO69c5bCkiuiEDyUI23b61UWhfyCowa7g4O4gm0EkOW29Iubi5e266qzb8eT8pat9cUQNiw wUD9Cj2RVGR4PYvN2MXWnlWK0m1nVOFRpF89OKHGI/JQ8vN3mItINLFlubfeEj3dNEKad+KexqbA KIDRBDLie1ekP6yf/HV8g1HW9dvkq+lJTXXf/HRifME4aQ8zyKO3d86Yy/lbLvwL5glZwrS1D8Id rINhpc+1inHGy3YbZtZK4XGGIGigmXyUd6So+g6cNodnAJvkhbcuVKlYKETWTOcb7zLFDPSpPutP 5bWYGHJrUYZLRnv/dX0uPmtHljxJR+uz8oQYSsEGZnf5mJgc1/sfKYS8lSz4Y4nVePdXo/guFWjJ 83JydmkviOVx+ABE7jazkJmc2zc3RMqSxE+aJwHXIGMiuF6HeCZwntZsBBaqWJfOJ31cRkVjPIfP 371/OWeyHSb6CirQBBaONsvbVZWrB1uL1+ESJVnBKDDntqdhYfW8sl/5Olmb7yVOavBcOJGvRg5C TxcvZlPIPOXwp4DU52VxatYDeE5Ds2PnLqtgX2u4BZXHMPT1xC5I4izFtoF/uliRr7gdULrYGSs3 W5+fEsgmxrq0au4qm8AXW4fPKF0k9cAPAn9C+NjkPvw3RHQSaCwoP+mDky2ZxgRhV89VQE++2fBA YUqMwCZzrEtd0ugo+6B5wcsShWPSs6s7FdcQdNbOfvKFn7YlSKhLur+IzZS6wfHijXbRnna26zrE 6l81spJSL61kpBFzba/AVEMt60hTXfQOMrjn/00zDc2GAwY4P/dO3FayP15uuUWRJ/0nuhr2Y5D9 QyuxqTEsHfAUyXQy2z6oBB7tWBEKjAxHlogtx7nWj6smU1erCNjDeWo0oFwA19h/wvXIyCLhr5AS agHZijtextHz8wyhdBk8G1XrNh98+lZKE2eHsMjjNhD+dE458fU9mui4FMo75oY4UJsQKzJd68Q8 0jixLjCE4H44Rdr4Is9OO8ZP49VNU7DkZK1QV0Qptu7CiMpciWw8NsQHqv3KCzDXmrNJSCeB1mpb iZYBYQcYfp3LnLnFqb1k33mvwG+/ZG0LnR3k3lLvtblwRTEltYAZPYSnS7N+5YgJALmghWYppVEl 2IWnry34HH8c7/GejPPSrp7q+CmeDCEfnrDy4kApxlaBszSYslqFYSvRZaTc9NQsSzjaiOZvnWoW JgJmU/X6Uv1nsL0NyovqKYr8kluq/WZpT9wBe+V3sUsbox+eokQ6mx5ZPtbSX50q/ChmSjw6aYxI xnpTyVETRtu7BGRjSpFc6PK0fwNej/24GupFzWs1yBQdQ0PN+tS7uPXrHblpeMMGEU/r8ou/LOrl xTLMbbceIXJPi/GiXuMkcITVNgBsqZgUGayrDEopfFH56X/P7HkKvYsvUi11GkfDudK6+KL8Fcu+ YuKdyqb+T10p8OYG1CQwaXLjaTSPSOBQF35E9iY/ZliDvQV9OFTtOsr/PeCudbSZLrEilt85AO5v kVIhGXhtHFVsCmeedMwhLa0wkpK0HxbsB+FCWu1l7EzADONSZgvRdBOYvpW9FJW/slZBB+Bj1Ml7 nfAhfRw5bC7Pwl2clF/xuGm+bBPLMiN3ZAVee2QcTb0BOh9lAoDtOSR17kyXikbHbYShZGrA7lgq DVVdPmWx5GxNxBkpE9YHlCdFVGv8hw0yuBIU1/pcabVcpUgAqdut+WMLDM2kU8irlyBZ4OpGDufL oOr5k2AkClFO0ZslCgaeYRknlbKwv2pC+vREH4l4l7jbw84WEWMNDjJLWXDkxRlqgt4UmQ8RC581 6XlH5/ro3Fvl01hzI9nF+l6aJSpDoDrUEp9e3PZB0rFyeUhVZAPHC7nCrQyGX0lZKgjoZmFjrfvg dBwGCIdm0VGOb39GnS5PNfp8f/kKwXjBsJdDN/ktAA5TKDj8ypN/HmYIkTsNWCf5dijFZfNjhAL9 5ItJ0w40VtbLkd24MTEalTcFFDGspyhlCr9kpgM4fNR3wCuDF7dYD6bB/hIl/3Tbx5u5BVVdqKEZ fuMn+u4Fw7gDKsLicGkaYkkRH+znlGgxoxHg+oZ+5H93Z0jO6vdvOm0YnPbsDP/A91gQkZxpDzy2 P6L2LCS4Urs6tR6U/e1vG32njQX0HH6bfbwEAq/msH8JIpjF4Kw27SdfswmPv40TTviQWtBLOZ3g YMamsvkbwex/fGmIv7abVTinvXeK+IC/zVk7WvJmwdZsyW40G9nvMzrL3tGRB2FWNd6NkIrrellN c8gZpRv8jqP29UstF9MhToU3zozLSBr3ilE9fYwbvpZ8jMvMaR9vGY3YHRej91Wl8XbDJnzFiaY0 TRsPcO7oeyWm60GBpVdy7bDDk5sKRry5NvpjnSsJc63hc4VtZlbPVSeHfsUgRzO4Hhlh7vJI9b/G OaW0hzhPx5DsoNwW1aw5946id/wxZ9Q6typSJu5KOn9bTnNgyi3D6PPkA+19QbIWoKbHHK8s2YNx R1iSOHstLkUA5Oy7Ey+R5FENxcRPzBLGyFWaB/a/sVJmn2MNzFluGAAaz1UC5D7TND2nuvYH0zyZ rjDMrJBvirik4Pp72J+89UgJuNHVqlr427ksgfMj6oeVbbka5PG/Y8AdgUPVjn78wOFx5rwnYuw5 zC8NZxY5Eje90nO3gr1nVTcx+bTnZ3I49uHKZinCu4TgbKmY5Q69NVJiyraCsKuoIKXq2qkK5EO1 A9AShaxOTimMZR3C4cHNt5iIPtBNVsO0ZrpZWB59x8ccJUUqd0ANkamaOHx6Jp2yatFZpG6uT7Ns 78p9LJxbn77kVZuRwhyRc2b4J/gPinSOsmabWOd+Wk9+oRce0Xok0Vtq1WGVDFcf7dWSP1AmWeJM eZZhuhkG3lJ9EB+OsH+vNfolXzjCnYhCK2IC/IPDoZH6UPUrSs5H520J6bII/zOP2sTPh712cs+l k3JbFPYrfEGvXX2lYfkWRapEGVmQhHMoylE4uw66fVGFH3geVRyqpewmFH313lM1LNtn5dquLx1B xLAPJwObj0D/4GZVGwa8/qNfvyOv0U6Q9MqM2eS2eIsLJDCzMJlhwdVIjBs9onYuFASkd+vz7I7i omBu97x1WrtXZvX4isZMfKmHzzZpOBihhjAiFoDegI8QkLns3zMPdB9hSDC+82JRkI0yBn699fAw o1Gkng/krKTBnjvIlZ3s1bvNgRrvySAJhvdMaZEwm3EgE6cstTNwXLJx2+iVgjjzQSP1K2MRq+oQ moJinLlunn2B29yjtCOe1rfVRpXf67nvu73hUNqcpoAvtxVhogDKw0mByPAxUpfunZuh9Nn5MS8G qrgTTfpEAjJPU5fHXGPlZ8AntgVafWkI9snFwNC9FTmTtNMPN9q+GLzDFrSi/oOg5n9cqgz877od 7MEi0CH6SHJ4gxm4/GPJCy1elNujmLtG4y1EPmYKzGSb/ufpKT3+KGjPBdepZKRoZItCDoH9kX3k XPyOt7MGhV0dsrf6cwTqJJTZFU4yNjw4XQc+yS4pUggo1HgRNwDjoh1jfuAIJNHmHOgFWV8SK9dC oKZ0rY29m6OU4mt6UvkY6fRRKgr8j8ReS3QS9LF02r4bgRtWwjo2iPhK1kWvozoeoTl8dsVxiJwZ vyjpKLftAjaB5xjnz3sJ+fLiGQ4ApAlEdLyK+J3neB/WMlZfAQD7p1OK1gVWbvgu0rYseEBStTu/ SeHG3gDuxRVxk/ZG6TDYLHZxoZQypZ5gPJmpQOCrIUS3AuuXZjPC0r0YkwWXszijdFhGpcMj4VOO /sPmcm84Nkc/ExwdMIj95h8TiaeRGCTAN9id6ZEoAZqXPHWCmLtZks5AFpkJ1JnI7ODIUrnEe8XG qxRwS1P6/EXI7jidcSy9vraUjiHrONO7iVGDYpvC1FunB96/klvPE85VlI+eFGeo0m5WsItcG71l xeCxhNU2QAwx4GtbnymPlMFbvdLw2eLLe5V1jlENq06Ch5h0f9rzSRLd1rKbmXmhzA2brsq33dzN 0AoC3kDrhRzk6m/Ctr3D2klcD8tFUUKXjwdRI9dq2g8qDESh5XgRkYlsKazSa0bWUQqrNZY4QLjf wlMtLh3V2im/kfDykP2yqSFCHWtvubIXLeRtxUYaV6YffqUu6aOOXk5dRMhrZ74yoUOmsepdYrZ1 9xUuwGtAYwYE8PYV7KK6zk2VVWsdxoD5bjYDU9m9D3QcEnD7D1KZbUsdniLAf/OF8SJxuC3tB7gW PpUSPcG7OEljAbpPuu4WkMsMyp0LTb922VsKi4FhN9U8XFOuszy2cZL/JrBZgzgXUyCYUuTDqxjD l5UMSB2GiSvlVNRv9txgcZPbtZPOtSXhsZu1t8SRr2x+m5r0Cp9MgmnpQp6Oco5VltzG5P84MGOE uJ3ctR6ppzZ3Is/uMF7IWgmA5oCEuwMDvaJ8QfLV+dAfcaCkmlJvlD/XtMB5Zfi4Lt4abHP1D1SS 0SyyJaRQL3kwP0OOjg88pWkOPm7/8ois3TO1f3rLG7UbGBdLCseLyLfztEfKl4GjpfgVu+6fvMIV aQEhM5oY+0QlVhsbqYlHA5RQXGYufdslCTDMM+HhiUXSbA/RjXXN9Mcw6RbcaDJXg2vAogCtQYIf Xvl01xHedaXdzHmGmNH3IQe3ZdoTrOIUW8AbIpA66AqNZWlvbEffSGRb+goVpsvufKRzajcz8Tbu s0WRkLZZJpdQ2KK1FdmHYZkEhe+rFCyeIx1RYaWeeymhSB0N1n32V+S+KoQiIff3UWhKoivJIkfS IINueL2H9ExyFEEC1O5+qkM7EdRnHyVon+1noRGY0XyqmdGycGb4QWuMcCiBiTsg34AGF62auK7a Qbad1RmGM4XzVQJ0Eo19ZGLklYjRy6g1bnh3Rf/dyBQYk8JDuXw9OFrvMItn7SPQizxTcS1gG4MD sPmV2SkPPf18EFdH78Ry3P/7bs2BKUcNriOIESddV9de4KFNQCYh00b5REi0p+cCnT8OEpQfexmC LgfhnQbP5dR3Cc9xcEXdQjeQBf79UsYlDLL/Uuk+/WdFU9QoozEBgkxepLBuDNJFQb1kMyaC4XB2 rduJP7Ug6ZT6+iIHGeV7toKgCvD/5ku4D9HAQ48hJ7G6qvmspmnVK+6pVsCyMl9OotEpb6hgfFDJ a7R/dLjFSdICQlNPW0b89+IuF65UurFqbx6plO30/Kz5ygcTWS8szlA2Nh+7A2t8FwVnCjs7ZEq1 zv740MfSpGrf+5GqvWQL5v7GvXJ08lRmj88O9ZixdBnKebOeNXBDdHR4p70ovJLOoLKO/1xK1ei0 9Ebb5Uk15esXFAA+tymvO2/kOHN37Xe55tF6C2I+h2DhzlZZO4piTpxjS8cg9tls9SOsRru7Sjj8 LmHfE6Iu4ib+Hpykcl4Ff/rUYsea/8HUsiNX0AL3NDK+iHGYK1zdatEIZE99Mywt7rIEKqeml3o0 CiE8F8zYGIPzCWrejLFVk+ix2y/RXDwXAlWKlvUyca2TFNsVtaiSH2/SoTw2Wqm50mev4gJGcO5B 5heDtqBzeLWX0VQZ+YRLRgBuGuGI6bYeazssyPzTIsaw/EJvQ0s+U3orPPCIslGukfW51in8FgAJ WJdNwa32ZAWChXcP+K5PA1Bm/d1o/Ppxx5Qrf0UZe4E9Wn6Fi0RoBc6QGiXqQx8Eq7umgY892nA/ vWKaG/pZ8cK3NR1wQ4q65sG9xpbuLrRXmijLYnhdsaxIW4QHVbdcVFA5zKlT3a4NcqtjbLW9Yzse ZEJuS0ITCkeNqSQc3i67Yq/EpjBqXhDuBv2zQUNcSoINbDU/KLMxR9L7t+IeKK8pNgWuKdimTyMV CrUAO0808whHglTctCXUFjhFDJizVBWLQGEeApGQIPkej2GerNZbVy2sfQ4d/VUrNeOFUFiADZU0 LM55rIL+XnEkDk24tkPIUWEi7ACYjQ9n+laU+AdHXaztaxlsOrEw0rbKU8zMBacSvsKWvK0VSw++ GDNpl1N6SWyW8MbBpcnE2Er0ViSpxgiEND6a1rsTW/vbDPbQF28jEavnxxqitI6/tDAPhui0xQcl khzie7GVTJw7nPFyFP89zC5ea+CVg3+uz6Uy/x3nuGGtX120QL3jdsBfzpD2/kHK7mgvGVMsy4IL iDaWqQ3XMeyLyGeiXzGt0YtSlqHC86x3YAvR9YgY4jxLz6vV09igNoiSl1hbAZ5j+tEPcJNkddjx r9wtROwdIh0Y9mENuCv9PqHv9ajVfTvCa6Tww5th7CymjnOTHJbWXgxHxMdnY7upzRFdYpDhTiek ZT5sSQv/myBbO0BTVSPoW0FQBWAWhQdsbqJK2CndZXUeIgDtICk+U4GbHffLIYAybPhPbNAS5UyZ c6K0Sf6xQum4spuMabsZrHTeI6Sq36te7XrxDFAkNcu4E+JY9qhOv5PVhFomdwJ1u4QAPHnTo/KZ CA+nVTGSlgwl28L+WTFLFAou1GlL+bsHDCaPg58+Qw5BZvYNBu3g9QTHGO/k5SCftS3pX3Pe9B6L Se2VEH7eIKq4LQMHgL/iJRfe5Dpd+XnmT+nMt+720qPBm8TBrY3dD54BnYBuK8Z+p/XcDQYTPNCa Zy8I2f0QODZTHQiFjBLBCaZU2sdfrecbCRSlUeZrxHsnE7xmovTUPtFt4sVpzFy14JCi+JSC2fHC btGhiJ0E+oNBOKIyPFLFQznC69L3lfUnv7XDORNmQAtOLZm0HPx6CHRPYkLK0ry9H/GGkKUhCjWP 17dOsy6kyFcuOd7rHjenR1J1Q0l6cZ1xN6bf4ZQ1cAsAEAwMtykKTAXefPJ/18oAmZBYUYrY6VBN yiovccPk7tnsaibeVbX1BnhZ+8QTYM4xyBMLcWFQVNtLrR3yAQKyBxZM+lg/v/BZxOPidXddIEN7 bXDRV8DWN35GmH2KG43153JYWB/RxiuD3MYnvkNRlfvBZohtQdLg3p5ZoMAkNJ+gwaF5NjYp4Z39 bNm4YjzUKIfvXRjRrlMCW0zR7zBC3ENVMMzxB8CklGOSDnoGBRRNwJ3GUKrHnw7TEHlXyVV9cpa3 L03Ydhz3ydanysC72RGydMVNpaIz/daj5zxM5GpKucvW9O1KrxVSRpXqQiEWuYK9i/+bCEYIEWwx 0+hkEZZkZS7sail8luz0xpzFzbvmBnbt5sDlDiXdjg0RHrpw/FtJB3jaVujjNGkofN1tZ7yyaaz3 ZcmE415wMyWfDJDtXUjyt4Kh4iHTTvso+TUPOVpsAw8Dc+rx7OiSK+6v0zfSgSDQ8SiV4gavfyUJ GTqFnxL/bO1Y5s36OXbRuhspNi4Gmu8DRCarhpgDPI0oiX71se83HP64GfT2mRTidc8Gmaxj4KDx BRd3XfxqI1u+ViNpdNVsYiqp1Y8GS7+B03JGRtwkdTddbeaOIyWlrfD619tkvyj7IRm1vLY/iZDX wBArXns56+bq6uZM6+zdFPIPCXT9cvcNlvHntb+O8oYEMjw/szv8kfu/G0LrUbpsMo84cx9l8wqS 43QELqMpDgyQ2YMFGgJ91XJkhvX8uFmCWCm9L0iLJ/Mr5l65VWsyuTFRDcS1+0AwvVbwVProDpdC GaT+S3JEqZdHDw+pAnY8vXOfD5tM0CPrfGouiSA4ctl9wr48GYlaNDhtlWtwDUhKuQy2kLdubtlO /Fm52MrPynMPu4psv8362TEDcDBsMKji1vC7ryqGcBIDsCIiENlb7whS0Ia5N4L4+ngB7G1e1bEE SnQlLAF1NeTtGvxJedAo0botubk6x6zD9wMWPoKyl1RRvhh7rekp4yKw7zfI50tW2B+qmpW2xDPO ZPR0qtwmNF42+3jcz5vQuYdHqdbmR55vfMuM+7m18f3lqKYGglVCWjjXzuXtr4jr6Cxm47qJeJtk 3WH1PiiVX9QZiamiU5EK5Bzdqi0RFr2LJIEwQSzDoU60vpsU6nxaRUbxVLu6dasGHCKdoCAGmiSi X57VnGw/jLFYvNQ2Bj8UlED7XGTNUvlI05uB/iGgNzZGHROFbf0rhEKEH4qvMleebzVPuVc+zMRj 2gUrhNBwDuUSwvjjJKHkjCCX20l8Bu2KYMLvh5JbVckJWUybVSWLUg7FntMv5LYsMsjUZwtRyQcN B3v8FzMy69Xwd9IObzPQu8AL/n2tJrV6p2s5uKcAMfOaxPnIA+sD9/XMycRqQ2wyuVBuITuvNf7c 6bDR+TpxTNuZf/6nkot9Hi/2r4kLjU5gFczG0fSdpi2d1Ke/ka7Iy8XTKXeZ3IOQTX4L8jqJbjGN NIw01pYjroLT3fjVZ2/VsBAe9lW9B3SqFhmVnYOneln1uIXW/5JWi3oBev5TZZMzrrPzYsM8x8/8 D18cmNs8XpjzHQpQ9AfKwHvrPIRWK5IAHJy3fjROkaip2Kx4WY/hejzgE8l4VF5xAORz+LpoDom3 71RnYkUT8+dWKZY7u/cMylNsggKdvBFi1FBakpgElLCadhycEabFpKGU0eek7pKeItgksI6g1l27 b9kAPm6dDPJ5lrXEz16jsO7Rhtiyo9vMHyFLt6Env4WeJiOX87YLghJmxYFY9gyFUIEeQJhBIXYq zB8X0mIFSSp5a2BDOW14KXraHIv9+ZdQ6UG8GIzMUtrQVm9IgcGJw2kBtnjFGmi6OeBVpdIP2Xy6 wTu4tjXZPl2hWyBShxbWEPOWPuFJccMIkPONh8Nd9+bZIwWSZbxnFD7a/KjZq9OMp4Il1Hsqn3rA zzMNOsf6Q6boLntREt3V8fOCCEmbRVOGcJ/AS31kyxNHf4C4qIzC4MkGTuCVlSB/d65mXU/yCfZy d2wI5gXmAIDpBF3T5+vqAzPBbj3ixKHKVcep+jytCbxlcs/45f+goFmTTe+BH4KBtPNsCqWmg/5h 3PVsQXAIxlqaKG+it9WbS50RHpGesfSj9E+dclpNSrWMt1xDj41/n6uo5WJtaByKt6oHrIQTtpTs yjPEMdWKTkv0NZMZxvDFO/oO1JBWoxtgwUULfQVBqhdAtrOKVgdmnTyPf6Sd2Yj9Qjet1mLr5AJO VouJln3zlbM79RE8vSOYXsjOdrAs0IAdif5mXh7o+uO1PhMoXUuMUAE9ZzHtw7LlrTDtEaVM1uwF dK/1KrF8xfW6ytVFCh12GD5XBH+ReKOv2rJzubEbIwo0L9tK7IOqcB5XjXgQDyOZBpmKQB7xeV94 sW0BCsLRGZFcgjm4Ee6g0EVtAEJ6IF7a+nOnawTI0hXur9XAS5k57R1W3RL2TomtrH/AdsrwEeBy VXy2qbKFKHwu90SxlJ4QmazNKph6pKRZOyVeExNtyzwz6nondh/QKSTWxIrx8DT0R1loBgAKBoL2 V6aZ3vaL2nAlX6w/ccZ1saoiIoesEU0NIOXVVBDYQc4IWQaPBcR4zRk4IyTx71FLXveajJN+WE0H QOTu1CC9+Ln6/uheuw0ZMvSa5B0xSwav+AlgcjAh6s3tthAQ9gYc0IVvi5ZmFjw0lR8CUzwU/Aia mK4Xpt4tn92V69GFU5MEW6NCvWaHXVarWEu3kfMfmvytvQj/mQPHk4Dwqgy5hCR46YrZ+DhD4qnw PbztDJ4c/Fv+0zNsJYc9xgmTxlGSJe+6L+/i+GwZO2KrHuHnnqvgswM5bPeH3XmSVtKjS8hmauSl BevuRBOXal0/3Jtme4olGdJjvwXFAVJFZucxXjkYlwplYMZExas0CozSxi3vLR0Xh5L2oskPKMwy xGY8nt8vWL3QCt57hcIG8SXfH4i9yTI+na/JhH4DzKBCjjVfMg4gn5fYweJ/UOYgRJUmiKQg1hZx KoJsfU28yvWK69ble/Ai7ACDsJPNFKewHI4K1tNO4pFraiW790HeIGLPMjLn/NNjjWDC13lICsRY DToycgNFRKvA3OhqGoaVsZElxWNsPr1dJWEmbJFTXdgU4aAFHx7ghIfNXpi4bv/3MbheIsNcVPTs uD4+wvBZI0BFfEB6o3CldoAXEKx1Ykv7joOe5nq80J+bcaCcMSPHWRYJZyDWsJ+UA64JOOz+FYz1 BD3oc92g8934hCMH5cjvbt5XNRFt4V9aQKYkI1YDuIu4tTPdfcrx5O2StImg+iETvy4+TQc3WW/b Q0qnuPKI75aD02rlH2Tk5xFkE1HANgHZxCEqAiDTNgLqfKuuDxrcpj1Lnn+fj+nh4lKkErp4ZpvV +Q8W0q4nK+yd/1R+1txBgz71iKzMEn3aK/N8ZQjoj13GjGxm+eBBH+gN6KRvap69ZANViOQO2LOM S1THuknQYZiIR2Q75NbeZ37INIhrY2hRFBsowLl0+0h1euZWxI+8vNrWsk76k+tJofmTJaYoATb6 sEivdfBfXHYWoVeszqc2H2uGgiEJFg5n2k3CZjqYmTcUocSqH22OfPFJ6ldxf/rzOk7uzUlViZUf fIcxZB2t5BqqnAaaYq9WfaGwVJXz/fvl82pN4htuh2OHaPzwEGdt4XVu1Cj0sf8w/o43Q6k9RUxM f+SthZyhWGL3m0/lDdR5H/qOvgeEHxHdIX9gK0dNabKNnazPt0WNHz1atcfSolQI9ehoVUZyPtbo YNXbtTFM/Dbzw7jjqDjgCwUmdPgLpqwtCqjd9tVXkhlllR4drRH7+Tq/SqyxhRLI1qPww8mqtd5o Sp1fx0FKopcYYcOHIXUJbTNUikT0GYBKA/YkxtRZN4+jgVaVdRK/Q3FBC2y57xn5P7vVxVQQq/jS L2k+VPk+5l5YRXVBZ6QJwGT/Oz8clVI74Z1WtV8Q29F9yg0YNRBuvp1X4B2s1639wghPWyEWuczB cjH0e6i0ZgAnYLPEtiwa60FlK+Lr8UXj2tYJnTi6C17UV9zGIcJLdFqDUpfU2mYobfQ8fCzKaQis kaUSABkC4keBOBhSYeHiV7U4mcvfgwRMoxMBwAl8ZoMMdYyviXpzakxmpCLBnsV/FPZ8pqfW+Oit 34RwWH1v9u1jYL1b9fSHf/4h9VcRHjZXWNIsmhSbEivAF/HEdWrtz9Xn+QAgYceP2OYyzc7ARiY9 wavxANJSOne4SNQjcrVYZFEPuiY95Z84a7OhqVwjZm20xtkLRNmazqXk1z0AU+RrBZ6GrIlPp5Aq Vqap8Z/w1RvKmIUgFZ6Su1heE/45PIFAKcCcrD8oYq82KYJu/A3wadDC/XO7Us1O6Dx0Tk2PjgkX m+lyxMl3RqeB/lGuz9/Xm4hZGuE996WoYdGidAqrcko/8RZFMASFCeOeDKvFiydZH5Un7Ea3cp+1 /YrK0SMP7GksEjCDtw8qK+LP0a1QXTO4KO4G/KFqSmFBWkiidry30fZakH12BeVfdEoZs9G766ys P/yqF366tB9fUTxM2valqmWqPK2VHi8Y2BC23962flo2aq/8IEKH4V0ydTn9ytAyWufT+RXtDk/a trgdf+bH6KplXyS5zSj33Za08SCoFrHtpPBgT4jx9PLxBf/jh+r4B81oRgBS69oMiTrfa5p8DZCh g/Y3MrXpdcaVK/0mEI2Lj/U7uUZi0d0GmlSMC8B4R8tP5h52lDC4yCVV9YniO0ptIBwYJY6z6ygq fSl3LRJN9WbS8Vv90skZ3K4cO3JoEjFhWOIR8gfLoz6eCPVQx6UyPZZJf0BUC+1CCay+OFe33xYq 6P/KwN69bu5TDBJSV1I9JKLv53ReEG/ssBzoBqQ0/lGz+f3CmSMLWB4/ZtDTV9DhfZQKqnchx8cR 5Iz9KbhqneJ7MSTXCe/VRl4F6SooJrYE1mf41dENSzh42OBq/EFmX9I4XvBJamk5eR2al4PCiJ4e 3Ikgaqc792arqhcoLTUJugq8fQEMUVhRXxSrkLq9lke1sHJlg7rglPxYs/n5vc7+6DB4/M8HIaDA 3Sh5r9TbsL8i0LoC0TAnov+dkQecOU3BseQ50gcCFJa1jybFnDlr/gqi8vffP31VsFIKKKiqc/xR UNmyXoirN5KGCkbwysfLFp6RR03/tA7oYs76KQOJTFMw2BDIUm7SFKPrvPCedSIg0yWB0Zb/Cy2F bpn+B3WgsjWuAGJEjP2K7UQ1tun2lcueqyDzwexCc1mkYh3H+Ieh61yPKJU34RqJdAVGrnWc6j4W gW/RjSnz606Djyvy5E+wepP6KXWcJnb6IxHXDrhMRhOIzcFPIMJKtxxlI33zqqeH1AFufYfsB4dM Cj9UYeJp3o2/w2fEQYTwh/xfKl6PBUukAD/+AU7RQks9tiRhu+6Kn6/5ZL20pq37UMeqC0SFGS+Z Z8qBvVRV1xyhoTYm5J37pHYrW3oeM0J33w9russNhvlIF8Zfihf6mG3rxBdoTlORlmKTxnfH3RNf iOIgHZm15jnOB2NYRGg5kfAtBwKCkrMHysY7N72899O8vAxEO+UUgF9ykzUxV0fU86QAw6SPtOOR tG8YCal9t7zIXxL1cHTsz9nX4k89UYxs2Y3q5b/UiN5vD4/VEYjC73tFKCOvUi3xuCEGo5UqdaLG E93CVfnQrI6ZeFmE4j6eVyDdyyePVFWEtG4hISBaPQpNuKPLYReFeLO+U48tfDqdnAEypXO1b1j3 U3ZW+kSWZxvsqQ5H7IbekiTcNp8qKHm7W7yfav56Dq8DAu4929ilG4STpvOPRSMMpj7KkwxXxAJu AHvaXjS/qVPhN79QuabdvRnPKhQMlSX89Nznp9VJ9hrXBpPzJQf+5cHxzqDuI4FQnMqxT9ImCdvd jWDjmNoltaZ+sixl872GD1I5tt5EthtRYMoySbdehazlZO5xRY2E51XNdF9stOcr5n9Yd3m007XO WuF1F/7bQjwg0Eymxugwl+35ljeYLPqAbpcZVBjgrWmLq3OMjwJ/Twb1E3jG6t9fc96CvrLS9qKH pmRLBhGvhUFO9ixQqP52tSVzOvJzaaB6DTU2OphgMK01It4WczlvLqcrdhha7UsMRwjFf2urzpw7 74K7+YQ2FM7HZc32W5Nyrkw2VlXbtYdTG9/wO2f4OMwdx8fgvXwZbrYLbSHPDEWvi10eOd1bBcZf bARhKe8KxvC2BHWMNm0oxwZGsipea9dlv/mxjnnBsr7TZSeobU+nnDqrvVEoz+IsmfVjIHX55/cX goRVuKcxc1EoWqDDy/V2XsF95eBtJHBjK+dadhARk+E2PNZAycblDclRXtYpoKRFJlw9gghQMTJO HLHSk7tUi9lJkAcgLg7t6nPxAFTuckSOxZjisUygOSMIuYwU5FIZEumv2xaonIlg3/3cRL7BXZa+ A8hEc/EfzWTzNOQlLLSD92g4QTEntPu5x5PML8oq/4JhR+s4YHkiDTxdmDJ0OOsSVpzi/sz4mTny 0UbfB8hw9iRi+tnvZuSzktAGbl2zWGBURfJpZKmrYvPMA/L3KQXKTJmJw5sCbO1DDgkEOwGkQyor gp9bVOn5IgPAVq0GXMbPDepSOUn3/vUXHGEB1YTq/U7rIstcS4qmZ9xP0RYMQ2VAAY/RjDuxd57j cMjoa7CN8qj0q6gdh2C5Zp88YB1Q6Amima+11+aOJvwlCMWP8REcyKUQzAdWUGlyk1GQ5RTmjUu3 aOWycA76DfWw3wghUYJhvgPtIxWZxAKy9/TduiKIS63C8wI+tc4/1VU6rUW4DNVlZ9m/XSdSlKnJ iiGZ/eJt0NEJj3cG/iEgI8WaYlL85r2C1v3kGYUD5zAOG9R32RiDteLCHJRQe2ACUSAEJ5LTYsIx QPmcz0hjtzJzfGSbaU5w1ZD7625UHAwJK4WCDvUnRIINwy3WCcpV5CxfzpgZoEOCp4EgT7IkzNvB 1G/ejb82BSQRuzWtwr4XxeYKjnYfOiW7qHYeeMPXEv20Z4wh6VCiS0Ie8RZtypUUm3zalOlOtxkw 48LhPvXbQandz05etc6kP/pZVlmE9nSxmlHcPoupZM9c/IyKXtpkIDYHszfQ1+sUYNnEz5fBW4XK 0HBcRGU/DE/4YOo5oOwZGnHx+BvjD/p7R1arlUB2dSmbrk+OVif2MZq+nNGfNgBzhoQp1fFrG/qz LpwrW0azX5Dw/pp6t1ljKEnw98eg7v3bJe1OQtXuTMfOBFoWZKq91UmMpBBV7zYs4tuPIVXm0kFa q9y2wwwBpJAZD/+5iPcoMPqL/XOfKwWuRIuBZi7FUcJmLRuxCTb81B+ty2by/0nxXjtF6bjAik3H NrtOAZ34kxmgvJhj04dquc0W3MDtNUbX6wkfSKQJyhp9vmCX6WNmHtwHkrx7pftInojWMw8PuE13 YQSwjotZmKToCTqFIW7e0E5U5fUAyP7XfrySv4iQMYSiBPuvyZr8BuTJfkCetCbzSLMkMz8ZBv23 ixuM5RZPnEaJ59rrmSU5ZKDSbG36lGqANMpSiaUbAOmQ9ilkImvizUVt87q+uG0OFLYm39wHv2Gn BUvppGdeBE3Q/he57ZJ9XL6dz2p3qappmNjtprz6bOORHhG/OHZiCHwMmoqM7Fiws5Qkk7UhOg1O ku8/qehaAmFSZNKV8ScWCUWW7rxchxcs4rA9EEl64rCBbGRhix9F4DsOW7s9piR3HEEbP0Ilxz+m kfbRshOzneCuLE2g84lj/0LTjJkyEKz1i3hyDOyZEPPPOyV/NPU5KRShEnhD+o7ZIaV/UgSY9co/ YleEHshGojEdw6mIbrY5oNgzj8RnAL/wVnaVvhGahDrdn0wn0LOH3k9W0KxbpYEKCmTFr7OTWk4g bOIf7zjPgFs/udi4I8ZBfv0KYrEWqFfZbRdDK5IpG+t6SbIDr5K0RSBOAgM4biKjRowcBy2ynAhO w8zGw2NILAneT37qIYe6349WBpTwSwN229WVO82BhS2XFhh9zIGxymi70KWZ4AmNCayxUKyBit3i Cz6qz47zLkjUa8Fa8E3qSzEMNIb2k7/3EGH9nnMDpL3sFNGt8hbqhw0RaxLCXK5Lc6KqTAt3iGGD 9yLWL7AwZPh11MOnPmRXhlE4ZC5NSOLLTsq4wgL9VvwScV1kx2LWs0o4ViAEnhg9qM4U7EIysQ6v C2VtcVwbMxK3IqugXakmJHAKF3PdHzwHwWbLB4d07OXkypAm4e3/9W7+A/glzF62d/gNqJdanxI8 4NU7GRFQO1JRRkzjy70WbZpEQoQGhboXCpj/xODjdzI15urV3wUvAJou2Iq1cyz5Pkk48HfAgtj6 qZAQGcq2QULd6OxsLHzPxfJ9Ax7TuC5CERGdtU/CJ58wZgtTnJGDcegk0NgJdF8tJsoZpTMFqdlm gOlKmtMp82aK0XKfUGJP4aczFcc/7R/IN01WNxeejumnaNi/KuRObBZUZwKuT+3iZnU6VbrG5bhM Xn1V0HBfBBtt4tSXBpND2OwaAPZyL7gpH/dbMYxIziRt+TyfsYouRnebq7Z6prDl3eWo6mXnYx92 8aNcsbl7CWNZE96P6cU03QELN8GsagPHHX7eLc4pv5FJMv6esj07Mh/K7sjZEAaWBdIwsC0Hwa/B zy39D7IVOtPW+NhbMLT/aQtrJuoCLr9dLXw05FO5a/dtJVuO/yn8Qxve23wv+hzenMArchAaysBZ B9CRiVUi5kKBgwh5UyCqM8Lj8jzvMDbthwwMtAxFGQlpFLaH3nFBx/xGrrtomvzLIDqRb7RIolKb fAV0rcAyDEGmhuimT77lUSkNTjEGzT3k6Oi4j7/S0cBnWWzyxoKdhLnm/jFRajskZmtsbdFwfdPO 5OYxfoQqkZePB9msf6MHP/Mh7ZuhO2B3WSAmitw7JN5lZrNqevjleQQOYzbrf2AofY5pEA15MnD+ I6nOQIt5t052NPLySL+Dv7mtOdLJG7Qj+uvoUGWyUKWdJdgMhARMGU41uCgJ2uQLciDE52v1ffOD YJeJBr0P0z/TFI3Fjr1zluygMaKYTGpE4SNgluCrHx5i4cNHBwlAY9pZEyC8El4eRZn8zuDtpU4k zXQLQ0Vs0oUT6kV6rAivAD674l+5QvNbmjQ7LMOpahI5lX+IWl+XUc6Ni5Ns2yKq4KJuUYPTbJV0 u5wK8fYRvKJZ5+UlSDJ7uGk/JPWSzJ1Gx+hcyuf6/CoM3Kuv9z6/unVgEHglhDbhThCRpxJQjHGA qZRS5GvT+bP3kNN0QtqPL8IZAdllLoSUUgRuJzWYaTyx5uVvKkZnjUa5a8gjqEE1himd7BwXEHxK pX9/ov3roWE6D4tPlH7DTAnMX33cQkltiFHdT4YM/uhqsjd+w1BhyOM7a8BOFwjFQi8Lj352Bp/P rZfwnKy2oCirlgwGbcrJ5pyw51zvQNFhgnJZ9IE9wRnIjPYZQ3iJBw2PCs7O7CeDHVcQUFf+3g6I K5wz4gV3D9lFu9ngfUYI3LvhfDNnGfQEEUrR2FGxdvSqfNYCL9BpQLpCuUOcqxnswrym4jTc38C7 74srYErhiTHpRAn3XUFDh00Px0BPeOvwX/FdqvmP2nqfdqxaOsG2RM2yC5gLL+hReJ6FTCuuClWX pOqrHzWnFRXKY/AAST1JyBUMVT2+py/9IAf/hJzdxkkF8OWM7MnAvQeMNpXl2sFbpE+bVfZLxlLA +DeruQdUVofXkM6/LefUZMyFblxAr5pqz4XztDP9k0l7/JPfp6TusyDVhsRVEeJLrFS1bsQlnGP7 PsxYMc9cSi4QB7ZwGEDFW4/wYwGdpDfyY38JkHbVNPO/21bFuUY/6ciYc5uW7Cn6Q7WF1PXyOQvn br8Od337l5iF3RHs1ft/hUgu0dBq3bGzhimEHwvTgMRrQP2iUm7KC7A5YDXrENpqZkemaQGqtZxF OCh9laCNTMscrErn+HKKMPs/ZIZcxeI1nvCBBaIA8k6z/Xh1+f7zfafW/fzLUk/Pov//+u9YVGZd qVMHkM9hsgIgpJ7l6iVR9ENo2/qnEBGKIgBAVngqKYMrzuyJZzmZFXXOr+UIcl1+QKzcg4bfFV/l ZCXnSAXb67jSki1qSTNmcXJPcakp3B6CWDnxMYgQWuOHP4E7bBL+s0424wtINWrs4UxRa4fLK/y3 JH8M1uIITa7S8kz9A8NIuBE/3SuaXHz6T8SnuftX6C6/pK4HC2GCpbyUaGXnFancAYhBbjZg+7u6 QhauevTR7pCvJGROZ1Afd09J/Z0aL3IgW2p3bmBKowC0Y44AY1FAwBBeXpGzl2gynA92IEJKOEyk na+hrVTPp8nL/PvAmEWO6EXdYNQAxY57WGp2TOPr0sIfAIFpACKSsEQsJNCvfLaD8agmZ3ZI2ihm d0QDL8UPKwcsAP0vuPOqQK9G/wO8APk+FE0i7kS0y1Maz9FOZIaWUuPE3x+BM92RXX6PTyhRXl+U F6cribyUDMdhRhkv4xqbgy6nBo3S+65EmQxHD6BW62Ij7hRlhktaVKg7IJi7YbGAYwT2vshFOJB2 ECmdPdNQckxbpvQsqZ8He5+/ZM5pfbzWA8XBmrG53C4dhpyovFd5vWneHKrK0MT5AF5ziOmPUpwp GU/+yiBmpAjb36e34vi571acPm5jl+5UhxmODfceBJdIwnH7snt7JBVtJ5nzFcfIdZQngLLwc+Bs F9VqnWtOB8iKH4cLhWaQsll7D0gD36KkvPCuqbwtQ6iZnlbmMlA8J1FetlQkfUAXj6u1asZOPEHA 1uJBu88otEPn36elJXhpaL8ok1BcWXEKcsLUP07i/5G1ulxSzM1hLoTZyQzhw9rxbKfzGSXXSyK+ YtI1fYCIVm4dAOzsVZepVUGhvw4rQium67b3BaoaZyR3i1qy02FOXWNm9j51XvoItDGKiqpKUzuj kjd4HXji1HGAhgiz70SGF65sWipftg+juntAug7RXoWtbaw8v6riJ6qiteaS2NXD73uYB7u8wUX4 I/S1ug/4aoqKA7tLOBJ0BuKl4N+HmwKryzVA5wmbAXhzJqGi40ksKirlJc/SB7M73JjhSslShnq2 a33YsKfHlaDj3gADLpP6wax+dOqOTnh9fyi6eNmkHVIhEsOqIuNkUIBaXZopubBsXQGJGZmprM2v fn4FtfrhC37LK3Mkae59RjLvJbzK4nrNq/RBDWZQPWziEp1LB/IKzPlcz/dDJIMo95oH15tf1hsc q+hRY6cXWi0HcOSOuY5egNTj4CkE4kkdADoE2u9tyhsRpkMYKsktAwmxLlbrqNze/e2cu8+6MiNI o5NTC4HOBZmaD6DrUjD+DceTg9XpzzRlRHUoP7Lzj35JAS0mDjzn8ETLLmZACD6HdJEL91L0adVh Rjn6fxw1mCGXRS+7LujYkbDDG0DfxsTDfc21DGlLMxEiScr4oyCJJTGuyk8WKqWH/micZFkuXOq7 AETfRPnmcZC9M6r4jUEmn4HYZd+s6Bwwr3nxhm9gtCJoFhw+71Mr2HDwZqgPq7EYHcRaskY7/TQx HCyXSJ7VJ57USb3quuO2n16lK2lYXYOpHOpF7Z5ok99l5//ObpObxNM9uaa4GVChA9ddDPhy2GcX /iBlvyJw9V7Aa3KSbzz/eTkWZAL9RsX24tv8Ia9CGtQ7JabCKvX2LWw7FcFqh6ZLHLSMfvnRF43l oktsm+AXx55iu+6HhbBnPBkYniQz7cgDaokVspNAbUZrnx/NEjpGu227xWc+YtsmPWvfao7ykBcf HHGXA5bXrzNZx1EiQNgASJBqSwhG/KVjdM2gPH+XPK77vxihO4LIcNZxWadyh8wZ0jR6qGzeSrAJ iGpoWmq5qpmRT5c9FoqStqLOSfwrJwqq1vleL1WVadkoUJ3Jht/jnXkgR8zYbGScHyxYyEqqeNx1 M3J4SvR0JijRo7951yPBFZ3dAvB1+WrGXGUxN/pCzs8LcvfocpzTy01DxJnpUXzod87wEqU6c3uc GlqpIYlojA5X6VdFFxvzbXNWWXt3JFuLyQFNjS0LFWqzi2Wnoyb+gU5o7XWKayUDbk1gaREUBzsF jHZNZILjOUSAB8CAJa2oS6GTExbR+6ixG0nqDh5aCxvG1BYl+apb5YmIwHeaMvN6HjcUyOHPgk/w LDM1cbeer4WslnKBa17Wnkg2x4BumkEX5Rn4TgP/bb9bQmcKZG4IRWzG+WH8dwVj+PBWqq28glAo 4wAwTpHOOYeiGnc4mbEoOTVDDc+yPg/B6RGs4mt0E/7vL8e1jeOi1VP5Hdyeqm9cD33/49GX7viM caspbzPGaM2xIqJP5Pp5aY23zCx3pGyHWYHFJMJEJP2I8Q87HHXMTBmOW6KXXG+7xGa0od39zoPr 7JU8VIsjnuVdbTIhFhDgTaL76ZbWGfDs311c5Bvr8Ur63l2xz9iaSei/j3NzgNfgnJ2eUqXPxRVk xAJ1Kx4crP31oUI9zkPuAcVmc2qM5imNPChz2jW12PGROVUj/mJraoz03CW630xKPFkDhbfiSgw2 nxIu/ac8hHZTnreNSj+IRUc0Ugv9+d+5SMaH+IcGUAz4sqoiY4P40onOS/UNoj23xWGavIYt4kOa ebZnjVfRFgJX0u7y9lIZWW0SPYjrGvXTX83+ly7C7vKRL2pCaxwUDvEweBxkTRMqEYCt6SMFVUzJ +KO5+fBMl6IgSY9WNXUX1/Edjss0EEUnfpSWPnYZf6iF2rpckW76ZFf5bBrB2oWXaQx2VE8durWC 8iUCd1BJrG8cOY+M02qU08T05mZe8fAWPxx5dtArWIOZOqNXE2Fu/92aEzukz9QkMeRI6sWomKh2 UkO5YwFmeMrPc25kweHkNzRksjJCJw3gNTDZE9DmP1elX7FLDeNkwms/fnJYDutC43oKxm9/5BZM jI+J1KIi/DdhHVsOlAnXKPHZ7VcSi5e6hqKeQvI4j9zLwk28PM4rf7WIVNo0zymiTECMRyt6tME+ /685tm7i48Ry0OAp8kaaQDPO1kxRqL141EOcZMZVjDsnOrPgYgQ0W8xzGIvDFQ9wvPaPeO4aWyRX DxF4aELLRNq5mOqjFoIn5W6mFJ6eBwDyBQw2h3thNH/jD3JgIx/ZGP/dSIl35T5zvYHzANhf9EcA mySO3eGHKMAoMN9gNnvYbxw9iO/P7/PzsLagY5gJLywI8K+DyVLZ41wxvdJnQlmCrSfkOs2uM1Xo S4aQ7/XlFfOyTHqy1zqfqLFyS1dV5QPTaq+nvhBx7fV2Llrgd3LzCA5y4uR+msVCSN2S+5qcvJb8 fDZs8kkv8P8Sw7F7mdb2K/pZgXXKgxK/BFLTPzjHlfPROJ/OWwf665kXvtz4iXzrm3SDsqnMttxO Rp3ivq1APauqooQ6ulCaaUViHpQzlLnlhzociLeD4U70if/ybihB4U4nJYop1fDB4VOPYuDjjBFH eMOS2Y5AdyjGFHD2sLy3sWJV93dDS/3DsXKHU+oGX3Xvk6/j/9ow0EiLsFmNY/VKgcx2n+XHxmA3 c3q430VfCKhHx/StZuHh38bKXE83C1FkFLvitiDlMNK0BLwXc7eFTVNITsCVocj8w1TECrS3t2JB 09xtqHWxNKWqj20DC6BmBiW8AR37Hi/TxQ3R2NEzwKh+KWF3FqIxn+DVpSJPhoi3DVsuBxtSx/wb JkSFK6wssGZykQx2GkoY4Tp2RDAhRVB0aPv11b/PCe3hQ6zQlglwDVJobPwGXCTTtmgs0ydrPH81 ReZ/sMgG0CHdiLt0jGtpoNu8f9lfHddNef02fAA9JlwVALvPHIJbTARpRnYcghC2lKz+bPifeNqa I96kCEzVp258d5Yrn6M93bNVEL/xhJ6jT4cV+7Q85G7F2Sxt9VKyUQqBnX8yBxdjbtG2TYPzR0GV qbF7XZoEGuRal85vlLgpZvZeKlCk8WHlinnP9mrhF0S3kFVFj3yM6NnUMHhorI24rbEiLG8xODym Ssa4V835rse/SJ382dD6iteAaC4bZoad229Vn7jbhdt4dWrUgUAHWGFKKgSFy/3S44lvgqrU4etG rbGlMZ94uHph/5JipxvJflAPqTgnXro/+Wrq+lCMHkIR/0kFV2PXrmRuUpxbGjy0DD7y6FajgTyT vXh+nZIm1fDiEhxyXw+rwqUkqjWsbAhvmEiBIo6+Xqfps4rzwOQHtcvuAOwExAUzsGCdjqLjqUhB 21zSFcvV8db9mR4lWVQddqEEBa6jjs65CkB/u65zNxgqSHIsELa8VxRPS12bqjTjB6ynRjYkAl0E fhYoxsiQ/PjX2uZaJ3SEDFCwkLLNOrWwQOCNYamf9qWUUiSOqwpOZeFJQ/HnAoIvbrZ4I1TS0IGU CEzfjyMqdNU+7dvrNKmWf3+uuRzdNj2luuZu4lCLxNKAOAVDQB9T2M1GX9qnESvisGJc87kv8q68 F9V7Qv71/Tvsi1emUnfh9EaNVkAd5dV6Eva5jAKdm88vKUEVwteeEFMW7hHYL0TmFJC61PyqBh46 yGoNKFxHJ3JFSq9UlWtBa4XwZjV2YNaT4HGl5LHJ2nwbepmsF0udQXPA9FoaMjx83G7PM+TGbZ/O d85MT155oOAHXPedZKJMRTnjdmnDGRsxfnQE8eNkiHAZua77EYibSPy3nEsi2X6LOkXyITKne2MA rHJgxhC0kL+i2FW+8AasTmwL1klhSJQV2+NEu64P4GC8cs9drXZS0wzOfagT7Ndl4idvHhbZP6Pt akLBPT7nV6/2JuMLrSk9yyjFAimH6EHWxOzdcFYFxIlAoLWs7svL8nogwZCJ6iyXZ0fI1ufD0YZL TgYws3ZPBZPZixREEWCvtwWUrEea2gFp16zVTJTfPyE+3lll70CjY8tvqc6oawLYD9k1TlXbY0Hy sCcgKaymzCSgbvA+cKJLPNBZhKVCQarLIEsT6xCx0F2lzqU0mR2B59BzAJfR5tyop7uIJzE7Jwrp s/i4PMKUW/67oI4mWAnX9APi0hkw8Leg2VFUSAVGYh1deOcDo9kyVONtXVSBsknbn+jQPXEe+ysZ sGEp1ph32DdqEmeHAT0V/zHRo39pkSmT8UrYcgryKbIs95UT/TR++79kOav9z08C0NK/V5agsae1 AoyU2Kc4tY3J4xYgzIlNrIQsGuaPkpMZ+zKNAaf7zz337f9c/MgOa4hHqpch1Iij1jRWMn+Dk5U0 SwTUFt60eRfqSbIXIt8u1kMGlX7I1jVcXk4paudB5S8pQ/yWYGJoIrQflnkdZjonT/DFOshaWstU Ox0x5EI/bqYbWBXFLLyHZYfem+/crNahK4HK2le+Lc89c041OaLbiDUB+lU2lPvq7HE887xS1aEP iXd6Hg6HcTzXPVfUI0RkE8medujsi9WFJK+Ir3392zoh2ION+loTlv78Jmu7zZWM9H4gv9x3/YCx 5iP7Iye2bu4USb3jJ5+1fTa/0QS4sr/XokNccC0H5hA51RU2rMdLluMC9t97Uxgvg8U+0wMhjv9L /paYUL5gqycezNE1rAuCAoFrAThPyNfGrv7cLstud5d1Mp8Rc2KHhat4DIuLapxy/G9w47QIYXeK tuEDjXU+a2SOJE7BrrcPyjCWqfaAJEaN4U7VNODNIxOJSJMCoRaLUnPhJ90nPY16PIdCN7zOPpTS nIzgn6/iauergAPEemmIJ6NrX0DN7Cv/hP009nhOucGoBD3OEv/SuMnMaOiydTTD03JMC3aFYmva 43Vx0o+XRDwtHd/Uedfzfsa3fzefRtYu0bZIctQBcWkUDLJJIdHWmgIlOMFvB7qZ2JpxCpkHWEqO Izl0cn9BstNQn5Ae8FtmYIQMJu4Eiq6r2XBrJEIPgFrxCunYbKMyXULSlesCZ/OhWloB7lUx/TaA n7ZeQRxjNwZeIgm4HHVAo5T6q499AKLsz2fXm3WgZGj8ACz24BKeXZmLJWqll7RCKxmAMOsdP7Sc PtdWlBgco041LLM+uv/wVsjCxQkF9Ag5RE7WmSQTrMxZittv73EkJo60GXI70CqIPDBMOcwJ8ukU CjQj2GLjHWPHREqjsAs022r2bjdvGgP9GV0MZFfBfJDJtNJK1PpU+5Np6WQhFbu8EJKcH8OceIv1 HCiQPI++gnvPl6cPyX2udca5BOn7+KPDgHk+SLzWz1ENxMSe2e6qy8SvI018EEZ36hDUHEI1oRxB Ce5D4+oVAMu+yXVWo0EyDDULZEyvVTyNlnsoR06DMXr2yyijWV2XKWemsJYr8gIS8z0T+1rHEa3G 1ysniHN5N+cSnaNW6wJcq+Y+Z1ef8Q3tWw11s9eV7LhfZOJT82oblPi48vplCQofILojSNLEj5D1 T5CogLqVH8wztIgLLhfc4lXtdqSknFQUlRws5PpL8YzCu0jsa65s8+duTGHE/zkqjAHUKmqIqvGL bmmwLMovNCOnAG9s/oVD4RUT18t8dUQEwxVyffcP/BkITNIcSZTh8yjMxMB04z6OkcDRdybYfRcR HD1vviCeJryfyV6tcgc6deMjF0oY5tUgtvdXcxl2T2eI2RYKQ6OASu6C4LS5KMp+8GEDfLiSViw6 RMMl4RabWD5QNfz6xjlNEinOYLUPgRmMhYLYFrGxyHaMRgIYKKgU7WPwQADPnRiaiHQqOjUnqiv1 UEWyQJDz23ik0vX87yaNjlz29h0s3/S1aq39iGo2rx5Mds8ydJU+m+GTd8/vZrY8OA6ul0umdMaR xuH2ZmkOBGMfgOxp1fx07vAUmwKechjnV8fDvQKtLZMTuZVxnG82NzZwkWzR2a9/WGsIhdi/2yrb GGUDgPODXvA12QNlAcZ0Kywc2ioFahKnj3nJgX7BvU848jnJ/Pq+LLhPkXzapHsPeYUnNcInzoeS SLxSiZt/jn6JTVGPiaryGPX/6X2GcFyqV9MXxPrNMuPFVL2SECFzBlBLrsiVw5Iy8EcbSSmEhs8y 97l470Rb2eotoe/BoI2TliAMIAG5w1+yHNv3kAskG2rqJdKZdKfJc6JQTp3K9bnYWJydOUe00pKP iQSBK9TjLzi5sx85MfVvWgtFOFu/vnd/GRq8KN2PQzth3Uwo6yTdXi8mqInI3QzxcxHx/NBKQPKU WD/OeQKannJSKMalF2RuiYEfeKYEMxz2sGzb0Tdwt4mfLlRAJ1m7CbC5hSaeCcIRAoASw3gUD6G1 XA+wyEZ5ZSV3CasYO/xbfuI+rvrDk5ENMQNv3E/VsS+gtVbDAc+0+Ch47Fy3BCz/xFyikr3NeKZY A3JF6K4DSKS4WmQZ1qPcXwNacQtBRzkONFDbWac+2BAG5XC+0vobA6AI2Y+fByKaL9JQbWURQMDy UsiNKihoTOfmhmbA5QxzmPCIRDujRBKDdybcOKCTyA06uCbj/6WfnM01XsSbuF6yeXJIOTUMbgjx yAQj3yPtPwgIYejJNuain2HYCNbEChXSfwsV2ceXV4MnJteFbrgmzeD+3AL4Dd1qaxUHFAvk0ZD3 jx1S5yrZDHm+xKIwWS8Ax5tt4Gnr73E/aOKFHlTj5VHwipXDWgkh6dWHt9ziJB1rVZDUj7JKxVa2 LwYSG8k1cOyMHEZ4UYtGRL4PYbKTXZfLK/2LG0dMf1SUBXSVBOFI/sLXqrM55UV+x5Fc6FN6GwLU cnkxqvyKnRxFpZTshhuVjxVur/7ruO8FawlWbmjLApw4FmXSx8qMJLu3VrL1zfbf3/UV8aMlTGJ0 az7DFPQ6bwZDtNmp598AwFcBNb4aUwKgXXlcE60eqrwupxnrC+Bis6mr3I/e3jJv8I+W+X59CqrE +hAC7Tj5FAl+izXLx6Ui+nrkZrRXLVxozy90KPIP4d2RhIcU/4m3w34LD+UPFwi4ZjaTaBYR4s3D C7LvuQvbPo6sgStdbTok0AWvzaMIXH9ovFxKtht9pG1ywnzyi2b7JpOUzpE1MehrwZGd7n+n7Rky 5VyimGjhX6zePzXjq6d6MSLuy14IAZrdpO4E1vCJQwbD3MSCzScKH1xdTW6bZAWouWVbYjN/voFX Rutp3JbYnoa9wA4iHf6pa08sXu0yYEp7evwupmITG2GnXgsICia27k0VqDoKJW8etdixh1prge0R 1FoZMowtIfjMpdT5Dt5cHWkJdEbiTzLnLoVPguplKioROhN6wXvkAxpnjAjxMKIc/9CKPpYIkcv1 Dxaz/woHsBDgG1vamjJGWhZ0Ds5W/mjyC7iIHaqgHauwiDuuOJljPoIkOePU5KgGkqZRNrL2gW1c VWp0I+mmu9yC6SAW/K+byJFE0W6NxBGP6HdopnzWHcsBvt/Gu1seE65JT76LDlSKPL+mUWThz8Y0 MG8X0Ap7J8YJzkMRjmsxrDIId3F09BywZXw2t+0a9akAM7heXFXV4GH+di+s4MB4MxfhlUGsv95H VljfDt+L5GFpP/1aLNXV4sLJHqQYd9LR/GZeZ61s7eIYCCDKfTa8QIRQgkJEicgLd8bi88oKZfTa P3Yb9Sq6weqhZZp8+ikCoTTh0dyuzjAER03oBENGdex0SZ8TOQ6YlhktRd5rzgJh1fF3JXS88hFL y03KY9S6pNen/GbddjD0PSEVnyia7DXmrJ1G+LN2KbPZgC/bfjpxVMXNHw9kUWRfRKSoiBvZL/lM dvi+z8h/DbEUTb2xHqMAHNuUTTdpsYG5sHcD/Zw73RKFmeAFPrGFIElajctnRXzCxHuMjA+45Za1 9SJdDeBHQg2gfG7+Zlu6/kYeu7AB+8PuKKsO9Hvs6M908qkbPO+0cjcQWRJO5OrKJ+h1u6XLsTQj dMXG7Fta4mRWRsk6EEFB1ouqiHjWA4PLHlQ162n57EB9sRZrctju+zsMbbgygdA4R4ZYJ0z2LsxC siqmAQBuHqAfiIclLJx+WJ8thmV0yyg1G2SfHO28FDqOdnrZY7ozdjUPYAHxUxQKIF2jke7XbJdR cQGDNhyCm8LnbRMBfKBb4nuZeJd5RKMgyhSeTE1yQZqX5pvLdJ3Mq9C46mOwTQEBzNnAq7G92gwa 4bmELIQAt/LNlVCZ3gqricxjSIEuz+KPofWGIF0I0VbfGYN7yvCb/ugg3faEJFmVaP3IXBs9Eqj5 MTiDecxyljGKCoLgFB4880iw+1sd/711l/iA+XSg3u73UWfr7nf5aA2O88BYaEttmEV60q+f954+ b/oS836sbIBSMZNdh4m2RjOD9b5/cCG0jIabtDUkTPfQbcygOCtiRjTfPWDvSYyXFrvtaS3WsuLy xbnym6nV1NZIgqRMCO1afM6DDm17ZOPXT0/sehhsjSTCXEeEyJiMWwpeZmRdcozmHbeUbfI+0vd6 c5sYH3sNN7MSoXO0k2x7z3emBKOKISmqJxranBFgCNKzNG8mzDwljqyjz3IPsayh+vRQAW6IGPP6 JCW9DfaYKdZkEsw1Ywcef90dkwssGrVrhXqULJQN0BDLv6StvziD5bb8yNQnukX7oMWZs9pPb1ap XvxQRis2Guldq3qceMSkecoN/Veq1gRH0IZCJ/mub7eyemO5zU8m0YMgZw+nijGf/uB0Bf9o7l9a cjzL32OEPnGSiphqgp98vBNvdSsvnmWtVc71MBe3BieA4IVW6BjCrWUr2oEX46UCq0xx9TDsizey 7dijHlhYFNM1FT5xh8Fcv4DKOq0ASUwvZKmq6TdMTUD1TgfBfliRezCipJy8MS1heu1KiozHj6MI mI6Mf9YidYUBArnJzcLkux+hOL0qtCtHkT8OVEImrKm9AZswmDJR/ZBDmCkXkxSxNfFPMWUhcGLk 3Jv5067BEy+vVkxDXbztophpIYq0jVCTP5iHfQRYMZDcktKmiIO3pwxZZ/rQ3rVjFVg9mfUuoScV zu59HqY1LewNDhx0a56LglKM2nUV7cxyhVbP+5OwGez4Cmi35ZgDYjXWATGFWTkhams7/Ozo7eSe VuZ+/kui+atz718rlLNwmiwgMrrt6QB+6hIM/tYTQguR72GYTmCqW0aZdmiJ/d2OwajgrrY1zjG6 04/Nm2Vep+f6HakkMqd/csGF3l+hX2m287+YVQzte9IavtU+p+ZqrXrQN5DFHt9A6q8E5QWNppoL 9lAbUYSqPQZtf0GfOUWBJ45wPaSHEbRMPxBL/NtSwtm+isulBR6mTY1tVeAXfE2+AIwmAHRyL8dO AVat20xhsTAipDp3S6goBI7iyaOzOqMSeaDhou6b2dnF4PQPF5lRYKHPtEz1hcCJgkuiZvEaEBO6 S/I/bAIcuD9LRnznmkeOTf91ilYvThWiOA8cyrW31TAdB3ydFXPjvtHfNz4KIsyLmR72ETPyy5/L eCWPCxw+ZBJii/3RWvqNkoiZAdBvgujX0R//aXL+FzrzL9aRyluFQ3tB+CrYdKMJ1dbbk+i34fXy TG7c9xzhORCW5gL73R4UJZ21CoU7O/CxdES8VR/yNVt6BTmMkgfuyeD+Mglz6xpldq2xhxUz/1Xa offqWoFlCJhxcC4rMPON9MS5j4/+KbO3/ZMGB43hIoo8OAGO9eCYz96OyMDIOcwtXRMZroieHYug /3MW+FsWFTIFL8ZGb9bVemzSxCLKLm6LZwT+7/YBFNFYooiHhYIZmSprsKazNX06HP2zpcWyizQB E30vI6u4Q/9bIWbV/YbFCuu8W0yT2hB8u6HcolvydvFkfZ450yyi0VzRmm/hkGMhSUvBMCBhxkRx NKbXXDAoTKvjnEwlB0Cgy2DJE4Z3obfR1WOjIVVOywoj5U7mXw+xi7pb0AX7DpdwBMe/rzcfHECv ZVRThKCKZ2DxKAg0I3YGzMMms4fngRSLAuqwAwzwA/LJTlABBA5hTyKDfaWlXwAHw1wJ0Hjq2lCE lfT3r8N2rboQ9L8yZstUxdKSc49ryH3VVk3dieFdR8JMjEveAcvjsb6qxLfKDD5HSdtrWNgb/ksx iMmWEqZB1/ecrGhvEtSlxppLOeXetFOJa7XC+8fWcZRALrsNflLYJVJsCUVFhbPrQjDdWp5nm5wl syMIaLzVlvD1BAD2OLdml+UIoSEBAPlaLt1NnuITs1JEltyPNHUwVr0jIYfvBj9iCvtQwu9tPTHW bm/isJTLGSeBOcOu5+P3jh1jQQLro3z/yyy4+FlnsdHzXyvCJPXHo3dd4tgkAY2QDyol88JA1ywu HevUOXM/2yTnPqVT27xfpPikho/ohOuz778/9Qc9oHdLEn/IxuuP0i/HpnM8NIIdsxv2HJBAimDu UJ77It/RsgfoHSpE1D3fVkbwLFl1Ce19jlyjDHDL9GFXIlYZp08QJFfghrNBJZ3pLbJxu+NgCIbD +Ewy4+FavDLF2U4nW7BJQ7/VLqpLxkM64fE3BGiJYdgdBQIeCjVjavo1B1lZ1VB+TbG87GJRhcXB +rko1yZDBeRpl4bDaAMvcSO38pl6p9dHFbO9wqXcZFaWKtwHN2AwsG+LGlGP3ZdZma/t9l2cDy0L MAGHw3Bui2vd496GLcf6nI2eaWGNw27NpfTk1IJ1s1M8nGz+BPuesLM3BsMQjG5oa71pLHyG0LEU isGpDjXaP0FqNIxlGMabOAmMzWcm+BEyxoVGH6BtZPul3IbUsiUrv4X9k9kQc+Sr233uLfVM4zAS 2RaUeeVQo0TAwzrrvNI/fGHRzT50ohwEopn++qgmj1ItOfZYAzrLn21s7iiFYOlEcmSxRXHB1B8M C/zUAePxyLaroig15XpETrKF8TrBzpCNAaYHSGeTFO6OmFi0zhzg25aY7FkDBvubNIzqijCBStVF GJuNr/dGoPsmF/p1t0KMWt4TJOE4oPahuNx9z4VHb8a6+9rGzt4W6TdPWtn2slUNmgaS34GL2+NL MtxYAFt9queu2/ANhsO+XS8lOgfOp2yObnmRrPx59uwsttBA5eCjAkav0f0Z/sCU66xN8Y7n3XIi YA7ZLIuPJQ9IWNxQci0tlPL0mm0Jfe8XWZ29atGK04xz524Fb2yaQ0pZglB2ozBjtYFor6ddCs+z sqqWouYmtPtKLbwpSW5OuYD1CClRQMJPnGWDAX1Hl5gqZC8TlH8ln9z3TuCI8qPveUhBUpUcAp7k bq6VKWO68skY/zkXHNmrst0LDoIgLy60FS+tjx87/2N+3Et3B4d9DWbxC2Wb+xeIJsKC1N4Ax1dm tCmZPZFd1j0Uvuxc6jBdDG+Mnr/BsxvtDIiNgAcxn/WJZ2+OziXQgh8+2C1/B8PTsieHyspOUntB K5K6Wxfo1xb42sK2Ul4cMpImn1S3NfWCh+b+A+w8EycNY4w5rAGlydm4dGhfWLK/Aa+nvD8aMPm4 /EfneU3njBBJ8bKTbx/zIUogboltAhz48P/0sJa7nrNeBoRCqzIC0fMawao7Rm3jssI0LHRMrm7N lM7kw1pC/zQ+PmNgDnV0+84QmnGCKL4MsBVNwD6fpuvIACuB9gzF2+gEujD1KflOSNduECbZZs38 UYkx1+Qk4wWRSZ2dEFluQ2pqVNAbyTe7hW6/pB8F6nw1qKDuChwbI/npu8Y9SZtBBpk6fJYrU/PK cTAdXqtBYhk/oDnNFqHLipdR1FCKQZkBJQsgvP17dcEo4n3SKga6lHqfU6l+QaubJRkXXh//6pLh R0+tFUVBvoZMnsKwJyaaRRS4u8rWx1eM+nrn2X70pIMp/mli51xkjzf8m8s97MhJly5BpxZJx27L N+8S3JdirfqfSpV5U24LbC6Rq2RN8lwWiKZve190o7iDyCeCiffXEXPDK05N/u5LckVjhuT4GrDh mqTNYN5BJT2AoqADU3/4r2XUHjgfJrtmRT+KfGBdLUV154V91dwTPTMD23Dkw9hqZAD+hdi+//N0 1wJLig/BsWrLSQGiAYoZdsca/8tHHbazXMcU4C6dqveYaxxQ8SkVHscg8oK2oPZ0LcL/WeUa1iu4 obb05FR/XiW3987ls9KLu14jhFDfMW9KRPzDuHs/WjPymJss3gNNeF9LS2FgHgUf4NheXfXfEH59 BQt5ysfLw/jc5y7PaBuz8LAFdy3Sh2VZxwaeEDe0BJnAEAL9Ilz4hwIPDg9JCaf6/oBmdo6fAQLM s8rLa0oNmVcMMSOcS01/QZu9hzItdtGSGoJTmfah5n7M7uRf28w8+Z1WRT0kCrBOioRfNcNWAEjW 9qApx7RH4X/Yw6DyXmJA2+YWw7vgUaoD/KSjmhimHbcyyDN22RDc4aXlkwR9uUqCcwg/p4ab41gP WAb/jzYEoxMpgcrfc62A0YM6NlSFVedPPsY+kOuOLAmfOunEt5XbDoMA5StsZ1abiLd09Lsn2kgh mrZQxfu/m4KFXeVDXkDG6yCd7db/vnTyIDXtMiaywId5+okG7nVQZBcoKyEl+AxzB6s2MucxT0uO j7j8IRKQODyQqLOMf22/heZX2KwsjBOTR62p279cvpZEcqmX4Xeby5ylRpeTCJtyrdUIUIa6XkXu H9YTRmPig2MCQRFuhN96F1Ow5DMVL+Nkd94tfGBvrjdq6FYkHbJ8QQaJhq0M6LLEly4DkQnhb1zD u6HzcGVb+JF/ipQNbFa31nw6Zoaf5LEMw//JJV2yqM0N+K+/0mdHBR/+2KQRvqW2U/SHKGJUfCqN Z9cAdRz8jokYFSO8wwSrZOH1ktQPjk7K9cCYpq+kWRctyDjc+HVepFmNw17xfExqrfvwh6hNagYl J0rAPCqeVs98ybe8hn4Ad/ylIklsxz96wcBaLNsIso6lZyhHCZ4J6Psn55oNBjAnmgV9vjtIvCdc zvSDUK0Loh65nBOMkmnNqihxFG1b//ULKyoqXbKru9DY+HkT/lUeEHx4S/zlETzpSWuqGed2CvvN Gh/PK9iFsP3J6zukav84aKkl4j0jO4mPsJQgwpwyDTGwA9U8KUb7mJP0Db0AchICLU3GNayLHWEh FiPNo3yP2JsxmrqcX7k2dyhRFbRfWs4Thnuepb9utuf0koF9Yslt8PuJVr37ruCeX7Vzvmokxxe/ O9aJDwZjgV5fsua4r4B4+au5h4P/jDu4j3dbo9oqILB+WgE+977Im6pKMlxa8WZXQdVYw1GGVf1D DZiksoqmCegX3LpK6dnMxifxeNfSOdrsQQa+f0Ec7jrFcCNocu/rygJy558Rr57FezvrWdg4IZER 3rXy66/HzYFfvMDHr9lK3OzSoc0SIUfAZ94MqFIc84ekNqzuHC3f54tgOzoQmvlWHEkO4eaVdY0F WCPT+rTvqdG5IadskzzwG1/gBL76dF0PuHofoYJES0IYhUEatvmXkrjhB5wnPq+bIMWA59bU3BzI xnfgT8F1znYNQ4LhVTQR6Hzuzq3Va3ByrpHXQ17K8sMfPW2agU8hxjJetuvrNGYu5T5ugogaZjR2 ofhmXnbM2T73sNAs2lGEpZGg7rmdeRlenoIAEt/HtGGiTnjOaue4VLO6f05UuZQO4wJ4ERvSv4J7 JxlVLp8dkTziOZR57YcDEJmNpaRMXYT90IrazqzK1Sk7LJVXOak+TNGpzX4B6TnCEG8BfIChFr1v N3cQIYXWbyS8A5JNJ/tUYhxd1ZaEWq3pqcid+jB9AFuaPj2XayJYX8fcBVlfSYMvNf+4V2Viph4V VdhjCvUsOaGXSWCV3DY9yWypP1UxZgvUPar1n6KEq0vm2uEq66+2YEZHPkZGkU2RufOXQOGjOegf z1fU3S4ogNL2uHLDM2/Idprw4Q78FYggkubiEMciOghJtUmdtVISvmhfYzz9woqVY26NWb7h14d5 dWJatMYmce6zyyD1DKTlbq5ZRNXG0oKrq4TM4A9bCMGH3t0NscyzfC7sbBcIcTjHRzgAOYELhC3b pQ33PjSc57QN+4KBtAFgzGFpbKIswhbucel0qpnbe2AdmTwOGtMViIquJjfsUBs1MMgPHL+s7Oax 8sOmJUZ1VWL9tlVDxUzedkYpeHh53jK1sh8kytkb5bK7r3IZQ+rR467hR4uLmqlvmPuoT+5cP6in 7/h+mmnmyX8l5EMU4Z/bYjikluUvv6HsH/ANA/8YXmHarPoQkOpa+6aWwngQVmzeitWo0Uph6+Rk l1ExfaIpsxcOlhm1loyXwbfL1fAiwUoArIfyaRkRdNcHwJZU6FfPl/3hPAkW5Xd4TKq922nOlqRH vE9ZAtr99d3kwm7HY3w5x9Rb5q2TD/ECgRXiBhUBGeDX3iPaT1Z9UEU0fPlVNwCdkJDTWYFnSn62 RtqgVhgkinbaCE+pgPltuK9du1ZsjW4PNjY5DFFDFOq6+8+QfxSvat37WhWJ01Gq9QJOPo17sVEC JjEaBOpErhSUnJBy85dkWpr2FDMQKpNti0kzLo7yjZSUwGACKo1alBGzn6NbtsoMdV2qB4pDwwfw HND3UdLyHixfKRtcZt3w7CBM8c75G7ZmBTU6c0AU1qwTCP2Nv6vFrBU6y+jpuzRi08PC4quM5Okp eav2n6wJOimT+19pj3VZ4d1XC90zPJmnffVJNt57ZBuhC2N4fmmXfussojTBLiVUHmkadapJAlnY bWPXDWPwnjTat1BgA7lDRhURTQAbDKdLqbCPaoRn4fcUxG1UmJyRHkLnBQd52JCMZBDA5EDy9JxR hOzKyj0q13o+T8rFwLWqbRiBs4Mg/NNqH+/vJREKiXp2dz6+OXWoyFo39mrkBypk8zH7S+y73/rr gP7kZxS62mEF9Gw2JbDzAEpARSx7I9x2LidYK+qaH7oLFXcSTVMye4Qp9nJid8qPh7C7HSnyce8x qEonxDkDRQaoiPSmjMIR1ZYwy4fOoDHOUnGg50dhDbQhN84UMJfcmuoa3wSRe8bHfTRwebp7587C yG1TRRg9gPpAfFqVau87TpQVIzQGnKzVM3PyFPC/1G6bZj9fYzWPl5LEcnXFY4POp5Z2srv3QNIJ tAUwIN/pSItaMyQhKtM+yjFnkxxYtmx+pBqJWiknUAMPdtQ4MNsKC/hWTVH3HXZBdzatnQ5ClYpc mc9jWrRrugaxKKqo2g+tqHHmozYqh12udXV4dVApLezziNRHKqaveRxgfLJnzPHAB55br5KWR7zU cv9/KEfN8wpIUbDNr/8woNmaV9SyTvCV4sH/FE+iT440oQch2d8ed7Z8lDg2zxbBuITzEQ05iLPV MbZWj9oYEGqZgH0QmrQ9h6r846StrcSQchAcRnIRPqvzYEF4WXUu/5LzkREy/vU8QRfBIX7TQExe 96fC8Q/hPBZ4BRXdu3/LZskZCeTBvJJKlf3maOLbukFOpvm1SUdyb57oao1pDDBUbc2f4B7h+5H/ zkPQFJhnIpo2k/1oh9Tblu1Lk4k5z4BrUc01DiLLvf9juqKGazTVbN/5Xrohkq688ZBnCJO6UmYS B7DWJwAFU9gPdh3hlx1Wm/Nd2nhdh9Yeip9yAt6BzBOq0hx/TPivbcazBerYrGcq2dxsTmYtnGRu WrLOJd6Fl+wmRO5a/KjUzjqALO58psFKHh6FVE0KlhHctKk/5yYNGA4d6Fp/pH3jUDAa4Oqivw/l gP408MXwhO7V6R4A9YfOlFEqZ/OrO0UuwACQTlL1bSBvfO52LAjJlkWZ1tZ88c5gNwOZYFxETBzV Fli8T0DaYwt/yJVKvgkGoBgQhZ+yP6zcS5dyxKwzy+Mj1EPRBIUUWaSndTEJVCPWpI29I2OdksIz t+xrEsxeZM96+Qnmo0d+g5Etn8o6v2PnRvynQFH5EKqsPfGm710ZWSqMnRV4RYLL1K0MJLvR4G2n 5NBwS48b1pWYAvqCHtINN1gaeaVSMtdSf+kHiqWbMRpYNEcgD44rWEmrtN5kjVlfaD+kaqUWGiKu GKPBTMqJqY1GLU977m2k9vDUXAB4HpDFItblvgSdgJxZBgfDOIafnmS5zpAC/5nR0cecXEfK7ksP k8MDGNufVHIAMlHdZPO80Prxs7ikP9hW8UBro4UxuPoZhScSXSAS5nqUZembCguVeF8/mnhBZJWi AMmfMMj6/sIK5Qrp2ryCRg6CcirfihukiRu6PCErRLeg9rGFNSDFLfuAyF490E7Lw/fs77P4X9Ju jJObwhLVMca92bW1TEe2FiIA3RQTUHXdC1p6qvq7YPZTP4UUvQ/2ZVCTIAXajX5FcfTJJzBAYevr Okoksf2rHA23Fa3LpT1KyG9XrTeBfuOytynL78ljTxN7b0QY408/DBzUVgLzai4TtB4vs4LvHoRc oye0bNcRv+KwBgJfAgOwI3wpLoc243m4pl8kFa6lLDhtHp69oxbtW2pTAT1Rg+KPvaeXCdrZUYOw CfjFU1RBxOpgQbZVf3kGCLLVdXHWYraIssxAIl9NNpyAnaJnfaeRA30hYLKmXOgGRZpX2j4QnZ04 zlI/IGHdKr4YVRT0Dgz2a/Nx2GbIAozyBSsE6KsevB/LxlcNdN1IGLJutI8yBZp2LRHOBBJv8lwH xdTY56vcBrVBE7gLR8iDTPI07UnKm6aAbF5MZW2EgDHJhLtuzgfrH5NRLUqle/gYlPWQPoo9Sxrp i23w3ggQxGp7vLn9z94t0xHm9hKjayrzaJza402bmx3DUfqLJ283YoE8jxNDooZIKL/oebBdDGsZ JXmdkOlKjHwkpoMpBogBTYSwTxNNt8hf8Up9DMKjzU9vpMuu14FRVFyJou2b5tKmtFLn2jT3PtQB KphjbWMLa2PiEQ4TkxYjfNHxpFwFdx7JmFymZ1Fv08iLRY9VJMKL3xaLT3w4GKNhpxU/R7pXk0OP ZFBJe6zl6+vzwpV7JlG4I1r9qte7kN+WOjtHlEpn89o+4stWoVHic8f7Ir1BRUP5CVe1EiYnIN68 vR/cB9kN9WYC++fxlOLrEIgh8Ur0dvxeopp06ZDQx7/HE/aPO7g+g+Q2192bHcKIjI/pKi1MeAsY vLdHBEemdZFdxf8X/CUrl1yUAhcQWLR/+KAzL1jYZnXBf47HT+Um8RH5tztiuXVwVAhOCi8XX1qR wLyoKP8TMRMkk43djtW7vixbqOypA++5iTBhpuOD9UVRMAdoz+BZWl7M8iXLNuhKMlZvJQEmj1oA 3Ib93+hOxUg2/+0J6IMfvrpqn1VBqXwfC2RDNSEVM9SGSUuKB0GY23y7JfxfnjDhZlYBnrHVJy4X P7nndALeDmL4ZyChDr+4yJWo685HamINAzA2n93pvoTxhkixAWPZ/cZPqz4K9zndQsk+ligFNqJ6 ENc1xnOFw5+9q6dhrpe0OFUfveqL8l235R6NjCYz56QoUVLIt71ixTRrHlIqB/gP1KsdourJe1N7 SYAE9PT0fHTmh4I9zZvWgclbNKWThCbSP/9UJTY3XVjmLgRQbJ1LWHek50P5IwG8pt9juvc8zOzK PiS/5GYohj2HeWSzpJC5vsLw7ycD0MEF4JLElydr+EgBGS/0wcF+wjhil4n9uZLtypTPNJMDGH7q qQzhOCu+tkIRCZp6Rhd7EAjeaOT/NHbdTUFDPAYJ0gYr83aMv3l0o3RS4Xg1Rh+Twt4PEss1jqEw AQOxwyomrY4M5YP+EoWFVqLziAPY6O250uWWXIZziLZ/cUPba6WgAp4VxioPZyeDsuRw6O7bphlX 0OfKytSigPN5npj51YR0TjsDABdU2vRYUteDiN0dxxeULYH00fozboD5X25J3+yWLCqdwbQxGFSd HGhYcBzzMDwTXpt7aRMb8L2SmtaxyOW3Ra2ADYdZ25fffewA7ep+AY0RT5lsFAB7fFouJ2XgFY2e aZPwCzkWuz2tkqFdbtOVjPWEkLGjubKVNTeTvFVtNlDIUJN8QMuGDmdOu7okWkJb2jY7n5hL55Jq BKCPLsrhdLJtcgKUIWWtiZ+1osLXtU3GakbZ03dowWS1ZZcplVz+NS2vFWczZyGwV/cQG19dJqDs O/QjjfncVOjvGMJzOr4sp/RZCXzThhJyORk7hWEl9ExQjSnYAm2pOATkH4mftunN0ydCfz+4M94O Ro9KdznyRDgiGIrh2I92+/rFAmQ2WNABSC3dF2t7kA/wWMcoGEWl0s3a3NDrFN2Ts6wW81kI1cql V16hSajayHqLEmVhEsWA4kLcCjKxoW979nGribLbbZyKPwMVzKZBXJQ19Q5gPZSQ5aaq/KRpfyht Exi/S1JM1FwUQ+0R2SZ5tPg8+WVu7BWD9tBhBBemdSn9rf0cB+zW4oLgJwjhFb4hxHbv8hsDu9w8 57DHM3lId6C71mVWissMZrPUZG0+S+X3I9fF5doazzYvDrimCMTSpfcmcAHnoz2y81Izy17igttD D/m2lJzZOlq1EQlHisB8+xtbGp0fVKikvyr7teF/3A3XHeTX6C1BYvK78aIYz9IhaH4DBCcUJoxB NceMmlxtDXvvv1d0NlwKtO43V1QMq4Vc3AvhXbt4ltRCx6Hu+vtPQBG0GPtRJK6WU9OpEnZxwUJw i5Xu0Q5D/3zG5IOg0XDGfzfbzD0m5UAw0QLQpyndI/lNohcWFZzXwpzRTyrHOHwBilryQPgeDBzL zpu8dkid6vsCAoTzOv8k21jWonK6T8Ji1uq9RvRuAPgjEefOQbtATOLW2evOFx0bgIyPxacTkd5D GUOzTBke5bEsGRPxvoO8Bhs8aXbe4e/cwdPzVk+d0A5NwCyfz5ljhI6xZZ12Nnth2lX2/b/JKCPe l06cf2sG85v5t9qH9sjf4wHLNEHijKvV1pRBfA3IbUoeOapl6PtrUsoCD+7+hAknirwIgIqsByss h77yL5MvHHv7ZwuSb2snoeWBfytvgj30LnvTkKiiGSCe+LGMAhLVjh/ajNxyVtlZP8ADEguUHWg8 G61aMB6t8+AsmUC2zqpLfcRJjVOO/m3RWQZb8ACdmpXktf3ZOQYP04DOPUZi/xP6m/xe3Yl3Sexb gLD/NcTZ+UuNgrWtxpnIVc67eiBGwmBC8FshZyCDbnghkxFkhEg63DHQs4S/hQoeNwwzhgMG1GJo QPr4dKUCxjengMLazFrjMcUC8GWZN0VyIucgC2i7FJuucdaU6lYZ/9aZqu6u5k3c69+MNbWfYvnb 2aVYUpxzg8y1Vg9rY+YrvUo7aEFjyr/rO6xPXhOGM4QmQkJROAoGdQLTyLvmuEqadREcCNXfpm5f BmE2HFBlr/GCff+J/yju3VYBGKHlQ1XqlyLQyFoaQ19Bd9fMTWXyRQZGSadjCpUdR8Oo3qZG6fDH XW/spQwqWtkoRlpo9caPQpKlyT5WeFfS6/6vPTgNCE27qDsz46WwnJ8GUgmabW5qZ1SwcXGYztEY 3VVqwgzAzw0t5BJCfcmGbqSkJ5oRv8a/hq29CyRDUJRIBGHOSnA5G90gmtwHuCpdcazezks5dm6V pSci80tYd781qmSvQM5ErwymA/tOVIsv9PdWK3IVGItve9OnYqonN5692XnkFiqI74OnHN6E9WAW OzNkW6vwD7Q/9u8hNDZegoCXT/GJoPEs8Mtfo9xFVU5tpF3tsSrQHj2Ua2rdKqNJT/In6tONMMx6 qXHVzjz92yB1LRSCGw3h7X+UO7TvyqGpnP67QPSVyryYgm+w5GVFr8IHlpZfqk0QoCdqNdvki7CP DYsDVPjLqlmePgBdj8c+0O/3GTmJp3kp6lcwBSX+Bg21sGXQwfEW/E5Fx5UJMYiMpEdKU5eADusH qisBQCX6nLoO9cerRGfBc+zgYNAlr6GQzpGvRLwVeewoh+TZdNlcChg/MWLEvoxM+nNNVPOSyha2 15Ks+RTfIRDySEJqmpYwWhNgMGGn3EZFLAEcVR9QwSA0eQXK4NVGMRnFfT6GeVO9ztQsCGDk/Oxk GPqUkmhWJDGLr3BUay+bW5n6geWvR74GdZnKu1HSFGJ0GuiAsV7iTuRBHXXVcf5sjKxlLa9Kvbyn f+3Nn08sBjEVcxPDS+ETK8q62vqQAP/eE99XSdY81Nszfe604uf01ts18Z+iD6JI7S423tv1oPIq vXPdvcHkPU1sO2kTh9WRoYuQWV59pNs5LzejemafQ/5dRWsCR1FerNEWc3svUlXg6Nd2hzEem+6J XfzW9MqL0TVa52zAPD/8RI55xNfe0hFJ3c97k7YSb8iDTpowXBKEt3eryQwJnmXHKnHjecM7iFma XTTIO5n4/c01f0eO3U7u90ZXUc52Qq0L7rGnHVPEeDkjfgFMiuFQeK17vBNWdRVXMD3DiYBup/3U qjBQhnYpxydhlsQwoU5ZnJ4MnXgJsSnmz7x1YMVVbcLWmbL0BLkoqdomwU0bsP/QTCUDkyocqA5f f1S1h13lSkDiucZLcnFNm5uRot/5L76RulmTFOCaiwAMIRZX/9zH25tK6kaLw+gbZJP2rvKygxq0 4uN3Ra5h4oYjoEeBSQELTjFfXtRGX6G5aJIIRGSERZVMWIQ+TM6M2gQeqs4IIzH8VMqREzkgagzC dQD/43YmZp/Fp6ImgSyc0XYZLFojSTGiMHhjen5TmUr8MUmtzNkX3oX5+jaIMI/SZ6gwIJvKdXXN iM/bmtX9Tcio1a2HQ291CbxWBrcxyXADuU4e5BQs3pyxZH0FOlb56yp4XtucISPwoC+m/IzuGZnH TE9E84s04SMOZYlP/5UMBn1qBXvLBVPwQjw5YgF4hSB2IMuz6ZihqplYl3P2hu5vOu35Qoikam1s VzPvtK6L/56b/3aJJrKRw2WIjvgHSx7gpvZ7DnbutlqfIiaL+JvDkpe2AqzodSwMcRKlzCZwkTrt k+wfsVfOolsNjEVNBxsKBrcjfnFSZVDK7dGjyGq7QLL3dsj4GhT5xFcf75eK4yJVLQfyP+zdb3R2 XP35rM/vC3Bqola0lR+z4hrEMFHiJ1Ins9wo6tMkcMV2i52xXw1Y+HXeUOUBVExll0+/rGu2pHRW 6zMwH9sqVa021iCG9sXOsYwkgRe7Q6RqYiA3BL0NcEmI/NZ8OLHkaQia8+iKCVqmRdizHSjiY+4x qXIaNFEGNOQCO/MichEYRIqrEAjxumV7qbwl131hwwz9ozHsRKoM8Qy7c8VxVaGuxlN0Dlc94jde fs9/X6ZWPAxlQ5c3g/bpsLJ8/MI7Fi/eREPIh1LK1cjlgHEHshEkm0erWzIuO55wro9e+sJp6aoP xgDmB4qxHglMEhv+wk630Bujyu13WDoKBz+7gFjDRXTSWFH/3ZmFNFawLMPQ1TgyyaysPWrWfr+O rVEbUNjFvlYDOxFqWsfaxBGIqqcL4MNpw1pZnHVlBaF9l2ENZ0Pc0zGi20k7GJpQwnCfhu1U84Cn +xeff83Qyr+tQZDT8/6gRQ5r8qF9k/+I9d0H6K1jXszA7TGqAbx+JxJhbFS5cCLzcV2l7LJIk5C/ MODiH4o9Y9vW7M2WRu09+UBfh41vkn1aDvbzcWxViVZ6zMHd/MuEt3y9BF8hjDFIO9DkTeyXOdNX sdCRaHjpgFbCLtC3kf9DzAZWyket9uI4MxpRDCYplyDLegrzFtI+eOqxvT9wrjLIo0bgEojzey/0 UINfwUTXMnQ4AuoJFv0FRkWCL7U/ZMEF0PBSEw/0cz+AkUo8HLzqanpQgYDy1qVMEX3zu++SNZVn CQCFOkgVIo0jXEk7U0j/petpXRbQU0OTK4CrRiqw0GMbZzkSrybBpKIem/b1CNyxq2qsDlBXb6bH dRYxoo0/o2UPAsoIp4wKEdfJAksXZgpxXG76PvmRWOdWtXRiC9bg5VipZew+j/5/GCFnlO/aa2WB lE4UKLItTwH5lHyErxAA6SVFa059BAVKqbxZkGUhBytJT/b5XXQ5VpDCZiBCAfBROLziRV+6YZe9 Pp/lZcF7SxrmxUeX2C/Y3VgDQAXSLy1eAr+oG5kSdLqc34l0dFqBa6KQoSGosis1/3dK8xaOymTY xWqyQP+LbWErVx+lLHJXIp4SZOa5eZUuWMh3eaKX9hA/ZGuu97VhB8rrgfZyEedjqcYq+LAhTmDh Ps2JyWUmZk75io4SN0sta2n7tFua3zCXb8mb3wGhSVsV6UBm/d2ZytXNIDlSCFQDZ8fcg7r0iTG4 hGbaNTfAw76Apv+/1r4uDhgFvfcSjcbDydIcJ1ZHdadBHt5V4vS6JYEotrvBXSgBi7vg4UOqbnuT AdNKamnh4WfBN6AvfGLAldIyDWEpaahvy1OcFIRxMlhQmPDwJVDwdglT1Xd4AWY1NOubia9Ckow4 AHCPsOSn3tBXH9YO9p/yvZIzXPQciWjemQNLp8BT3uVH5c5cwO7RhAFceGNiHoBVePyVYly2V33z /mVZSI2+YTkX1C8KMYpNG6dtdaLchiwHy/GcEKDL8+P29aP2BVEo6d80ZidYJAeuB4DTEBLUiu1s XGQciA0f9zMwoxt9Pq3z8HAaMfMMFbSVC7J8EzihujHgnShp6yFGL/IkSas9y5LCYDL+0Ti11ZIR VxCyaDHZ4FwszOm4ZBmHmknFeQ23FJIYjZ/AcU5t3IswE9hjQt6dBBL6+FHYlwm/CPP+esNgO185 eDY9eOhX3aZuvw2shxDBUvHSixLBzTmEpZNsHKRW5gyy4BE2u3+ki6Gv3P9wTfQtbdmJVIsXprMb cjMKHKY1XfmYPKW6OlAZjvIZwAW+3cmMZkUf7rjw7pphJFb0b/O8BStr69b/h/XGRRtqCARq8s8r q9cgGui5uSIwsA9Ro7jNKZmvGrbG8CC8Uc3pacn8MFiZeu6nwOdtdZAMpmrFyklztIgevHO1W+mg R+obEgr2DgRD7LinqxjKnrJe8d79MBXPXrkDXSqtjyDjt/H1lBfXmDs3uTbIynQKNWozqYvNEgdd iLT43AbXrIlYmc03P0gV6U1MHSVbr36cN8nLrAxq8hrGWNeoQb6Uk2uTnc9RPBSua/Fym8m7AGHu np9iZAZlejc96OH+k5aaicG+jroFk1K1iEBJ7d8v6JSpG/GOO0Ny65h9tT07ffI88I82R5Jsi81o n/0DE9+K1QK7Vd8bXH3TCaEynNFj7SDy7gvUd51IEBL+8O/ddLfzAs1K+bmWlhBmgy5yf4ZenS/D 6wh5ViDPSW3YKM2ciHb8zRi6RoQ9NsB7hcA8ZnUukVA2vFPdm06YM39vLyjgvVM5TxLt2hcJ9Z1d gFUPmlLTBfrDC4gmHyV21+y3lq7a6n0fvsWNNU+GgOJh+8X601omA3BVQCHnjgyPJBCYBty8sy86 wI7cyHGoG1JPgyMiSNvGvhU0tIl6DNjSZa5ssdZwEuJp4DvuQQWE26OKK7Y4DXcLYd4MID5iacwF Y7/Co4Lc6Ch47WVj1pyWRoRqN9Bi7lCpoakxCW+d8HgJq7UlNVj3KonweX7UjeebYliq4hukBLb9 OIvBXfIacuA+FEprcnAQ3tGFfixM2lRxO6o3NdEA6LjzwZPsCz0yUMaHE/SNt13ypJ7ikfuUf48x elEkoSilJD6gDxNQiocO5IrErq2+SXqCACsArN/xXxOQCzcDTWILuM+G/4dPh4LCOm66qv5mr1vm 5dD/WMlj8y6Bw452FcBbDOrH84VNLhM1fEvPLaV9ozZL8bYTwRHnyo6A+Cpo1o7RNzm+0D0GcbDc ghpIzTX4tnsIRka1ZB1vxykD8olW46fEQHsivOH4WH+zQdEHizj1dknmWHX41DNJwfn9DZuUqri+ 8gMwuuDAXzEP12RueqdJhCWe7NCncUk++iXlHMsKgTW0e20PaEAAJ9neoPByraghXkaZi/oxKDVI K+feE1eVYZoK61tsrjD9RaU8R2lHbYxJ+iiuZLJ2nzJLL1tA4LnW86KXO6zTI2mcTG43fNCRs1Z+ wJzuTgoBeCACHLeOm+jddq0pLhl0XIdIDMWoyzrP7xjRjRNtsxBxkjxBcZ+x0KgE3heoWwmdj6uP seQLp8dqHCXoAvbDfV2JZ/jxcdyLOEPjPs41JbFziCIK/5NkvI81Y2KPVcYuXVwjUSl3uQbI1Gzb qCdkrDDu9JexlomMk0aYmvGsXk+DuZMixHj3d9cedn6S+8wby5mGR8ybWPhxHXDqjj56GjSLFFKW 50HzQ66qkqL3M5T6b0Kscm4qDhe/zqpbOr7zcqPCeqF1MaQgrc8OcMC1vgP0Pb2p4NEaSeOHC0Dt IuumjB/jRGmdIBNqcy8i0h7kp77IpvBuwM20g43kiBBLPSChhZ9HSkKEjYY2pemLiqC2VO7utKyt nY4hmBaix9HLnSkiSCzudAefO+SXouclrQE0Dxc6uw44wd5ulGl01Acc6lSNSm/n6F6s0kqoacTF wZ9O50ncUI0bEXhYwXqq+PSYzXWSKB9G/xBV6D6c/3aVuL84sjJHSrCESfCzam2Zn/tkatIxHmDm qDpAXi7pxDwQI3maVnmuTt0k59Iv7dzezbSau8foIvy8Te0XnbLoWKBBFy6iJdDQt9QckLH/MWIU 4haJAqLBah1Lr1m0qly37D8wIA7zMH7GDFoSHvkXp2MA1ZoeOzSXfc8Jw7F9a/0MVz8ydNU4qSVW 0nWf+t5VnKF1OOPGS8ttVkr2HgtvoGETLqesEq9307P4RFIHc3wJObL/MgFL2REju87pofkzaqgz T06MeirbyRlWn9KU93EJLsPyLh9cLQTNtihz00gvuZlPwlQxPmPJbMz/gJxFE3QSuaH+pWmLOpyx fwHaTP4d/r9JgS6xTzEfho1z81vZBtcN3jJ72ZNonWm3okVe2Kq8qd3m/U1Oz4P0JB9ivhucsrT3 3cA7nEYRIpOBXP0hYKxqFU6+OhnjsqXvy+HmKTwgrb5LdkmZyAvxgm4825bCZc8xZaXUXJD6eQqw +OjIXhWjc54IlNlgmYCCERaukYYtqaf6j1G0UCP5OXnyTMcbzfTGzA3omZu0wMSlDSNIqjrB+yd3 ddzeYupNrtXMp57EdFgyIzSa7DbKyAYhBiA91DhdMt6WSGwSimKVcNxjGVCMwf/44yNzsujpcTpK 3BvNxL8QSqvQ38raQgDsoo6vOArq9KyNj/Sp1fa0HxZEmPX2N6fvb08IcFIm+McKnbHZg6Htbo+V 2EvFHSQthvrvW2l+EBpCDHAIKmNoc6KpGdXAy1FAelcZM0cTfUk7+m5/C3hvQWGqK28Wz9T6PDhe ZIrgl51KcecHcxRljFAZ/L33hHZiFWdAJu2cnWWH8fYn5fRDmE7g4ahwBhwvyi1k1m05ITgwzmzY QVz1tjzxY2iAIIikW6GNgVN8uYNIxRr62MnPr26PlGDfjmp6hLp72/DsUKv8T7xW4shaTMyQhPwF 03qnnImwzslp3mdOULhw3BYPEL0itERsV4Cdbg9C+2Du5sm3a3B6z+ihRE4Lc43DJzi54mR4jhvN WBZyxilrec9LgzFthBf3gBjNTUnlpnqMK5kXwZM3mpHnQjOABsbUllG09xUKxlms9AawwjFPcTf2 Kd5g46L2wodl+LAci/ClQ+MMEZEj17GD+cnAxzEZy0zkjyp7IOzqgBK5LFMTdBzTSdLBezazOAov YOK7UPwke66wSXVZ6cUXnJ+i4RkDGBVUaSZ2KrCiIWnTU7CdqnfenwJpcf+jn0tgj24jlmojTQcz 3QG1397oE3EH3rZnCPecXzQheI0AVficNLsct6K5B8qk42d+y9ztrsdflRx6QLe1VfF/UluXJs9i 5aQh70+pZaeC/EqjLfsLtlkFuYE1qTys24ypagIy47Fa+4KoD0Rj67RzesgbQZq42hIW/KYumcLH iUB2GbtYkzzwYECvitOlW/8ubadGJBWygieOo1ibFZVrEqC1qEFNLnOCueaIxEmv2cBzsjJnQt3B 3YSKdG7bajgc8rMrM1Iqj41V1CSLmvE5w/whmdUJ738mxIwhFdPvorR05zi0xfHjSTO7PbF4z1Dx d/F9OQj7GIQyX0ssa5ySZLCtdctnWMbgYkWBSLYLC90aEhJ2xkHRE2S5MgrHVgUEh55wIPhm9wr2 LxKqi7OpYI3Dk8qgCIcoqKUdsBpmQRQe6SQc1+IH6aWkfp+LN/xqljutz4jR4/vngYQJe2vSs6/i /TvbaxO33afN3Rvd8r59KsToumUuP8Upa3iATEOiaOaFPBh887geDXGb3DiIsh7dngc9LGpT3KNR GqgJH9On0ESg8LfreBIdJjK1XHp5I/sxCE+3Mjt3yFQ3ttUo7uz+8KhS4T49coxtT1Wv9Jh3NdOu 2NFBsggsM1Sp2xgZ7QncDW9WS2jtkD5vHWjATx7f47lMUyNHGHLx00MUXeM41It9yXAG/8e0jrpj v63+PwSGBL16021U1gpx/RiC+l2RQ3p7UbmAqWFLWpGMO/N3W+CEeJKJoeT2JHo8nlhQVw83xp8m fxjq4xekGFT2jhMo9S7+wsVczSDmEneVrDI99xEgVCvQyPg3xsqETY0M9o/8AfOcgxGvSuCqIOnY ClzO6ZWSooY/c3yNaqX6LfRChy8nA+gi94O09wiWV7AbGZz3MyaAKQUWRn2Z/gniUf5VwNJF/5oO 1ARDsOeh+tJEPVtbQIFcNHd4DolAcVKOowXzJ2YS2s0uuZa6E75Xb8TXX3h3oI5wpUmuBeRX5PKm MPPg/dnVl3F2HgNYcXznZuP+awzQt5sIdHQaSMJaKi0MlqKDiNx/uPQLNKxfuhawusLpVRYTplEd fuvLsVwy6TwsO2cMAAnjzwwutX3Tb46W7pN9hFI4Lqnxzn8EXLsPuOTb0vX5BvMNdKOs/E0qCHye kTkPcMgDKGOoA/hFXtKDZ/gRLIkLGzYc/oWsXbZO9A4ShCuqjodRlDYJ30qEriFkQoWsa0NovhuP 9a/qtMtnlgs2bntEjHzJBLLADnI9FDmSJckw5JlLKTAuQOD56QoM/MAHuFAuBPgfG5vWb7dVuQMO wPDbLUv2GEpnM5BpSvdF8wPzqjFw6b2TtStOmJx37hOKy03Po6dN6B/e5BxnT+CjvAQVau0D3aNf pUz6njrRlOaBS29hOsJHaRG4U3Lou4dLE+hLm2ShHxtbEQ9g3ixQilARwA7MYFyJ2qLllCMzatyg JGOSfLV0w6M3/ouRLmQO6dQ/xNPex3fC3uGyLTt6S1Rx4clzKqktzr5PXLYDh+BWdi10jrjotmkA he8X97t8AIsssN3GshaJj/3DtTjNivJhaDqDcxZr2NNM9N4uchlmgUW5psxcIg+PBtpBAITinWIu h9wG8j2jwUpqzO0pTpuOTVP9q6Z6cZH70KaWciU276oiFA7SOtQTn1rD/HYwjna/W3dwZHWqdOtg s6Omuh4522nW5z/8jbRFRpW2pfzt5E/r0RzTZnwbbF+sjgKZFj2xJQnuiSftcgi2NTlDDV0J3yiS iHTjMfVwd0peRyPeFa8sLLAZQCWl1Eh85mcGwgxXuH4nhaEhhNTbDRxklArin3GST8FOuw23JVTy JH+EQsTj5lZtpCAs6xyKJftKn3Hq+mXcq3cWg1bkskXO+xKz3GoCpzRMrrMJRtFR2TdF34z5TauU 4lrc72Q3bjpIVkYquRn0RdWOMiA1zxp06QS22Xwj3RTvPe5m274grdSZ6qx9oryQbM5zLF/2jw3W NyhKh9InYfboecRsfveqJMJ+WwDuw/muzBs/SBIYvIhjdV7PDBIocwVDb9FRxb1R9JrWVMuUA3Eb Z0jkP6VuC7uO0OiWlKgzEs1qCX1Rh6KP6uG/jBlMptl6c1O0+6U0tFPXvwisPopeToMWvrnzKjEA O6ZEODX3/i21L5ydYFTLe3yn4YUoshzevQlNEI+YDHtv/P98X+mjDpg5+bmLqgPHy930DzIO/ZOp mAZBnQuV7ZfMwZUo9NVgIq1lUhmpJm6VnbaP9kUTlSmh8HYQDFdwBJ4HjTaLCqebh3DhV7FCvAub ykcBXPM0RWIRocqdLimhJGNXPZz9m6LfNveEo5S2yb0AHYb38GX5taPgleSUqlKIAPXTHr+i+d9B tkrJ1Ga9rRxS+kIFBJoM3BmR2HSEm7SszKgLMwspEJErEofUdQchKlTNlm55PVLQkKGu+M7BuK3d +gtenCxRx0yRR/H/6DeW3nWRpY8r8LOvInsA1NdTjDpEp2hJ3xwolE31O1OWrvtflvM8BnH81DCY U+xgMJ75EEBmVqJCNiluZFLRfFcsP4F/BMDffLElwL90T8go7sJ+f/0HFXcqod66R/HnlHWLjLQa jTmeiyZFwkkC7vvbc70XrBN9OfjYWvJen9A95hbNh+PnA65QRNAj42WVmMYcTuODIOVaKtlwjE/1 utVkUOr7FGfG/Lqzqhikp6JIpzLumBlLxCR0JDegiEkizdzLKMTGv7tl0UrWNnwgPeJZLLuO12LV Dur6Gqa3QObR/JyuJcXrL1aoEAqKGed9Ah0egnKaNqpH2QRJlVfCAxGBczk3evWZ/5aRxu71c5hj SHkrt4hnz37Bqg6/DxHHstB4QodfcTBSU7qu15vggrpcce5CYu6oTFMouGApr2FjpwWW0mMmfVHq J06ZWO+Dx5amy2WK5uA96tk+WzKyucymGbFe5Byk6ZYfxfG1Uo8bdhPVEMpgqcG2QobDrynNDZx5 K1+zxwP5DA0gRa5J4oKZ6zcE9Elj9Ln430pT4mOhwk6OzSmD3diT3AOXd0C4huxVn6fTc+u3G/c4 vL9lisQQiR0lLZNynvH9yIaZk7LJSQL7HCB+rEtriKCB2hzCm0oR+k9MOcNuj0q4+nPqBSmeSTue XJU9wRjZ4vvrhg5xNYA4dGGR/xYbaWjOyTzq1BSLfKrnORjhovtsZ1EfQQB/7DEPKrfVLy6plOyP UhH8+WOYUU3iHgqaewx/Jjdhdu8Phm/GUpVaIIfdvixn8vL9BFmqFp+TE2B2YOJowzrM6HKzQZzM vl3LwG2oeJyY73I+vrIwtxA4HdEOsDhlPluar1X3H+DDT4h3pc74fInU4+OHXoQ9jwZ6D9HL0CWm e5a4KElkbb0DsgplpzHi648G8mkYiO8XDGcluoLDfweCUmsdiWRloD09oVJ33qFljJy0mO05pGoj PuX6jqNkD+zBe/eD8Hfv2MgP7GvTM3wquOTVUyBNseT8lQAZeYUrUUyjjey6ynS5nc1zIBI0sU7n rYPreDd9TUdqV15+f/K7SRql5Z5P89lOb4zh0oZ21tgkzWKoo0pSBHHgvdUa3cJJGGK01xtaKtwW +H3NhwH1FVk+ZaTKqJt7ot9HviDiJ09fF7AD2Isx1QL8xfbLYvysXU0zStglnP+DvGzhFdbK/e+y O3SCwy8lRqy65qUCx4w/HiPwLYBHDjkCrK2NEwFebKBaku+GYNEe1XsUcsLarCWH2NnXT7vCPJdn QJhmFiVq56lJDSStb3FS3tuJnydA1hU9OCGRGNauat+c941/smRUQom1AVuGDnSh+zxpwGulOrhk fVFb6zkPm4aSM2j4VXWyoP2IjxSwqecrKEknez6E5q+CLKdAkUPgDPSfaa8ybBSZzy8yhynYyGXj 2zRZEl5syAyn+EDS2RRKipOMHqGl9CO9nZNlWFrfg5SQc8Ec4FVN4aSQTS30jvIb+Nx3bbvHusI0 5VrxjyF9RqGFlC+YXPWHQRrF+g6xOniuvnkAV9v+vRFIBZJx+z74DLiNJ8gEIvoLi4ljmoUXoxme l2b+J/nJmI6dsO4MdLGATuneMQox6Z+6zXnqzosUIa0/NWGf/mI9Gr0AIqEtcZ5wqCZ1CwzqfVdH q30W/pr8wmU26F3gm+NSPNBmJ5/vD+KviEtIxq7+dqjtz+m2nGA3jPqJtSLc5riemMzAn/NSovXt LgccTHUVzms9s5E3h8zxRnESVy6Yh61oW9zk7HlW9743bJXED7fDV+0AQ02UKQ1/uap3vmbaoqB+ cY1BZPx15WufYM9xjBoi6OQsDHUe2dPAtGB5ERFLXSOVCfM+JclhKRvy7TZM8on5t4ykMaXK74NA 4yrtPZ87fDhkg2omNJ1yJ1/4O2DAzdSeTMv7PZ4Vb4fQ5oziaTJ5aKWI8DXXDPTKvKIGZu8aXPjQ 3Y3W6uQBxydaCqKRtxnXQM+C0KdaYEp4i2pscwbpwuX68JtpcyZZMVBuxG8Uidv8t6w/s/tFcKrR jACThXrEu64zRDP+p1vSsImZ1ErHz3Hd5oekme2vj2zEBKMGFp8DynPND0bMGwlmZFVMpD2GYJYx dv5YM+mkzoZX5b+rZeOY5rWq3vMlcf31UmfmaUxPHOZY2UKLg+p5X7X6h4K0oEZqCXoLLIICf7k7 P/ynu2xhiY8cc5lOGTTo3pXrFA5dlnJ3PmJaSyCdjyCOxq5Uv/1FbIEgwlApF5aWtQ4b+DUk5zhB F9a/P0IRzmBObaJtF+ZJriqjVFmUeYZgqMHUFBysZETC9REtrRg8Gd1UsGORSlq5DpFEjW6L88wU QdEQi7ywVdye0tuTUGG18cBADuWg37RpSw3vHz64DZNU3g9Vz/LXSy++QGId5oOUtF7az+f/hilm 9TGISDutSSeJBBBoQvZeOlHc9h3+gkTv+UoAnigYTyJ9Z96tN4LhN4AXdck7CUtevJvauSy7iL+c c2F+TVMa92IFbpItEUOZsdiT9HPjB8MiuMIjKIvmbmaxf0b/11+jvbTcepGV+feH6FbAFdZevb9i skINAMpCOxvQkcFyae6Jr90Frrh7TpONj3crJXkUE1xHAjJJFB7NJBORiEtTJjIkLZnUXwbYF8yf Kl9jLoHiUrb0obKaWpqHu9mVMNvc9XBy4A2n5q2hBHDtj9IEF2DXnTYxoAKBBfPptFtOn+qprUev 47UjDZXWjSdOdyhdo7uoyreloZ1Te3VJKKGGJffsd3H68HoVPDGl8FJz5+4tOxhSjfcO8Tt8s6WW A9GGIax51I4O3peyMxNvCqFRNZLDAerXPi/KHgPh4ZFwEuadTJK7hlLk2iutDjwhtwoTHyCKAuYH p/6Qla5k0vvrSfzDiz3WDw2/tJ5vrHBmGOZKQydViw1+SfAN2P1EqCI+Edv5b8KLMAYOaMJi4v6V /6sIvK/eJ8mj0qNtWKnQexf9mXeDfwzkyjndDyGWh55f2k/zBf/ZQwgU0+kinqsbCDEDKdWB2IwU s+4g5X2PnvWMbRXYuWPjNCoxNdzpfO0Gh5efx9nJDtVmeqWhbFVHN1d95WEMMniO5SUVKf8RFXF+ cB3GrdEkvkNmyrALv41LJzTHHVe//aRg3NvgJvXu7ynPyUY5qFKrw0izOQmhXEeX2lDZ0t1TheJF u+1iaPGiFHqy8GLviuTu7cxRQLNipmepzML1rCwa0QZiVdDebPZ7oGshu/dykUy3OLHmV5TKjP49 ytvREm86gIPUwxM7C/0n6DSPwf6kux+45wdbv/3veZmQNkmJq7s8zpNOZcUrPAPcWAKocHtJiKQG FKKAbCGTPKLoMhgDKgiQcZX5JCTupTHep8Mscm8xA2566n/pHuTTrAWHGH/hVaFzpd60M/dlIfD9 jhTqvvco3XEhi8NG5pCsp7jyrgQLiPyfsCb3FGPt0j9wFN32CDp9j+FlYxXzll4qPgnzJY0nvID9 bZixAUqcP6dZjGJhnrnOa1hJSRGu3zta8O2Hbh0X1IyCgyQIJusDXjeTV8SSaSqA4Kxi7VtSw7ji XfIXEtiyHlKJXwuaAB/ODAIZ3r7ImO0QM2FFSbczsXctfRDmeGp/3X58/v780FawPprBeZmksgPS Uq3Ymqk8nGYq200AJI1DzDOJB4HXJGHWl7VMV1ofjNE3n5a2bzqv1xqG6+5KyEfxVCyNcQQxMdXQ HCGaCFHcdnciFdLuxbi1cesfF7UsJqqB67hQ71rLj6MVnf/T9RKJ7Vt4L8oIX+dTs5NieeAXqhK9 8ngAVc+CUK06a1n+ss3xF9uTCehdQCWh6zUt/cdZsQyoyfSTMlVOGYUafe0HzWN8NpW6D5fBAimF 7JyYtGIJoykVHNoxIw8xItgiimafDMruTN5W9/4uuL98jW8KdTzIRfFGW9keEB67CEOdxflj8xGU i8r8rBAsGQhcMq/6NXo7HEkDTGKNbFmneO66msEPmdIpYnB43wchL3sKhedMs3ZTUM/XwaW94T/C e33UVs0bz69WITRDw94z+M03uxkV1Xk5GajPtOhpSdwtuAvywXk5JBYHj61vWtJXGrClyvDxJ4iz BqyhgOX2FP54biFH6T6N6edbM3fxwLqG4I0BYkWbuY/xBQ9Q1+/XMxUBpd8IgnoER3RLEko0biJm 2iXRBCsygwQMVxXClrGrV+yQsQej0+DycGkII67/pb9oMNUy+4BHN4BPV3zlK+2+OI0GnMN+zpqq 2DCFWLWBo7ekdPeEwu+cmmV6bxAJIS80c+tuvIQ6Cve6b4wBTDBuNAXHwaXyN8dq6K+zVm5rAsQe p8KRCL+SEfwc/VzI/S2iViBv2M3QACuMq8bDlL2Av2DnNigUFvW2LxPHTre8B/ADPg/KSRQYhyIz 67uJEtkCN986tMZJM618VeXwcdV8qBZF8NfhqUu3fWsgMqdW/NZ2sY043y6YVHXnu+JIDIKI7hQU cMCCRXwllM7giNUsf2sFf+8zFuU6nqgwuEK+E6du7Z0cfDKHMWec7IHsHwMJV6LeI8SJDLvjPctk Z1kVTzp+eioGsYyw2EkOrXfQSmJreVzEMdtvmZjIjw2qamyOEGjj/n7J4Vc/4P98QkBby/qva6JE /VaQz8tTmv6vyzaf6H9rW9eu/hfnaiOn4BMtKAUnJeo1jKOe1FCKnuDR6QvZfmFpuHl5vvof+qVz 527oJDXLH/iwi7CkSlxHLSvRc/FLPuii7DT43IqFhd1Caju55RbMyiyckMlMXS3KWFWvWi/58eHI bmoQJ/Mv8oppU2TQcYttQZ0E/xow9sdCZu2keqjyHR8hcEiueXGZOyt3naWccI10dLV03Q7/a13r AH2t4kb5W7svehBJFifTEtv+M0jxG/5UVhFVQOk2GiZ379u/8CNMfHAXdLk4DXcKA5Fxmk4T1Q4J RhW1EJBgjEdqPC+viC7hhqCMIn/EDfsLKx0eS4ZG7cmjX5ivmbSnb1KPR+z1jV3OAcktO+vWWZ6V 6cpkiLqArKEtpbcsIc0Apsk/M/D+MDjlqpzBBkcXY5G26DzUcmmbxbBcBPwaGVUXQSrWkXCcoLCX kSfdaxFY7KKA1ssGlfnfwbPYwg3Rla+0/GDp/fEd8doVtdRr6NEU9J13GFWUX0qVWtfXAacQTt+8 K5tekOwPxnG8vHhhIsVEvHPs4vT/hNbzSZzdsmGRpab6eXk18BzXu1cxER9lFK19I+XexJRcERV7 3JeyvpgQhipcPFiCDq7BwaLV1NaYCvqyTYlAbWNA+0CnMQpBFOeiaZVb0SXSqtC4EdpE1Iaxm9Jz Hno20cNVYukXmylHcd5F8ystp6Tha3uDgh1Sq6URziz6YLbjmrDkPEB7AaacEcHWjVTBh/4NoJci FlFZk9ZN5xg9pE34lumq6rlzoGxrXxEE8FBNCjIV3qT4WsI0ULii5XvD1BhBPg3ZtPrjtCwPzaI+ t7jCzNou8L7SZk3x4MKPEd4nU81tlVOWOElf05jrs+BaQ6v+mpFmTftlrKLd5S26Q3UtyEa0uqiH pM8DbmbuwIcJb1FPEsWwTzZPui3QkYPZ7SFfyeZm2kBHt85/vZwk3a6LMTytFnFxmMuY7kLhKo+k H5Vt1Id970Ch2JqDcbhYgtn7Dhg7TOiOBiSfuUM9A42NXBEGi7u8Z3xPBj9zlhzZvyo80mF1nlYL HWQ3c/B39EjFuliG+caaEedvRYEwqz4LC7e399sn7ROl9qnSaARcu6xWfRGboWsYZlFG1w450Yfc 7asBvIjF8ucZJyEN2Cje/OLUs7f9EzRxL8AmB/PmWoloAHzbiPebwDPyDOrYQ53R5duBrGo1/NFR W1qjm3jWHM6qvaFA2F2uy3svtmsUyHQ6d5o9CkjYIYkLBX++FbSGMfb6HI3MA90eGAej+EkM0Piu s95oZJjVCzHsNzm0gp3LGO6tMV9QuVbE8SYYyEue07tCw96EBfIEyqxSLoyp1ehDdRwJ1oQQruKS JGAkXR3hDPEZGodbohMGTOzVDLjT5sBSvcN2zKOdqrN6QFOOek2XrSKkU/TEjJIw/LfepTEW++pU 45ma1+NRBAY2scOeWnGTJqNiawyUn16/oYyC2MiCFVgF9qDWP2UxcDrBKnn+LQHv6Xi/hCqac8h2 NAjDSI5Un3VmiSVlGK352G/W6NhuRkIbBma9ytAbr2D59/BEm2DgIIFSyUBbb6LVtDD0ynBA1LDX m8ctOtJVb0AZwl7FAbwS4TLG8rROmHNVgQ+ayKOUwYHpZ2p7syUxSoqXBxYuLcjZz1Yu6Jl8MfJ5 rzuwIKyqHDml3F5DjVvHLkjuZlfD/2/r0fj1Hln1hvRhsRhRaN6/qlgrger7Nfw1tOe1+QzNuqYB NZnEqNUErea9fgnTEl0v/szvFcpuMDML1DqrCWNOz3f7/SNKemLfSPZWTbXIgM65q357EQ6V7Bqm bzrrp8B/SxzZ2q/A0iu5HWDvenSJd8QZtM+4UdHh9cAHf53y2RDJhBpqCGG/fNe2BJkuQ8UUBSSt Dw5Ow6MsH+GfErfcDV8I2ypagsHJB++/N/0czv2EvLRQKM4009FFvZ0zTK5MCdamXoxGY2ZTRzTC 89gwmwLcAeKjeeAkrhNdRRiYV0jj9xp9nAHNEd+Ia1YtRpBYM6nlMWlfJP1kx8YC/FkYRI2UzOgo 34z8rSaYN9vmC9EpmEwU7/8AZ0lwBneXJfyU0GJvjKiMWqlxnH5CAg0jFlDJ4xABEgLqB4uVt08l 39X4fPR09uyA1oD3J4lQMW4bOOq9PndUG4PX5xqf/VuFvrQfp863xusG0M3jnJZoKaXyYhUOKnzs Ts/NzW4XJHpN0j2uTfrjS9OuFH/S8caeq0WPnsoH/UHFCdFmbijnS0KeeLL49dab+y7SW6dbu+dY Vb1xoZfEznY0qN81LQUNuqHdP0vD65gvndamcvn7lAX58h5spOHCaKnWQj6Ginv/216qOl8bbbLP o7ePZTG+s6vKqw/0xDPftlWNxE934Bhd6IN47KgYFvNVr+//CxNusfyQQiLUIvJ5CICu67PylB7n KaT6jBDBJQvm3+VrlLh5Dd8dpKOejTiIBGl97bz4VEVcfKZFOhMScX38derY4NR10Negu7eZ2C0+ eBuJ76hyU1kETg2eyJ5/gFx59CVEFKxxu7SEzbiO9sqfIqtOPfyLmG9kwedlDEK5jB4Oh8QA0yj5 9So8L2tsVlfycs4c1ZaVUPMvFVaucqgnN7MEmz17eLMBRn5IF8pdj58RnUA+nQDybvlCA5Dpg2WT nsi14XsZ+48ux+8moDVIF9JhCw+u/UDPwnAF2Y5mEdo1q7XnftDyCbtpQ13Gbo95zSJQ3Qvbx1Os Tntdwxk4n1tEH12LjiSRes49EInyv3F8i8Arg2yoQfc24SsaedYS6aTzOaWMan5wPKEDSQV/Sdqj zROMuLGjzqwb98ra2edbdmU7igqEedgN5oWCvTAeQNIAdvtMjpXbMPTf9nxsb48Md64XLBauyTXJ rpl2lNnJMuxDYJE1Q/nrhTO4wBsVvan3EIf5c/zcjaGk7hg7jUuYPNyqddlpeX3cUHPkCKYn2O9i xAet+SA8FqO/jcUT/Qul7I9I0ZkBqqGzUwpDuKmd2T11NZmR0/+M+S8rlSWxMbki+m0U6+OMb2GE bmWSP8DWbRvjKFD66mKWLYa7rZ99Y1FOACMkC+Zg2X4T4zSHGJ5jU84WwUntJUkDEstMxvatGiYp /rqv4iuMawKkCHbiSfIuYEpg5lPkJyczvlOcSesnCIjpyf2W5fy7OwHTydRsylDT+aIWfokGayQj M2yG8Ticgntd3hAGc9oW7quvJh+E9FFe5RnY7lzOK4MHx6jx6Uov8BaEbqam+3sLx2yH09+sg6k0 YLmwW4ZGp0e9X0X3Tjh3Xu/mtzOEYDzg1KpfnKr61oXd9TPYi9uYLJyI8fb6sJQgAtycrtQt1gNH wwPqBsq8caackjFIFLaq0tT3RIw+UnEoCcPqW8fkXxtB2sMjESTbozLOEimCxoWP4e61Ng4w0/uN p5+eWvQOM5xg95xwEgKL4booqu3zIvl1gAiutpdT7Vae9XCQJBl3GEOLf4DBFG3znsuUjBmyo5nQ 0q7OK2uSq2M= `protect end_protected
gpl-2.0
d385ce5bb51fe9b6ddbe9c7d7f581da9
0.950921
1.82273
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/c_shift_ram_v12_0/hdl/c_shift_ram_v12_0_legacy.vhd
2
74,777
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YGWmMafLeQ4bjFcIBJ9+v2P1+HZucZ5bHwMhiGTSCGAytxQs636JfE4hEbT2CHADEHckP7A+izBH iMkXOYMrJg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IwfVdPIVORl2E+3tvCmtvyN+5ehiF/5gO+ANgl0b/Mfb06XHoCfOoIqyUfO9Nkj5Z+zwm6G3pa6O 1OYQ9SVkKlVLvCFCP1m/KdwWi4uay4igdISl6HQn3KCf22kRC6dj27/bbUBliqH0CG8FqAvNtaoD NFgO29ZKWx6cGDOrjM8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block B25rGGH1mnJUPWWOaBz1E7I1M2CNp2avg5aioPfl2vN6VQ//q8pG4Ym8ngfLOvU7eQLo/BU7QkB/ uu6wx7EJzGFSTaLX8JhafcZOy6/OmglTx+y9D75ifL/g3etfYy3W50nxRsz710cQnotgZ3HVplBc YB5HTa3L5piXtTx66MqELAfV1U/BoE+61ANvAtVZhGL7G2sklJbYQuvSkfEXJEt5XzwYQ9FLdJFV WV4uOwaCzjhb/uMzOaT7zc6XtaLgiVOE2ZGthS+7e3KpM5gbZQX+g08ftZ4K0m37F2y9e/8j3WSm 3CvHGT5WUGTCIRbeAZJdZ0UlEo5RYeRhdDbQbQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block G+kRpAWHg2lr9b6pFXDIaqWP0QeozOh99QZN1G6LqtQBVZA+e8nRBTVLKIo995+49gMOvnKoIFFK lDGszYTXYYZxnZv2rYxNgOr8ysd4sT1WKtToId0AeneaMxz4dhcrAEQHS7YYCKS0W3SigvC3zqO1 pjs7naT/KqAYqxfpNus= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HTwOJKrFLpqW1BD6coM92cGfIzGrM1s7WlyuP326LemdMy6J641xqgLT3XiPSofNtbXhPOZsPPAn uTJlm7oEwtPdgySJaTEJgc3qWyPGnrLENBaRqdqigZd/+VEMhgiRXM6OVGj12+1+oSi8JJz/W9r5 0rSENoUGxyuEIjZ/XOpVO+K8WNZBRjF0q4SlWgQR6Q0rkRFbmqVmfy78RVzvx2B8xmapZ9wCT38T Fq7vjUjoKhrPUCgBdITJxEjlSXA7nHG+Z+5GMVs5T83oVY6FEWfm7CqOGn76g10/dr06FZ+5temx YeT3YroFOVaKoHlLK2Ciqms5cax5lJi1mceKZQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 53616) `protect data_block 2A8SObtsAsa1vsIA3yaQ+1xTbjFuJFmq2vnk7OQ1YnAdaMCSkdP533HD1OKOvrtk+j0HCZuMO5kO QldPeCxuDZg4xPvh8Gj/6GES3MyfWd5HyVcBcxuTaaU0qQYlOn/Rv4xywBAO3HTsxgXnSxvRcmXy CvaFJ7ShGRCVYZI/90SyKpAgdPSFu+GtamoP0rOI4BLjs4j/s5p6tUnISAWSiS6TdCpXuwfmsX5Q utvS35KO93FJQ08VxKRb3IFFG1zTSdrx1B0eeqZaUHQE8fD5TaaVyQmjU+2FfdSdZngn2ApVOxuN tkrZLElk/n7+OBs/ka2oT0BpPYK0F9nIRYaJtJE0Qsp2tDp8lPUgeZs4GUw6my3xgQjxAdVmlw49 2d6xQO+teu5Q9V5GaWvAMq5orolHgFN9od0Ftunz62YUPdZ2IcIXE6Av1HvfN92U6jR5hyy8VhsZ +q3U+aT98YapKsq/ht6bbxaRBo47EKNrDthhFq3LnoVtV1Jwni2/okdCCidHlp20IsArXA1fFyOT mxq1vvTXI/UOtAMOStqfp9JX7QOdrnj1jTXWL0pm6LQy3UQLH+8it1IdxIBcTDmdTnmvCuXLQguL mLvMFn2m7VeYFpHnxyth+ddWZ+/pwQsIXNfQFauyfB+n7x+8Crqy/P/5Jp86lgUWFslZKjFKxDYn clzNP9e5YmsGSVzVBxU+CVCy4d82O8GXOpCPlgmMks5kLPkUs96b4uZVGUA/AT5KPpA1srsQ7aH+ jYW4OygoGOUSy4C4z/ovpLAVEnUqiNsANXLhPho+tJn55caR20+99Srb1ic83Zyvbte0XbVz1C7d CvUXli0/YIgJliwP98Dw3vRTlGzYqXidVkJ6GdUU9D7mr3hSAUCg1NwzNhQ67y2cgsla6B1bw5nc BL6rPyZjXbpwWaw/Z+dS30JsVsYpnvh/GfS2rq7mWbQuv0s/0mput0EWB7D3D3a4OW8sEMZmIsw3 p2aTo6AuSw/ozi4uoKD3EeM797iu+XMy9c5O4ikXozpPXNH3YOXz00+OftqKjTNRCNJbmMDnYOD2 H/EGOR2wjRZL2fAh6Z7tM5Jwz6IDQURbyeRIg9UrBAC9o/7b3YA09E6XHVUiWAYRCdsnfjiko8rv CGEZs7tZ8ob9XQNVF04Gk9zxy17agl94qHgFl3hVtC5DphtMIRoYQI3hxr6j50hfGXrDwTYo5ASP OMeOcwEml/vctlwGLjg1gs7HiW9S8uQaLNGxAV9o34pwdyuf/IVje+FNR7QayLKJkL/+ljQ+/Icl T5hp/rWw9PMYKUadV6dtWJ/UzcxnleD5uG3CxxXR4EJEdatRub1vpv96m2n2FyLKlcvosSBN7MkX MThoZj8Xk3Ik1OpSG4BvkKj+kWX2u9qbS/4J0pjw/uMJMCaDapIisg4alxrc6HrkJOnG4x4zrWgH dkoi89Yu/Fxj/TiOwv+tJ3LhtHKqqV3TzO+JVbA/ItNX8lcfLS+rY60pPFS1bJQUtdB0w74Cp2fJ NWe+GohPaz3qMaTc9aWuOKSd2xfrLer21eLflb1u2MPVarfu1vhDmIvJia84vLyfVBEJeYJztrVl /MvPE0Jecg0rPp0RZv55jLLqPzmZmfow08GNAQd5KXv1krljQESDxWq+NBWiKETICYEBQ3+iqq9Q JxolhUgbt5bwvx+aDpZY+yuPGIP/y7CoaN+bEwESnWSo07yM9kyrssp7yFoRDf7x4fBmIy1WPfHM iW0sMddsloHlsxPnCFA1YLt6U6l0OYcryfjrOVE2Ru7cOkkZBic+wDTvzafyZaTh7TBmL8bnx+Bt Zhho9Qlf+q0kJfeikIUSa45iAhAYmKy5RU7CJOPH3qTOxV47J9SZwbZ7y7FMhyK7sfq0cRgCqGPx kBYbIy3d3kFkT60k8V67Ai6afSMkmeM+CAbyOc+Hlge4ztYNV2h0716/a6/cwWgxFYbcM/keq7YQ HRNydwe76+P+iQzbfqSUSzIahq/SBjiZLxbs0Sq9LLm6wrqrXFMZr8RG6heOdrOXRJyohIf7/uuq 93QKMHAoxbzpEpnjxaVa1jYNVwkh/A0iU+7HLz9rQIIKhyv4cs21RSYpoGvBJiDSBvWzOVRTT3rv rsgC3MxinyWQbFTO9h3c/c9lDBMtpVHlzt3HyxWbJ6TMNwLdm2JHOQUmiX7PA5XxBPFg48YvSoeq fUPZIROpgbLG4clyGJZLFf7QmcdQiP6R/IwyNLDDdInmgno04gwWRdIxetcYv5hYvTed+nMMDS7z dr8ru120v/lbrpfzeGJ99GAfXtC/GsKitUhJm056JYVZAoAaob1uGOy95KNyGspl+3juakCXXrM4 WHo78KCL46i+BClzgBXrMNg8trIyz8X84wZHXkVMvnZN5K04wK/HjrgDhoXpAhCG4+6F1NLP2LoE XAZQT+yS7nGwCIZ8+O2L/n3DBE+u4vsWDJXF24kMdXt2RAM3Ws/qIQUMXhB7ZApEFlmyxftNo3wE Fq0ouT53Xw+goo0aWqsvs3VhyQgUzf19VaHveuZ8kzADLCPRR3qqzC4PuJQ1hiUDipmNKyJFItPC PRXhgV4JKOxtfbmEPJULmiv5KCd2IgbBnQE6s8SbotmRFjyEnnSdbgRsJhvS//T/JI7n5KU357su vc9o3vhLRVDGt8CfPgWHpZDhjKAo0Uqa8Ht1JGc0kZKXvtsPPaBkme9Zc7FWfApbQhpOQAOMKSlC 3eH5sEyH3CsFgcRhvqGsMF0yqFXMkopAVrfi9t2vY0yeszVhgiqbF80qiTRXUXAUdA1VW+sYDlBW D6mxO6uWLCncOKvXw1/0O2g8O2De6lh31BmfPguJMdJJAn2poQg5wwdIo9bwJHbFIUUsLXRuz4MF EqNVy8ntbAKP+PIWMS0RGSAv7RHFMfynlhykPJg8ylzaVcavkQt6eEuIhzsl7E7huC6BguK5y8h0 G3yRBIJoLLIMQZVjtAfi+/gITp7pSD/94baLYoVwLkTzlq2uDOepzccUMW8xJDIer9GPfoJB6MPd UIma+A3ciLh/ZmfzHH8WTIsJdxNvXNHRd5WUPuPWeBITSrq8RO+BPGaosMh4vcdZnH9Kn41v8d8q pX5NhcXG11YJDH9TbZEPW9Spsfqzlqy4VHI6aTMkLsMJnLAU/XYV5EwzMXGNDr4RCVRX8dGToBoa 8/dQncpF+C56vFwR/Dt5mz3HaaXUyrHuqVHNsAeoQjLhgL3tyHD4ph+RCTxcNIGcNOTiUygI7vu4 lhr9Bx/btFcice4eMC3XZl9GVsFohqU99S1J5E0Wbx/Q87vR0F7Ak2qSDU5Tjfhze5eD8w4ELf+8 Eu1ox0XZijl643orSxWzMhmSGU+n2l3lKo8K04+XYqom+nTYPigWxhAlD0+skkBS6XzFMNoN42qc sOhEkoTyGcTGcqH7KxZMdTP2N2euJWtoNaa0EO7Vc/HppVN8z6l0fqz6palD0XYzCfD21zUc4kEV UkPYLJnJ9mzky3TKiVwFwvLK2fl7T+4AKurmYVcdpo7jjOmbxs7c/bqb6SOUy01vtkEn0XYCvnmS jUspopyzEsKM+tEumq/Y91PZH0ZBS53y2gSRJx96u9Rl15qpGOfduI6tLmGpz6zZQJa4w/xDeUTo MmLQvslw590gnu/keGn21AsqdUqHdlR6U3fJHg4mqJHDUo5ZdO3VqQcZrJF3UYRITA9ct+15SMPr Nkg2HmtzK88B/KNRsu17DSweOSZenH3v/gMh7u9e4Lc47Uo1qL+pGH3nIFBILdgH8nPGFftS8kBC tK96DgE9SANXvnA1Dg1CK8E+S6AmfvOUIEYqdppn3VI1ZQ9E3ftQDKDqjM19kFp4AxCrrd/Y6DmH sTwLU3JQxI9Onsz8r0fqjO+luINY+nrjFsC57nAA6UFX4cMnZecjxkVJxf/xuOj5QOW7Ts9CKmsP 2QKKqMp8n7iUtTVerNEPEhOzv33vNlzHUCa3GKDle0tYMq+4PCXxtG1QY1aGH95929GR/iSsyTs3 6xUyRKtnSGIn0A3bcCsUSB7GXW0ErdVwV1kxJ992yc9Jxw3DQJm8ZHGjbT5MAqlJXG0O24mUFgt7 A1ApS+607PIPUYrLe9mgrUt/9dUw+O15PP+3UokTDApFpUwm/N/0YF1tp6iT7LmBWA+y+h/4j4LT xnjpURNTnlH3Q4o6Qb2kdPauvrX4YjlqjEfTcKVRNfCqxFWHA1+SCXblxErtjsQNFZyKwGJbzEDY 4pLGchKG5ggEcPbSVrdWZEqlM4tTJfHBd9vOqdDeqU/RC1gutVFL8FfraP9oEgKCAe+H6hW22xFI T2y4mr1wnObA8XF3oBvCBvuHcM5+gpTVO7ADek3ojF2kCGSGYRdnZW+DNboYY8L0cFDNUdxLixX2 gu21EADLI+Y0NDUJb0hM56ckdYzvcEGQizjyR/3/HfEOM2Q8XEj71bV7t55bJFO6qbPsFGltJ4M1 JalpGDp6vndG9b9RzWTac7lc8lPP+/pk6SBGdG3qvxL8pcHiMNyk052Lz69wpRzK4A4ovw0dVE5j jjqg3grgWONoFocyqCpxBCBVuoJ2wtfEEyx1L8K8tPScZIDzhluYifjObono70XAquk42wggy4ff LO+5BN/7ntLEiS7nl11eqoiFbcFkNLCTWNn/rkBlCNkYwLU9tvbEhmcdK3nSUXks/ph5Ta0/8i26 XLdaiI6o24K7njQsujBhvZcRlI7VzsQp7+7xl3y4gI08QbXGzzMRY9fbkbOowWWryf+CsQE82Otb nSNCBCzWSBp8FvdADw6Cdh1pY5Qs0pO5XWR7x8AZmnyGuk5ebR5qHBKrFS1tlPgJxA1CJzft2YxG fz/a9EuDMXZZluA2FHvBsKMnxa6Vj4SMEpm362svJhevfBg4XoqrSK1apu/TEScknimueHoYys+g ubTNpJ8LtnPjTljFK5YwJ1IZ6gmeBGpb5ZQkTzzWKa3EU75ijvIskuS9ASlgOW2doRcyngZuyv3M SWAKj0rYkNzA72J3S9148HAvdIjJNSdDr1Mz2IKo9BUq8MgpT4JzpALAj3CQCGMMmMgqlS7VrUz9 3EKqSgY7bd3V9HTBipZ1y6SkysCC2ULx8JtwPSHi6XCTMTaUmZnowlRCAYQrt3FMIkK8ht/xX0IU tWIjbQ1ikh8lc4pRuHJSaLRi5Ksb16eJER8k6Q6OH+n1FuO0FdWfuFy9c+8KSbPpOcL/REnA0jfA XZ3Xk7vtaMEFNyg2GF3xCZ5PWclv+FpApzDxkCVaScdHT+hw3AXfrcT8+mbtPjsOuNT3Bc6qRjWr Zk/aLo2HPGNnaTImxeqC1ZrN8xpQT5TeeDSQ/UxE9I0ne4ourUw/FUK8iuX8EERKzcoNbIrcynn5 cSvv6WKa6yBveJxNfCjiOB4eDtmbjyOSBBzdVfHEwTuKGmZrMY1B29st4SLuT4PqN99dBg8HgW6U D+bTtMrlm01mujx2kyB+Zqkj6Wwowj5cDye4xNPQ1vaU1Wb5tbCR4uPhqu7qqed2Fy4dWttF4FN7 qqLFRPnStarusiaTouOwp8pPOJobn6hZMwe1cdjyYgjR9CLKGD7373aQ17EPNpr+CZ3G0lXYxLxz GQzBAQPq6nvW4jHAjsfTn1gdf1Yw/RqSbptb709PsIlNOBfWv97HCWnNgxRpTTdudqjb30pA0WTe s80dXUS5T1QiBLLm7XfJK03+gP5Yz7INDrRLQkaJIhYND3ot4WTanSNlkYiPFdRd3HGInpFqY3nc sdCdtwFXzTBg5Wq8yPYu6uQtjfZtbci/0BUhuNpf6BscrFKGMNNDwp5Bd9ViXIiOpjW0Rx0+QxoS 2fg9UHtMgD02bpqZOobt1TVNcpCkf6FKt/yBerW/ZORzMmc6NGVHv16qgfGHAKUM/om7rLBjCIcT W3SFF/bIo4lcBRjmBzpO1+vLczLeQ5Y8hovbVQKU0MZJAXWnGNL9n75z+nu0lSBJpcamkupxE0Nn 5CQp3TbwFWcqRU4Xrz69JACfWqG2wVKfOZ32cfDePcsp2/18gJ6gL7OMIy6kHIE/QlPWtT9wyfTA LITIUb6By6qGD9zHQbvT3B1Rf35TwDmLdMMKOtRDr8UXH5X0Sv9YRuxxfzuM+NpdOgrqgDhoNAEN gtIYkzSmuPeMCcwf1js4EiAqUCFO6uKHyVypTX3h8wsY1O4rlgCoZk1bHbgII4Vbplcm3xSml8OU mQxnPL6FfB6/3SPIIU6HhmqIrE98+SCKscd1oX1MxxhylGj5XfiAENLc1ouwM12flhtvm9C31tCV 29yceshD1nCnQc/TbjHfN3jkoDDXMt8OJJB64hWOrKWAJMEaBHXCeeSEfQAAqssYsK/pOzr+Ghzv 8qYYqR9U7Phi+hE+WIq48N4ja8OjPbcbF0euoXAogJByV/d0rvTb2BbTZazI/pwswK8jnYm6j0A7 /9qWOCa4PRdsrb+IFoajFmt7NBHl5XKd9/qsxGCWb1t4od7yRDJO8j2aIvXzNnbXguBRm3QaZ6Gt Ksy73hETTjhrtMkh1XvJ83JYlOEcSDC3P1ATqvfJqXYpVatkiV9koaGS2jVpawaL+1pNEGUJIrOl VaPaWXtJ2uhmYC/KagKgZZKmYTOIu1fRMe6vPgW/qDcAnqFI+l0OiL7qP1VZWMZT7FWvNz+iZwVx R0su4Yz8U5cVVY0MGCs5wZsdqmJGsYbniesWZsVn29TDrzx3akl8xu6nLJD6859Jl8thYpEy6cUi SyJfDzOwkvNCyCOZ9PgMUxgN8ZKvALuu2BVyIyWXSQ+20cETs964rIqS/rc+M9liEN98BFXTgtPw o9JAFBGUXY9CPAxCg163saHygny9vh9cCbc7I6EBe4UKHPIyTExfD6PXWITZgcOa1cYx2LRa7oLU MQokZq1DUoL+saGv1g9dpi8Wlb6y/VWHiBd+jepkPfMgXqQ3psCIBNyfBsDtuD74cyQkb584osWe WHCtn4llLu6SQqxW8cvyZ7y7yKw9U0xiovrOxH0FEWTWC5fgjO5Zu6l1ESdfptLr9+NbT2R9SRb7 95X7XM/RdRJQ+XUBlyml4OlMYzPeih74UiBkTWRj4aAgGi3S2L3WC3ZEdkTq/E/329l/yUrLfetR 8Wah7bmdmunCQadZ8jtn9PVJefLwXViDWwFudQVnmqeK+E73sX4YLsKVZ7rfxKAp6QOsIlQFT2nJ p81TorAt4035bkZS84e+rUklnPGRLhuSjGAg/sOMlk+HNj8hezvUhdTPIgN25+toGVCHi73/qngC ZZrK+bGD/9D+1rOoHGc1LZQmzEk77c7Yw7q6xSP4oHyaRZv6kT1pbeOq02WrpJyCTU5G/BrZGE0W ZpRqb2kuKVuUzW8M43TsckHl7p22ThimANQRC+UcxIusnZl4taEqNOv22HFugwGfiFrXIv9rcrhl +mliLMeH99xw9qYVidPsAyNJDL4Dowwd4WcHO8UlVpK4NNvxitPDii1a2BBCTbro5rfUhHtgUlIU HiUKf0hb0Lt/4+o4KQWz9Dfv0w1QC9fXfqu4JVU9xnyFzUiZwvMF6wpHGeFmBmztiKYoK4AunD0U 3B0Aywq0jbTlvixjujNY2ts1am1yFVInVinAc6h/QWk/DGX/9WWWtbM21m1TT6S3xaGnWsGRlEOm xRSk0xZlHjyfEKtgyuQdOqJOss57gJwN0H4oUzieVvZXyK1OxBIYaXuvOTebEvl/GBoXraremS40 doNgWhBQTQJmiu6IjBPFe+IY0AIak1lrYy1BTmomqzM1lGXhzx2WM9XuQc54RKrZuRZx/cX3PwZl zfU6SYtLMjh5lAKVjYDh4V5JlJFvFtmqA9rzC9QD1p8wH6+IU8EI/2aUALV/KxnV7ZoqnehS21/+ pn31c8apIl3NxPMIavU8Xq9GAqXkvWekDTyO11gjUDY+IqOfJ5SW/zPOszglomDdGXXxo3Ru2550 QEXcfmJbgqiGPlhUapEJiquhuuctdpa+rsi+YIm0VHXL+gPDP4rXsDN8STHC/RtD9FfKj0WrWn2u T0+WF/A9+XDMDvkyVgvp7TvDVc+imGsOw1X4THl0IaOS1ik8wScCu/vXUpUdz1FTpF3yBZ3p96rJ 9S1buszb5Szhe/swKI9+v4oNI6XY/zdKb+TCJEJpqFDR0TF4zib53QxyJLEVo9xxEfBVFFjjtD5K /lQs2HWQjXu5fOQpXGR/YN1Fg1mBUgVyr3QGRaX8h+tGA9kkGNMFqccaV5q0h1HBeaNfKYdIdINZ 25I0RIaMbsRgOeW4EiUdTZ88/29H+p36DfZBilJTxn5qC4ZVTdwPgRlXI2i7MFSbI9H+F4qbNc0z 9+IO9kE+akP4jC9J4ISVkOF/rzHO7lWl/u1zvc9x0lH1PLqLTPgSe4Wu4wHeCDaRW9CS8tlM///b TdZ4PuEi+b/4tZY6kHqQhfiHDArP6XuyBG00B1LlhSsoXkhucw3R4z2MSuvMB10IWFAnAu30iX11 ix1s6xkgJkWrN52BU1WQepFiX5IgZ28xgcgsh6dbNINwYWwL3EL13SSfDdjiArk4J4yQdP0gzqoz kXy+GZioyA72CBpmu+R1rDUdFsDQ0Wj6g88uxfjaj0gXQ7514k2wYJu6z6f3S4j+XAtJ3JGsvDBk c0LHMj5mVwxIRjZwLvHkwfuS4mYkVvshwr2+U9rP8FRESiMcF06oUjE+NJgDaNJPfJ9NO6mC7gLI SmEbY207fVVvTYeHGDhMr1Z5Lu+Yz40Unvuxt7DhJu5cSgiNcKpn7gagwqqiAW2+c5+u0LPGRHtI JM46mtQ6jgztM5hvOg3AgFFieDzSRLm30s+jNBp4KQhJS4Gk5FoWQMiS+WZwzowBPbawLmaKI5mk GIJsHnIdP1PM29lc0aUiLEFL7Jen7OZ3UloYEfrMQhiXOvFTodjRQCc0/WVFQea6ddDuug3WE0hi cNv9+P+mk7t2tSfPfjxDH7fIV+20viCa4UFL3lKNpGzkxlU4MqQ0hGdLvIVy0ND5y1ip7dYKe+v5 /dUVQj1YgkT+G6BxTAm7St5zmtpw0kLjGjwwCr4jDmCY8NSe2FkmJrvujeymLs0G7ftc8YYuOuyD mpbWdQ3vo4iz9JuzjxRdHWnGre/G7Tuzme1Pin0mddq8T8sq2prl7+XferUK1srQDsIp8L1BzJRG tRfo8OojOqpvrKwMp0keWLvpO90jwtlBVF82wVZGW0VD+oRtdohnTYrrwhZX/RLfQGfajNmo66Jj ZM1YnfUjUGnCbplpeGqOubt0XPehL8GkvwM4jyHJlE1HrzqQikkaNGQ/iIxTAyylNw1I62ae/3dR yjm4AYYfD+LXPFBNdiyi0WZteSN8ic+w0k5nFobzP5voh4BFuS22wHmvuM77elbsCJ78Fpf12txF xK9F6PIR3LOgZshq0Zv9t+qZKwQTChuykxgIEhv9INhRrG4drLbVJu6bxj/vTs04HV1mPAllWN1p WbEFqYzrtU5fShZG4LVFD0c160BfB4mEdCPvPKXV57efVNEBjH+bnttTxEkqtUpSesXuOkCMVZ1U BSPHMUv+GN0XNikH/nEHLDVBRqupsihw4QfY0wvq3zzs2EJAW9ordgRUfWO3puvdEksupqfWN37w ohIFOBaEwZyzh7EC2oSJErlx5RnLYieabUSXvm5A+gVC0k88VzSRVAUBfNSOE7BSy5iZnDHBKtrU WzSzvKLcwmPYiLSlSswR3djhzVaGTTdv4XqLc8daRWNODdJ0ablqoB9q3zYFHjpTVafOrz2wwyc6 WGjJb2Ss/H8hFdgDYPEV5aMdIBzGoNSghouj2Nm3C5AdZiG0jG7dwcIU5V1mf50FfAVejWkGm7JR Tfc3wU/arPjnIJfsGE0yN4HIea0R/6J/7aETbJfwuY/gujKaEUwCkTTKYRtWUeLAEOMGigNKVvYh 6Qxscgh1Gq8iwPpkntWL/mqTo4X/SeYDmny6rW499KaXq1lhM0fwFbVfBGmtxgj7QqOkS46aJi6r /Dm/gJPAhLz9bF4K0JGcGAgcukkfgxtTni6C4OF+eOr0LVmCeV+YoUT0+pkW3xk1XK19c2iNZpSW 7DeovzIwJlCsXtyZR0vsy3LlyXFs0bb4b9Jmp9wFNO/oPDszR+9ZC92Lr92/DS/HGAJHe1CUyga1 ocLe8uDzRPoQg4zlQHgyM+kLcG8sWKrCMfm1xdgEp+lRDzVK8c7+rlhOELj97pYh4g5rPN91pYQD ajtjMewZEXzfVo4UsNdojD2/KNVMa1OueipatNYHTRqDWyddAGVK92JwDiRdTf4EjmBsTphZfbgT RimwlEtcD3tO6Kqg/PpJhgxXK4gdQQs6qD7D1gyoyRm1UGFz+B5+kUiHRT7HiW0Hr59hwYf8y/Xc +xb1R18YwYsxHbqOPvPRoE6DR0FYi3HptLEOXuq/sXXe9hyZm8LOJEG+xvQYYHByh758IeVj41ni pwRhOrnI0CuWVF9iB9ZSIRw2CjqjCzQx1EwlzLWLJD6Moy2i9Acz1D0kojMDx82jnAOTCU8hH4TT 3cHdDw228Y1bafCWiXeoxsAG4ZbmH9tZxGD2DfF+sNAMtsFHZM2v0Dy7mVrKEUurhczGQOyWu7tK qNKWc3lbQ6GVBdU5wJap6v6UlMe2I7GnxAoy1+/VlBq2GqPMGAVV3AQFtk68ZkvUW8vglpuPO6v6 LBqHRHywacbO39lg/pveFBYwoBRFcym5XYNE33oTJs+Ro84GYgLMhmeJ7DBT0nZauf1cflMawNGD dHlNELA0knJ3wFah0rEXjMagoyDXL7usmPV9fCAcLNjMtaLGhmI0kGoXSmRPVoKYdAZinTenNhTb BJAbMUAp8CRPpkNLB2okNMzDF9keTo8Nqux1aHt2cz6PXmDFQTuwyyDHnub13FpUUSZhxEYSx1D+ GBBP+Welzrumk9vRr78tFkJeZL6IgQm5G93orWWYTXH4kbGMq+Y8CHDSEntfRE5oQYewZvVCHUrk ktJQg3cpN/PJH4xcezgpB3dks9IOiTjIXIv5hSAFPSBPBb+YKwJpYZL9tRkQgl4GgY6ZBWduxki5 AWfUTytc5c3KmlS5drBbWVdBFEeh+CLaMIM9T3Zw+75XxNNYoqWlOCBcSAEZIDvH7k7C9uKN9Sfo 5PaufwY3tYpW+9R75XbVK4f+iq11e2k1YOh8AuIhus0F6uatiQ23i/Gkh8qQOmBPkEW3qEdGSiws jb1ECXaUh7fvGu9g9SkBpsW4pNTUlweMaVhKmr2vyU5SoNuDVOVgzOsXlHhM+P5iSJzkFKCuxKOW FdBTqlUNoJmMSDqKH+5jLHMon5w0+GGux1hsnxgHbzvDkv/B1h+fDtXx+wufRaIgqKXx8cP0F0da tjN1qnxe/IwgJe8nvC3804OIlhPTIQs2ODx2SUpO9R0JxL9sSGmoLkromw4t/kSrlM3JynvGy8wb tdYHFqAVCBDmkYN0vyKzbFTBFkjfqg4rWJvNsxHT/jyy20FWj1gcL0ifHixe5C5hVUh7xwrQ5JH5 HVUioAs7sVGiZme4RZtdUyUITjrDxQOAK19ECYHWKlz3jsjfZZ0h9ErCmd4gQCtw2Jumc0AfXheg bDbRjoX3cEMWLquzXxk1QV5lBOmc5LCFkey8kaWIw2deiOcVBaL1vuhJe+JvmyeeCYy1fYhq62qu lRexfA8fvPYSGL6tfToEhd4tadKqDr+s4TlEk9n0fsimboXUkihO8vwc5aTlMn2lOO6QlIm0yVV3 8X4QbPJzKe2Kmrqs8SEbJX0LpLvyBISHPL72qRdl5KTAi68Q3zAQr6oE0MYAb/5LL5lqRMgCZNGY Y68MAuaMlR4qHjdEY2iu8hZBfHbven3OEk/HIyE0smT+vuN5DTPCwEdStxpnavHgFupBmL1l5gS9 poIBiYBBgnCBbacl+Hx/49XkyOHnL2OIg8kpSmRBSXIwv42MeNbNacIv0g6ZNXzGMyHBsgzm071D sy06KGuZkexcpu/NkcSkMXhCRl+uglb/oPO9KYlYEkJV9HCPoHyBpqnyHl5uJxr0R9Zmm4NoT0MJ 6dCmGbNXGEWIojqZd3PomI2DCRt8LIyYDMbiX6Fz2J7gfzUsZiOH7oJu5cKVielSMGTESQYqfuzI yPMLt0Al5HqicXi7df6ilZUynRxSppRQ73j7yV6AJ9x6s397ixFP9Son6fUkp8Qx1bWOu9CvqEyf N/OBVK9QkOBwPVjx9AE4TbfwFvPmZlyBm/w2wZOTCkWPa6owYTSOT3XDhqCaNIj7VuMIOTdL7lFy fI2YZQPL9cmrp4A62oreLc6xHCy708+vrpCZLtCRgQciqZeC8LofBUPeeM1iA5Wm8STG962u283n 59merL782mDh2eISwQXInvs9Ox9pLZylodw1roCAqVpaYo3h1UyeNwHNDcZKtLmwPJYm9Na6LhpO mmqBluChtm1aK7B1nVDiq5Y/egQ+HvrRcp0HkWGsWol9f0xV8f0WrTf5xDE6Tnc+HOHX0NOgtQJg RW0MuOI46iY9XZXUcktq6iT5C8LXaRGd/8Oa74/dxF98oLxs00eFgeoJh79qJg+0KOOtuolmGCx3 /l6fkQhJEKKSHFP5tp4w9cljwRi5m7iYM16e9U5eYZG3S/xWu7oh0A7i3IC2RYWjsTK9/ATGIjG0 mcGgh99qzHiI9rtVUUFJL/ejI/E6j1RH+Kvu2lSWQaUnELyg28MAmnTF+0e9aAx5uht6Uj9lt8hn cxrTRjj0rilPzt/bIjjfHci5MiF5rVwz6d/el48xvGKxYWDUlRf52vEqFNbCP9/dqamfuFYd5wL8 psqPjlahdFs137Pe8oAT+Da33sRsUx7EimrVkPkTQG+f4Il6NiFzrrg6VR6bgwY1CKn80JAaEm8f kZscUWGgoeaE0+BlZrcHi4SV/jdBL8YOivxRu5tWT99C62SArTpSg+MCtPe1UD9UfPdPjD1F+94h DTMOpFYQscW+1zCcTwOMIFWkL6H1KfLLFHZhChE/XWmXXIXNqAOQrmCUaGIkeue13xLGpLfxusfq i2c8uzY6+oPB+giw3dNyHKgUxnu7IJW42vpUlt6epV5ycjP/hxqW9ulm7GH7XKtoyTRY773Wfrt0 NZQDCKDXVm9zkoU9pUm6dVHeWhL2GKBcWuY86yWf1frVr0dx1YLbbVkPpIChNG3hpmMsysjnJqo+ iQWaETvQNfA8DP6ecPxiHmo+1EtrET6rODQU14eOuDcrfHTmfRdXmqUaDS9FsMshF0NMaECdJ3zR lG5A6YZ5oi7qHPbXH2EZOHurOwnRUblyqFSna/aFY+YC9RXLCHmmkW44ONUhSyJnyddWux9ynH70 g/c5XRKwyQ++EH2qmYtJEycyBHJ07S7eLrdSnq+9xX0truMMnu6LJ/4ubWXQBun0ORoTTELEJbkG vNbD8jgdHk1mD+MynzOBiTJvBa90WXbhtVdGk4G1ky9qfcjznEw9CLWr18qjpia8OEzheTY10N2i H7/8hSe1qXMw6UpZ5NByBWLXi+qauvk9NEuUzd2jqmBW0tYDa8Uj+aN+a32rdIKXzowjGOqfmcDI e9jyvavNHbaeZP+GcpA6ddiRgz0cw6CEY1Oaerqdodywx/1Oi2xBZAyYZ4tXZVZkLFoVVTIYuv6E 1FjegS+ne1aYgExSUIy+weg5692SYHY6nEykCLRTznaTnbRe3knx21UwNss00ujvv8NsgW1bhvXT F8QJg+YQFF4FgSK1+yanOb8Yz9AWz3H66JIbMLrMcaXkERyVg3iQ01QAczHDdWEAvHdCEq6OrSaW Ji3uQRFeIvnOmxWdfSmNKf/48XUyZLGYFnDdMP0X88zjSD+5gfkCWNhqN7ZC9LhoPVUFjJobkoaM 0y01E0v1pEvGDwni5Od7CzpKM4OXk88Ajd7NLSpScAwJIcBBSr7Nav/QIGoxB/7ikC66aRrKFdhe TgIAVv3zP3RiSRH7zTi2ndRvQ3AtK1GW49FxyjnvTjO4kCKad0VzREKqwikOJhpF5LU8U2WPWn4c IMKSY/AyWlgmpI5p474eb9vPWsC+ob3TSkIroIIzdbNukgb5U3EHco3zkAwwvdc11iMW+GMPbIDN qM1QxikHJ2E9povlRtbwyvzVe2LGjbodMn79nSOesPXhrQwaoqKhk82hXkoL1U1V9hNA9Y8/F8L9 +wn1N4v0SFogK6KHJ5CZoZyaUEy6lQkH74JFepdsiTMMsGI94p6GF6wcyq3LztiBNBUabm+MMO6Y zLfAJ5DaiOMwNj9KF0rS3b2EcziuJOFiZwWh5pDUOthagYSi5gOrYsZYHFSiaSmgSC9eklmt3oee cZc1pb1TauiyBt54itooB9qSIG1MnvasuYRBJiNDuE+/rbGLb/jxnaEjj0KsMglfwmTupnEjYPwC oC+FQ6ODd6MBXWokwzQTbZA8xZ2qHIRfQjjg6BHAt3k1XwuryWvcnglXkdRqa0R94cX1EHyTSJtu igOvSVJBMxuHaoxEYrrNemnge2i0thaGNBD+DQ803LjpUqhUZA7YUBdyqYy7HIWnE82sY7k7/tQf uy0c3CfrknvOZthlLt/i5qCIpV8C+xLxoM2aKtsr+xQzUrp3ZWgP3PvhV8/pyEoVzevhISME/CTj 2LdZQ1L/NRDt/qYUtikWNsPEO9qS4CaeeNUYDik8t2KZkkT4xP2HkZsP2T7X4q1uB/g7Lmvu5jE9 EUX/EVTRUBNGSI8ChVTxEVTIGIcwMVEgLNatqOS4V9PL+QfFQ5kYST+cqYJ/Ym+67Oc3jXVdzkDI gcMVNWOYSE1q9ulr2o+wvA++RfLJZpCBPgQklNry3q/h4QTsY9xYmenXuIaMLBo7ShhHRDH17pXm O8rpvpxqUzwWTFvQkGWEBzYRvEJDAEzxskkhM0KTOPN9oJw2T8jIND3pn2q9tLpee20zx9KB7hoz k8yR5OcuSpGOdopOrQ79VM5KjSDPQ2jwgzI+ksqJe1oTyLgUbrWAzwd2zNOpxQ2fLRh9yOVREImO JnQaCCrdyF1KLsjiXqWLJX2upblVI4P92Vl0YDShzKk5pwc1g56yx8w/Y/+lb4QSojo5P6HdVoE5 1kp2R7n+A8YvH5MjJHVQIMl4thV8ZZxmfpLCwbY2NKQmJmLNKDfsd2OHJ9855k9id6A/Zn99mzQS vtKNbJyBOuJ/ibkGqJMRMehFM733IIAgFtHjmUduoHM++O4ZN/ddCViAwDWAeBiD1FiGW++a2VtN NgDYlD/hqPsQhly2B+a7O1cLvqyUt05xtKQOC5Yw/kCmhkzc2Ci/KAwIc93rZbjUtqnBFpT/8rFx 1C8RoFalNeHeepyinXPjEx3K3jb9Hd5qLhC7cAdlr+58jwJ5YwqJ4Tul3gQjFQm8GtOasaDWG+ws eO6rTif6m2GQBw0EqPyIxECvJYnMIyS818iYVSFkANxSU4Z/JVM8zlVHuoZovvQI6hCrzSU77ji9 FYjKJ1EQzwLi53aFo8EyxY/eNwbmG/MyAHLik53zMb7R7D8+yKgYXz3txv8oH4K/DevAixXE8F7o wmRZUaWEUTT4E82ZLY5XRwsN12JmGKv3IwuTE0KbRgh4TpFnKcvnleubQewnEfmmHpNptX9z0tzz uT0DsRM92upX//rChFIrCHjgX5eQrClLAV35FBgP4Af3qmJweSUZb8GAyrqRf8w3OQFhZCcnIJR0 8q7UGbx+bhu9OeJBJbqDDh7X+ofIX459GaqLq1wHCKZkiiA32X6pG9bwQVqZQiYYvSNdVuFoffRJ JDdcXPu0kIl8uGd8z7/aDx3zXA0eqIUeZpYgGFdT3QpMjeqDAghFtsfk+g+V0QAmJdGVqLy/csDX 5MZO3apMHi/gCM6WqwavWZXUuNJ43dSiva5rt4lcK/F2GYfms5LB7uFYKGT+6o0+93nNKku1Cr0b qinC6l8zVGqJM5pEV1pbLn+sBE83O7r4GHcTTsRptl6LF3WhYslSpYPMgRBu9dEzdQULGJqPfbuX Wqx/bp56sw2DptJUbMsRu2PYhpjorTBHKzTS9pXJOlHzElPHh6vkbMGKXaZoTWImziZiuAW72n3E 2UIIQZHS3SBeqth9oQIVVMHOrylzf6l/wp9PXs3zh6rKOBxmwmyOINsMW8jvWtpCwV752aPLu09G /NTrz3kU1t7zBPl4kyH7dcO9ikAskuEN5MaVTjmw2ni5pnpU7KeWETDudzGBIyVCr1tq5620DOGC j6CboGoq/6y9li2/Pdj1dXQIyOGuY+uXFbyBFo3Xi35dVLwqJ2IejE8k5W4MEI8KDOb4dNXEu/m7 Br84157lYgZBiPW/VlNwr0PR4WUqlbHM6hf+pJ4q3IGDPxxD000I2lfmvVyEvMCIrOxvKRnaHk6/ AIgjzJ2x/3tMsIhe/E+SUPMwwYIBzQrmrvdyIDfssKr4FC81LrrullQ9v9GrdRD0D7pJDVQJomXb McXqXwhE7+TUq0XxRgbc5jOI02JAqz01M2pBjHEVmgF7pLoRDOu1dSOfpqHEws8sU5jW+yWkPxwz kdDcWIKC2nAOgaRkSlD5bnaCpD20r+m8fVWgKIzxCO6LZNfDCX0tfHSirB1lnDJVcgMybtnywaAT eXTQbokgpdWMxO5/a+aovSd8VBPlx8tB72VnxYH/z0qA42L2K+Yf/kk7LKBx3eQjvl8Pye1CNt1o C/U1JE0VbrzXxxvt3VcBJOf49BuJMsyL+oAo33TuN+z/ucFiVw2t8BKLCG6rZa/GJ+NfAwMhYHBv 2Kwboq9ayICkpOoMvWwqurf4JqVzHuxinq5ECeWPT+87D267WpLjF6FLmWxhbniT58GLhkMEou65 bIg/QQsQCcQsDNO2+myC0K1vmTspmFSl8Ku3pPonpVe1eTXletO0v/uMC/jo0+8NqjFpZgPHc3K5 0Y2p6yuBl94P0RoKcODrpPlqIuZTJaPxq9BLSgwniokWifpgbMQPu8nrNwNKsgPIgu3/kzHoWzid z5T6NQ5qOQyUBvFb0aOVYqQW22D0h+JxWPYYhSdDYkrSUsEH3mOV+CvO5yGWwxz8FfZ+0L5RxpKi yjRzsT2Z1jc0MZ0gnMyUSGiJ13XfmPXlvSadAfq3QY2yuFDHGhHiT0VIdDAOGVbe5kl9C+uqEaEH SsFuT0N7iYkCZl6x/JexuIvjTiusdRbi5SPJbD0iYUDc7mKM/Z3HKmanNXiowsi/FvqO8St293eR HX0femJftVgWbQJxm27vXFE85BSikHF0LIKUP5VtzS7b5UVr6pTu9+QM138orXQqXQ8GIigV7PhU WizKwWdJOPR7j3R6LCUfFyM2CDosP1EQBftCZsi2Rcd5/UFJ2z0vYLxjOfSfisJ+42NHcGdSLDid HE86ABr/5g9i0NeE/s+PGPkZlwcsDEqNloeBOlO/58yfNhEE8d8HolvcnqsmpRgXuS+LqamsqqFQ n0mM2W3unRNcZky9aqU9kXzVzZM355xTT65+YPyXnjI7pIpjsjIjq8RQtHDZVexvtGTrmiAMLTcv nkKDu7boPiaW5CbZwyMbHcZf0fk9uNyWN8SrurQA3fM6rX+u79MDu+YutBUf8/iLyhpRJJjpOJUN mhhXTIjTWX25REtaEfTPN4S+TUIOK0o2XHOaK57p4Hk2AF75R+KjWwHF+0bmYzKzjXxiSXSur09s VDR3AL15z0id1N3DkILd2n3Y3rC71cb/3/lEGz0/9h9KilMimQlxRfhIo/RL5t9C9vb9oX/OEGf0 GHyxm6dedEfHUyOIjyExa3iuH6IPFngw4I0u8ME9MfmcFLPcX/Vko0XHEAc2Ykbh0iYnqmSrwT4v EYB8egB/ExXeZX0Gq3ebcMdrtNYzWc/U1hbPQW3jOB58x8vAJjxQI7u0MdOU4Xxs1WnMahuFvAt4 +fzOfXAEaVRP8IVLWDbtSIyu0uFNPrWist+aQWgLY9Lr715e/TU0Nvan50LfClyavGg3NgraKdJh R2wMQuPk5Y70qvYjjtNiWSQcKQvjtxG6wCzOMDNCcXwnXeLCbdtCKlFPnvA7VJw6vvVPXDAVRrFF IBwCpoeo+4dFmRpgDNqZiShTrU213mgmq5m5eAzyPA4FXoXYJrHIhkQmZkDUJEG94yPNmFwi6naF G4eR6ElQVk180v3MyblIrK1pQr94hn7PApVUMln6ocV67wNqQYY/2rn0mu9hAfERGttDVwGE8sdA USRVYBCV+TVFa28q/cB3goUgb+nn53JIfECbHgJCUjwYGiwcrQlPKp3cKRTDiv6xtpbFkcCknAwf yHqklE7pqFGYVzqo0BdEtT5kLgaZnXOxgax7ou1l1IJEOJc5Cq96PjAYXTYbNN9JJdoe8qTcon2r 49NmzKCFchSSjzL44Or3ukhb+Mn4qYgjsO36NTzQI3iK8PfaHNZozbpYd4BYcpCBK1x8xTPaR5Ad 8nAmFAht9FfhMUOZnZ2UThNEKmsNBIcigq1anWFnrNNSiJ0WPicBPAeEEe6u0OyN74oqefRFt2L0 bAMLHIpSWSSwdwAegxZJ0MRahk5aX73mDRA6DpD/g1CzCGzik1tfTujsYIF7EXTKR+vGFYt3YJ5j KgznD1gLGrieML+pDqgAnATdfLk/TPIfZn+Nl16E7DqbWcGmG8GwyDSaU6TFklKpjIpe9Jb0Cvrg C/9i2Bo7DGBouIHVw/p2C1QgaV2S64Fg1+LOWcsPbE1I2+2oksMUXQHe6TLLnUGbzSKLPap1hC+H /MrMooIq8wwkHZPt4lsi1VNcbk+vLVhlR9k5zr700QMsMfgzmUqsobkj9fdmXLTJux+7X/RTyulC 9jcquhb7XaUmwr5wRtic1ZNHsCF20d8QVwWRvf4oyBZJbEPFbO+wa4K6QlT0Y2xTm4pAsb9zQ0FD HfOVYGiFVkaxjtZWMrLwgkvTeQ9XroECfaYtJYh+pUpxmIZFyNuvDT/YPqr+19uVDQXYm/mp5xX1 EDRUlJmEIc9BtI6gThBFLe+dmn/4Ll1x0W8lwl16JIsZs1FyD8N7O58VS8llsT+epsdFVpYC4TTD SNAUZf4hjWCrNM5Vu3okFSzk0uZ8LLMJ1vs8rH1fJfh5TiXRiQMrMSw23BdAx0BjxzMF6XTVJjte koUXJBA7060flMI7gyZQlAHD3t2+LU2yKMStxDP0cPd/X4fu8XrSM+cyZjTql+0LOgiezxTi1tZg nBBi/bEt/C3bgi6lEkd+JJt3mQmiTAhxsK4fC68OKZbRf0IheNmLJoELdx/LeONQkHCG5hdViWws ee8hvHg01hEoocQM/Mlg6WFTSbZmCTZlumaU4JrfGTo2zg/wgw9HDLi6EYKyqy5RwToJ7lB7oWdy 7oR7CGMjCzRydEUABV3WDduenL2Rg3L2J3nx6El9ioK8WcgV3p/TsyLHAkeM5ocrUXFL0koADCoU 3hMTjCuxoJnFXHUXyjj9McwiDr8Wmr/kX9wGUp471tPLGOKTQ7ZI9LwStEGIQ/+EGE5fDnDs1+qn 77U2AAm4PoKWP1KmssUHatUSbZSsESXjWMBQaz2f9p3BvuVk1+TLysKJQ+F7gtvmNhH8421DGz+g 0nIzpun4nKJIKVn5/UfUcmYpE5uxkW30YaSMcKI+XzFyf1QmEzqJl2FmM7wt+PLEQeKgMJZK0NfV ch5qD4N6zTuc9ATF6zMh4hFaVOR29JHp5G+kOlGVh5yEDbMs+qllVhYzl8PvvQooNV+GHawYNkdx Z5WWohEIKfdqOpHIplHnZROUBCs1KSG+ROL64VtOnEIlj++7BLpTqkkCinmdynjoYoO3BjB4bvsI asG3bhD7Ft90ZpGZTy2nbHaD6M0wRfTTkv0kcxiYzwGNzkY/0C1kOtz5HUPx1ZTeh+42PYFFTN+9 FWOKl4RQEqn7D/ZD7J0LbQZSkVQ7M+T60FyQqSX3qGqTpeJGz/VAmgHB889i16uPabt/icft6xQQ x9mU81R1qanYIRs29RoQnvtWpSYkC/+I5pmkuv/KU6PRdRlSxaz13/73TRCQwlY5IYgWvwxAUJ/6 vtMb180JVuiiw8Z8as0scERPHCOGyNMILM7EkjDvs6ZRiCUpiVzl7bdlajTumYrkgC6i0wztP1Kk 90crU/dFQo91OlmQI5G3kSsGADLZ4aAsdAP9ZKhXp6zalGSqv6m2JMuQML3cmUCH5EikHH6mSS+c JQx+Nx3GF9CwAOQoP8bWaJbkpKBoY6Ps0aE9OuKtGuO0ITcy8HTf1f5Hi9U4oLUt5ynJvgXtOXjx id0ADt83GfdYoE79QyTuglVfF/Bj8eerWeIs1NLz1c4jfVOMdhUZpHAz5oPWiv3/nARVD/ZnJhbw msYe1rgBOOb3eKNDpBeHg6cuzPXCOczygjGvL+Js3UTOIJ7VzL1Zeebp0+c/gU/4t3wHxI8O4Mo7 PK39yjFzTBvImCRD7+n2/iW6GGD6sHJmTKl7DLmGW9UnO8UosjIrPBmWwBbNVP/wVKkzWDfUKilY 5FAZ6dI9va04wXrL6VkQnLlivb5TacgEM0ehgLbAFB5XkqxmNGFxq+/PuT+vVmuCsXgTI8yya35I 8z3f6GhN6a9ZCuFi9CMoU8aMMOUmD8naElVTeU1Cda4QzvhUWKtfW0DuZGMvaoWRQgp5BLHxLoSq imv1DeBvfQcK+ngIZU3d+D3XWsyFOcCaAeDjFwH9qOyd7d3F/Bhm83gyukUObYDPcjo70GwOo+CA kvHz3m59xOtAKMfBD4FiFCgyrfmec9YlD0icdhnf2LX2MxWxFGfoZWyosp4NeiQhR9kqPuej7fgh P5DtU2YvqR+9WAbOHhLMvKiP+6jznmrnndDwf50KVKhhwqjoX3Ew5xhOb8ffN4zHuOfwq849+VV6 GjVB+Ird1Rx3r5izllUyUTyZlxCjfhWuCrSDTtlPvPbof6pcg/18oA5gHtUaMUxZHVnYVGoYGFbH dQAuNLCj0zC9Ud9l2Aexnq9HXq/Y3VFEsnuPPJ54+9jL0S0eBM89qkNBdM39Sl5OzatBYYWCwRFQ o+M7cxqr6ucjy6NW4glExCaG0Pi86OiTbdpkA2mdtkWXN7saBn03A1G2Fi564le2diGjP77AAQT8 7aotAxYHeH7Xr7xLZ6eDhHNFEYuAukczSgtkzpvPPLJ4HlTTjEfp0gL0L7CH8PBKStpTqPmB2EOt LXNcLWbmuLDYilQNMEv58J6fx4oFJsChhxRjlPW/fOMp7tGX4p6Q6AYcqZw2w3B8jF9VRL1CPylX B94ca/9DjvxLA1XGnLPasRNht4N46m5a2qdzUzDuYSme2T8rRhoS3ScZeDVWPWJ+oevNVjVeKtwW muOTVgNpfC35tvnv+hwqTudhVE/HEu0g2PmZVJD605KiGctI5sGkggb/gJkQfW25pPDL52i/J617 cnYX0/LZtbv4ryio3RyhEJNw78Q+aAwB2SaYGHmkoPWMDDvhtycT7ZPshql0tR7isvWLJnbpk+bw NzYo8Xvd7mau59mCfzO0xHPB9pfIhWE+dbNDQwxtGy9Oebume6y9XKQKtGgaaCnIrFJX23SMOi3q jtasEH1fXABDcutgSWGy20UeZzjK2/e6wsnqidk11dIR66D/nry+Z2XQMchkGMk5evU103qQ8PwV Iykr9tX20yhy2GQPcKi1r3E4Zz0wowO12YHF5lurlTF6B91WJ3SvOyUO7zJ6vFS6TWPW4aEAMUid c+2HGcfSP50xrfllUBziZYPcwjSQ8NyrHnE35MWmhwGu4t/6ODpP+nzZxEcZb+X2rT7M8zNl1fR2 xn185/690oIDvjpkhaQA2XGNpVP6nwl5OWHEmG0ziv6lzsmu2SrNSmTbpleW5yf7sCaN7o1ifErB 6wfhbSa0nG8Ox2KsMH6rDuNWOOi3sEx/OQkFNi/jCbB9QlKcw51SoC23B48UfT+XGWRrnH4isHfm 1TNiDTWWEAGhhebScNkXaJ9NK5MQDQGU4MyAUMiCmOzH6QV0SFqYin5D8So3Pw6bBkcxb0yoAN2i zKOd0npo63zBn71ei5jiLY8NfOmidU9JyDzQya9RT8mcz4KZltJTgoRhF9j1+pZaxoWlAT08q22M 00KR260KQUyStmF6pUA4VlvSUQg6mkGZ0o+yzbJrihw6eNIskg5veVLXloVD7X9ZeoBlAnf7mWO7 q5R86sIeJdL1hYO0ecyx/ofWklQh5nbzh8zfMs9oCVbUN5K8K6ZHTw7yBaBRzVZ8UPx5Z6wAEoKd GgKgT3U8m2/n9wpruwu9h7UHDkzwmQeBwSqp0+1dUdTwKu8nr4QFQnENGnF0iNPzoC3zaXnc2+WD K1C8xEoIMisZYEV148SrYkEaNjeiBmLQezrz2TKTlvXwVMuzlGvURfE/mZhhSzRS7nTlyH85HB8N mmw8bDGeBUov3C4Yb9nbjLVfH8rsfnO4lnagspCcHFsCOnEUKIre9IqAjMGZxLrELdmos2QJOAHH 5bENxi+vyRitiV4LXr70CB6ecGuOYUm15BMpWTpJYWznYBMJtfc96X+8CrMNo7MltOdesAcEjqAu 68NiYNuzJbGhuwmijO1IF25G2P7DfYZ+9ritf/d01/qE1oU4opVi0aIbQ2nMLp8EhYqveCu8B59Z nuU9Q2yY5uOwDl84sZh99J7l2DIa8ViYkw0NF1j+dJT9tmo0b5Ji+rv6RS4jR6Za5pnE5f0qxfz/ FARKMgtX3I9m2cszI5LgUvwrDVr+rvlP9h0IGyRO93OxcdSXiY3uymza2QS6J1FXb9kMXxKJZyfv xuCCBjMvUIlC4PNVzPdtejxqcZ8FHG4uF8j8U0mkxtqbUa00qN+c5Zd9XZAwKOJk8JrFWEj+p6KH rdha0Pl1SThp4hqm+DvzBhI/SPxSpIqwAfPuP832oyh9c6NS2MKTvOyVzbsei6XIjzhzqr00oU1a i5mjVJxH1sKnIOGNxesgcSdxdRS40RLdJZDVXOkD80OFb1D6AFSwr/Milto2Ao3ZuiacE0L5OgYz kj8ezWnJaDKg7NGI4BcnQex0pL5m8T3WL7Oo1nPMYjXFkqq93Luammz2qpzpoBtLTG5DBESvGqGS TvPscr4FPR2a0tzVE6iyATA7A7Gzh5C2jcKvOxakAqM5u1EIdw/T4vhAq1Ebgc7EcNk8KS7gXaal wun/8T4EiuxW2zPbAIu8OTw3qq8paTYEPqZ/fO8GZmZc2lmPoFmnbqaqVFp1/1efGphVExkBn1R3 HtaWOvAGcIn4cvc6/hzaiC1aAeL8RtEU4edQeONv5eM62zeNhjJbMYvvO4mhrl7YJNT/Fc6KuM9T 9g7/5TK+XNlfqa23fUF2F45pLIUWfOp11WgWfXp1SNyISMbsi0/Ca8WWK2FVyfupg7NzHgp/Pne8 XQjtshodSd/3Uw5m/Z6A34yyavuBRa5VU+UVUqrx/H9VYm0Xfhonx/UWxgrCL2vJcVdv+nodz71S /HUg0JMWgoxT+xaTdCMGFNGJrJ33A/ICPgD5eHzbQRsKIqcZJhP20Q9KPRC4SDHAiRISIms5ZzS0 vNv/W/V/524UAbBJaNv6mb/Bh3daacg7PuEmK+gY94lsmuQkd1SGhqCkpuvUjJwRsTwfv+G94fqi 6f3hUWd+cMvOpIAbfB9Cj/At5OiYOM3e5fOLdmSqTrr5Fob6K3RCZ16XYlc662e72CSTo17rPU5h nq0EWstZTBbaS8+jtngg/5U5jn/Mqo2LW2oO+XI8TON47bj4fGXNXtmh8KJu9i4qzJHK53hCdnV1 Bh+js41ICDg2egQ28LgGQiC32HCKPFm2R85IkO3B1BFMYpHUm1kLjom+WfhwWsXoiUWTQwg8RwPD /DLSfbUJ80vDT0qLQzad8gLTLAgdRV//+gHYPiU78LhA4Lvmn0i2b51vhfHYf8QISg4YO03tuVE6 6mwtuoy96acwqF9AuYkTqte+Y+7z+XKyPPUQRdWlkeaB2jRmjz7i6910YhtYBcHgq867IITRHLH1 pEtPPh9eb8cwapXfYSDEe9kgK1M7RmOcU+yayq7AXyqUgDiaFMfXmxkbV1WFrfPIK+LQPI0zo9jb B0hQwmr4zfU8qvbVCqyKpbqPoNoxwtlJXfZogUL/thB0tJEMMYqSmLEupAvmfCwb6SS0DSb/AupM On6fRH8vGk6soXHkGmMmX5fypd0da0vJ1VNyGDeAWUzADc15eNuUA+lHVthqfBuVhpikj5WnlMX5 86x2HpDxR1BgZ6FgUe4KpeI29t/BVSqGa8lGnJHGQul2lzzaFc+54Vl0yir6TlLkHMfjcjw+cOTW YPMLPmlY2ruh/5MwIdXF9bpLaP2eFOxKCr58WFajBGifAawFNfGg1O3YCGqAovcFvLiFW/epuPB9 NB0zoJxljBQOXfCWO3Cj7wSb2pELLWhWncporUgxsixzYd/9lGUaP24m15unk7ytopUE8kb5i0s3 3JCvanF0hm6XwSemwsNkBbf5pWjQGxddK1U9U0c8b2K++OJy+J4DScFCShOdQKODPRdl+2x2JtJ9 KJtQkY2DGhO3JoFun+lDTQE2XsihapxypcnX5wAGTam61LKwmMn0rbfjosCh9yOmQqPU2HEDgG4H rtIYnDueAiWcX3pwpBiLNm71WKpsyaPPeMv1HjrOoMXaAl9AgVddMN8j9yll69Xj3eg7yYKuNfAX QUOBNFUdpkMiFSSZhiq0QzG8ZbHTWCSPfAM1w3Mo+/ebik4A7e4o9wdPlNFxn4GxuwFPC/fhZM7V d7FClSDT4POtl3pLUn+0ts0vnNePEh087OOPNl02redPCTxbMeXazF5dTk8VKn2L435JVDK1KYj0 RSF5EznXivsSK70jbk1O8RmjsXTXDzBnp/YJ4ExCpFkZ1NB4lQ2EM2Ta7CLQhWwb9/9jwiJZoBWa PgbBoNqUEBnJ2X16QePwzEI62+kLeYFlWZTsvr5ttZRopj5jFEHUlZFdLCHI7fU9XJdkaO3bqejr 7Xajz6lRH4+JNLg5WX8gjaCIBe4Dthdiwtzg3DnFazEmMnpFwXQi8oo7JxPs+oomTPaBPSMEq/+H lDk/2nOdl49QVqUUW4hK4Lep28cE776RhrBlLMFXSTsedJnvNnV1u9vKjLp3wDrL32h7hI0U49GG CinkjTP2oaQPizIa578N73oe2cZUVYP+1OzXk3W0bsP/MP9nKrdGF5+G0YV+oqJFkeXDnxbcudBJ GFXgv/SNGM2zY+VxOx0wdDQ+bh5xBi7cKy/3dOVV4xkQuLXQy6ajy+x3LQYEnRUWy99rrweUYy+n L461Mhrcc7B/blpxDRsgF1zD9B2Zg5/1dDcNgSMudtu+esXU8ZSCjhhac9GMUGY4MByNhUVQBFBI P1AITeTwcEhWAOIbSMjWdgYOnw3PLeA6/hk2b8t9oKlM39wQCmIDTkcfR8u2cAdJqXVPfmFxvwFR 0vR0W0zheSE6pVBZKm6OK/hQpiKQE3RhhoaXy3Ry7hswNTM6XbHz0dEbMvzXd5Giu+0scpKhAg7s eRnY3ktgQpQMwWq6iWGuMrOgjwf0PQODoEKq0IsBc41rBudX7kxICrUQ023UIFw1jYkQUnpnyBWs sdttIZVBDYtKU/YyJmnhsZZqw7cZGffjbRGy53z9bCAU5G7q0C01IJP+vAJQqN7oLu0ZQ9emJtbK j/xo9ktJxGxFFvCCWOi4mg0tlYDIXpiKC1ysRqTTscLD11ToIfRORkdNExQGO7plYz9myTwVdQcV pb8vEQMiehUAmdvNwKshfxucvDn5BYAVuUAHJG4FP9pww8d1Osb9KgNFYudzYVQIPraapKV00eYc ZOiNC8ezGZAcJCfkRYLIXDBjJm1EYdp6Uqzser1r7nUR3PP/0U7L+YXxhc+cQOH2Uz3q0CFt5/IR EPN06DL9B55s4/JVbN+qsamloj9IwOhiSn7yZZEsEMKfwyKYm6HKEHfV3VhIpI2XpjERbWaNaPmI 2nsQJiVPMfbx92hSBWZiuAqx3rZTpnzkG94rzZQ6vyyrwUSu4zJaejh3oa93MmYBgQPE3dGKf1QD xEcn4AM74wIUdVHm0rWiuD445bckiCf+mT2375VVmfFSPAwkh+d+OGfdachSMxRFKk2KoxTnTfIQ q16bGe1hTjjfE0QzLxd1r6HioTFedKpIL92Tk4428b8RUV48H3JVoDsFjcIpZxW1cKZB/3rhvI+s 7MT3NScJpfpi76EEtA3Vp84TWrT3ooD3w4FEzTKEsu/iR36DUQAMRApxSfw6GlP97ilU4+SeGza9 UgDyX0QFwcAZV4ek359OBHMvbPgvbf3qK/jHa9glVab1rolBTQ2tTW3UTf3yz0/RMnN+9ySGvaQm gObBhueJSMrk5iY/hyx/spCa2nYHMe1fcQvv2eLZ1gn13xLWGFQKOugu6qjuktUco2jDQKtBCRp0 0H+HJm59aQVlroopwYTlP9+PpPQt8hi18k91Jl9CGzznJldEaLz6EtiOZ9NIanQHFeJH5lxZiaVZ 48XOhsiAjmxQM05pUP1EM/s+7PfKzaOumAyumMEbr7kmGbjJ3BVM72i6IojAuXQsJD4kXVW9ulKi Gl08Dzqr6w+VjOkDyz0JatepP80WyA8yMa5eciQTHE0a+OR5lOxOe7Nqah7D9bXzU4FeRdmPh9P5 VeuWvBPKqsvcY1einGe3bRh1WU6feiFRP0xVBvsS9OMhI8VRTLC2dFXo2MISQ5YWA7yhazC+vdfp GJS9/qnsjxAhWxbsbwLSb66ogZ7N1IgYbqc/1QuaS7u87Q/IoyU34shCGi6W7gWuLOis1b5Tf66+ 0rlDK0vBjDvx0nIzftw7kU1ox7pddJY1TIL7E0ZfIB5DDsQagltGAUintD0nHv6TXqWRJTJxhjHV 6zj5lhOo2VqFCLwtSIHwH1xdIkQp5kRWTFREvupitGnIuhmGP7FgBM0uyg1Ruo+uFiIoLL23oTgC ZIMZbv3uEmGSMIoQGfmZNrMUPMzPM3xwQ2GpzJhDwo8t/avmFTxchgmpstFvpo39qLBtDbakkrm+ AoTdqCws45SzMbqbRJ5BHd1mt9EzWa41oyr+QRQSXXC+MaZ0UYo+0ufwIlcOWJuS9IerYXCl8qE4 75MwRcgFFzxVMmHaSfVIGER89J3K8evlGNAdHTYlVFAkLsvZ65PMP9dqgpx76qw/Qde4OIuQbSpX oGy6SamJoQt740Nk+xonQIW3P482piNMRk69BQ8HDY7lx/OFvQJQ46R1k56eG65eSYd4RCAXDev/ WLmG5ue+bgtR75fXs0Ou6xFljbEAdIVGE+umHWsqGDxwiVXNMpvVH7qnbCXg8eImU5RcdtQ9xGAG poEpKLzN34bSEPe9r5pfYsH4jhWVASdNompqmMOYgiX7yAMpmVT65GftTvKcxufv+lLa7nex1NE3 wvrSebQvFzaCmUkTLeSqwj726C6hqckILszBOggmDmkASfmPIOVILksMgTQNESbmeF5ruY2+nRez 0k7QwO2UelQfEnk1EaU6Zxm4lOpn6WBsSt4v92FLAfFMGMV/dlTa4gzD6+zkP3zUchQiXNEV5l1O QnItS2SXc6ZhUzLrhMpVQRGNrK16LWvr5MzKKPSR6s9ZWOwDmahi5k8jghoKi1CFccGkjIENUMZP UylXy14NbLsq0yAjgnzvui+XhqmAqFvz6Saoe+7Noubs6To4LN+OyL8bs24BdckksYJA7ELuiKRy p9M26QZIMd0mCJBnx6NDSjxdDfgrjuh/TbFyubDFUhd1EhlzTlZsIS0gC0d4JY9AGuCrNweOO6wV nRLIuaQZdWdFiBbgb9kyZD7dHKM9tmBHbjgGbm2fiBKYARKRnzbJp+k9ZLGqy1cSVW+vOFQMm2TN CjtmEPxJuf6Ivp0FQk8fTa7Mq3yTldQz8vwW3fL79kCUTskS6DNnf5EltowK8y21fCE9YhcNHvF+ goD7v+o+0BNMSaSGi0+biCS4/zibJPq7E7GJFV9NI6TZSmLORi45/BWKou++bO6uNZ87XSAqUpmK 5o4JsE+5FVpE5UR2eUwk1bKS36yNnoAb6KTkv33dx1MQMJ2ivKe1N7T8FWkOBZINIS1NBbIDDLIV MefCbBMkyCdFJvkzcdlkKLCQaGdHfsunbrd+hE9+H6VkdLW6hxkqvo5alSsaPotf1CkKrHAcx/e4 HPzUvRj1tMszoQoNdEMdkygehC0dnYBVlpDUzX4H2txnnu9x6q9LREXzYpPu7JOkwMBIQhbxsEM3 pRjyhsXqp4N5JCyFVJSerqMNQqcfuIVlELMwR0/WQjWmrN4IOU4euRjA+L9bhFrPEDtRwBFptY5p gCVhkltbilwLOkAzDqy/GnTFMAom/W8405/neETXRqgs0u/AJ5NklBSo33OgnHiMA53OV9qsHdEi ORN7vhr3oXRK2sUz89AbcO47oEQlrBAbSWZuwYLdYTS74s1mZ71lcu+EaIJKzU12iiKmN+kpCW9s a98HMlcARVDV39fJh8U/+clsdsD2wOe1Orh9RU4mekaDvNIUG2IcxLZoTOEVD6NFw6WBJruZgfnl szJMubMkeUy8yJHLWye+GpYTAp5soR82QoyPQN/PgK1GW8wGN0el6gkORIFzNiVJ7SxQeuP7u1Tb BXjcSItQKTCxmkMBUMGAwDSypGw2QMSpBB92g2mTPcrwG7fSeC6m50Y34/7YheVmCdbCYQA76S2a +ii7/TU+y3gsAFTS5ZhAvNEmAKMzC1thHfru4W5GiUDyo+kDnWHocUxD9VGI81S8mPXVFn4pOCjC kJOhBp0xOqF5HgrOL3QyN0DcjgMCGFUFEK1liHuPdO1mlAaEGXkA58HyadAOYPayvAmPsbpyVDV+ 5Vgl6zjoi4ugPhLqyOf1orSI+IKm8H9ub3O8PKCedVgnz9PzmTSQGYqOEEwO6UXlC3hg+Nh7h9gI vywwauTMJP9nSefTKxyNKkHT+U35nyW46o14iHnxOCVIqRI6VWxa0GVQXCSY0DTc19P2ZGqfxTk2 sHyc6S8Q6Brhnx2j9ZQDpQ9+4xAWfQO13igJTg71LJIChxlPs2EAEsbXDvjUa+34csZIRGRN+vUB YWDAIwqWUJizn0pfH3ZGgELYvQ5ymCBRLDWGAw6CRMA3EGewvxnXkn27x1lNVXUvhaGvmb2kFl6z qu3QrufpIvQikV0CsdHK/PxlN08g7rYKY0iIktDtiwhbUTqcP5Das7j1OXrTiqwbYxlYBlJuEMAW cFQDYCpaf4U372yFZNK3ZJm2aoH2VVd0XISkxbKV8LDCUwinKPc1DlKcrU82qAA0Ov88joGbYqMo G5v7ifEF3cWLisEqnaUEWKZ1E+iS6CVqQpAFJrAB9G4Qh2GLTvYCKYHtw3ryQCDqvDgAyxAsizwc npDppmCkJEANmZFdMraNXSi7NEqxMXluBB1JLjtfn/+pbgKiSb9W9diyiiwAyjNerMnmzj54TzCP SzMwQeQWl/rKuvaBbK8X6d2AvDXwwtSHUvHsBGNkA4IbtKNlgi9tgepS5nWqCE/2LM/gl7l5byVt ARPRVgFy9fsg6BaZSM5+EmvT0wLQmF3XeBHpSmYmG51SdQpf5/5Cq8y/P8hWn4hPuAr3OHnLVe8I QwELpyXXPb5Ulj/h5CFtvFSHzkEH65C6Ih03xs9R7EJVFY+akV4I6gIwMKafjZhJRsPuw38L5+xi zWFk6hLiACZ7CpVZ63yleYUg5Bn+/NCirKIElmrOBcIYi4s0cWZGPS6i4oDCscW2RDxFYU3KW5Og OnWLPcq/4CiEyTkHzYk0vtO/iZwVZ+T3vERVHyS/xdsabsF3WwsmfQ6m+MUKqRv41xwLG+zjFd2J FYks0pLppG057cZF3QHSrvSw1QquJMWQkQugW88mZmUHPsMOUK8VnlMXM5ByVj3vIfUyRGhckYIk v8U1Et5lnB1ldtEU7uD552i1P5C3Zm+QxvZiJYPIrtiXslaBf/M3HxeoSfJGEcN5zbCWkRu6eTDR ZKqADcJ1ZWd7C9htk52pWjxKBPUzjxidRPp7b2HpPwdALLaiR7VVanSVMtqiUn47Hq4j+EHAuPG1 6v/BHxW+mDVTxJR9s5wjPgnntv5BFB1/oUbGwQq1k8A4JTU3O7lpYo9R1ZPjKdAYW1I+9u+c5a6I /Fv2TdSAgmh1tIREK+sJI5OFjSp4JAB6fiwjCspMirjKUmuJb+RzT0YlehsKNFwIPRuTd+UXyyAl NPO9KVcIU7UGseFzHqWOpTJFjCXUzFEpvT0OsA+HUF4d9DPFkMZHWDeGyB+Rf7f1z+UnCQvdgMa9 xgkfPk+TkP+ak+7LXk7HDWt6O0pmSzhMbw17iFLTKhDYHxgPJaOTFQmQLmI+zXF0N0/dMSSZ2mW1 sgptGdgiUj01MhS1/v51Vr1QyR3967ikO79FOXPmhU0Hi8mIi2fHhel30b60cKKK6Kh6dErBoCmW n4qP3lSDOm2h+cLQFd+8f+I6c4H5poMIs/MFLX+4kUl1KwlQxFHwQp+YA4GJ3YWZMq16rzZnJQb6 VbTR0cZZC/ZpUZgG8A7jkac239gONLm4VXJjWJH1WskWHQHeooCnYzJ1Myzc2JhDDHgro19NZfVy ovqg4IOx09+MFR80rju3QcSHAudfwLd8LaS5Fh5XogWsHwU/xTDzq56RTgOziYHU7S5dfxnW3Q/m BwTGhSgOB7KyMz58XdNk5CPamBOATKKXYlKMWiejdD3QzZAI3mnapM20ZgsiaAbsjVGCBC7ZtvMF qZ9x4DlfOZ5WS2jPoocI3Ln1qx0F+2KJCGocGxUT0dQYqrhatOukuRUUmcNNPvcd/e0wgS6HN8cl 1LGIrfBLkYpPOhhVUmiYymq2iTjGM1lk0pjWpzKvjZWTd3/FvpFxQ/tX6TTo1BI/kts7d9Dv/h71 pXhbqB25F3hz0xbjzCJ5D1MJ6Fj0TmLTdHwLXm37k0yMYZH75NWCfu2qpiPyKfLoYWsdQ825PLs5 Kh/FVD63oNuE7SGkn+AA0ud8MLpylnPOj1iBoESoLOm63WJfTZQstMweJZYeCdxIthjpjJZ6tzCz eNFFbWGjuaBaTZftQ51Fth6/NHeAa/73Vv8EvaZ56sun17NPLrF6DSpuPflTtokbhaPFp+szZ8Tg emQGdhSFyT8CYhhEfQBwsOlnrLKiBZOJJpOi/M26DniBgT3KhfwIoNtD4Y6x6zHd6cIs040qt0rR ZZdtnx02qbnWleaDdipYaWZQN4Ny24Ux3rJuKbOasWAcD0YpdYfXr251EiI0thhYswqru6KMs7dw ZPtpPiiZcoEF0sG2hsIk/l1wpsJWF4gZw6XLhoMHvRxgTRX5wDGJ48RhuCtLXALrcUxyabHtIv9C JpP3c2k1ABn5HyLRXLxvRxjY8CHjgJ5on4vXuEe2/X6cXCAkUOVFGK6BwEc+/83+K8Z1TZWzYR7+ GfQEOSQPMKyAqJEtE3/snYHLvgywtu4+w9mWT1/j4Yzz7vhPk4VPuKnMPDKHyoKho8Eu9KjpLZtF 5BUNIGkxlQl54y2tnnB14t5bIuDemHo5gpcWUFfCYQuYmaLmuhGJPonvGvzQogQ3+yPMTuT2TvAl IMWr1nBghMdwWaS1KLkCMfM51cPQb4xPwiwMMs+Kowb+0jE7Uh/bs0HyUc0kxjt210zi89u27XpU tcZtatPzcZ0SFzFhHhOhPzQ36nbLDLEzJHOHtxbI1JPvNmdPvM4PW/y5tionzF2aC7jD7TUGaJyp VyaHUub9twDHXXwzEvj0LZ62vtlTeRcirBXtKF4Qa5BsKgbYczGSsIMyz05ng6cidi3rlnmFRHLZ 8/xGiE7n0Nk8z/WfX37dfVNR6OFScB/x0mRE6DuAbZa+1yENNYRRcGqAGdKOL2qpCE2bNdEbQRGD EK4pDKsxgmZrkijUnhaEJg2Sjq75psuLP4IDhiqG0sp5NU0LQPqf9mC3s9JingtxfhLtDBEhgqRn rmXtu7yNhen/0rfBXqO6jVAbNR0m6wM02hTDwDekfXUpb+Vink3vCHoQtOesyZ+XTYQaoj8RzgIh pKTIMIWSlu86WyVWixJKw6fDaLu7T2UNQ2exZ+s2eXocX9EgXy99Hk26NZGuv1ghX/pIH/KSiXG6 +OKp79LSCTpzi2rfmnASbdUibKZMCEt5dCw72vBD9yEQ71gmi6XviAe2jpFqhHaFIXtUpoxu5j/s 3hQCsDqCsbIwcRy7gOIQ6N3Bz4jKmUj3fUF4A52JHfnxrJK9jkclQbG89MLxcx9aguxyFejAfAJW xkUElUWU4EXxCGXvX7xIaCDAMyqLldQHDy0QH9miZLwU7mM1coVIXJh2/y3vBQ0ZaNz5OlHrI1le sjwoG+KYhOHre4x10loYCVEXHPHO89HqhDfLbd9sb6So8qK7L0e4R6Q+jK6vjTcXrVwe2Wm2+dHq O9S30cWKazgNSizSbiU8PEwCmcz3+HSNS87//gjQRQ/Rdwks/9Frgz+549VRIZZ6B8qlWtdaWe+4 XoFX7lQPZszD3plul7L/bWPzslLZrDM68ftMDZSePfOqtUXuxuIx3JAEsj0rFo08C22T5Y0Yb3Kj QlbyPwNu93Em0xs4BYYnQMIIVx5Ans2/bcw+rn0P5FaV2UDVaIP15KNVtxMPwoJXDEqMEqSiwkmo CdBk3WmT5vsfx2vbSx4tu7I8DrxG43WCDtnVZzLTjBQUEhs37j3ZSSG+HArKkLcEeG5kteVJXqaV DtSzgz3lGbJ9Z2LPgeKMlkIiIu+TyH4EXz2HT5Hrwy6phkenxQVzunPn7wW+YtuvnzBNUwfcFhsI z944qPzSOTr7VDeAq5Dsmv7qg1lymGaailznypsbS5KWVmSCW135fVUeKLbfkVWP1E5hPnNf13pi UuZ0TuJB5ac2A6AC8QnybIYNWBNkvZUqXF2/EN/c4rAYx79trndYtP1kaA9OahwyWXoRSEVp5/yZ WEDi1rPzS+Y1TKZFq1ynZFRkCEMtmyM9J4fFzaIRFM5t05kiGRFB7FUOuryOebGlgPDnWqiXX9VX 7SWlahh28vLPrRbMxOvtpCCy0JOdRSC9PHkN/TRfk3sQwyifB2sgakkEzw8UU1UrylonOedeFx8o +MMU3lszg8V72UDwwwYBBfpCjpl20efiq6ykvtpQmGK6cCKWYjrTeupLAbAPlNpqS3CxUIqLvsxo crEpSTbdqfz1xktLIUmDkGGZlxb/jDQ4bJhkQiMIcUtH9osvbKtqebQNCC0yOGHPYia9vmi9xr0L oUGdpjuHNGHrHi7n6zhRg/pc7Xlxvn5oLPIc8w9Kw6fPuGCobjGFDQ6FGRTYGQy4XLP6WOuPZjXZ n0noTabyWwelsI4rzB9J2Nt8hQjfdXXWRCudYkFFW+Adpgqa6teGANLtQ9AKi7nPrZ6WvFY+smWM fvpsiCDZ4oW8n7wdgM7odYZ66qsTm+6IDjoIx1LSY7xAF12s5XOHyZGHCRGfSdgP/Gyaj4R72e/e uaU46zfML30IsMrxtjKvoE8E0Dx9d+ldqJB3lAcWU0OVXXCANqUZvN65RURxHkenLl//2/rMuvLM OAikVlZa6WhB2LWdC2Ngvce42/tKbCr2qTkZTV71iV9rfjkt9u8NfPqadlbXPfaYMzfYYuKIHWjy /U3Lzij0Te3k9BLycTl+92asLE/f+bAOWQPCY/FDnlnIb6z8gZjSS30ujCeOfqC/T1eb2pmUveGm Z8fuxkWOy6OnaYrw7BiRX3JvBX7i0CO76Sm4TqyKxG0+2Aecnm5KN4HKvCBhnvvOYvjgckypLrxM cEZxEailTHpBfGjFhlT3vOW37WwZyQzdTxrOoPmCoDZ9D3g00XKbURaoTD9TYo54qAmePQhZLwk5 UKzF4PHKzm0lvLYnOXbmGx2wwYPjpEOmZC4uV0eUrdcC/Q8eIUOSWM0RmRjN5IlK0E0pI1QuixSx nY/W90GyRvE1OYkCEzje1R84lYJqilZQvz15Qw6YmWef634km0LPP+/VZEqxRX9D8YNSYfVfiZfo Ik900osPijTHrDM5DfhgcmLisRfefPihjeZtQ86pfZwFZ5/hR0qvGuGONFL4+BKRdQdBiwtf2viw oao149Ad4OD8sNzExOujP2btj98MN0qK0XLZ+TyEhFcFKNwvZ7Y/eUkA0atBBIMXFUyNNZuEmFJh zQA39GAl03nVEfbpJJTEJQYHpkXsnOlaRJSsLndlA8WzNpybMyAUXmfRCFzmyBSeYP/Z+1FbnpTF PYQ6mdk8GP6Iu1Aa8r+up/zTcmk4ZLDVLlMQWBIxc8aupau7yZ+TsMS459qNsoOg10EHInUYkIgL xE403ClV8TnqKcb5A3w2BIoqx+Hc6tHARpfvGIsz47olEkf7siqZ/vd+4Wqr6h3fRFsjwWA64F2c xTbOmiLuI10y8bOtkNc53NUUcU+nDI2r9Jt0CGvf260tuWVEU5NzuLjTuGV52bjIVDV4eyJuAM0s wqJywHao0yctQiuL6ybuDCzJQqQ8+u9KtaTraR0LDaqeC5YmQo2/JVp6hPoDrI5q+qy2Ave52dhX 8eWtEIDh2B3Aql8++5SqkAzl0mxYjqzxpWAyOq/4Q1NVo1nC3BBHZyTi7Ze+nOS2GIh0MAJrjzqL NklPtnizEYxr0o4w2BBmi+9/uH3RoJwZs7Vxbf3Lacgk4dH3A7QqU3pNKa0Yyz/hFF5oCt1tA1an I7eQz9yt531mdfej9ak8nO4oJhEVDBcxMwmktb9kn51Rs2Fu0X7arkmi2edVrAhaQfHsyvNmfNjl SwS2BPCghyjamvVXWwHqAgHCoyHivAkbIj3MVAxG9hjg3otQRVKNOLCUIINUi5E+xZupP4U+wZEM 5APxsYieHdBtpccitLZ/HYBgem8jvoZpP0JKYGBqagOx8UHsZwBn8ZJN2xm8njl4ANLh8j/MlnE3 Fk9iZGb9DJiAim5L3LOyK0NkP4V0FqFUo2ZkNPXvbD2+vQCu1tSgZNFlybkwBYeMmSpn0Oi69uE8 h/O0FRh9oVhNy5ygMCljbs0Jb3ZZEPm3UlabdBq3pEptGHZDE9VVSOsGf1Zzx1ixcg00LcOA2VGP lU+wv0TcTX9On8Knw58pZ6+0F3Hmi9isJ9b+ntuIVEOteC8/0Khy9uL9ABsccpuDKXVPCaZB4cZ6 cRIC4NRjRfKLg05Yz8HCwruShAfj8y5iSe/onlTBzEmm9hoM8DVVueIESPFb2+FRt2dEZv9yaBWR mtFeHqVNUoocu282c1QBqpgBkwsHWyxwOcdrRZqk986E56VuzVfyU/Z0XUow6M8dnsSpBebuiDLX XZ4U/9qJi6b1vk5XPFhbI4hkVi1Yq41S9w5e/+vchJcu1qAe3lV1+I4J/wqISqSGz/siwGYFhTTs il/UJBfcm6W5qXKS4tdonNmJW8yekYSBkYxJoyo9FQxqoVFngc15At9evhySlr+XdFgFuN4Lq9mx myj+GP9oqb4el1YeIzsNsKedtf7mvhQzGFs/yJ2FoKg27aukIK8PRvDYrmo7TBliuEXcnMKXiEQ1 JLw7g8sVhnVmuxDpNV7jxWcKVCNBXoSy7eFiXA1TaBHi134hxWQ932FTch8a0N/ia1/ad/JwGjB4 pJxxASiJ9C0wzMeMsqo8smGZsacgU4aCovxO0x9EAFS6DDEDDVKBePZZc3lwukIV4igAW700G5Ru peUyd86Y4dHfguSVvWm5UdxEJhMB4ou49Wps1ggq1e01SiEDTNyNJq7Hu0DHxTnOSv0apB9+a2vi D5GEszhwGOZb5pjbu/UAVXI8Vmton5Oqiusg1YbGsyJz7nlWkwnUxcOnDzlBKltwv2YEiVbd0bPx +3mYxq7THvqwxpf0fYOV0Akthblgt12BWW72YhaWI4xIYAIBn4HJr7nIuSfrF/biCIacQEHqPU0A KmDH4xnk0qZSC3NKXM03iiaH/g7T8qKruFaoXK383P5iAOkWu7539aGj3WB4WdBowI0aufmrmHhb myf8F6WHC5KnECAOEFCqfDlXbKiS816nVNlIK9SerBL+nUX1L0x23yzNHfFaCDsbjTcm8TtaziK7 zOHqn0/YF6h8KZHlwa7kqoovTqBTPWo3NtGwFjoTiaY02pTSziw+i/PKzppMsxr7iDcG02AvFwJL 48yoOqp1MlQYfoDlB3lrLobIccvCo0G1vtGzK+eQABz9jtkjL1XfSEH03Mmo7o2uU8KDKKCbn3ld BvHK1ES7TsEZVtR9+CC8421GzB684PeYlJ2Xjvfus04HVUm4UpJ/gg3EU/T2BsunTyfWo3KZyrnL h5xaQNS1PmwhLNY8EYFvR3y1N8zH+CFgE3ci97jZXK7aWN7eSv3zpViXluq1+rOethuLBkuT3k2z L/bGyvgVJbt7An8Htr3pOf+92m9ZZEJjWiPdzjBNnGh2XqX3TNjggNLZBp2Ex5k0YMqwA0VT3j7o QPl2yJzeQ8x3T3tcAYhBtvDjS/9AAKya0eemXSsyTeg37VEBts40ODt8yy7xhueQUL+kObq9/8cF wwY6uy/cNAlTU2wmmVp1cJxQzLQoGRB/4T1kDh8pLQFbskB8FWQJYAcXyLgeB53GdtZS3KYA3Sjr Q7TMPAByCGzzmsA6Rxq9/JXwwVBOrZ6tddB2WbS26qOTaEUxHTQfWCxYJ/EdVCBFMUTPXdzPO7eu rx0A0mTl1BCnIZQhnDv4Z4mdT0JpuU0AspD7vt/byGvY8LCYUK4/j2Pz2Cvermh9NKCajA0NuNj1 Mz6wqYSXObP4UXlF9pgKmlA2E2Umq/n8lw40k/bAMirsrgdgTzhdg+SdwlgZKyqiutSoBy7wwkrl jFXgBAqEY0DQ3MxJdgJROAWY1I2OM/Joi5BS94OGFjfB4Gzzwe6J+HehjoS0PSOHtzhMEJQrsU7P MA3L/lA/qG16h6E5U1LS1rUWu/Dt6/a74/soktptns+xvw9ACYNwA4foS1uKIPqAHcBealKI88Kl 9lXOqz1UIoycIy8Fc6QenUsBleSrzZdWhKWKNWrsJmSAcEb4FApSrmoHOlBhtolfbs+uCmQ8Hm76 qs/vbLz5tK/vNIhwfdNVixaNMgRQttpZO3Ql1J8C3UfLFoApVXXRxQr71OoAmerOBJw5Aoqch9fI UBhsFIsBcyrgF55SU7ivL4ppx9eXt5+RshHm0OsIlga8CzrxblnWYctQBcNarxjnMbXPGYtC5bEp q3DFSM8apChWuvHNDmTdQVrgy6L5VifuXV5Mzgdcy0ayuiEY0A7awVj/aSD92nQriOp7djottvl3 04lRQ77IkaYat5XMnTCz2xgm5I2VWxt+mP0IbH7kI/x3MjOfIbaBMcYPm03qZE4HXXQ4oRkX9mzA x0dkami5i455pZQ8MkIhGGHl3P2kMXhgnJ5uLvyDBMhcBuw8kAPKK/P7gURY2dpq0422tFna0znO nsttUdNI2O4Duq7vlF7mWQg3YScpjy824R1+r/RhSX43JH/TkQniU/+ZF8w+izcGDYhyKhWPWTgG eymOu8cp3FWXHzdPo7bVrIJNpqh3nJ8WMIcbaAbPS84gD942vEbIS/FOOa46+Cus54jh5z5vlaj/ E552Tymn6HCnRWDwGia2is/HZ/yWnxbwa+/yBvq5TmBbW3uKNqM/7rpDGEnE8v2aFC7D7zF+ZClC H7MnRLac5D4Ns2dXtLBTZ2zYwr2ib+8aTIe/0iVaulb84FumaottbRtqhzH3ErX9Vf3wWkwKFu8Y xcnPXByVH4+JKIRVOFRp7E4FuAjogTtDftS5pYZDxiRur9MAAmB80IFhqDM8gRHgGq5n5PQuf4yz gBNnMrXo5PUrp5J+YrP84MLL85G+kOlWeYQboqGoe6UnryYfrF8U5RPL/sQLGtCRr35rAr+j0RLM fKx0f5QNfkSvO3yLzw1uTQfLPBiBUz+bgxhhavAJKEj0SZDVvZb346kgcSjt2cklofYjW8+zTyn0 Qv0BU1nM+bKhNiMS1NKHe/VtCHUDZzj0UO9LP+l3Jf4qaVtzxZLs7N90Fu4PL9P04BpKmVxL4xyG FUZkeBuA7eyTIrUNh1nDQUAFa36cvSd6pTvoodk8E9bQ8rgoLrkhr5qpN3n0X/JLtsUkZHBn/gV6 nT5w367MAElL7A8UU2HxiUnuYcOxogzLugRu5/KMI01WEWVfiu5c/ZW9kaXECmNuoKwlkv56aGY2 2q0edVeEg2/lYs9ZyfihWamVAqrK9bOGWOtdiFiOL2VJa47WLyy2mhwJMBwvQ3P6t2pE8z2uOp1Y stoesZ6meItJqFrxVN+1rbhbXF3E2oMsPCae+gNslX41jE9b9t51ZCL8ULgGf3JGsLw5PivkPeWM YttaKMSgrAKjEP1GuhfXhq8YsWSSn/YOWQPh/iT2jKeY6nreDXuQ1+WnE4CTf7Mp7xkjD2eZlTrC EzMGsH/nsoCMvr5u3sbPS+EEhFbeLM6NRxir6xRNHufPgrZmSjFdRCpsgFwZih3eRORBiSW0k+2D tQfc6dftbtL7HcRzQd7FTGiXrKkOhFOxPh9mABUGMrYpqt06wQ+kiO++82AdYM1o5uQI5PwnxvxA II8Izhg6NdVMoUJ3a4gi/8Qf/rAr26hJUEMyHHShse9vUJ6PpdCg4uFWvf5502Qv0dfXQb8gLG/j M+TN2H1qhlhTDolnH/JZTN6WXRj1E8Daohie56+X8HmeE5uQD8BBtiNlHUUFbudnuVVtka/RMPKv n0+Tzq9Ni7pBMmKuYoEzcof9Mp+6L8LruLLSB+vTtLl+03XTUzYQD0iNWaE8Qr1Mcbzh2kcKe3Nm pd98UlOq/vBc7ett4fJObh+4wodKAI30ZsTz6Sn8Fw50xSkswv+PMz6voQmjgijSX+jxQwYXn0bo xw4v4Tt7iDdS1p5W+sBEYg3HGIhyY+8ljzr681qVfEf/xSe1bVq3cnTwJ1k6U2UaBbtUq6R4R7g6 Nm6EyZ9BtHMIw/5YDqLiBZyC82tU8oze5xuAo4kp5TXH3T6Id/MAc6S2hIX6CDfy8E7uX12KrmbP N9V4v5r7bYUBbaeGg9XOTCbYZb+mDOjA3/B7alRaOXa7btSjZFN9C1F1cPTOvPmpfg8VksVBz8hs amhucu2AS4pc8xqMW/kCi6SSw8vXQUf3XT5M5DXLZZrXNq1vV0+whoL2snBfaswdnfxQb9i3VY2i 4oNpXWSUS4LQej/rHsE7KYpl6PjuwixqWEmWCCjtGxLDvH2xlvqj4m4ywD/DkAOhW93oqcphpdKX LnyMWJAaGnWbbjbDjqx0uRQPfEl8QARonpOSSDucpXV+EGLagbAKoS5DEvvJ4mxPZsNRH1qYAaEw 894k+4X5jhGLC8/ZRYrLefznuPrtL2Eyndu9Rl5v3fgAS9OXgwovOdBfsGBaKXnNU6Q30Pz+A0od PzsO0u8cK/JMJso/6cOVQgnrwO8tUkHNvGW+LoPZnYcO5/xtn0eW8bzEh6MPnXW7ZMkYWz+YL8Mp jAZD07kUr+BL65oiTuXHKtSeJ2KOGZRaACNdXQFqm3o7zLK3hRTUJZ5M9qLgXmOdLm9N1SspQp/n vsfccPz/NFhbXZl6V5qZbGLDYnq4Fhr+v74rc63F6SN7Ay9ll3+hmIcR3cHzqaaiJ+9ChH0NMSOk +fhpuRGsdXwOUxyxzBp1sztawzu5BbP0iABfmmiw6LNi8H77sybpkSO87dJHzgp6LRKw9p3bzMEJ sf1qAj0MGlnOKY05NcSO5ltuE4RjXqnmWygKpcrTA1nq2dpQlQzAnwzHJeIOAkuuAw5uO1AkOmUR Npq6ArgJ853SdSFCNojSmLQolYXQPi29Whm/pgeCeEYqqwoZ1tGwJr5l4MG6Qd4uQ/mepoFf+gin Fn2VlDzxDSBCzvNWdyzU/OSKhLGEWM4BUq5Pcc5la8Er7BOiHk6Ozhg2wIN8drqbw1priNd+gfvq +GyTI6ulOs8AyHa449DG6GjFEM1z4ZDOJ7XcwvG7rg9FHQxpcBXJ7NT2EYWMoN16orUR8JB1r4F3 DLfsge2JHVKCj6o40ul0Wx2s3J//UKp90JGS0fv7toZnV6ftJ/FtViKQtQbr5ZBwfk6tRmk0b6sk lMgGr792L6j5L5eWO7XXJM0O9G3qEVf+YuGETJ7NrnjVbMwLNXx7G1LwoToY41HUeEaSO9cu/0xS J4/zM4O5irwB6rfmKHFsAcwC52rVdJj5Do8zpvVdROcz+e9iZ7uh+RE2WMqNkQwafIyoVAWtZil7 SPAc3/Xmm+sWCMQgNeDLrEZsAoulIDRqRhsa31QkJFpQ0iWMN+abiCtFvSHSipwwYMC4UmN2T818 iMuQMMN+A8VnLsBtKaETEde+90jPzAD6DZ4cVru2WT0/asvfdWAdvtmeaj/hPXUSaVodP3kDKtpT a3HgA3z2KEm9CBBwhl245O0jFVCIpQwp8Jbd58u0/e34tkeuTK/kn3cHjLlspkyWLbd8o0w7rvbR ttqobDwoomXhvsDxeomne1NbutHxd94fpnCm5v17KHiFOulRA5xkVaL7akYpsWXW1m50DjPhTPFF 598fskL/s7tbP4evffq6ciFpHBsUIEoCED4c/DvkQtDb+0rwEEkSA3UofCsiRXcLAFBhb21A0/1B 5frU7t0BPqn6SA1U/7VMdOKcsmkNC+qIOuogLgOVkr0VF1uPNtMwGJfaDI1UbhXhxRQgpMHdRjoX cbxCel9TBtAQyc/LY+ZHiYBajse8G4jg0ECdREvd6nDVlRphpgczD1ZKsa+shw+BVufyN/0huaDH wQVYMPDViUARzECONUIWbcHR95GZq/9y5OR8/arc4zPsqcsNgOoCMYIS+7jVSRNLrwVseF0fGCgt TQm7nvDckMqrMDt9aEwe/mbFlREk29Pd33E3EaSJLvXKMUsiTW26BNPJ1BCKuIq9d/ys/5R+Ffga b6zymiGx+wrViqmEmxFJzHk/FiyW7di6OPFUL5/rnFGGwzkVXBlL+oIfHpH7bP2qohjnxgKHxZwc +Bi0QsxNCk44kkvv2VTh03JwP3P5f1syL/pwwHRopCATn4e2vTBc+k+rG08S9f7zs6K4g09C+cLp rcjFu1vy9VgeMGcMlTO7kRC9l6aKS28vw72YJJaA6L85lNh6RKkZ8G8F9xlt8ZI8BbO2JUdfuI1S lGYkxdXoqwiM1K5nJJK+9FkhWnURkhtpowyKJ0UqJGsXy+oU4frwKHirh5qn5qKCsi//ck7snLL0 IxQJdPbE2DQMZctnEwzK4ZiZOed08Ek72B34d3K/JQM8ax2/w1zhvcTQjoABkcubQGkuS2SJW3AS /ZomgalG1ist6Ub34yMWBcmHGm4aV7kFWGsTh/etQZgTHMoFdcv3qsiFhHGlVmVZyYkbp3LqlohP MMniBOoRPMKwYtS0rocFC2vKkWyaM1DL1n0NOGoibnS1KUwjyK9fg0f73nHfWh3iE5aZtiiA26no GZdCfZhsYR1Vk+gyI/59qDYk+CNIOpr1Gbch/d8n6xee37Akynb0CxiOtNGu6NFxJ7MlT6CswhBr 75n3aPJJPMYM4LZjj7dzAqfdoOq4/95EOwQjGs8KX0wBdRxX5DujJJV91aAa3RkelhJIzIUdoI1V HuT7qVClAvNd20bSbtblSYaRChOnRheBqLORsO5BoPal1HUjLMoHYNVJhFTXzEsQmwFmlAphlJps aLk0QQLueUvuC9iKwgh/DlEFVsAhb01iOTqx7ejss3Nr7PrBOyXWzBPQ7KAaElTv4DZvS3t0A3g9 WimnB3WNOyqSHMwRt99SycapR4ptkHKWb4T/pIfLRHspHJITPyAo0uh7t6ghOVBy+85L44t9TCw1 yUTZZD2VVqyiAsOZx32LCnt0Hpu3+LnNFxfdVM6T7rQVlbj6GNDj+L21C/FKKujUfQa8JJuexARb cA2fTMNqdiTodwR15kfvwxDFQoRPGfX9/ujZWa1RZMeyJWsOp1TqyzOMvLuACDU44ldyxjHnndeO +D8wwumOEYTujILjAJ0w11ycmLoRnLVn0CU+P7YL/SAsjr18y6UofXI94LPaccdcFFopNXCA1IQf lFr0wVK+oObScCu1BQJ57bJ9Gn77ve9+oee3tBtPYdgjZPmVeB3wNjrIaXHf+owrWdfkJ9iGkNn7 LbYvdwwVSemrOaGFUGZAtjET3RuRaHRnrXkogVrUs1WrQJVze74CJlGEppnNubGMfj6+uc4BxuSE JLgFRy2yWkOH6fV6hKthUOXD+RVaraCU6tsA4RcEWGfxz/1epB0mCzU8GthSJcPZpg4+qmlo4pGq rKr3Ome7XLWgWGU48mofE4JPlt9orbSwvK8bfYJGXEtwlgzsp73kLxwNDoEHqhP9suQQL16OS/IT SIsR1k8RcI4TrABs730Pi6UViMnuv4d//bE7A+jIww/epO3wyEjJ7QEexVsUgeyQgkNoSpyfe9sV pK9xbDJpYJcP/h9XXD+ycsXqgjOIzZMr/QhiJJqsqtO2zAOCeW9S0djwnaUIKb7Jf5VPvxU8aZ6t 4Sc9b+IDsvri8MmCqTWGDsImtF91RDggwTWOl9mCDFTQLhzc1G34++mFXpAwTh64rHUunmuDDt5K aW2gKNG04xOu3TXcPoxvHqgtTXFxqqAgIE7+mFO/RrDuZxgbHO2wyqyeCL09YXPQiQJ8zhENU7jq zWx8WkiJxUFfkWhqkyixnBDGbvN0awcf/N5642psmaWFFAlMeAS1vxaEKskq6D9quzSYTAZiv5Vm SquVUJKdd1elEaFPgZaDOe/7OmIjBTr/vQf6NFYRlv+p4dBVCx/tDgjTy7zNjJuNJvKTJrccCgQz csJGTxtqYKTZrue4OeMYpcr9vQPaBrZ0oGMVJ8x8EUfOQdeSJtGv1HN1pcjc3YJGESk8BtyAKLs9 nCmn6wK9Vz4Bc5qA6QVYw/BSjXawUyjDOZpdrf/SIX/fJimvtG9uGNmf896ILiPpvx35NMMFYjG8 AQS8sqaUHM5uL0s2JfNOO+2I+H3RBmnp2XLgqxp/X/QdIC5EaPb8mcDrbp0/GGCZTE1qGC2aaXx8 E4VbhaQO5RtiVDto6cCVdM1svyiK0AdcbSDZrqUAz0yOaYkBKZDUSgcEZPGBzQM20MX2QAMLNws6 CONbaIJEYG2k57GfhNfmnZDPoTHITk5pP5WX0LMORqi4ixdpGpxhMhFIyIQtqra8r73SZJ5QIqbH QeZp1t4p/Wy3PDYwPQ8ryJZNDepRhmTt83PMerjliNavQwXzpPRGSsJZtjJp7nmxAmRY1Dii+D6R R5SHO+nT82IJtR1AF6g5Ej2VdZeVZBYlFiq5trhArz0p1oh7lmUWiosowmGfQtD4/ZbY6h7UrQEl iU2ZMiuAFNMNL4n+Iecgd0MsxXsVTRAP4az572OTpz03mmG7TAX1T10oK+vFZdYn2kxPVkQ8inqI 6GB3gaZSqu6ASpgO8rGcz/KVVbk4d1FMfMRWtRFdU3Ruf034dGFNQ6kxQMuqZyPqGi+A/814sF23 y9xcRslMhiae6wW7oT+JYGIQ8TN2gRngWMFnWl5M1cZWeQO98S+aA1iT5na7eDRpH3NgpEXQHAqQ b/z9bIUGLi1mMnMO0wPIcm3IxJAfkdS2yOOeYps3xTEWaW+6rQGX79xUis8fMsUKyeTotXMNkFFp RVMOViAH1KeGjhLHLIVoEJ4Kqmo37RRJi74nHfRfLIloH76nGbOL9N+YynCnaEePD9OsMDfuX02M yKqcIMQjekPQrPIhJcw2XwjDknqX3nKmscdUjhkCGx2MWujFSJ2a98aoQaVV/looHJdZU6rXxgcE PBOs73IvYRKqWXX8vzTUCz2dt0cbb+oXdUnCvxGBjADdEbCqvIpMhFyeako14p/vqDZdSNGfFASn Lzi/iYQtLEqLnW9v48xLMtUtPpnZVTM4adMEbTfPnxPpzAbWLVLPuIvZ1wX+7xdSV4G8xSqPrSvq DC2ve+74XPwjomxeHLpGCZ0H5n+xwNmhcbREELQzfkds+Mmp+Q0TJ/Z8K3DcU7MjGYjI476iJhtd B6VGo5mSOc229Hd/XyaVx+rdOFL+J4IAVDUtywJC2ND8LGEpHmSXCy1jeZEAZaikv2XvR51to8Y5 7Dss037tPJImB8vtMRh45lnyYiWmfaQrijgNmBeqNnQDdkpQq7r4JjuUYMNnRcUSaec1JEuUKYLi hUG6w//STqXAwXqCQYcEKF6YHU/JZ3kEbpzGSXE+GvYwlmbepkrNpReVwaNrLqEqZXwX9wFhVEAc V6k1AGBWd50E//JCYk8uIHj3J/mhbVjcH8ztx0uBQxph6QaeqRCS8rWIOw2N6m4K3DWkkmnCHWAd J9Bb0/lrFG4dJdo8AieAdExoQZCmKneamAQMtl/3AtFN5TG4cuI9mU1rV9EeAFELcK04myKfW/Qw kSGJICwhoqYDAj0zoCKpqCoNik7bD3WYAvc1urljif+HljnqpEtxnO3SROxM5TlaDyap8w05VzIj Rj9Cz9jyuVJph9XVRvX9NgNAlTUZdA+akgQoWVy91IHMvvk2OYI4EmGwIB3EkESKiOs+3Vj21MJR a/cFOvx7EwvljZSmIEn2OOnU3r2O10VBhUWa2e6CirdA0QesjR4/jV3oMaFEQKkxteK6MpCXmlAX DVCveyJGxz7PUCiAqd2309PX4oB80TnCdJAloDo45FkW1USezarcaULtMzkCD7buHOdSvFaRFasr xnl4xtePodDyYTfRmT+fEGehOp1KBRSV+nmiTch+rnqeQqtmmgkK5+dtf37ZbiMQZpNPyw459y9D /zP/rXGNVxz78IWGx056hbmR8LKNFNGHTyvU+1GjHfQtiKW6jMpGHGUc0illBIy0gHCjwHgDWt/D O7vA/hHB790YdDJ9yew26pGpRpcr9bcmUnzGk80CZqazDV4aEi9qPnFbSYA1s74RaHIu3gsg9YrI 6oUVuTuek3AiL0U/GvAGcvzYVO2oRGao/+ZA40HnpV4NLTvBfqvJXeyNN4vzWnxq3joRZTHb22+z 6lCpzDfdVljFCPY2/AsQxS/Kbl43d56jKHkTrp/RoYENfaWIvgUE5R7V8n2poLEShuWhGJwX9Mf+ 1kygBm/L00z3eQDATd+TYDQpoiu+iiyPNxH7G8fA2GgIB9UdpUCusCCzEHVw0Jl/ytPmTgd4GtdL 0gOwLYuVafKL56A7k7Emt5RL0PWNdw1nsR239A9bST9KPUS1A2GA7oh63Rc8ylnMWk/xnsq9+mJR /AOw+XGajfnlwcOIUU13p/blK7MFHUkMK5bAPDD7G+3XHd5fYXO68EC+/fEmGIEb7bMCSn6960K1 TvAqShqBvf64yLVgask1nORb1GjOrC1jPzOf1hjN1PVCrxjf+g0t+DDchtUx06qvKKinKEICW8KO bw90F+RMbfwgr03b9cPsp563pcnD8ExYuPQ93I5GN8QIjLgHmt2v1bbarnNSWWpyOE8L6qGSbWEV GOyFtgSTp0I4zSSim/JhBAUuDPzIlDJP07b8nULgc6lIuZcInaB0bd2XWmLkd2UR0VehVIf69nIZ NIgoQmpUMWn1Lw2ZTXUtSQwfzrSxGKMKnP3XSrTGy3A0PieK7KB6fq74CYlELolXZJVJFVYHpS19 RaLhqTOlr7RzdlEQZfPETBLNbAol5hBqMSbYxkq6zrAA6/uPzRCNlT8qbKO4ziGYb4zFkrS7MV0q 8FXV3gwSRBcgNnd5hE2NuoiqkmRPyI9tx9NiZl7uXE/CGltnf0nspd5VV/hm1PunzyLO+CTAW732 rfTDM8/6ukkeY/GCZhmmHSLlq4a09TnYPAK4Zk0WDbxJvoG+ncma15VK2sgWBb6pwGqZMKo6WdS0 GoPwxJspP8oguzTBmcBabY/s/2mZvBfFebTVVJPWP+p5DbrbhP+uopVwYf3MfM1hYyPT662TQ0ju fdsD1KnYT4HK4AiNE0eTseJLao4EHRs6zrCmrYhKZYvR4OEdH0ao3PiWqO3ibJ7OBDyoDSCnAnZs WnkOKaKPFcG77M5Dgi6GrQpUvbL75oI365+G/aPCvgxUKvkD1BZb/6RFaOUHt5/GvyTeuSN3YVl7 y7NhtuHZj/YZ/4/lzVQP/llKdIxKsfZssfp3OEQcwqcTmNeAApXtJbu+fdpx1riPPI558NUHB1bk hFIii5/8Q8mtkQ5Cq/1DdA0oP01Sfx2L7K+OOFc9fDA/59vnStf/BhuV1aGVntYUEhZiUJBxBaTy AIieL09/7CMPyNqsDw02ud1mCUnt2bpR/nYG/wOIl3pss/PjgEEjxq1k/LmgPuBQ4LqEnDUIJboP /UhNkL2yRhPc4QUqD5shuJSikzTQUJNJdfkwFy3EVHCyXzB9og1FOOK96PR0grvFVcZmF0pTkpbT FGHD80oqHnrh6CSR7kEIH5GiOw83p34gEk2hTr0JFvQPEpLqWlgTBUMUeZgnVOQVdWAJhgi1GV84 ZxjGQ13ju+7awqBPVa5Lm/kp/8SR1d5qBlE0lDDGjPY8cx6dtApOJhtlGaKSo8k/8xDBImwSWfzM NPXcagudwb8uPge1d+/bUUQm2yuaqunmt9A/mtIzhDi0uyZhaqxlXCRy4+B0GpL4xVk5DynGt/OA mas2qTA3DmjEymaLzAAIrR8CQZUJ+ceVoqqKiaN5M9m6KT8TMb0jfLnprjxLQcir/r2IEva26ElB s2HRWbEKo/fdaoqKPkCZAM+r6MP0p28yh5GjqSL+og5nDMXnqdHZOtDgs28KFzVO5ySheFAcmWmz Gj2RXXBUf7LmEUFD8/lXwZiNVfv7lftHCXTEXHAByiDYL4bfNj89k0KeJDUd3tZOoO3TwmHYCftQ 0SktKKCcShqFfxgV8BqM9IeY7rsahOQ0Kq8lnuZbRn5eTrC5jmY9q49c691CpSOQKhqSokR8jsIF v5+jcuy5GxAjMHbHscRHZVxG0o5BKXmD3ig5E8gyrkXTen1fsLdUvCrzmd9EMO0NE2OwZMJKupVI WUEdAxP+LmaSn7cjtrmoF6KoLC1zWynn9RMM/ow5yo5n7G3o2UvrpWB4BmdmqB/9AZc8cCY07aFr bfAGOzk0jQKXIx1QC5sniiNLhK9sNKBghfzH8TL8Uz1qAnCJmasuB11CzH0lHse3+Vyyg5+97LdY 5CxPOH9OyaU0lj+Hd8XHo8VdWKvXObCtHRZgsuRSq2l+B85ePc/znaS14MlVi6BLSgDSxHTa47ED Fv8z2SkWZk8aGUE8Gzk5P0x2UuNGa4iFTcEZp92hK38bBKWy2VkJc3KVEzFD5Ae5+eEnYGknjFqY UWSmq3aHF4iB5ZDoFPW/vvN+fljdP55Fw7HilXdHAVZ1gcZ1q9gCzLi5DnYgPqB6JG6pYBhiNe9p F7BvQH7Ivso2ot4WZ1mQee6gn+HrWSH37D3Mea3zeVQJkYThyDtumwoecK19LrzjN+kFNHazn9v3 UYpYz61U159GHDGKoW4epG4lWGVf6vwSn+cy2uK68SIb3Pn1UDJvSw48t5VwXrGpxuKmqKj1RS9Z gGI+HwJEIQrC8qedSaClhlkY8uMoIJhhXIFWT1lrXFz7t1hhb9wrds9iP1wWykr00ZLNIu9XFqqD J9WCOBCgrcfRCFjHFImf83p/gnftqXS4wV2Ov2o8Ayy/lbrVgxVNxFE87I8IIrgRkFDCvqcAqw8W FARXrkbwo17DVs6RrDW8qgk7wuVcItnkdNwwudwnWLv6LhMj6KE2jqru3wfyJywl94YDD3V8hru9 y2UGALZXCw6ZhaYlLnagMkjr78AKSOh1CdLomUVifQI1akreCWfhtzO1DnFeAPg1ade4gswhQVQn 56FdlVaMT0hhfRKJYSMS4hizkb+cl+0xLDWcdQSvhrZFSib4COCHx70KqZphPfBsTq+gmGrZyi9l V0YJkUNM23S6cqm9cCz13rU8dgbwpWhilqYL8b5yOkolw5W0Ef23ZW+DRrAYl/x8xuX8TnixigFK 7Mts+i04nerwUw4nbhsnLNji7Om5BEh0zV6AJIS5uiPaA9FUx5Vht1ZNe7Muf2x94V/zH7MwbQkM 4hb15H3xXP8ipzjZF9qklcTMQ736DwGvTlGFO0NTNSni8KUd+Ze+E27Hpuwkr2yq6+YbAdX3s8MS j8rbW7SZ8p1oDS+xUcgT5SvzU5A8dIBVc5fVcNjCr2mCU8jilRBFyJSxUlWALju1JoFP7hjtb6ed vD/3jkLPk+j/rZVi5XCiHWWUt04EEMnDFu6LB99DYBYZK1rViAuXIT5WqmWTfHzqmujqvU/PRUkW Kwe4D9RXJjkT6TycTt2Bp69C5BAp94ZOvnP1cM7F/HMRPq94Sr6Bdu2re8B8riDnoHJ4Rpo6zliy w8Q8Tw9aR75Yc4osNyOafJKxsZY3LuDtmd3KrU5fGIcwzwLWgKdleB4fdMcskNzLmg3p9U9Vjlol qWhyt2ZFH3mBtZDaIyXG7XVtAorD0wkbLRls6kVrwHWslFz90O9kS7XzysivBVT8xagi+JimA8u8 FasqGZdhlyCswlehXgirUsxeX96hDwk/PKmmb5x0Oxqqdn+eRrf1FmicU46PJdfiSMt/QzLnK0UY nzVcC1GbHZDU2RvFVSg0IsWq89W+kFladXo9AsYV7A/i6k6vm3CG6qpd/hQKVR5G9DD+3CKPqNSM VDNTOjhw26rffU18SIxUhN4pLi3TBXAt+tLRtLo/wWmp38PWSCpjCRRCdRTFVqvnLriRPY/bYM5f Dkm1NV45OREQYWSicqNCFbhej8tmw5XSc2XcbQ/09F0/vUFTySdAB5T44hl9uXSjk7GuOnT+smdo csqHoQs1P3efvwlld8+jEyzyPsI7XSXmkiU09s4AnJOXSEi5BrBUFdwgrCrO/uFaaZuNbUSwXJgc uqU7AsEVpkq/XU4iReIIWln9t3RP/wC5l0a2y+f81WhcNgRtP1NpxcVBC/Vj6pHJRhxg7IUqKj86 kjC7ZtcyN42cigVIN5Y8XH86hCE4L+5bV8vcZl8KG0BOogAN1cefHQFZTzVuDbWU1hbP7CDUtfuX SSBxsdgq9Ro7ZQwAM/GcYYI1T7Mf7tgqpCnwkD2XYlNcHv+lb9YcLo0o1GoREMwgubDAsaGpmHdQ jPu4LwVMCwqUuTapVattYNORhrDD2C9+NrYyf+7+Lwg20udBgGskWFfgzsWbAPxZFjnL7IWpXHLy R4sRxwF28DKyv+cCf/FyxRQucA8vogREjg7aA7cDlH4IrXhUEOqQML+qJBf5im9CK1pHx6/HaCLP FoHBxzTFoqkAtV4QT3ftjQkTB54neZkSzhQP1OYRWoPG+wjs2SPUQIVl3bkzbWNcwoIdiHl0KuyE a4YfllGZZL2FXRTcnHMUe4PjIWBhmng4Uj/SrhY/Nuh0xPE8VwKPo+RUPmHQW858ctCy2NxTutS2 eP8AU+92J6Nn5PFfbj6wyDBMV6tZ2HETKVLCV1T/jHwN//nWQ6c0F8jTKePbG4QL5CugXrSOebVf E93s58DY+p+HtDycCWHPha+QCbMHdAq0O7PY5RQN+BRMW/4C2tuLP09JpgmIHAE66oKGJPItsVkm yWBa1e9g+UJRypoaSBDqay4YX2KqKpR+UaVyL31inSJiJ1vL5xkCVedPXQb3B6fbancq8qpH8yGW Yy8L8l+xi5GQOD4dQVem454Dgb+cBnYGSlaneTzhpKO3bGVPrkKTj+S7F6YesmQGwqLe1U6Qk027 XPWIr72j+sQMNolSeYxlKHn6ZM00l5C0nTEQycjZKZoOh9j0ebjBmDOGg+2/G6DaaZ8duKVCMsZR o9HHomt9NWn1BTEFc0piwZA9OcRGeoELTnM44KPNRanhTBRyD/8YAT7ap89gNSWzRGfqIxGj6D1F 3bIRCBjY+Lks2Le0Gwv6YqUpt6Dtz3UPFyzUWYIGrO9zsZYEnVMTaihexg/x4p8CvrHuKzy4UGuy 30jROVpPNM1QtMlLoMwXPq2g0b/AeQIHc6Gb9D3VssNLbGV4+Wpy3tQzY4G0egf/QAmjO3LVzi55 tXBfeeddqbkmpHIZipxvxGRwvUB0noYO46jim8jQeU7/7lriclrqlryQ5eRYT8/f4ZxZ+pYUbXlZ viB2fF69NrhLtoJBFNMCiCS/NEFRswc951rm+ktycas2qKHFz7zPfGekQraAyYuhG8SCyYPITkxO QK1g1o8eFAPbqQV7tEPK8LEaEF4Nm2GOGzV17z/QID2eLEeWUdKCRBlQNv/Z12tP+GuZ4fUhBkUl Olt77TcwCSrhkM+r7YrE8dpFvZmW/KNLqzU0gsIt8k2dJWuQXTHFdY7r6aDEacoERI55aGyvfdEN 8kLMWsHsIBGuuY+6iIs1+SNBeYgmR0yujzPZ+lLN9D5Ypf8RogKjC8AIBBC57cRf7U4tiQlK1d3v Rlo5kGEO2Iqz9xQhJI27QPmw7WhGBZmypCNkSjutOoxPr6PgI0Lodnu6mU/2lbdMM8VJQYdTQ0Ix a6wzBo9r07m3iTx5yPdxOy3Q+NgACVZjt/pIcx2T1BRMvIWHnRHyKj4nhrcJrRNQ2WNUluO9cKuZ 1RG2cyfgZGd8ajelwIUR41iv09SgvmKKaYeYu1lBBmqX8roee47YQK//SUhLgUqLz0R5PtQF0IJN 5jdWKOwOz5eOWae0yZXJN5Tj/h/+osRyr6/V+DW6T29fEnuRXxSQi94QQq2cdHvyKqWGPY//yPWA 0rbmSsukMHwEZ1QRY+MvUDZpRELalYjpaAB8KvT274GFbmoyoYDQJ3YByIv1mbw/UfQV0p5a3SkW t4nZutyxtEq0DXsxzdT0p7otOgn+nmepbT/pmdZoQRsZfTKKeu6TTzaHHvZ1k70ycagx7JyIvQtY Dpy4H0CCKU+ZToQWA9VLqIv7B+i3jiJpEC7uFkvuzVGwojxSiQgvoZ3FGej5mEaX7cgIEthOrZIG uy0KZ5i5ye0QJP3Bp5uHCWh0D+gOIp5iazIYJ4HG8NYLiS7fDNHXI9OsJnaY4YCqQAifiVc1+BhS +pOrFsDjsCutS6wa5ctfBC0UHKtoXIHgnFLKPgOBwfAujpOCW+KkXFFGamxcdVsUx9JQ/3H+78oZ KflMgmkoF02MkDES6CrP2/xiUSC6xxH1OjUYADsiEvJxHlENnQZhb6K7ZJIMZ2gu7A1y3n/HD4wB w/0BmKn/wuxJCSMAYFeFMJd21gvjtY6NcNkq8PpsLLd7Qw+55S/kdx8319h+IbRK0ofVqfIdg4h8 X8tUeJ9BYctjHSDZS6J8kQVyg3vG/6f+QCwACJ8eD3gJPBOaT/17uucODeTj7/FTfSBJfhRM9RFc ea0I6wbpDy4/j62msofvNQM/YXdZIKWXo69dtvcVNPFWflf84E9LOyZLkUp8EJdnpTPWaPqJvVsF 6gLHcNKNEyWpiJPwSDhHVGb1jEG/7zbcDDWOcZ3d4ZDbpumgmu017CbMZiA9zTvVv+kctbq0dK5K knxqak+AdH9uJH2pD9z70SquJCqo4vtfi27IIaJbsVsO14TxKKFkZ8HFi9Kdfy+Ga1cbBXdcmnFY OhaeRCJ+2piFNzoJZylydCmSdK5v/BtN5o89rdSh1D9/dcJ6Pj7puE9GVIXGDF4yui4/bDLLprDs b4tfqfH9tMkTo48dfQzR+OSvQyx7qW7VJVDF9B3g0bqGNTSyBpjzS1N+QfMg7XC946LJlovOGbBn 13YgwhVNfHNJ4FVI61I1aHX0v0GyUC3zsnp+BX+awbNc628y9GfrnlQK3zJVoctQN7NEKqBy+8Jd wanJQL+DNujECz77LgBNMCaO8LWxDW2PM400R23604Jq+Y750rZN3F5A55b5e1q3kWWolD5WtqeM oBP9KP77+9CPblL9LXaS8ucs1om7nna1efbUNWgfLXoPs4yg58kYFNhFQJAVaXOypZRGzHBBTN68 nyc6k9itbeI9m0bJLOpKZSU3Fp4HhOkouw3ojIfwGLuaot8tUcGzCvcIlBRyoIhjuTZC1nY4sneW Fsp100dCc9nyCKdPom5rVJjbG8iLV/CnrOPzSn7g47DZyaCW3bSwuAe6sWEuQrLBKj4Kormo/VOV 1GmglSnEmUVMNyFNzKbwwSa+NlCm0FZVnL6UGsZbMbTV4xQhtbFFofip1aeZtufm7XyiOFz66yFM rCXJn3m1BZ2wcYeq65nYA0TXRXh9mSD0/jqR9bF3z96RVS9VCrLlJlPSbJ6nr2JXTK+oDbJIFbqX Y0KfPFt8TMXSzVe0ANR/aYQEUS2SqAVqM7xJWuyBIst39TL3AZimk3Nhveef2QUCfHZZ1YkXcH8Z 9y6Xk1iNj4fqwg0eqifOjmPs0xytKDmvGF5y/otedfto1pLfhLtZXWotuaOvaYpyZWBIIjzFn6C2 /XtZWoV1HZSaV8NnKeO9St2KIUaYWyZEL8wkIAoQ8IQ7OHOPzVDbVHNkiEJRkpVBY8Xb3iI+XDao zTXKO3VDtBMnUmyJ+kvZg4wqniUgSyI7qZTcEdbr2iOTTJlCkeEhFXAj1qkIx7s2Bcu4xMo0HLIJ rhJVPNz9kEcUHGXyEScn7KiyznsT+VdYE550XQ0iK4ab2NUdnMxTnB1b56yfZc+fiVKFE9Ci432n iVEoiL9/FSUKPlZRdTR3OetJyk/3VS3sT9g1Pe7+bUNGASgsmsiLl2/aU4eos/0D5PVPe7kJzFL0 QTEFb+y+cLw+hu1f32gq6DO8lLnMicWFh4yXJvVi0AgG119E8OooxhcWEFckCZR1RciaIDOofi9z JGTItEXfK5NMSiGg99aaPdzf85cOd0CNGpc5Q53Q58OwAd06AMyC+EiwdgvG8YVcvLrz5/EkwbHZ wJGs/NZt82CuzHANfxmBxkE0yWLeNkPnsRsF9q3o+VVuve/tcFMohA9hS4vyVRlmr7n09p5LV1bC 0vIeeGxoxn6h1ITJEa51U6OIym9jfylGL+icDXvCKLuqLZ8BKq7lbMPVwjJQ/artZ60HAnZUs/Mw 16Xitmxz+EIoQfMUaNbVUIT6HpO+jq93xbmvvaf0M5Lvws35HjMRMLMB8ORrPe2vI3qQTOCP+dJG EpYjWONZQIXRjzjK6cXtw3GmARU1kBLok3YeXtqzistr0QaApqZeejou7CZhCzkgRDSDEvXcqSoO PoeueHEZMdCEMm88/LJWLrU4a+rzKCQri11KfvDIKWglvWiOkSDOCH2pHm/n0/Eu1LpDT0qlA9d+ UJxAkWAqoiA88On7V7YvaCB8j1TIJWnbNS1LCEhM1bpbyULl1NsRD9G3/VmO8+zEkh9BJcgZ6cFa oCa5Iurl3a5of7sYEi38lLwWNpf/WGR/h5eJ892w+2HhXVc+HPl/HqeOik7RwK3m1sHV7u0S/aE2 6tDH8Q40JZ/2rI52l0+4zNSgdq5jzs4XPitFdx1xNQLDffJdqvDHsxviCQZU2cREVUPldvZDoZQo QFFedSal3RVAh8MhuejA55Rx1mF8AngfwVM5PQzX0PfNNhiXgn3Q6jftk34U7vn3A0HftjtNByhi KMEiTPA4UBVW1RQ0d1j+soM309jsD/d0WuFoG6Ves2Lg3jBfkwG32UHRcjb9Ggx+GTsa+WEnNqpJ E+U4PETjUQkqxvuexjleLKpj8RVjqN6ut40MGwMG82vVCOiTRi+ELfZB15pvAI0MIdkk1mSgZ232 ebKM2YqJpt9Iji5We3shqLVfFSod65SUgTIqcp75nf723mZQkHkfmJoCI5lN0CNYxCZp4bZl2RRt 8s/HfRE98ZgSngsRvR166g6bU2hX7dWQHZFrJeUaK03dazANka/bFHi2I8aWi1W5UFdejF0JdLOF xSpMdZGR1HtnyQbUVDwPw2SmOl6UAYBO3Iqh6uvtfQyB+Ie8xpp21zvXZwIm+buR5yushtydumQx yAktOrHxUEnNpXxEnv/q2c4XmVIVmIEIGuPzVV7dbK2iLInwnYVEL8+0NaWKLL5kH1eznNKshHhL zZYLxgDoY/1fbWi8sUjUkFXt2hJdoHW45m1A16rRCxiqd9/ujpoM+Oy45dqWe4yt0FlVrgIbnNHF E7rUk2tEvVXIcd8/VX2SejTfe9nQbhcUZTWZa1bO6VmQ46y8f3vosyptrrpV4QEE6knYXDAIIZDw RYp84UNu2obv5F6ubjwSEwka6RG59IbwiYipc4baib3CImNM1dnpgmVfrz/D6gN7z3ot5GnG+9mF EY3am53geLMxyWmMBSkLPJyY/jyQuxjbnew4pkv6a5kBTx/uVLS4E1T3ZyECw0XlEAOinWQmiSCH KiSj+1X9qu/Cs//xUv4L0VNGcBmSCqzRuHNir1npgGQXnr2OLyD9hgRFjoSg46m+XFHLFoOBuNPm 2m77WUcBxVw7Ewu8cLkZemUGqxUEzUueHggzlmktC4HcOaU+YnWkUMCU0ZjzZ6lBy3aB9Wy4cCMX F3B2uIVzo5Dq/AhdQ76wcibnF1KRy+ZSA9QhRNHth7C5TyOaefP+cD3pRuY08AYP4dfUEprbfumM D2odjQ+gTh0F0y29k/3+YseMQau5cbOlJ+uv8K1+1NSiUQI/UAVmlt/KewVHGWJ+17H3b3IhzMzD PBV22R6e6n3IZN93fHSd0WXT/GAC+OhFo28KWNflzRdXmcBq3IZNlO3Sph+oD6BKDgbesHb6I6Jh zrlqKb5Xjv6IIU83Ldc/5+CAWDw1WNICwlOQSdY1NHYJUaxhhJao4a+yDtsVgmxtKAFU9OF01xQV Q8pgNnZwyTKeN8KtQHEqgTY+XVtxvdl3s64wHAk7PhfpulElYnSWdZcKDIQZr0D/06eRKrm681Ew VeICT0DPO5WKF5NzSPTwyg3RlhtQCm9rgkC0GYipxlMsKk+DNupRxPrb21+cxGiVWUoCM5ZBDnv7 mQyiJs1WhVpK6Jc4CIkmi2VUZuqyMdauIovsOpdhgXTD82ZPOr4Wrb0IkjNpCw81TwYm99Gu9Cez u+pALdYWmvBn1asRcdfV+r6IsQtVq/S+IuIg2ly1rqsGRvN5LSdIKYWvH65tVc+D2YCrMAHtKHBK 81X3X3siUSpGPZZ6cKkpoSSpzeDeVYC6qvxiRoNA0pm18n3WzVqPtvFKqFZvDSFS5CA+Zrqoz+ZY v2LykvSugDD1yO8anNxQPLdZLa45zGV0sDGP5vD92FjHCb2ZkrnpHvtypRd+FT63hnkmhcyRH1+R P9bdNug0OA7Uze7/aHSHf/KAMb5qpPHD/APjV56RYjHEul4o6pcn0NX4kkasBX0vppp2LBprGKxQ a3Vbe5TLChbFIzCrxvIQxMTlvJRQCaYziAPNx5cr6izN4aC6XxVVmpkQcp9Fombzu1KlQFErDpG/ H7js4dgoeHi2dIrD8NMWWSNihhrqFHL4D634OhfFwiiZNeJ4sDprsEsb36ciI4280zFRY7oB04Co KohDEltmfmGYtqJ2ibVQyaP/Nj2jlUccQlpfORHAJTiohIQ0g7NRQuBVAuad2un7mMxix2REcGZt 4Ire+QkydiBAhPwiKPH6836VaaECao3l9vR6vokAJ1lw5KO+vMs/IziSgvn4L/6X9r9KqT8H+XpL wd+KVJ+UyGlk+zHpCKwUAcMm+RwWDhLDRmGp+LrtQeHjXuRmQpk8JBFOggZeRagZT+V5+yH7TZaj Rq1jTdGxFEJB6opfOfl0PMJC8QMBM5+qEWsCjjiowjVanMjYE1Et+3+BoHfJ5Z5lT9hQPzD7qqjE uuBrzv/nVXyIpv0Icorxywxb/u35TTeFCY2I4qykddldl7JuovMcc+pcKfvzd+8Xj04WklBesJuS OtwzkS9CYV1NHlwWllEYmSVT1Oyyfmy3SlPAZ3swJOrYP5O1bLqvHLhzKNe+HW7wbZF/UPqNgdcK Tl0VVMlUi/1rQa4N9D+yCGWImqK4LV04hJ8o7xthkbHdrahTZm91+R720GXuBLjLyFp+/t3jwatM wfBQi0Axijl811UybjHta74WtpdxaJnsSNny0w+QS+9m+Zp+4gW0aHSbrpzeiPTw6Qjliw2R8/Kq EMvHpu8BtAafOaxwc+8Pua6ITdV9Swxq1CnVu4U379RgPVto9HudIPIf1CAbTiYjUm1Vmcc6E2DU N77JcntGH1tZFD9hzuiMDE4TjP8AggeD8ZCe9Tx6gVWKi5UuLBq8r6c7ApxYZgFbYughKQGzG7UB B1SUVf0bO3VgPicLLKA4V+0eAV6x8Hbqc9yEIkYZFInfCGFt7u9JZ0G5rHmCK9BGs7/JXdHSMqDZ UYXnjMQe5KBZ7IWTwqaL4OGK2ekdiDgJYsWxsNB2QNu4Z8dCnvuKeFod/mNCK+ObJAIFybgs+PBg OSf6Uv6NCUFl0F19dgvRO44fdT+Qyu3EyrFCwxabyHgjnlLkLBfGCPQCB7X4po7ZslI0hu6pf5z6 LZp4I/WYL+/pjXvv6b6G4DGaNPLf2Qp5Oje+H0q8QjmzcH28GjDVR/AkOXAOEa2ZBydafGU0Qg3r v77asBdHWD62bBJIzmtafY2jiztegYNY1ZaTLpfNfLvVh2JLk2LCrmLlfPgtru4BO8i7QAG68K8n Z3WiMfTJzPMuS9co3VmZ9XhaJLcPPJBUquJ00ZBz9tWw65NLuCD3iOT9JPAVfYpxuHKAU9XFtAe2 K/M2/Y895quq2+EcIedpQ6qeF/scsS9RsKLjQUo/yU0+e66LCXtloUi0xESwNCtbUzwjV1kF8XC9 /tGQJ4z4B8ik7+If1gxw6SNmk2beLD9xI6AyyBdQc7verBNrOWnXypawUBzZfR38X9Bz2fLqGhMh 6r7xD/YRq7dXBDw5yNYG6H3N4wRSEDZxFN5BvBno07kjnceYj+MPQvYMfePRgzxIZFDpMBUT6Jw2 wiEqyZvF6jgzvdTGGBES7RPrrDE9kSJa4NB7FiEQlhTCEkZkwpy64N69eQmIikdlYRt/gM+iDgWt CeEK32QNR68ckmxbwOnVwiwdQU+O4J1GA1uwBcJljs2js9RbW4NJU6BJ6Fe1P1/z5f3EgNy3nPnH +HdrJIquA2ZRnc6ckNGF6MdGzUPbNPmryKtEkuqRFGdbmYiwFf+D0RiGzSp8Ps3oldDI/xxcsdAN BWKAbJ6fCRddspZRaKFu1LeYn8TB38EbBKz+HmnlEwdMC1kt17mjtjvNQx8edqTVopVL3fUz2gev K7u3EOyv97nfdaL0X+MunZgV0N4LNxl/9hTX3fzINane/DqaVBZ9RqIpZfxkVipkUM51K8JKaK1v jwXAb/TYgwzQSCq+f9zXMSsoLph4x6IUj9e2n3QcbM9frmuXcqD5f0JYG5GXZOepNupjx2bPc0Lp tYPVVP32kkDtOWFhp4Mh+syYuxf/sEMQTDOjnFhCDrWnr/ojFV5Nx1w3q4uEbMFVYpfLxX/TYmBy ec+L0vZjMb8wAErDcpnnYzjbQrKPnMC3VQMtzW1JPT68SNOQALJteji+dH+vcYPvd9GFqWTyyDda Z/JwbftJzmMhgF7Ac3Ht/NX8JbADoarNsc78hVxKVgScay32p0wpYoLid6oz+RwWhcMkzRH9WWO4 Y2gcq8JmzIKF617++Vtza/VDzV8pNgGp5zCDln5DplEu+9LshdWpfaNec7BKjaeOpgN4rjElqqyJ 9Mav8yThkZtOPCOaS/RRhAIEEn5Pa7IeSIEuuFZdg9fPo90NNEBYqvSouaRnX60kJ+tnGCyr2JRZ F6FpIH/wOiru/atXJkrfJyFFyjVIq7mn7nfzrm5t2vYw+FxdYw3CWBDFI7d5ie6cq/OyPWhmuuCv pQTHJBiZ13GtTcK1Fr5ESuDZFtYj5APXaNdyVBt3EAGDT82kohWIYL2rknUYE6mjEC8d/ngmAHYz TO9FVwDZyGbjdAdRrPCMNWtTy+lWVEueQm84cJtw+yWPQ9siP7GhKOEdGff3cvBuzE9dJkvCG/4X Jv9O58k8s4D2Zi3LWGjFybW14rm91Am//1ZaQPqoxGrLDZdrIzQxHysQzl76r87kkQL5Tf/yJ4yK /FhE2bsWJvgA1/Iasja0WupzaPtIJIyMIokBZhwfMZu7kz6s5fZ/AdGqpoFHoXcOztxKLti9zKBG su3ZeaycSdPzNKsJbNHphOcub6TvwIj/SO2pgHaDR0evguOm07EOgSTykx7Kn8Zfa6y9q60gNyvc GzD/hMmAsr687759byU6MSem4TmEe0niJXXd9mBz7WObRjDQHqBVddVYonXIVmj4MKDlLUu0ALYy 8pi0TJJKJX51pTWGz3h15snhaCcNF8juQMTCm9bA3EqS3AUt/Lhn60aNWwltQsCPmZ6oWBhBrlD2 IVqXPedoVgBbA6pf+hgxd4mji0MzQ19cbM+i5xT7c5Z+bg/cuZ23nmtmNZHjL2COw2IYJQNDGPrJ yud0DggITA3pc0r0Q6+1nwlHRbesU9eucYcVcJNuQx+CPf3rmza84MQSTXhdLL+BVn6i92I12CA1 eRe39a3nRWDHiNiXsqGafkjX93m3LciOWCkR/FVo5rGXLHSIR2b+IRCpn/1n6Xb1wGu+G6FwsHZK Ic3KaRQ13F+26wc3lcIdBZ+/DU/vTBACeWi1HnsgywB14kwwfPK387omfIhbObc/dpeIPTO8LOk8 suU3B0ePFwUlycvyMb98QKsmPJvcBdJrtzyg5H2lmGA8l9BANzZVyO6PDESzPMlYF2D5f9td9afa q0IX3mLLk5kigN3SLxiu03wCUsJMuc5C0w7bGrzsGSyctfuF9ezsR7lNAxtsQgiMXTkZxMu7i/OC 88o+jLAVmClRVCEkhB5rRetnebWNJ+Nb1fjHXYNn/wsQ1FchbLUCHncCVc0kBDA4SJRIr1QV1pcM D254RPa6axpV33vUpd5/RvJKHB2PBomQxUWhRXnHditHs0Ofd/hgWqOYiTFIQnhcUl2D2WACOqYO n4kmZ7iUjrFGRwyx83EbHKgyh3Mt9RDIAbMZVhHnQYZpL/hxzDhkfTAPwneys/yqWP/7EFoTHGG5 SjMZJIlhVq7CqdMXE+ZoS3llRn1h85Qyx+BEJtJnnf+HnwREAjZfh3caDWCMbxf3bdj4LznBZGNG PiEDroknzRQkjE2qpw1TsH8yfC7ld7yY7VahxuvNLbOFirXZJXDHsthga8i2Zfv/8WTdX8zvoEjj ScGooSs3vdR7jHz5u6hbmvloMdfPSpyUFMUDww06y07t7A+EnGIEaeFif2tSMxtZ9QpriSw5XU7s 3JhByjlJ2GW0VXVJMV0YnvPhr8FXrL4Uo3/ihoviDbHjoy86NGa6RuLXVPjp/C/dusk43iQJy2J0 MYMG8NC0be6SMv5hng7VHxkoXzrJEnRCMoVoCm/b9t87xaOeJpY2ZujMgmoYTOF42KXKGZAVaZSI Zr4qDOU5B3dwsskkSGqxoqFlI8hXqwdWivpQIOalileEZJeaeuL8hg67PukJerNKm0Yo8IrIC9DC 5yaSpTLNuAGa5lyf0wWkGS+gBNQK7GwDpK6dL1ooLDlpWvBhdIfIOQQKzi3o6lHCv8g2/xU0dN/0 xfzVbAj+16CYNYfufbEOw1TaqD3jcNIBwgp+yEUXvIelBy2nPCVBkLdfXsFVaaF1uEByUSOZji8o vrMIYDwhz04R8uwNkwuHQKA7aluvy10yu1mbMb2/d0Rbl1/FjUPQopRdRuzh8dwPMfPnJKRyt5+q wyIcdwjAENeLUFn22z9BcUrJqtlDzSPt+YCCIWiSNur4tindUIO0dvtTwI/QzKF7LK+96fxJiGqL APnTnby5pBJsDBoMslJS+doLcDLsJGm1Cb48ilgwZU7XfvMZHTV26oLMZCgkfYNDSTnTU1Ek4Q94 2J9RhAU43Vpb5tLkwYCVlJ1KzHpxBh1oKGW7uAUeMYVoOowrdza7m1HQAm4tpXirERTVnBR58YUM dL/mdB0SnNddCfHl1256iyvCaah9r6G2oLBGs1xpe2nUKWwhLrFO6cIzfWvLHQNnS3iEkEy1Oy4q roCRtryGFFS3QmD9f/+mgLpTI+nhAc6TV+4tmvwp68IWBPCaFWWHVRDyEYimMIw7qeFuWr468WRA xqUNEAw3bZSiUpU5BnENoQaD1wcEBFFo+N2hm/NJjHeQTXuiBiRHNewXNYRo9m6ozVn4jBD0neJO d7/BTUzuMdAH+yjb+55eYD2KQmEsTKNfcd1ldigvu5qFtuULbCUFdqKVj0MTGCACXQS7NlfPMrBM DBUBYrpnY1p30ndw09C8sVcC8cVk0gYpi+f/qKERUGh715i+EnDSdxMy0zB7/Kuh+6Dt8JUFowDK J5wmA9hGEbhJ8MAQ6yB3NuBdwEq25gBTlazPWnGi7NH2NuCwFCnrd+29D7plSiVexPkOUfNzgyZh O17tLp6/lW2fGUhRE+o6iU2IZZF7CHagzCYwnc06qzoTn7dkYtis36DH3yRC6BrTb+KOsMQq+B/C kvSGXMsbncRynUwik3Ej0WQWm1yANw2O2E/oZs0R+klCoaAnBqPIlZP8KECS4P54bXJ2y8t252jX Vunro94nQyxJJsPk/C00Dc+kaXwDSQ1VxVFGhRmPUkCBbvT00fRh49pZiyaqRTWm3rqfizSA4mMf l1KdFgkE4h8e8rkeI8C5S4+cu9/N8POc7w+HxLuFo3HCHJYJnwHcxh24XPAi7FgDyAL/vjbks76k 0aIEu9zwAjafE4WXoLaq1f2032gw51D6wVfk1DDI5t62lQRx7BlGpfrrPz+0owf8sZmPjGKyQxCo AWyOOT4YGbJyOrbJZaTpZ9ZmY7fKzpGD7Bx0IyePgq1VB2dto+aBG2qN95LojmLiPvKIXh9QJJWE fdyOmQeBDRyfhpSp+vRJ1T4g+PN0Edj9ZSAG3rsZkuG47HNTSrtXnIY1bqDQMgPc8ALXwlagLcEL 3WiyAK5lWcdPzidKKDyI39OGaYyU5x7NCsr8HCgMVa8Vy39ntF87m3qKQ3axxbIBZFXKLeFSZ/6G E5ruBS1XgBe8GoCR/okWHrQGL/COY1UlX4AZ+1tOUkcWA6yvBDqVvB4w0/KCy0e1dsf5hibgFnfh oK+n4AC0IPDPAFs3zXerOAv2Z78qFKkmk9oLDMyY6BK7K7MN2aaAWupk+zbVMU6rLHGRN7we83xn q9SVKVaQvnq+qU9BPJXBj5o/Nat7ETnJaaLQDJXZvz7sUQRv9y4GKYoy5OxZdUosEFfpMddf5PXG Ek43US4fKJ3ZGBrNxNj01bZdU1+M7GSLT5hhBSOwhUUX0WYjEOWFq144vpOq/ExlhVPbCOAZC4jg zQC39UxVKF7nZs6icy3UyohwIf3n5aFg6EPHl+1E5gDFppZeYhtC4UPSE/W5sgRViLz1gagyn4N0 op9pAdMLZNj/75d5Bpp4y8xjco1+ej9Iq/NDL89cAL5VhlVUzb7PvAvLlIoV2fXW89RI5DniNn+b weSzxz5RN/yRUyH51XdTxFiySIBh/tdrK90lkCuiBsJqQNhS2VVXAV3QQtXrEEb5ly8JZCHG+oWo MCJFeUWgCqEscaiLI6jQxLgeBe/bE5PISkynlcZcNLY9Yg09PEvgt1ESxOecEA3q0s580xRy83iR PMu3srQOdtkxtrwe+GPDuTaCVpqsFC0m1YXn5qKljpM8+qRbXqq2Mw3Ic8HJiM40JlogwXIojiFz 4aHGwczIwKkQS6cEHpVE/s/FrW8XUc/eES8fYPI27epx9w9eAov79Qyzi/qcWF4bQZwkBXro4jbG Uf/27A2tj7+/VA+/quc9IQrFKxiEesM4cYcfZZ48O3+XZETl1SDPWl44ZAkRFaVRoWFh95s6Daow 7vJmabJmJ4DRdlWEi6Ka4474je8uOc1gZvV2F43o4rf+g93VyI/FL1H/f6RtSxcm+ga4OOH+gHuN jNuJTPqWWiyiepmR9+c4G11Kq8yFeFu+bcTZWD56ygwqZDGbmFcwO8ACikSE2/mx32//eHUuxTqp FRzl94iNoLm1mA+HVTPWuvnqQ3wIpcmiM+qoa5pSPnfJV8Fx89QmwmHMdhix/8ojSkbL+pvq2VVG G21kXJxxpTYHTQHLDEqLyIjZ63+NZRcuewzuKkpg+JtLr0l55e+59batKpvoATgLPBHlGfzpco58 KJmA3zFVzPy/9q8i+WidB7I2QxqjeC8GNuuxHGNngFRLYAAFSoQLoNWd5T1P0xbpPQNtkdlw0qzp PYk7Z0Kph6N46iFHx6D4cpHbWbmxvgbr6rTSbJc4Pl1Ie4gG+URZH5qjaTvrxEL3pjb2BqQ6Fpw9 NqY+GgAsBc27KzZZoZrdDFYCVFymHw/rDkvCHhbobxY1v7Em/bhICkhDITcIIodL3OmfQgqEFO9j 7TL3qONNQioKh9Ptb/tL9jgh2V/LNi6qnS7Q+KSsnY5AUdDUwY5E5lVlLRlCxvmkD+IPUcluKHYA fKrkg0OZ5GssYzsvgSmidUjjhS4rvsVi0fKvLGmC3gZlBNJslXl9PVVNVfK6gKXRwsydBMAlXy9u IWSdPEKxqYE+MAaow21/gwrIj0pjL5SGvA4LL7HUl+DXEYx81lMitSP69NnBPfPkJ1EtKoSCHX+c nrJ7hLZtfAFPTBUsioG3sXIzoRElRjvMSvSLMLSpBTugwfqTtm00IEs2HgWBqIBoIH1sn7NGlKlx tm7RzZumhFLGLJOubW5Q/jiPOKAMbWTTW+zozo8d3C69g5569AXRW9KTrlJspEHwazlW7FlWUVm/ 4b4/JcbsggJkz1biwHyKAVGAJ56ThiDNaGeksQOwdblGB4r0x2feRB7Hc5eo9xFo2cethU4vNjgv FjOH+vH9CpFLSDojGdqwTQXqa/pWrrP4eNs+0huppTruOU2lL4G4sDl3+kzUrFDb4qXEUy8XWXGp QZz2aFx0K1J4SCsZCBua7+zkcWb6wc2WSteWbFfQdNIjEZ+O6bGWi9ovaFEdUoua0CfxPI9Bmybs SNDPh+OOhrH5Jlb/bL5cqAOeo0wRnTDw5VNCEO42JZy+Ch3S4NnIQzCOuR+ySxtaCgIZM1M7QyZ5 9zyepUKgejpZEVz0xC3laojkPSVh9KCvIu5TCHadqdsm9zVjuUu2aQw85H+TPo5GCWGP3IuwHUjy 4JrYyYafIcZwCrQPVtfeT9Izn1591zVcYJtZrfS4Ds3i9WXXovnhl6nNwpgtU+a5XtuD+sGE3mng B71T2h3/r6xplPojrNkOgY05z2fb7avhyp/qThvT8I8RwVYsZf4AW/chYJwtDvZ2Bl+ByPXBpDPO fsLpeLVbPKP1JqJnaj8UWv8u1gDEgyA61peXz3dCJW625i3tcDWnjf7BrmUPoYe4oK3caRLQl+ND 9B/eX7BUpD579iazNpMWBJKA2rzeVL/dKN8gdxBGbahX128YgUGK0nme80jL2bxbr9vWiR+Yq6J3 uhm//eDFr7RHUNYSiVJ4JlkO9XxKRLJzofCsZtDnPX0FNTZBMg40uOamBBhGutBxGP+eFxVpoayt /YgVM82O+WzpeDkGw77mOOXWP4APAt7cyZtQIg9uVUAGwsLjVNua3ZObotHZS8Wa0FIG8Div2lcy Z3udYtnem5Wy4CpfavXz+R+jyJ/bUWvJXADg7thsMJT1oDxNEHIvAPl74dQbe76EvpuHI0Rakxdx rGXZZwCIjjA/Zw1Ha/ck8VHBuEmaJ7omFsWQ9OWGvocLbRyqeQnuhRVcyQTON05Qy1QdQDvhgQa4 vEGYZ7bz9YUbf8A9XfdxFN9pWq1xngIZf639sSC7eW4YxI5sW7ZgSotl57TKioP9YYXSkr95sJc2 czPLnLqKZpBiG+qhPDzGLgWA/rQ74dx2lJbVhn+ww/4ar98scKS1bqDlN7Z7pD0/BwLR+vHZi7NB i6holZXzi4/CtF4Lj6GYDOUF9A85r4f6+JqVxYaG4tsMiBWl+K4RjDTsKEErmpicZUd6saP2qYg7 3b0U2YuBv2uZ3sh0i1pio4w3/T1M5YC6+F5wZTwK7LGSxtc7Cr2v9noM1noT99vaHuOpv/hcCtTc sqUbGWYORrXmcJt/e74MATlJAw3u/JFa59p2BCq5O8wMGA9BKCF5LwadyNe4EBO7fimluKb8hTVZ icGAaXLrq2vd5zKnoroZh9U53M1o9DEQAtc0XQtnbqihYQZ9JJGXv3ITM4qHpuJ2KWx8SRJOK9/x +ICa9gSj3Mqv0toKsywvQekmtbG6qFVwuXcWFWpQidxbU0sIT+ZZ/0ZUaE+tUXqJslTx6Yjr/yBV ViVyj0w3LYgEIRTNAn5k8rSmcWWRI0a8AMW8y6cGm4PHuUz9kjORTdUMoCo5u+sHHOurag7nbQ9m YolaRqwerzz5yDpVFRW0oL0dgEiysux4ujtiRKMHjP0zbf5/RLsbzReayYwpQXOS6ZRiJR7Cp36y GoLyqmDyZUKfBb5y+Ysh7fkAOzo3CLefq0KPFQh5yw153tBqkEs44hBbz/jTi4QgOl/JXkHr5wfw KGQqaC8t+GquydJojeDXdupgccbOukktiNr1zkI5CNjEhThLdCsx0RZPbkpWrzraQxys2qTyWTY8 wW7WUHmFdiBYj2+2usu3ygwuQZG5SiS7rJZna8ry9jLzFrm/G6BvFvfdLnrRmlV5bYRH4ET0mwZg fGbKvyij/U+NkX8UIjmvPp50B5qTy9gVD8B6Td6p6dNPy10xYJggokuE0wYePSjUaCJLQA5hthgP T3z+Pv7h0aZGbMQkjp7gMeGaNExPkEmznezae7xEhh6JSMBVdH9jVhMr6DNm/hbydDhbD3uFnoF8 GUuQRR0sqjMBGePGK70Zw5GQnsNTpPSypHn0jUtAskX0FH49vDn0zfcLyd+D5TUzEIE2+oeWxztX XIUsO+kNUhEtJpNaAHETqkAUd9g8baEyq/nxOxem8B7E1ddoolpoL0wN5KlPecOFvyTDS/agzbCz vzllyi4kJtFVdnuWCO2B6UFvuY471ZyW2RsvHbMY5CMDoDg3vTcBu/FzyLsnp/kPAKQwSdPOjLGr FUMF/a8ZyvS5hXUqwPGrmA1/f2a9gbeJvVuyVOP5hsGHhDUJTsU0mR3wvM3yZvhNr/pS4ItuPbGh 3ypd84WeCKPnQyh5W1VGher8gMC6LBrBFJrhLK8VDC5vZeASJlEPooPlyA9BPwnSRlNy2bYHKmtI Ew+PBnwC7NFS9d1/reXjhQocpvYi7z1/CPl443B1eW4MbUqk9AhlS/Nzzf0zF9udWvvvgpUycPqs ukir/uEntvZev9gFbHd4tKagF76xeVKSS22aIUw2upzSh1FenGxdrW5JTs3YRBdEbbStK2ho/Det EHAujQpNWkCafVOrLKlryo+7hknRyg8KeySGTm/YituJ8wuVfG6ZlN/zzsfpEdf7rw0MZL83zVa6 tWduOj3uN2vUfgh6O2KnIzrv7/5N2UloyFWREJHSO8lCbw2REZtYNLGaLnm2uuRMaOy5qglklrDV knxVGp1owg6gbce7u/ziIUbhcGogKkkfHbTRQ1cV9Hrwam/nL2bFP1VVbYbncYeh3X5IMPgLrOit xbSYhZgeKBM6Dz0pjg+/HBTMP3Z1Xzz18/QYbniDEqq6/FhiKpgCjnRdNQExZ67OeeSj7+aFIQFp QT6DRhtM4KG3Xne5NBL6ZB6YWW9xrKgAnC3vMZR6b/2iyaRLsLZodb0lSK4PG9BWxYx0YxmDUx5f hPjFgN9AfAjsA7ITVjAUQfH0nAwEcmp1W9Hr70aLFFZKOfm5yVHJOT1dXGaToFXUueSF63g25THn Gbl/gk9prj6+pSmgM3kn7XXGusNWes0dhJYk6446mgMAfoLWQGz7Lawmz+eS1qDzzxnt5rDludOB JKDW9MZJn18PMdXb3EAkB4Ah54s4nDR4iVOtn67Em2lSFEfuvBMP27ni31fyTXKDmn+4r0UiGGFW FayRDB6GyR3OtYpi8byxLy9E61qB/2M1bDQ+0L1LqTrk8+3gvojqvjJAV2PTlIhiA/o4ymjczteb hIMeNY4Ls5UEP2ovV1Wwtyg4Mlzlf/aTI37h0dds7+/4AgAPOocrKFWPY21AkbaHLS4UUCHimDVP 0weUC29JCdSPPPLCbbkydFACjkBlAiuUeeqXXcNcyymmit74UesfPodHHjhm8OR24M1YJko0Jfjy KlOF01DcKHSN1MYcvr4QhnBsf7whkrF+9Ae+MQORXOgC8A18NdHIv0e4Qxx0HeTsvLoLA9JPokAU PGKhjxxDKShzscLGpB1jtxF6hbJeic/HugpTgExB2koGNm/EzF2naZ0lsVdVggRrWQRpDXWuUJ6l R1UA4CPYrWadG5I/C+TXKMmHmBD3Xm8FQ03h6ZzWzgunNtU13iqUR+S78tyAd4UJ9E3sEZeEAOuM TcekklY4t1JtmO4Ubabkgkj2LBmURfnQAz89b56iKz8E2BDQdG9+YJ6p8E81IK91Z7Hrf7Jp3vo3 pLWJvy/Xe4YXnL4LCmzO0mJ3YkbYM9Dx3Xy9LVM2BLKQPI5VeMKK8A5e/ZRBgP+GeZcTvw+GqR5Q 9tYkqzbCWE5bFV1NR7jTTocizQmD7aZWD6PuE3oXk8CH4B3sGvjUB4VPZeSBencv5XzkYLecEQV8 jZ8cbvChWcM3CkjPuSoXKx2cr8kNrb9bChmsHDdOCQ8XiWJlb9z5eCHMQ+VlEBQ5BE5oGg4qn7Th ATj5aM0dFVHUVEQmxD3d+ayh4vhz8iJK1u4GTqcZ5uWCySLtF+R6qz5RmzkVL0hc114ZKfOg/iWm h8KelJhUQM/GPcbxUIG2vqefh8YlEXBAn+RZzB/nowiWbeME+6bxICefpNjT+rRIcL9NTYql118D rPYxq7L0JkgAkN77BSf1Ja2lEOxrgMVwgQSGdoAFKg7dU0sy0lZ1uUZ51Pikrhxc7blUSjcq9IxJ FMwTLeczLcN4ele4SE5bm3bzAGSxQF7J5XWqhm3PVyC2WpISpBYYPFLsG1svqKlSEx0dYRfL1n+i FWmnOQmhHLPJxkalIK1v/gznpCTBndoBiAIpwBgIhmYp3AO2/659ra63kJRsnGLfUCG95znRIAjw kiYIwaGtEZ9ow7aJvlX1IUUagpt/Cjpt3DZGk+x0zJ0e50d6ToeYFG41Yl7UeDMsN2MzXzDCI/mB aOko+CpQmlzF/Urs/VLKEoRrtBOa38PqZ5TNAxFuogbaFhmGBTVtTlw6kF29TdvIrIhUcNyo8JJf CJI48EdkTnV6Nhu2xpXcRx3aohlWmv3Si+IxsBFk4zB1ig9UK/3vwaGHlexyKSGFrma6yaehSDQj LUES8fmWomuX4DF+0rUJje/PSdjwVwiI5h23vFF6Ergb2dghvRn1nm5AwqIED9AEgn1U4sXpX/dX DNtA4SMQ3tkIT5rKlzgFRkKkNyCfncrJ5oEcGwDenYSpdU3olZ6OC2139DPYC25E0fq/OOxZFocJ 5ZPFc/oUfr+sycusrCU4K5KHp1bRz+flhnyKsOE+PcSOYdiJZpDCKggpmV95ALu0f6RNPlaOU3hN Rxu84JD1u12tXZGmhiTM7/8x+ZRSI2lHSrus2fGKsovcmW/mW5imiVO7E1nSBl2j21JYUz7j0suT lo5dhX9bsAN8NwklxhNNiBs/w7CgY4SFmEffIukfkJLWBoF7hS2Zw8SoAQYzDI7Ayb4qcubHSRnC Wij6/eJh2+6fV5fJiRHN8Vx6BrKRg0Q3cKtwbzPzLHUwgQaT5P4qlQ1h07fLuwweun4q6x7N/V1h ozK4UMsyQHBA0n4hdN1P0cvXKwOVdvwDsu/F8IqxaxUzmNuVPhvl5FbPRnOBul7DBwLLTYgpXlSc zClwcADBjOx6en0YMkWnL2I8BO26cDNQThOg//sGst0OHNWzh0E2/QJ5K1cSaGzvZwKxNKRQxJWi +TVSFIogx9uKvX8U28ZpL9PI7xKEeENFuYkrZcaDsOteaVnprwVfUIdXXFKRWoULUPF26O4gPEzM Saz9sQ2GZqwmRzGHL+FpPx7oDkSWOx2BBr50NGzyOCgB3rE8y1Q5WkCKvoPHhP5pbnDyyZ4gPu5G GVkp44YKWGhbd6oJz2aTBzNPqT/sP/ifbD6AioFTDdmmStu2m3cwVqyTOYrrwqhDbRpasOILRgAC maMHRZ5u572cygqeV1Ipw+/220FH+T0/OfgZ13vd0cMZOO5D8whvfpTg7/GQC1QWQOl0mlwoy5iL AdD8PJgxF13bOONkRDpuLvJbw8YLsdYRTYMHVgUrQYuA7JxRoADp7iwtEyVxoywnoi0lfDxjwBfg 7TJHf3dnrV/ht/OKuB8LzPGCAvhDnU/g3+Ss3t1cixqRY9DaLKhsunfpdA4kyii+1hS1Ge++drHv /Yhhh+DNnUj48Q+xK2Ar9iFthdkeMIzLwKQ8VY85BJfFCg7sHxnixZrC0a1qHiBDYOMGxapN2PO7 sg+HIk6zoGiRfrS2Xi7TnsvKb99KIt2YQ/XMSL/iFH3Gs2j/qIZf+fMiUwTghV3O2fv5rs6/JivD Z7aw4LfvJ968mcbEmuusqJjTAyeuwPxTF3HgsXBbuy3uX2u1BEF6ooYzlGn6HIUGQb/jWpoP3Wdi C/r+d7yRIvHGyeKw/ipJfLVIUz9QiOr2CZAHbGctxqLnTO0Oty6XxL+1gMnjg+m3dKGKRkMqHYf9 4q6apyqRkA0jyacK8xcLkF8o4SpexyFGuhJyTIJ8sdb1dM0ZmMCfhcs3vwOuhQoNnN3Q11K2b/Jg R//ELosyt7bzXcEh1msMcjwKR9WauXWyiMJQbWMFrb1P2vT3P9V0BbIQtlEd8jaZaaVug5wDg75w b7rv3pKhg4AiA0P5HXZ+777yGWnvUjY1vd6aoV54rgfPLwLPJ3sWMkmFJfIpXAwIC30AXL2hXQyF 7ysoPDOqrS+bxH9hWE7J9dM9EMUljOjt7sHahOiOWuraawuN1pVeJIbL1RESx5lmWY+8fMKpJiBO CK5sRMAVZbKyUZM9WnwO7y/uwrqIJw0zsX3pMunmRbypq5uOQrjpPCI6B2kHHY1XebVrf3BGRISS DkG1YT17Sd3AoycoiZjMX5RtsTF9CAKKmGaTpRS74KHhqqCTcrAoh68alVLMTIlhOEKaDA67UNm1 BKwjsQQYL6frQp0atYuLWWn8DvAjq8UlzG6YJcpSrO6WqLD+Vx912EmTas5BOstUNWvNJOn8nPeV wk4u91VGyZbeIY/rBpSMMDRHTJDp2bdkFr1VpL6dQngoXKGKPIXDZvAwPFjE/DuEmkw0hbDtumV3 3pi1QHXAu+LsiHYxObUQlFG6TUyaMPg12ZIdItj2VB3lZtDBLjc9+KmYmOlc6h5iK2H2D3dXz+Hn wNGfaw6LwcOWpNhYvHlaUB3y21pRQpKGTXHKdRov9hpn5frIyy2GBECeWejRAvIslj32OSeXuzXH yk0duxqn0p6gI4Coz2/2cXkuZuhGUmF/qcFacmj7ZPtV0T67PtCo5rKXxzJIeP+dGGVyt3Ygk0an 2aEnrvIsoGvl8DIXDHD4lkwzOdqLA77iRRQz4LwnIEAQGLtqe2ReQH6Gf2dLjj8hmz9caTfYpWb1 qVIVt9ErbRLaXb2fVhebVQwJemDUOymApjp+SxnyWJ/KZT7wt76LE4Xhh2kVrZf+xButGvTr83jP g1Qz9qOf6161c11w2rEw1Bd6yQTJ/TjbQME04727r0DiTMJMouY2u5ObQFuziS1wqgRmQ2IGMLWR /3Z0j1rajppmB56F/vhMDRy9hyK6vfSqFmMFCJyti48GDMTMUpKXrBUb5jLBWa5h8iUjE642UNMt BYnQwhZtateGuJBOX9EAn7rwRIPCkCQ6xb3goGjWn2ffZqYcxAYj0gZKhDTo2qdT3KRyXk1XbY37 2kiOAMTNLOj23c1xI8Nbj2i2SQMiG4LuPVva32brTd0yrZ+0qpQsgDygMeN/afSpNTTObNc5zNEL EC2ADeTN2e0EkCPP3r9RhQMDWQr/D6ALmCFcPWIXld8a6PF7k26e8ogQyT/JkgRr3Wrz66EBKAwz c7Vg/Pxk5Wk7JJHjFW+Z0n+qJjiSVXK5ADcgpNjL6/cjYiiLW4EfBczQqimFJNdScWDTTasoFjz9 DLc24N2ePHSIT4qocHLeKDQD1Lrw0eaEfHCdBgNIxIMhlqdVkIHg2L+tSdNk+8AuVQqxqDovUk5A x/90n41bnD1uZcL75VayMpm5pK9WEU34P6ZAc1LSiZX1zrhbFD26mMOmVN0uJtlyKXU/cG2LuBks U1f5uENZ5kFHg5NGPi+utLLhW63TZgEx6rdFeRHyQxJcZkNN5qjb95o/VdcYlSZgkn6676F2MchG oPi9Sf0KMZq5TKVvZXBHYE8lpTinMfGrwRXKEaDlm5AU26aFLQc+ExeOTa8YkdC5yIeLodpTlWGk BodoB8zTkbeBihHkbXQrp2jl4/vJGBtnaJaoG6IYOd2+S7sQUcKalr60Rx6X3cDEp08rA0nSli53 c+Rx+R77TdN7FDA3Wj3YR8INAO/mMyKc+DiKmOOU7AeTcdhnF4+8gJ7r7w3S9ksnjKt86Y0CqhIn 4ni3Er73Q7OrWEGY1uYfPcPC+tbnQj4Oky2wXr3P3aJ1AiRtM6G8uR2HfCakhSVjVs3e72UWam1E URUee0YzmaBnLBzpfADox43O1FkvZF+xqlJTBzMKCqZz4hcAPB0YEjQykQMBR0HdJp2vr5aIDFnf z0qGZtc+uGTAcn51zvnwdMCRMtD01ERcMkiXtNxGYdvk8rRyFmE9MHTSW8pMhyg4lut3m/XUray+ EqBQlOCAhq6t0V10Y8z78pFQKNtWt979WrKgQvXuX2kMLSu2M8IGq5pl1QHDDu+KRvtKsClKc6ud H4jEtIMJsjdtd8FoaP+kWxi/eFmH/P8ocujpbr1DsgPvz4DiEQRHvxmlDZL/9H440w6Fbxzsi+QP Ljg/KjYju4t2h+UtVsgY+EEr73QfwuVJcQtdOYY5h5qeFN3NRiFLQN480PRxpsm9PBHrzfMPFrhF YhM9TnAFJNKyMZh3cCDDwELIaTFrpsBqbX87heap68qgWX51ZrV/2AActLlGa1gROhQzX1VGxxCg 2fztW+FNc0IkMk6/rj0BukJhBFpjewcGNgaaNU6IN+MYxSdIlB8FlM2YPG2ivJVk/TFpCy+RrYhf JEua6xy2jdm5zJQdDYBWTIJflv82kz/RwR3cCUpDVoqhNe0I37de1Ioi//hnvxZhouYrKMY0sYaY vbB2qyYbKNoMmRopbO6VUkyEa/Fn+LnLXqTma0ew/IPBAAhFjLkQC3tHrEKTlLB7QY66C3TZq7Zt gHwqzN4hHdyUfkDkRowtB8R7Xr8MLAdwj36AkSYKmRDoLQmZQzleQ6jwsNaXH8xh4Bd+30+jHHf7 2TQOdOcgPW+gBJo24Ey7CeicSAesLRIw76fdi3UYg+TAX4H9 `protect end_protected
gpl-2.0
bef04a9f70195ab3b312c198c2fd48c0
0.952659
1.823162
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/bf_dsp.vhd
2
11,146
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block oyzumzIknnadMh1WRVDul061dXDdz7q+oeJsx9506w2oIP+AjOEKYv1bb1alGqEaqCLHnxMHQ8eC aItow1veag== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Jy2MeGPwtjzdYr1T0ZXt0fy574jos3rVMTLnO5fJlWi+HwAfP0CtBbja2+Ey/2WX13KqD5ywNuIT NCt2XpgsdB3MAUSxn77S1tgyetABoZwBdMrPAq3uCS2DY7yVWe6jNRz2DGEVlC95x/g4ozS7y/v3 5wBx7abGK6Tp+nZs/f8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qITBqpsqkCBV4juIUkVr6CVL3vLbPP6tJW+mFgVc/ZfGeBUoUm3K/m9UFFb6CErgAw02bIltX/eG 3qm2rS4PK+A/GkSD4BffRTUvvNz58WhQkejdsHGoUxUttUWGtvsvupupQsNBQSKOjlcQAZ3PiQcZ PNwTTquVQe9hKvPbbHRQiMqFH/zIjRILbTbZInR6P0CF+Nri5T2z4yKTW17OtbVm6aAtFXrhD6sC tG+fspx9UPIosjTJVoOmPB12V5SN/44ahs1pfcj8qu945hsaTakN3h4+9j0nqeKRtInh1UwXf9C1 HsMxrMdN1qufD8B4KVMtT/OVqusI+8pBs0Je9w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lzlo+R5Ygp2+wmbW8GPIBOSsd5CAWQe0E7w2v/CTrwiKzr73HNCi8ltRtKl3mrPBZSUDhQAaF5PA Dffx+RLwsNCSOflinI+xPkfOwAld1+0L0krUFRqK1V+hxLgwk/xVeajkB/j8TgD9zaynCX9Pdg0t kJ4YVEJZKXK/ew9r+TI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hAJbYK4BTBRXmJLR0RTv+NNJx4pUgsr/oFto3uOU27oGi2NyP5v13RXDk3VI4Ox25moskwsczsF3 1+lzE/QSTYJDDnWX+g+aWYM0xms1IUj5I4uQYEyoBetBfhwB3oQOIIHcGzKArbZMfbOHG11vr/gA 0OEGS5nCDqmKe3NX6GGPUiG3/V5o3TXa3NmM2Y2WkXrlf5UtI6Q1nBirfjYgd7GWEM75e/DhgRRn zLGo16GTJ6ZoCK6eiqBk1QxS8htlj0aj6Dt7l6BJuMrhRwkkSuZ/tfuNh0lbjBna2l5SCNVPNLEG wTA5tE3FpO8REntEvWzuprr6dFFsj3M8JNou0Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6512) `protect data_block h7dLzInoP4hQTZYTorTpxno08szxG8OMS3OmrZ3bl4uKdjDBbeUmx0BNu1i7bx5mKDadI0E4OYy7 smfN/rZqsGIc4TnZTrDvYXUpP3VgTJpKrGxcs+tEf9zrtLqLP4mpr+lDrdjM7e2GOSJefxJLS8xp V/1GxtXT0stFHvLLSI7No+ZuuhulA/Y/MTT0KQMeCYedC9aykrxfsCvwkV8AUYgxJUom0jZUuuiT yvDRslWay9bWXMUGXH89T5uBP9UXuTtExRk78fUaI1ZlNH26dUnjky4tayH5qI8X8VcOTRmFsekj C/rGVFRn5wVaOeJtcgg5LmbZ7Nvg2ocYLUK8g/+M2DV5bNuv/0OezOOuSy4w1jTje1C3HY6TesVV raC39nw81xKEaFU7sVPPi/j0ZaVsJIC/L7X5e64I0bHwrC3/LWukCx5ajNTJITAr0W0smk+nH2jv vXJXq4UM0tF+Tpw8+Vg7HkuOl3/Gnp2xZ+BmOSWgw/JTZtTD2RS3wCLO7q7Kg2zg8S4uOHC81opz OhxyaqJ/lMHfxpVI8e1JEPaYPcY5p8Z5vNxcxcNBoOv1XdRSW/y9Fi7RvOYJve9hgVLzXg9Zo2Um /aoiNw1mxXXrPnjgCQAGn3BdosV7PrkD/+0LB8FSfyWHrTDODwzSNTcTzdnx0SWgCWqJGamHoQ3N ya6N3GCYPLnHuelRfpbhghOz8XAj/VZeKzHwqPtfQJJjp0BMg2nM3B2gBdVJRpC61N0g90byXTAW c/F+mLnJbWJsjyt+CbV16spv9XfycvVZvKJwiWQjrhOIEzWgTEqWlyEwkejVXFHOw6mkAOTka3YR FjVSqgIKSuWtDXlLKAPvpTKqSFA9Ua2DI3jTdImZaRZvje5seQ7AVoz7VS78Q1f8xdKJzZRc4ti7 hWHCjoGtE7FE6Rbvq+4fX5VBkfVY32DDNxdV46wRqQKZNQHii4LPdsjx+ChpiDi7ojcnrE8aTMfY N41K6+ghqEX+TbdohywR1OdgQ9ytw+yG9P9HCE4+fdtjG8VP4yMt6mejFA1erQxGKOCAy1w+p28/ aGqod72Tava48lBDJD8hyQI+hPnIPEjRuLtdMlCwJBX/a1vMIZzSGIUoXTjizAEw39qqttJ2D7h6 8a/STqEydOapyTj1cZEDoJQT8msixhaKvmQiTxtwLNgTrtRpKldAkp0ZgPlYcz0PEzDeey6cMRTf PNQJxMTpNen+Jr7jYhlaN8j8nlnx086IlkWzP4CYcUcrHgpkjoVPBAJ9Mvbu2yXjq/rgZ3jUzHDR UIH+AmgOAAK69ac/g9GqO8bKK0zJSEVfVJGLCSYk0EKtnNcwu3SHzxFlHMFv49Kh3T99OYbQlOo9 pW28ea1d53Xmufaxf9jFac88cw6dcdPA6KTC9Sq7Gd1WIwWYAK4ygTHDvRbNjmTVCot/Xj8IaH5T 3j8a9Tp3MJ2OQT991egXF0aKjRFMmzYuPBT7Yz2j1n8FOsAw7kCgGpXjdkV3oFF1tPIbqbQSs4ce CnbluLWDHuL5PoJGlY2LkzDMTk8Xpg1fdnVjYw5zz9anLsObL2lVNRtHjbUOrB3ieGa6fDSLeyp4 lCaiv6tK7fo2dp3VBg00iPDJBfp+0Z6brTIuYvS994rFYIvazBfwmwYCng/MS6kcKZspOiqeNHRO 2jLZwAznH5Aa1WChhm7ui3qI61CFDFWFKSNQEYQNivX4MYzcWKXYbC/2ZvfoxG9iF0ZZ7kNPZpsc vRn+Q+6PML9iQ2bvgZJqc5EW+J+q+ICW7T/dj7rrREL1svFuurWYsJhlu9Uiu4WYJrDfncfVFM7Y elgE1UuULjtXGmXT0Evv6YRNS910Q+KJdX+ipylL4WbJPZXnP9C1Ru8mo1yGhV5aQL0IlxsKwolj R6AP0ghEFvq8L0cerDu+3thusypzbS1WO1+RAQeuFjxnOmzysr1e2H1S/lhkxrom0xU/Bh3yJNzx g/wtw30opZX1afkeXiFv44bnegvFqInMJycFjb1XjCqvIezGVw+tmP4AprvCcAyUCdwk2UbUsfTK BoekFvyEYtCkD7PydtLzfTveluTc5lfI+eaZ1p0Xh1Gvrt9YcS0MobWwPJhKd6qiBjHTrx+OC8+b TXB33QrEPv/ru8UXQ/aaT47TzkLaPpvvu2skGNDjSh7L2q4PaxnQwRUQykuH3Y+6PrjQxERb28VA jDd561HkKhcX6L5Ry0nswHZrSbzOSks/RVc3V+BbTtG0JdJ3mcbXnmxQFucCdEfV7l5lYGetSGbL a/GX72a97kRGI6YhtCZaMgDjxP0dVzhzhsrGGhrgyyj1K4AoBR7BKW3Ptkwz45E/OYqfM9VIq7kv 4bt2oqBSt4DfQwBYYx24Q1FmTXBXd8f1P0oPNl21TN2hNfVzgwH/qK3XtH2tXS9QKLbS3FB1Dvf6 evcZ8nOHIZNSUlB+A3BP0noKhHBiZK0M11OIoaQ8woLShouXuNJSUMRep9YGozMm/EFyBMud4dJ6 AJf0k+bkoc9ktVOSlrJcm3Okh+KM3cZlZeP2ha6GQZNtt23myok45/P2+8SsC7ivuTkshPPB9kE9 lmSAvKDSkc/NV+2Qs0A3cLC0XdqOC/7Ej4aJ8pVRvbS6XwhkpzhAkazbxe5u0Y5Fccc2iDas65HP Tqdnk+kdmsf7n0aizTP1tAXFb30TbA8usjXCot5Ui5jVFYZ1++yk5HAwA/b0tU4fXKeem8BdhFT+ 6c2uS1LeCeg/B7Bk7iHE8F54J8J6OCkOK3ynPnez3TsEvc6jTcxMcCqmN/LVFN8ssI00ru42U/81 qgPmam4fVRBRf3ZgL3tLw/+FjDoxdGOlFljV2eW9CNO9zR3vlbPmj1JMrwZeRA0cOTdUFj9bbVz5 FXePVuTRf9DLOJqkdbATcTCAevr8rpvgP4OGuCj+JUasZMtCJ3pICp1K0fYNItrHgvvPr4cc93oB cPgiXdCjNHaYrkdVBeDDDybYYefWcrqkntvAKW+cBd2rX/UQ4vCmDG3KNjhqbVv05G7K8vKp/hoB 2JCIzgsrnZMvv+2yRNGgp+urXY4jV5Itl1JJEoIJmskZz8TZI8lt0n7mTH7P8zxihAJ/Car+4d2F Qs+9HEz77qkFfzUs9B2X/MKORiAzQ3shmjx3bMzzUvFiqLTgmmC0XBpSAQpGlLEG0MzFHPcHuRwi uIikMoEFwB3NhPWcRSSP6iQPAW1fRLo+ibYEjMhubVAZr4Vcg7UmsniMy/S+tSbJS615Zl1bu4zA a/CKtFn+kxReTKcZfenBx00CbyI+HffiwBR1DVnb9b9pIo0TMQ0wJIaNSXgcEp0uZP/+dEQAMr88 aGry9iS/PgCxIohLLuxsDfROiW+mN/zh+MFKnVF93IaqQNqVDFhVtFTuLz4m6GrCKUbgBYfTP4Kh s+cqIUDHlHiJQqJknTnF1yPaEkSus+DRSt/PNvDq/sEBv5Idp3uMhYYv2QTk6dvUE2p1aeY+uUCv 16Icl/sN7u41iWD4ROqJgRvHBy/Df/CJvJmkm/pYu1DcP/j4ZQvTBCiEajv+e3PxjdyHJwMzEGTI 8TdbuD4Ry+gscO+rzulejxapcxh1LDEXJM5u2fcU8uarQe5UAWGfxPqQ6INmR1CT5p2Aw3IC4LkW S80eyJCtpz9P6JsdW5y/G3qrpkVriF4xxG+TVVyXvv/lIFJ2DAW0X6RlLpcnVtsLE/7kN+ryzShs rqo8mj1l8lmrob3OSA2G8638MvLYGxwt+hPw9w1iM3AvyutWt2ClXCx41AtHl7fjSH/UG+RT3eIB mmHMfGFcbF0gpwF2U3nStwDfNWsaJOwo6rhMfvVhJduW7UjeiJIVGJf/Ra0Rw7Hmi1E0em5IXqRQ TzTxAf/WW2K9YVqwOxxRc6hfjshV7zUoUTsIAksUtIMRO9Vd8Q3+jg+2CdMEJv0JPEUz9tLJ+FRQ 7E8PCfKgMJ83GTZZV2v8NYmXtuybHe8tFQfUwfS862TbMPZn+idY0+8nI8Hc8uHda012fIOBu84s /venI3kZq/9TFQKMZ5PcRNSLiI7PGG/S82awLa7SVroOAKApwBEb+IW+mMYGRqEW2qcE/vjptY7l f0J0gmyqgTI/71b71nGqfL2L4Blmi4pnYGyDEGXYN+f5UfAoilC/wOe8z2BcrTONIgTluJbt1Yjz RAsA9v1mhedDb6JIcxLAejl0Vg8XklFm7REwRK4UD2/fK/QuBpz9iHRgKcaDPT7w6A5wTSF6xmUM +RAqhfkCnl2iv1k50/wTlNY7naFBvTKbBHCHtp5lhX+z/E21TC3Y7rHWzckBiTZHuj3iSLKIlA6m xeR4G/KIBvONfgtaZuXqB1hQAh6uZvkrJyTr1cr128IHbyeFeGpP6PFyyvv1dk00bJynnYkjdXrD 1l28/rX2qrALAsHByOkzkkTeXwoCIg3tTZ9limVVS3E/gyZ24HP2jEVCId7FfLOxgviOu9587lc0 lF0o1zPTfCDwXeSvylzQv/m9UtahdvgKec0c8/4cm+yDqj4DlKErZkEeoG/05EusWdQDbg63S2Vd lXfC3rTpQ60uy2BdeNxENHFKRGZO1MmA991Lj8SR0FUJeoZ6vFs7VKVSFhHkt7Aswf19VTgykbyt 88Ve6WJ+knrX0s2qL8bSNLR4G83gvCXIz0/1emHYyHd9uVm/USFGtXTdzWSAe4Qs+2uIk5Am3+zJ dC/bXUmOf+d5ROC/odqz6DtdYMPQY0YTlRSgZUBiI/aAqlFDEg1yj1O+8QoOdPBxwxUu8WHsV6E3 SDBqZLeHd75/A7YgyemdGCXM7LcpwPg8Qd6KiqZYivwhg769FbsB+MLjWTeqkJZMyzTHWbT9iwzf 4v015ui1NTPuq1BzlGb8KENOKox+wguCEUq+l84NjuOfeYgCCffCxVZsiILoUs6tGSbGj3RUAKQw 6oo2ByNfv7BpdRxJJfdglthVKbkGJ7UISmqHrF8C96P59gNRw9H5tOjsejcobHSXPEpIo/TKf5iq Vmnl0chV/IX0ZNICfMwKufc7N+8bJ7RexDDdWZYzCn9EO2bdC+OJDyuAHqN5F3eecixYPn4HkQ6n hGdMc4RMoq0lUThOpJoL+Eu60HHZ1sb7eVfpXq4TJhXfqCwiWVXKUCLmrE7Salmz3hQxXNZQgI9u E4Q//IRtIA1K4B2OzwgP7kemNnXEo0ylOwx/q6f64T2Hr4tjwetjvj9W98nVrhbOrjFoQHssDxri dyY4MZANtJWz5++87blcygJU6bPG+9QXQJDgxLJSe4NuClGQqCeRx/NaOHRPKES2pI8NVkKFg4+Y GI06GQziXZx5x0TF/jEtkXc2pdNRE3Hvs4J18OXsxQt+NhZzyIngIuLak+eTj12hYOjmpXkj1xer qENWJgsIMIr9XIZ3T3y0t0cO5m9Qy8/yqdouMjipIOJ8teFgQU+qcu59T30yfhjZ/dsGC7M2Jt5R 2si+dRP9qIqtqkrgLVVlM3n+m2guxKrScthUSmD7X2GPDRiypvKRzlaW62QwqJZkZz7NAXEFio+b quO0kuZ6jpvQL3fHEns6dFbVy/VsayF4FiBgJiKFwvQKoa41XAtiqWNLL9pB2lGmuQRJa7lct8A3 +fZ+StoR+RJgIXavN7AOOfqdl0VhiLQpSd4WoBglKvHBuV+TB2Ebe3n8X6OZpOPw3MUdRLeImono bVX+QNRvRJsVwUefct7VGn22XLIojdxqStyVjqiqD6hETtakw67JP84uV/YKjds7GDTRYphF9kZF pEr7C4w+9IhPoVMrN+Br6m6m6JqffJyoI+Xlw494dmYLU+VRaD7aiO2Rs/FxZ5mYG0TYq013M/Z+ 23wvs+prPtb8MuK8LxrLjxF4mwVq4tlmyDuj59ah6ktibTRFPU/cSz8Ml6CMCUsguh6JMUR86+Fu ZQ3I/brXl6dQ6OzOF6tj/sZj+svslMl+ARFjrkFLItoHCW3lue7e/r86VTlsLKlUDR9neR+CNBrl xxFWAsBCyoHGh9MZr4gXKMQNoBQ7OQuo7aqYlBdQotLk70V2iHeGSKi0eaZyBVjBT+RS0orh8uK4 9JnlUHFMbW+XD0vxJtJrFrnPb7n+Bu0+dROicmJt2pRyTms1jd8wrECZJ0NQ0CpXiMJHFuA+gn26 s51FlVZr/N1KbPdFEQlokjuEYRyfNOtZ5agP6QMnat/IRDXMlkh1k0TNK2Go3F5ASlY2I+Elcbp4 m8Wh5GoOr+MLui+OUtx90LBCIjLUuYYGkbxz8EEOgDIBg6aewWQVJQHPHV+72d4emm/nvnkF846l q8NXHoZB220mOv9+aAkh4nr3stzLtGiyjQurZVjiN25bN2L3zIROuSSJX31X1wVjcwg70KExr3Cf KRNj81gEvIC380sehKuFggKsL+Qp5y4rNmVEKClU45ewxC/6Grjdc9xR5Ot5ZTCXOYMzRKGR+UAE f9XsYOdzR67F3ziEDem+seC6h+0rvbvI9gtkCk1+i8tVOCAqs6Vvoa+QmYIZquYlRyOfZb2N6zPx AVAvQprCnVcgQhhyy+4KvkWNmpc9WSv8qHhMqnO0Chizevc5c4tDVapSMZLcZmMx/LAM886g4jLZ 7UNLXC9q+fy2UiyFNcs7gdcFmWILXUTMLq6xExpOcyJVRdKHyHPo664eygCcdbz9GEXYvcL6N4vl yTKqmog4V8RgkKQHNdaojrJtRKeYBV7ddWNm/9q319cG2qQ9jnz5/BypwKfJhAe2Da1IJ2FAsx8m 2e9U0Rj/CsSSMRJpi6hgToky2a6uNL8izgdNGw2AZWnd2tyZesYlKy9meQHUGaS8uzF69JCKJNEU QMLQrWTQlTvxcepxNWKG4Fowg4OWujRqhPXzLzx+EsZ23OHPK+q7ecHuxpLgaw3AHIwcs9EaN9EI FxvVcUftUcMnKXHbON5icHyAseAMG0VhEZ+p+I3v+m2HLhFUZW80RXC2DQCvMjbTm3mNT+I43mX7 4CFsnH+J6eeF/mMLc6zMHeon1XeJwLx7O/n1tWWPA1hofdlzlm8DmVAkZnn0TCkBX65bgmdicDsD a94Z/JkHBMAD1alMxdTXKkess+0/929/7f7Q6vy/voV3DTU39uFWtIzi2nyJwI/jhvtwu9Hp0ndJ al3PGHGsyx2w8ASM2unfo8FYcbcSEQu0LaFtQcm2mEc+wLtr5yXxFPpiSkCGrnqOeU2I4+uwHDYC RnFJAE3MG6ASPAdDtMc2s/R8z9lPqusuJB9L7c8FvizTcg5kDSathsbZsTIMH5ztW9TQNmN2Sa0R NuFkqePiVFvvRp7aEVE7CrjaedsNGM2AFD0Ja/46AhOhgd4Vkf9b1Q+0vGr8+See4KsTpbdMJEnL apYKEUg6Dhoet4g9I+LrCYU4ZplblQ2VoANTxIIHGCZxk3x3qNrFiY6QtYRSKJcktEem+ar4dVu5 O+IG+ha2wSHj4BnmXbNpC0AFpGjJUJyLkLqIYp994BKoySXbtFKI0JWlyxf1kcfJANvwEXeDnQ83 fxrBq9Zmd1xaTAfXEW4dgqtXwH20ZUOzwTjhaxI1/keOmDKcqfd28WgoEcNzziBybtkEs4BVEn8C JQoRRUlnLfqTZNDVQcJyG0liVfLMAV93XLof+VbaHMYlxAUk/KC5H1fOB59tfINRNZW8kMdJNcJO U+zmodwJ97HNGW4nv/D6yAZFOsfczQZ/PwY0/4a36v1PK8mKNf6tFQmy3V3blQX3cVyBw/096oLQ IniH8BT/15W2rArc3x44EtTNJ0ILCWgURsRZoUMIby//GtxSPVTudcZZT36oT97ITH6VRvTDdOVw zkNEL9C+gbGbv37J/QT3XB0rgqjNSZUjNeRjXr+T3F1va07c+C0m6ZV6JwhPtd1Q7R0ZyCEvZm9I Dl43+2ldPpLPhonDgoAZ9UnALvT9bRpR8DcKtRfN3VGaoHJNm+Mh7821FvjL2dUFvMxm40epGuXv TEKBcOVsKM9X/9j+5WS5eYl/KLIhMx0hBfF8a+mKy1U2Ieo/6MTKXIeSCZVecueRijissi5l7ygN 43yMT/+sKlWYWROzLIg+imxsx/7tJFv0svVjo3kQmmBcJvZztuyE1LPA3sDS5BDfnZwkBq5HPNFs qeSa+YvrFa/GWmciDM9opgOi4RV7t8Xp+YiYvDuVVoEJZ1mftcNXAxgFSeQareWesYxD/xoL5dEU 17W+PiaZJTQnZCUDj4x8ITCCzoEspQrx3pGXMR0VimiY27FXAhSTpWewPkLxvVfTmISnrl4vOlpN dwaW6DoneTEnxuc5ubR+3bGnflIOZmfEXaU18Wlg/0L/wj0V/SrRbelnVxukFV3p5ZydZNu343am iw4PZtnTigg2ICMmjrmIO/xZ6syJdvIBSBIxOd6KOAD2tI3P9+kViIqTtIEga2K0/bI9/f8EiC/V ODefR4vtn3khfGX5lqgzOeBeqiDWkTLv1Mxydf99MsDNwEGnwMPM2ela/fZSrA08XuqMaA8MkVdb +2E6njNs2EpeXdJtC/YoP5acLzP4OsfUkSjtnLy2jNSmk5Z6Kg0tTjVIy8xzihiy26p9W73VpCH3 BSUyYJt7PaJslKbk+/p6vA/1U4WIkvbCDjTxoKifDfT+fgTiJ1KtacDz5XMzcweQKQaC3Br17z5T 85CJf9/RwEeQGOrD5oc= `protect end_protected
gpl-2.0
1fbcd8474fe53a7224452e59e1fc05ce
0.922573
1.880547
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/top.vhd
1
24,593
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 06.03.2014 15:08:57 -- Design Name: -- Module Name: top - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; use work.VHDL_lib.all; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity top is Port ( clk_raw: in std_logic; btn : in STD_LOGIC_VECTOR (4 downto 0); sw : in STD_LOGIC_VECTOR (7 downto 0); VGA_DATA : out STD_LOGIC_VECTOR (11 downto 0); VGA_HSYNC : out STD_LOGIC; VGA_VSYNC : out STD_LOGIC; adc_clk_in_p: in std_logic; adc_clk_in_n: in std_logic; adc_data_in_p: in std_logic_vector(7 downto 0); adc_data_in_n: in std_logic_vector(7 downto 0); --- clatch: out std_logic; cdata: out std_logic; -- cout: out std_logic; cclk: out std_logic; mclk: out std_logic; lrclk: out std_logic; bclk: out std_logic; dac_sdata: out std_logic; adc_sdata: in std_logic; --- jb: out std_logic_vector(7 downto 0) ); end top; architecture Behavioral of top is component clk_adc port ( clk_in1_p : in std_logic; clk_in1_n : in std_logic; clk_250MHz : out std_logic; locked : out std_logic ); end component; COMPONENT dds PORT ( aclk : IN STD_LOGIC; s_axis_phase_tvalid : IN STD_LOGIC; s_axis_phase_tdata : IN STD_LOGIC_VECTOR(39 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_phase_tvalid : OUT STD_LOGIC; m_axis_phase_tdata : OUT STD_LOGIC_VECTOR(39 DOWNTO 0) ); END COMPONENT; COMPONENT multi_QI PORT ( CLK : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR(15 DOWNTO 0); B : IN STD_LOGIC_VECTOR(15 DOWNTO 0); P : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT; COMPONENT fir_lp_54kHz PORT ( aclk : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(95 DOWNTO 0) ); END COMPONENT; COMPONENT fir_lp_15kHz PORT ( aclk : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(47 DOWNTO 0) ); END COMPONENT; COMPONENT fir_bp_lr PORT ( aclk : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(39 DOWNTO 0) ); END COMPONENT; COMPONENT fir_bp_p PORT ( aclk : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(47 DOWNTO 0) ); END COMPONENT; --CONSTANTS-- constant vga_width:integer := 1920; constant vga_height:integer := 1200; constant xwidth : integer := log2(vga_width); constant ywidth : integer := log2(vga_height); constant audio_ch_bits: integer := 24; --SIGNALS-- --clock signals signal clk_250MHz: std_logic := '0'; signal clk_16: std_logic; --adc signals signal adc_data: std_logic_vector(15 downto 0) := (others=>'0'); --cro signals signal ch1_x,ch2_x : std_logic_vector(xwidth-1 downto 0) := (others=>'0'); signal ch1_y,ch2_y,ch2_y_unsigned : std_logic_vector(ywidth-1 downto 0) := (others=>'0'); signal ch1_y_large,ch2_y_large : std_logic_vector(16-1 downto 0) := (others=>'0'); signal ch1_update,ch2_update: std_logic := '0'; signal ch1_y_scale,ch2_y_scale: std_logic_vector(2 downto 0) := (others=>'0'); signal ch1_input : std_logic_vector(15 downto 0) := (others => '0'); signal ch_step : integer := 0; --buttons signal dbtn : std_logic_vector(4 downto 0) := (others=>'0'); -- Phase slave channel signals signal dds_s_axis_phase_tvalid : std_logic := '1'; -- payload is valid signal dds_s_axis_phase_tdata : std_logic_vector(39 downto 0) := "0001101110000101000111101011100001010010"; -- data payload -- Data master channel signals signal dds_m_axis_data_tvalid : std_logic := '0'; -- payload is valid signal dds_m_axis_data_tdata : std_logic_vector(31 downto 0) := (others => '0'); -- data payload -- Phase master channel signals signal dds_m_axis_phase_tvalid : std_logic := '0'; -- payload is valid signal dds_m_axis_phase_tdata : std_logic_vector(39 downto 0) := (others => '0'); -- data payload -- Data master channel alias signals signal dds_m_axis_data_tdata_cosine : std_logic_vector(15 downto 0) := (others => '0'); signal dds_m_axis_data_tdata_sine : std_logic_vector(15 downto 0) := (others => '0'); -- product Q&I signal I : std_logic_vector(31 downto 0); signal Q : std_logic_vector(31 downto 0); ------------- FIR ----------------------- -- Data slave channel signals signal fir_s_axis_data_tvalid : std_logic := '0'; -- payload is valid signal fir_s_axis_data_tready : std_logic := '1'; -- slave is ready signal fir_s_axis_data_tdata : std_logic_vector(31 downto 0) := (others => '0'); -- data payload -- Data master channel signals signal fir_m_axis_data_tvalid : std_logic := '0'; -- payload is valid signal fir_m_axis_data_tdata : std_logic_vector(95 downto 0) := (others => '0'); -- data payload ----------------------------------------------------------------------- -- Aliases for AXI channel TDATA and TUSER fields -- These are a convenience for viewing data in a simulator waveform viewer. -- If using ModelSim or Questa, add "-voptargs=+acc=n" to the vsim command -- to prevent the simulator optimizing away these signals. ----------------------------------------------------------------------- -- Data slave channel alias signals alias fir_s_axis_data_tdata_path0 : std_logic_vector(15 downto 0) is fir_s_axis_data_tdata(15 downto 0); alias fir_s_axis_data_tdata_path1 : std_logic_vector(15 downto 0) is fir_s_axis_data_tdata(31 downto 16); -- Data slave channel alias signals --s_axis_data_tdata_path0 <= s_axis_data_tdata(15 downto 0); --s_axis_data_tdata_path1 <= s_axis_data_tdata(31 downto 16); -- Data master channel alias signals signal fir_m_axis_data_tdata_path0 : std_logic_vector(47 downto 0) := (others => '0'); signal fir_m_axis_data_tdata_path1 : std_logic_vector(47 downto 0) := (others => '0'); signal Q_filtered,I_filtered : std_logic_vector(15 downto 0); ------- FIR 2 15kHz LP ------- -- Data slave channel signals signal fir2_s_axis_data_tvalid : std_logic := '0'; -- payload is valid signal fir2_s_axis_data_tready : std_logic := '1'; -- slave is ready signal fir2_s_axis_data_tdata : std_logic_vector(15 downto 0) := (others => '0'); -- data payload -- Data master channel signals signal fir2_m_axis_data_tvalid : std_logic := '0'; -- payload is valid signal fir2_m_axis_data_tdata : std_logic_vector(47 downto 0) := (others => '0'); -- data payload signal mono_out: std_logic_vector(15 downto 0); ----------------------------------------------------------------------- -- Aliases for AXI channel TDATA and TUSER fields -- These are a convenience for viewing data in a simulator waveform viewer. -- If using ModelSim or Questa, add "-voptargs=+acc=n" to the vsim command -- to prevent the simulator optimizing away these signals. ----------------------------------------------------------------------- -- Data slave channel alias signals signal fir2_s_axis_data_tdata_data : std_logic_vector(15 downto 0) := (others => '0'); -- Data master channel alias signals signal fir2_m_axis_data_tdata_data : std_logic_vector(23 downto 0) := (others => '0'); -- Data slave channel signals signal fir3_s_axis_data_tvalid : std_logic := '0'; -- payload is valid signal fir3_s_axis_data_tready : std_logic := '1'; -- slave is ready signal fir3_s_axis_data_tdata : std_logic_vector(15 downto 0) := (others => '0'); -- data payload -- Data master channel signals signal fir3_m_axis_data_tvalid : std_logic := '0'; -- payload is valid signal fir3_m_axis_data_tdata : std_logic_vector(39 downto 0) := (others => '0'); -- data payload ----------------------------------------------------------------------- -- Aliases for AXI channel TDATA and TUSER fields -- These are a convenience for viewing data in a simulator waveform viewer. -- If using ModelSim or Questa, add "-voptargs=+acc=n" to the vsim command -- to prevent the simulator optimizing away these signals. ----------------------------------------------------------------------- -- Data slave channel alias signals signal fir3_s_axis_data_tdata_data : std_logic_vector(15 downto 0) := (others => '0'); -- Data master channel alias signals signal fir3_m_axis_data_tdata_data : std_logic_vector(36 downto 0) := (others => '0'); -- Data slave channel signals signal fir4_s_axis_data_tvalid : std_logic := '0'; -- payload is valid signal fir4_s_axis_data_tready : std_logic := '1'; -- slave is ready signal fir4_s_axis_data_tdata : std_logic_vector(15 downto 0) := (others => '0'); -- data payload -- Data master channel signals signal fir4_m_axis_data_tvalid : std_logic := '0'; -- payload is valid signal fir4_m_axis_data_tdata : std_logic_vector(47 downto 0) := (others => '0'); -- data payload ----------------------------------------------------------------------- -- Aliases for AXI channel TDATA and TUSER fields -- These are a convenience for viewing data in a simulator waveform viewer. -- If using ModelSim or Questa, add "-voptargs=+acc=n" to the vsim command -- to prevent the simulator optimizing away these signals. ----------------------------------------------------------------------- -- Data slave channel alias signals signal fir4_s_axis_data_tdata_data : std_logic_vector(15 downto 0) := (others => '0'); -- Data master channel alias signals signal fir4_m_axis_data_tdata_data : std_logic_vector(40 downto 0) := (others => '0'); signal fft_output: std_logic_vector(15 downto 0); signal left_minus_right,pilot_19kHz,pilot_38kHz,station: std_logic_vector(15 downto 0); signal pilot_38kHz_lrg : std_logic_vector(31 downto 0); signal clk_64,clk_256,clk_1024: std_logic := '0'; type station_list is array (0 to 6) of std_logic_vector(39 downto 0); signal station_phase : integer := 0; constant stations : station_list := ( "0001101110000101000111101011100001010001", -- nova "0001100111001011000100010001101001011100", -- tripple J "0001101100011100010000110010110010100101", -- classic "0001101010110011011001111010000011111001", -- gold "0001101001001010100011000001010101001100", -- tripple R "0001100111100001101100001000100110100000", -- mix101.1 "0001101000010110000111100100111101110110" -- fox ); --audio signal mclkb: std_logic; signal bclkb: std_logic; signal lrclkb: std_logic; signal adc_sdatab: std_logic; signal dac_sdatab: std_logic; signal audio_input: std_logic_vector(audio_ch_bits-1 downto 0); --spi signal spi_data: std_logic_vector(31 downto 0); signal spi_ready: std_logic; signal spi_valid: std_logic; signal clatchb: std_logic; signal cclkb: std_logic; signal cdatab: std_logic; begin --ch1_y <= std_logic_vector(resize(signed(m_axis_data_tdata(7 downto 0)),ywidth)) when m_axis_data_tvalid = '1'; --ch2_y <= std_logic_vector(resize(signed(m_axis_data_tdata(15 downto 8)),ywidth)) when m_axis_data_tvalid = '1'; dds_s_axis_phase_tvalid <= '1'; fir_s_axis_data_tvalid <= '1'; ch1_y_scale <= sw(7 downto 5); ch2_y_scale <= "000"; clk_div1: clk_div generic map( div=>16 ) port map( input=> clk_250MHz, output=> clk_16,state=>open); clk_div2: clk_div generic map( div=>64 ) port map( input=> clk_250MHz, output=> clk_256,state=>open); clk_div3: clk_div generic map( div=>1024 ) port map( input=> clk_250MHz, output=> clk_1024,state=>open); ------------------- jb(0) <= mclkb; jb(1) <= bclkb; jb(2) <= lrclkb; jb(3) <= dac_sdatab; jb(4) <= '0'; jb(5) <= clatchb; jb(6) <= cclkb; jb(7) <= cdatab; audio1: audio generic map( bits_per_ch => audio_ch_bits ) port map( clk=>clk_250MHz, mclk=>mclkb, bclk=>bclkb, lrclk=>lrclkb, adc_sdata=>adc_sdatab, dac_sdata=>dac_sdatab, input=>audio_input ); spi1: spi port map( clk=>clk_250MHz, data=>spi_data, ready=>spi_ready, valid=>spi_valid, clatch=>clatchb, cclk=>cclkb, cdata=>cdatab ); audio_spi_drv1: audio_spi_drv port map( clk=>cclkb, data=>spi_data, ready=>spi_ready, valid=>spi_valid ); station_filter: fir_lp_54kHz PORT MAP ( aclk => clk_250MHz, s_axis_data_tvalid => fir_s_axis_data_tvalid, s_axis_data_tready => fir_s_axis_data_tready, s_axis_data_tdata => fir_s_axis_data_tdata, m_axis_data_tvalid => fir_m_axis_data_tvalid, m_axis_data_tdata => fir_m_axis_data_tdata ); mono_filter: fir_lp_15kHz PORT MAP ( aclk => clk_250Mhz, s_axis_data_tvalid => '1', s_axis_data_tready => fir2_s_axis_data_tready, s_axis_data_tdata => fir2_s_axis_data_tdata, m_axis_data_tvalid => fir2_m_axis_data_tvalid, m_axis_data_tdata => fir2_m_axis_data_tdata ); left_right_filter: fir_bp_lr PORT MAP ( aclk => clk_16, s_axis_data_tvalid => '1', s_axis_data_tready => fir3_s_axis_data_tready, s_axis_data_tdata => fir3_s_axis_data_tdata, m_axis_data_tvalid => fir3_m_axis_data_tvalid, m_axis_data_tdata => fir3_m_axis_data_tdata ); pilot_filter: fir_bp_p PORT MAP ( aclk => clk_1024, s_axis_data_tvalid => '1', s_axis_data_tready => fir4_s_axis_data_tready, s_axis_data_tdata => fir4_s_axis_data_tdata, m_axis_data_tvalid => fir4_m_axis_data_tvalid, m_axis_data_tdata => fir4_m_axis_data_tdata ); fir_s_axis_data_tdata_path0 <= Q(31) & Q(29 downto 29-14); fir_s_axis_data_tdata_path1 <= I(31) & I(29 downto 29-14); fir2_s_axis_data_tdata <= station; fir3_s_axis_data_tdata <= station; fir3_s_axis_data_tdata_data <= fir3_s_axis_data_tdata(15 downto 0); fir4_s_axis_data_tdata <= station; -- fir4_s_axis_data_tdata_data <= fir4_s_axis_data_tdata(15 downto 0); pilot_19kHz <= fir4_m_axis_data_tdata(40) & fir4_m_axis_data_tdata(14 downto 14-14); left_minus_right <= fir3_m_axis_data_tdata_data(36) & fir3_m_axis_data_tdata_data(26 downto 26-14); process(clk_250MHz) begin if(clk_250MHz'event and clk_250MHz = '1')then if(fir2_m_axis_data_tvalid = '1')then mono_out <= fir2_m_axis_data_tdata(45) & fir2_m_axis_data_tdata(32 DOWNTO 32-14); end if; if(fir4_m_axis_data_tvalid = '1')then fir4_m_axis_data_tdata_data <= fir4_m_axis_data_tdata(40 downto 0); end if; if(fir3_m_axis_data_tvalid = '1')then fir3_m_axis_data_tdata_data <= fir3_m_axis_data_tdata(36 downto 0); end if; if( fir_m_axis_data_tvalid = '1')then -- Data master channel alias signals: update these only when they are valid fir_m_axis_data_tdata_path0 <= fir_m_axis_data_tdata(47 downto 0); fir_m_axis_data_tdata_path1 <= fir_m_axis_data_tdata(95 downto 48); end if; end if; end process; pilot_sqr: multi_QI PORT MAP ( CLK => clk_250MHz, A => pilot_19kHz, B => pilot_19kHz, P => pilot_38kHz_lrg ); -- pilot_38kHz <= pilot_38kHz_lrg(31) & pilot_38kHz_lrg(23 downto 23-14); --multi_pil_lr: multi_QI -- PORT MAP ( -- CLK => clk_250MHz, -- A => pilot_19kHz, -- B => pilot_19kHz, -- P => pilot_38kHz_lrg -- ); --index_delayer: delayer -- generic map( -- width=> 16, -- stages=>9 -- ) -- port map( -- clk => clk, -- input => indexb, -- output => delayed_index -- ); --left_minus_right process(clk_250MHz) begin if(clk_250MHz'event and clk_250MHz = '1')then if(sw(4 downto 3) = "00")then audio_input(23 downto 8) <= dds_m_axis_data_tdata_sine; elsif(sw(4 downto 3) = "01")then audio_input(23 downto 8) <= mono_out; else audio_input(23 downto 8) <= pilot_19kHz; end if; audio_input(7 downto 0) <= (others=>'0'); end if; end process; clatch <= clatchb; cdata <= cdatab; cclk <= cclkb; mclk <= mclkb; lrclk <=lrclkb; bclk <= bclkb; dac_sdata <= dac_sdatab; adc_sdatab <= adc_sdata; ---------------------- dbounce1: debounce port map(clk_250MHz, btn(0), dbtn(0)); dbounce2: debounce port map(clk_250MHz, btn(4), dbtn(4)); dbounce3: debounce port map(clk_250MHz, btn(1), dbtn(1)); dbounce4: debounce port map(clk_250MHz, btn(3), dbtn(3)); clk_adc_0: clk_adc port map(adc_clk_in_p, adc_clk_in_n, clk_250MHz, open); adc1: adc port map ( clk_250MHz => clk_250MHz, adc_clk_in_p => adc_clk_in_p, adc_clk_in_n => adc_clk_in_n, adc_data_in_p => adc_data_in_p, adc_data_in_n => adc_data_in_n, adc_data => adc_data ); Q_term: multi_QI PORT MAP ( CLK => clk_250MHz, A => adc_data, B => dds_m_axis_data_tdata_sine, P => Q ); I_term: multi_QI PORT MAP ( CLK => clk_250MHz, A => adc_data, B => dds_m_axis_data_tdata_cosine, P => I ); fft1: fft generic map( vga_width=>vga_width, vga_height=>vga_height, input_size => ywidth ) port map( clk => clk_250MHz, input => ch1_y, valid => ch2_update, index => ch2_x, output => ch2_y_unsigned ); cro1: cro generic map( vga_width=>vga_width, vga_height=>vga_height ) port map( clk_250MHz => clk_250MHz, clk_100MHz => clk_raw, ch1_x => ch1_x, ch1_y => ch1_y, ch1_update => ch1_update, ch2_x => ch2_x, ch2_y => ch2_y, ch2_update => ch2_update, VGA_DATA => VGA_DATA, VGA_HSYNC => VGA_HSYNC, VGA_VSYNC => VGA_VSYNC ); dds1: dds PORT MAP ( aclk => clk_250MHz, s_axis_phase_tvalid => dds_s_axis_phase_tvalid, s_axis_phase_tdata => dds_s_axis_phase_tdata, m_axis_data_tvalid => dds_m_axis_data_tvalid, m_axis_data_tdata => dds_m_axis_data_tdata, m_axis_phase_tvalid => dds_m_axis_phase_tvalid, m_axis_phase_tdata => dds_m_axis_phase_tdata ); scale1: bitshift_div generic map( scale_size=>3, size => 16 ) port map( scale => ch1_y_scale, input => ch1_input, output => ch1_y_large ); --scale2: bitshift_div -- generic map( -- scale_size=>3, -- size => 16 -- ) -- port map( -- scale => ch2_y_scale, -- input => adc_data, -- output => ch2_y_large -- ); truncate1: truncate generic map( size_in => 16, size_out => ywidth ) port map( clk=>clk_250MHz, input =>ch1_y_large, output => ch1_y ); --trigger1: trigger -- generic map( -- vga_width => vga_width, -- vga_height => vga_height -- ) -- port map( -- clk => clk_250MHz, -- input => ch1_y, -- valid => ch1_update, -- output=> ch1_x -- ); process(clk_250MHz) begin if(clk_250MHz'event and clk_250MHz = '1')then if(ch1_update = '1') then if( ch1_x < vga_width )then ch1_x <= ch1_x + 1; else ch1_x <= (others=>'0'); end if; end if; end if; end process; --trigger2: trigger -- generic map( -- vga_width => vga_width, -- vga_height => vga_height -- ) -- port map( -- clk => clk_250MHz, -- input => ch2_y, -- valid => ch2_update, -- output=> ch2_x -- ); dmod1: dmod generic map( width => 16 ) port map( clk=> clk_16, I => I_filtered, Q => Q_filtered, output => station ); process(clk_250MHz) begin if(clk_250MHz'event and clk_250MHz = '1')then ch2_y <= std_logic_vector(signed(ch2_y_unsigned)-vga_height/2); end if; end process; process(clk_250MHz) begin if(clk_250MHz'event and clk_250MHz = '1')then if( dds_m_axis_data_tvalid = '1')then dds_m_axis_data_tdata_cosine <= dds_m_axis_data_tdata(15 downto 0); dds_m_axis_data_tdata_sine <= dds_m_axis_data_tdata(31 downto 16); end if; Q_filtered <= fir_m_axis_data_tdata_path0(47) & fir_m_axis_data_tdata_path0(30 downto 30-14); I_filtered <= fir_m_axis_data_tdata_path1(47) & fir_m_axis_data_tdata_path1(30 downto 30-14); if(sw(2 downto 0) = "001") then ch1_update <= '1'; ch1_input <= adc_data; elsif(sw(2 downto 0) = "010") then ch1_update <= '1'; ch1_input <= dds_m_axis_data_tdata_sine; elsif(sw(2 downto 0) = "011") then ch1_update <= '1'; ch1_input <= fir_s_axis_data_tdata_path1; elsif(sw(2 downto 0) = "100") then ch1_update <= clk_16; ch1_input <= I_filtered; elsif(sw(2 downto 0) = "101") then ch1_update <= clk_16; ch1_input <= station; elsif(sw(2 downto 0) = "110") then ch1_update <= clk_64; ch1_input <= mono_out; elsif(sw(2 downto 0) = "111") then ch1_update <= clk_64; ch1_input <= pilot_19kHz; end if; end if; end process; process(clk_250MHz) begin if(clk_250MHz'event and clk_250MHz = '1')then if(dbtn(0) = '1')then if(station_phase < 6)then station_phase <= station_phase + 1; else station_phase <= 0; end if; elsif(dbtn(4) = '1')then if(station_phase > 0)then station_phase <= station_phase - 1; else station_phase <= 6; end if; elsif(dbtn(1) = '1')then dds_s_axis_phase_tdata <= stations(station_phase); elsif(dbtn(3) = '1')then dds_s_axis_phase_tdata <= (others=>'0'); end if; end if; end process; end Behavioral;
gpl-2.0
499adce5e7e816873aaf5be2d256df42
0.548083
3.272957
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/cmpy_v6_0/hdl/three_input_adder.vhd
2
61,768
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block qT4BMO27RCx8PWIU3lMhvpsy9KawBicgWmsTCEnJ5PteteIvE4xfK97QsRqiucno+GvDkwCRUY47 s7Sklv7w+Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FfmFhcHEqVqFGjQcBd7ylwqGf8IOyQUSLjBAkKvFbqD8rs3ZHZ/cGc5dfa+o1/h94Ho/cdt47P7P uiDUXgirKkEJYHnKBupuOh+m6ScdHSNbtRdn4bJaKqaKEo9OkVJt1Iy6Yk7xADqtbXfmkQ2rIo5L 6cu4MBzFYYu/XWtmEZs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CLmB9Ff0csKu6fsc8HGVG20tLbTJOJjr8qpOK9JkTsVb2tMK8+JmjACqAD3IlwbByEd9Fs73vRop 7rqZPqvDkxnG88IOe6q8yda5503bZHt9hGtR208zED1j99w9FlXfvzg7FYGNZ37qQAJRA/Cg33L+ wEl1he+P/Igv8rq7IKIGiOU7qPK0l9PTUsftZ25VpU8PBmh2gTNy5GPBq+Klg2e1UwxnX+yxsLjk XUvykatl2XIocJ+Btr/h+DJaaoguQ0ZKM6WklGfpfyygB/Wb7TpaGvJZk3PZmmmUWy58QZp4wCGO r/ymSxsLk5dYroWSA7BZcnG9bvYeyb25iPZw4A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4Hq8pkDz+F7mVoB5Gpm/+0Tg/WzK9F0deudkyIFJnUgNBTTf9esvY7Ar2TqzmrhSa0+nKBvQg8tJ fX+Sfd8YHPF0pgicBUFVx5RDTavZgAGah1Hdh2UnPobIN49WTamwc4RE9CslGEPTTsVZAjhJ1MX5 c7cqbCol0sPSL+wnZoo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XGem7kkhTTIPLKVbdCueZQd7LLjm/AQdPElAR+f066VKjO9WCx7ez+xdCC71w0/+6XpIy2dRRurL a645xoyT+x1vffqYu/RXLZq+rJbZNEuzD2atvlWR4hdsAfl1M84n0LAmn+IkQmNPpu0xb98qY6WA DRrn9I6k5RTsvHjaea+eNyhU0K2dhIrHzYFZ4/loU2QrqbxVgk1TRhrbVaG62w8qORowjJYCaIpb BU5mgT5ihiI8VUV7YM0Fd0KG6TpaDzwnVM5FKwKiKwhSHrkUuyClWNbjYV1bBiaFli2hDwQUSuVx ysaCwNk6YtV1uzHU01EYlFQ3AiyRNeZp2eBlKQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 43984) `protect data_block 1ESHOcdnuV0LjMnT9m0Gf/ujZ1yvN6TEZyUIfZj7wjzgo5bZmLZLR4EFgOhUV7V+lbgYJI3X/2aD Z7c9JBdCRRXlFcL1KGdekB6SdFt6/A4jbRgmn5T+31mEEAA7wuigSfeEVW2fDY7MLnlr7/VRvdjL FMwgOoZT4AhT0jWecDcu2l1XdlazJ3GLoG587lVyVGBBoaz2FKwLD+X3CiXwaC0L99eb4wAuh3Hi 7r3Rc4mJRwIuTYW79UEsgEWGfnFLBmujxjNc0Yu5/InfYyNeyc0nPOjWyWY6EQgIxQJuInoX49Xu IFpAnb7LzRkM6+y6eBJLaCMCkqlgOzGNZxQBwLZ835V4bnZcwktjf9PBk2CZB6j/JfVcdPbtLgyE QyJxjLF7H0ap+L/gUI77pE/iW+v73uTdBV7j4Frbrnl7wp9pMtzxQNuofC3L7ibZThVW4Xtdf5Ef XIjyyWpW0eDnpLeIBIThzlGXqc7UuBHDfzPVILYo6wvFxeedL5i4CbwflwN4N07+m2YAdGtXUQYR aSdXvzSBfZ42T3tQzxSeptsIcGCgToS0fanb0nNmRLrprDHRsQFARLhbkPiChf95nO5hHIUqCiM4 OjwN3swtqZ0yKVZrO2QVCQEnAffwuKyANEI9FpbFM11odm8uUB3Fm82ukUeKtpM+6DToVLpEFnC/ YXkzXlk18JqbMGUXamlsUGXSOiR5zzAF3RT/1W8j866KzwvxMy1Jtm0x1ij+llW5XNwJ1xk7VQeF Pa8wrJHEcvTqRkrnMvTPWvt4/Qb2iXQ9fYJ2I55nMSc8rNxSeMv8M+izlW8UUaTALS4/voBwhafe ZU0xVV1H5UtrfDI9e/wILul6HawWStA1RcPPBdsyvOKe3c6Z0IUNffNKU/5dm1SFhjLZjdluQOid pkYNYRRWXtPBr1QGXHmQlX0bOYCHUomOMs6k7RsPrhKuhebsF+HyUjrUMryGcUJuvzl/Qr54QtKR WOCA9/SQYahM8TJ4BOiplsR7tna87QOLoR1XrLUVwpPEk7x5GCeFqIxRdH7uyoCslyS/pYsdlVxW 5zRif2AOzQm7Qc7Hx/KmNGTYJlleHMCnGoxfWbmp7TvKcf8AtvYaalFrzBZfemQnNmcyK+nMN8wu 1InQGbsqa8vDOS+xDo5ok3CdloivKfSuMstUn9Oz/ysrt4c1GMoblKC97Qu2MIWiGL4Ai45PRdQE bvd6wSZTTydNYH10U7BdeUizwoXj3MS18OVvjh2yFp69sT9v/f1vszd0Mm42nv6N8CA0HwcEfhlf fwGik1F0zgcJ1G9lgf5WMpwp56nuXGT/gl3H78mkKX5iFJo/Oev8jnE2P7qg/kqGB6bNm4ZE+Na0 HMxLpcCaEfUaj526R+QMR+48YQGcSmJyxSWpQxNWdFPtASpzurdd2gjCiBdmMA51fipSfgzDzXHR 6m3daLmUF9vIGNbOmzI+AJaNw3NW+tvPdrKSF9NYB5ReU0k+OuNClddb8cBNNmy0FWogVUSVmdSU iOyrjTgJwh4AD/xSqVBnz7tntr54gLO4AzMx1HJNba83OsHo3KEOSEqu63RKqvwK80qm4n45k5b/ gWiW1OAdzx/F3G5z+P57X1nkuwUPh3OTmkC43MoFvGSy7DrzoDzfgkNS9HL1tp69bM4HrFPu2IVn 28kVPo0WgXLNw+njbE25kJ105+wK/NiIh37aUH7D1TiB/3zakHA8GBmkAZie7D6QDlfjjIQFKimi FS7zmGlwXbCLvTtip+5PCep7YrDLc6LX9wuiR9TWViXusn4GHXe9gZZGbd/PeTiomtDNrzdcXSS6 2QaPduzP6ktFTYqZ90lnAg3oqIp1iXYeYwiM61T2r4MYb33LKMg2qALEehOkZg4rQBhYWoVvZtVT ekjgBOMB7Px2/u0hI7KH8QdCIwyfDs8sJTN+60+ftOBZHo04M8vbO44s5Q6j4GBA4naLpYKb61Hb sG/7MyxdEOZvT3qDO4sPsAbgZrS0vFxhu0dhMC3DFYyfwqk/JYb2/zEkdfvBUjQcEkPvaEiwzriD qzC21XIYkkyjW58L6nWUfkwLI4Mn34nWzlAHKLdO4x7XGyJaJwDlYBR3b/St3E/nFrMBwjwxzT+b ktY8ot849wqcC3AlqtVbUG/TYvU+CKNf9/X3l8PTfuFXvlT0poltKlWqfy6l9rbiUnkpFilwjKgQ nvuW4tnvfom/G7Co29p9CSbKTIPcwqvBadQdUZgFM8QPI9EFUo8o8yUNhLWuFw81mUvN7IJlRVkU ARB3QQP/aBY8rlqCJ9KMOjwgr+S3YXSWCn082gH4To6X8mPHtVLquyJ/4ITK9qkCp6xRBybZKccT UTVkcg1wNMhXce2unI9iI1T8az/HoIO1OX/FFO6a2p3sMpo1Upz9eYYu7qIYA4mwv4u/rH9to4m1 WjYKeNjz/aW6pHE+egnxmOtOMcTUN7Lf5mwamwPCN1uvbK3urW3K9REaypOhgf2iLI73g5F2VT+n 5HvE2QuMu+E/FURMQ3Oc9/wemljSOFi5HyTzwOzQxHzBplvYgD99ZIiTG4rIWipn/EYrnOvdCMXr 4TijNiexQzskYK2anI+vEhMdg3wux7pYC/YYgTxLQbpHuDZHHbOMOAOimnckVCJdZ1JaKoHYup9B VHUVuBMNEqFse3rB/SaJCo/Cmi7AXrurg2TDwgiq2ZNmcMmPpDtS/ooIQBs1rmqIZnhlJ6L7RAfZ taTcvkWj3IqNyHcq9okv3lY1jB5SZ3Hy6UJINqCkeTp+D/1Xrm5rBdOEaraa7wNuOTlKHHI1sSpm S7J/QVXUl91O9UvabKUSpsbftPDFgx03aDU2CtM+30x6rWaNBJeBQT3TAxwsk/MoNukGwT6Y4Vke aahpltmLeuIzcYA6vGChNmq9itygvSWlNdoF9pKvG0P1riAhgzeiK1/gXBKCsDnD1fnObP32vwue 2xctO96VthQ/03Cnpi1ZkTxir4RFRBWkr4EgqsWWZoGYyQbfqX+rIGtieTQdjLy5C5dEY6i/1V5u F32JnUnFDhkx/PpbZxyXRZ698fUGfqaGejag7UkzUU5ftJSHd9BOxwUzXjYKpmJnzxjgUNfngF/m wkE46ClTOLxnw/Ts5aHMp6tJy8s4zdc99uYJZPbSZUkDv/1ZRb/2/m67iSaZogTW2Le7LqajnD0G eAS4wbXHniSn2qC2KoUZXNnmlYLiSijXL6TS5zWb9OFRd97xNM7JoKzJBN/AMuKQ5+Ier/Me2Bi8 R5XMF67Tu1Lod+j+DgmllArxSwNqvNg4t/UpVWy0fRUzjO9t0GaDKgMr/oP4BtDVusbVHLXBbJV/ lZDY9rofW7Ekf31sGXx1RMXVkV6q/i8WqUX9lPK7MdfxJJU1DRcEqcJTpp9lWjMoeIfWWxB9HwVE jocjvhbS0zSIp4FzneA9lDeWPP0sc+BPaneG9g9TgHpFgCEgzJoQOvJL9H4Q8Bo7aN4a+qM4qsuK cEObmAHM5j65Z+h6CwUEMVYsJS3XUqWN3sU3fYmlBGgSEO+6VFz0Lb16Mz9s2VaJJGWU/lWCKe7b F2WjUDaB/Ied7n+T1jZQPF+Kx+YSEDyYakSYUkYrJGRkZ0mijeVK5if4BVABKEtuTGZHxYsgz8IA U7Achi1lSzalNpDOec/e3KyIrviFGUjA8GgFQVIhRYfEurSO4HJRdnmH5pNzJBUll8rugUkZCSYg uiV8n0CZgiKesfsKFGS4ID5hWiC0kGO0mZdTHI5D7ohstPXGRO+SF7t11ZiQezywv1/MDRvd/00j Ud8dPCe4gvyFWLsfApECi7jyQ8+uPb3nVNxtJb09XOK4bTEtvr+r570ReWKajY2JGS8VYwiutH7l n9i5wkL3+b0XNx42ZpTJEkKeBK6XHK73sJCEPWjZgm/uYQ/6YSlta6bb8jkEq9p9RqngdC0WoFAz ugiaSIutFVkCMZbmYWnz0VzVHuHzZwg3wb4CBGUuYRsyEYwfc97gQzkAYoSPSV03/Db0M2+oVpS7 MiyG4BRu3jilnldWQSIk1LZdvQvo+6L7NEAZUGWVJkkLsBeyHnnR6l/tdbiqV01gxAK6CKUYeD1H B7nAni8KAESGWx2JHp8jv6HaIl7z2dRjbAcwroksYH0ePUAFyz+eck9fhiD7b1iKlEy/Y//otMKe nSb/T73vUF4lFXZhprLT2bjuw6cO6By8FgnMn+EZb1ZtVZy13kXcVW/WAuTwRL5ysN6cWAXUTobZ 6U0i3FuIeugd8A15auQVdSyMZ2yYdXQFcVaRR8ZettmZ7hLjUBQCLsI/8IqBRBOa9+oK0Rtag/V3 kap6A9I+BF3lPgpyPVZJoOiCg1cntkX/H3eGHBWdHA/LQZO4vz2JAXvpAjO545MrRuVocDpx4zko ppjBK1XMAR11zU+sZApHAtxzwFjuFieeFWhHu6KhC8kjBguhJBlYYATzvwRWClSA3cu9UrgsVqWY G+C15gWakS6WEJFijdDlZS68BUmAdaaxmv0KSqjFMOCB9AqnXfqmxdHquK6S685Hghl8cSBxq9rH EjUSuNhBAK2qjNeX9SpkzBLslq9eAHxXZRrzDQC7zKcdkB8ai7uigJV53SMDTfNMVYxqdfmEKanh ew8oII7mQbIcOTLpvNpa/ZD0KNHiAIrWurgc2dW5pCCmORv0FuRNWRLxu2DY23YjPGw+8Jmi4Out f3ZD9fk2HHBP2gQs21UXX+ih7bvb4cKzTxvkCtHKHAo24NmAfVLS7Y9BYT0G6bI+zHJtM2Mf23bp QS8qom2Md3M2Y1U3NfJ9Olhm5kzh0WLK91HYCRNdlRy+dZRHkLoDKh22ZSVBPf2TVefpeeHg4LYh H27yRLJl1LLf9exLb4O3tYkaqqNLnbZH0tvAE+MyNikoWiPp0oIkgug89mrdnx1juZ9sLFSsdIwU jJ5jKTKgE4V3Pgt+zYpy0LG4URsJaN/0gQwIVc8UjPjays9rt93OkJVnlOqvbFkVQJRNhq8n+qBf GhHq+lcqAeeoStMh7oivzEo+1VjESMcy1Qv+nacp7XS/SIqFJfI7dlYGCZr+dfAhPByYM3UIlMtb 5ePKL+Exhy0uSyHHxPTtowhwqUWFg09aEKVN+y1fcnuUzd87qgo76MVGBqWqXKoVl0+tWz+jfiGr xYoslad8ljBT8behoj6qVZYC4LQP70ZA1ivQF2a2t3h7WggrwsOyAUAOaubidG2UiJoA2qDWNhwJ Kkk1x2u9gZnQku34Mwc0qJBBh230T5g6XOJKslK8IDj5IMCGE4KQlYNX1SHeM0P+gDS6xV0nkqC8 loxC3Pk9/fD1F1uJbpUikjYUD28TtkV9Ac0gYpsYzFr9eaj4KJZ+0K3Oem4eQVtnl+l7Qp7QE2oV 2iWROe240kWEaqxSHSXUo2+i8WIsP9/M5fAjQ9kzlk5pophenGFC2OYxX7rpQp4HTw1XuTiGWjPn jjKQMuM3spkDgDf3dIWMXpL+6wQpSDJpj6J2Eha1Bw+7MHdI83MsL/bCPVyK2Jq87EYsXyI0AELL OEjy/EhW0qHgjE8OmrxMGrrRhnvV8e/gMxzKtjvOUEGthY0V32UH57fwELdWbl/9PO933olLiZPx YzPLKK7oPE2LnfrhlJHvF9mjRjSQ8BvJnYGqF8KGYuRGXLtIudO3J+6nbAFURDgAv6dNZUMmVKZi ldB5TrsPfiM08QaG27mKC3v3sfa0aoKUNeFhL2SYoTeU3B5Vf96XnM+Qh1yiRDOcqqguRDHh5nXc 2cYSLsRJzdONBmGsTjnhwdb6CMlvlJdMxtXgO5lNh+xYPXQ+MDjObq7saoITdDzvv3A6CRTm0H+4 UQMoKXsCca6ds7yqUn5jscAF+CVXDC4Rt7NsK/5mmUQBt58WbrtWfNQva1mvEg9yMy7gtcYyONIK b1ySW0I/NXUcRymsBJvb4JLeXKmP5yE9UssyqEcl3b6ql0vENgjt5SNJUI50MTETZ825dL+FKOPp t/FMOGvR3NLrsO+WW/x1MjYZHLDXeQKzkRtiXu6+tf2jJTQ9yMovQ1cvWUNsLs0UPNrLaf74Cdw+ vToTx9Eb6WYrFp7ovKqfC7pON42iLMQm5dc/FQiwSplrvDwN1Svw0RJLFEy75a/5zLJ4Ow91shOI VmLKs38nIzj+PHoRl1eLeubnb8W4jlcakfEre4LEWrlv5c8SwEnVIDDI+IZfiB0i3wE7NrjqB9rb wkgvfPbvYT3zD1E/fsApnpWyeO2J/D5LO+wiKWjU9CVOD5RkPhdR6JCKPc63lrlojqL1RKqCtlnO R49PuJVUrPw7K5+ORgDk50CfliFqb6HRtE2Cc9gfZ0f/aim47ANu/wJ3gS/vHlsU2CEMTT8JE0Pt 9bbqdVaDU0ug/vFelpXStGpl01/g7pT19PzINCA3WfLZ/k94CGq02oOHFq/ADrNWZeTm1Ia8/r1t LDxGPSPIQ4fAC493auLCxym0tx467t5XbVizZgqKIQsEoSrZNjO5alvkqoP5TY+KWI4VwSORBZR0 +/iYk+D4lXDjxVhL2H+LrSBj2dc2XCvPpzgKadjMuV31jxVeHfHeItytNykyemUDwIKWZ3YIwUaB UCn0sMlq18ZJhBAjaH3Caf6izCv8wxt0Fg/2q3oPa2PhdDR/lKRuPGYSyH9ygrDKD9q93UUl+3tb RHfRniu8ibZrZ7gkqgPZE0RQnrDFRXLsd/lSyaAbvTvHoktEU+G+6s4SWaVzFWMehHXq07i0VR2U PZxaomni5t9HIdr4q6fIbI9r8LGXygPUlb4/0KvFT52DahFZiOmoG1rNSiyuhTaIbiJhvK827Blq oLOS4+veqD4Yq84S0Wx3+vc0pCllJkBc/RxhJoCo9yvl3S/WEJwJ+7odJEyWc1LvIpbHtjLldCba bAcxZ1rmqZMrDoZeRSZL4s83n28vzJoYgYUb2H1VOQ+HDSsd9Q20qZ0R9rGwPOain7BzoMgj6gxM 286hQYsrG/4spgRP0o+It883NKH9OHmDFgbwyafG0jXTEepwzzbhQMBnHErUgjZS67B5fyQ2UU5T D/KFFFY9DN7pqmROJfo951NDdhD7OVbkBnONKXAp7hoxdZXra54SMobF/RiUvAwCPpOzAc+Y4UTK /o0yFZmbTxLjFNNp7zN80STMYDZeKyJpq8TDpktyFu7T/ZO32jbGyHWY28rewBb1RhAwBk1CGW+E Q3/YrI5NXerQ5SDzSiIuB6ZkGV3UUJIVa8TWgX9WrSLT6w3aauzauIKLffh/G/wgPjOihOF9i483 2jgwnci4oWA3SU/dO2bbngF1nN0w6CNjSYudmgtEv0BTlq4zgmly341V4vjTeRRFxhcxo+C512K/ ++Q+jUDYo05O35GT2nsz5PEEa49HUJhUxPgTeB14kLusT2E2iqFCQ63+EUbCD0TrdqDz16RqYEBa SF69SCk/JuD9xVoyVRQABj8d7pMHfUatryKoXEd2K0S1cAaT5xze2rimTKbrickNpVsGZTBS07oF ynvgcKaWM7zg0R9Rz9y21gAFu33FFitrTGoNe0R8iCOeBlIXS8hC5f2nIzD+t7O0Qq4R/l6AfcxT ZYkE7UFXudA0RuEc5Dmf/cVn9EuzNhf6X9CpC7uxLv9h8dCew89su4jJBOvI5XYodFEU6V1AJ1kk Cx0EUDO40tFDgGN9/HgP7FuamnedaQ7Ka41W8DaAdJ3gYiwLi5bLX3G/G42PYqRrR0o4qYDqVfBe v7kcbYjMSAsgEZjrAbPXXvByJul9uDzPYNAEG84+2+tKt6O0RzJj7gBYxRRskFG5xzp2zrwHboge ZYzWafV7Cl9lK+8qPeR1fUVPyQ9uSAMIsnoB8kN/5Kq72irw5EwCtjX6wRQTiPGIC9aT5R/h061x V3R3ia6uLZ0OUANhU9LHekykku+kpIe71J4T6ps3AXDOfmz1+gmO2alBp8RDw/r6JYgAhptGNpTp SpNjPWtWyh9UUhJ1LrW08exrNdChKP0Vty0MZmyNzpy6qvwK9WgR5pl8dZsNZegcTlpV80iDaOj9 r4SnUjTiL66fccDJsvgRdk/RmgTVswy64xZ5sTB2Nh9/4QDyFe3Nettce6BFPgdRMqJcpDDNZiq8 nBb1tWE0jgcmI0EEeI7nE7CYzoA0UBXV+8369eT69TOZYka6UoshBIjERYWZAKjDhLflnNmXjW1H UUO7BUmnVuJ6doY9+VvTrUBaltUKsC8NHZEtG3XJVwRmuB9z4+JY3ytMKOemnKXlYgADfOVHa6vr ptaf5noZ406Pfv6ZubC1VG1YYeRmEdA2KHUtuisELLfebrUst0M4FbcsQ3pt4vtPRF6FS1k1UjpV PRCXl9HmJG6xNaeL+nd70b40IF7c5+1Av80lDBHfEuHB0+DVv44Fa8MPS+jV39i/YEJE+G2vM3xs dPsJWniAAK1FzTU1YJ2rQpAAs/r1V28V0jfOj12Ip6smQThRaWnxpIW/Iirq/WWD8qQi/DyRMnIZ jYfAWbEIlPhS7lqjqoa7PUzNdsU5aKzJpzNx6eVH2poXDqvdKzTFR+J73FLJAxPNBsO6bT4KTliF EqDU8ZUgozIeDq96eZdtyXPvnPlf78WVY3/uLWaFcYFDcSbZt9K1o/SeaVwmd2vV/ciUnHDwb1CU IfuVC9dH6Leq1zJoVtl2Rg6+yAug+TeXvMkCfJ8TFNqvNxk2LjHlx8TmbBNJLE+G3FFFX4oNMDhh rte3SZIebUXO/GDkBdXSp2GelsBGpZbiIIpR+Lmgep+CiFfjdokCWFupRBYKcp3dPj0dh1VtJyrA 5i9aQ5f+vxYwiAwrKa2Yw/JMSbTKnYFwElK6mtyNm/HuN92GosN+jJOPWMFAY9TctrPpFrPiMEe9 HDzDM/BgVzpx+AeZUKXp6PMytdZ655gqYUvPlyzYOHm6mKGgruMTooi5OUPJ7hsZ8KV2x5G3KwJQ RAn6R1tL0D5QdQfFZVbQzIj2Zn/nx7dgvshRaI6IuzLl2SLqMZtOURp2+duNOK4U3O8wFKPA5q4V jFTVvvgQwGG2NY0C9KZb9JiELA0l/KvSGxMRt8ASJqlv1JjuJYhJlmhNr2lh9Q5Ec7JOQH1OM4GT XS00pelf8o4HUsRrajWTIqVQwNOzIywzQkP/d0MD5O9mL9ymFGFFou0CLGyYVg8MjiQDj38nGh+b GaBBxyIYscPBRip/PcoyhyV25oCCr7Iz11W/ZPKwVp7ePiEH2945qR891adT/ValJi6rFJoIhaSp u2LRi7CspLR+TCiOJeNN86IfFILMBOZXIvLPX5vjs5zvNDknXqTxxepY3BudIK6FJxfJWE5/p+NJ 4q8fTJ+M/ziiweTgGfvXk9KH1UOZ6fXKfkoZmT2r26DKgtGSx4PM2Fcf4CAwHGqb0WdFdCXLWBWs rbFMnCcRMdjheOh1WPSf0sArDiVCWCHT3guKeSJHPilYDeLOTCHgRuYXpi2lxGgvTZPrudpoqz/n XTFJuIx2CrQ2wZDfm90tA/IsEABkn0cYxZFBtLkIrqLKFVlcJqHVdACMkblh9TECfBF7DAlA+Gal hc7VBf54vwllRfZ/+VG99XDlv8WT56iT5pByXAnw9kEvjhIkqoUPcs4vnBJOUSIc/Dbtjm/HJQmV C+LONfI6KKTmpwCpbbf4Gh05/Eb56Uy0QIQSAjI3hJhtN2DkXT2PAkGOZHoPBH8ttWdXZlZleduP y8YsODmerUr85wjux01SMObAALLR9Qry5LqDl4nTD9CsIp0SDsI9N2w0Z6jCnJEztvp40IduY8Rl dQjPgtcs9w45+Z0om0YmQiD5qfTAHlepZfv632gb9vlS4wYQl/pVxueqT7XUebW+bjVy0hlAkkkZ VsWs6nR4AkG+eXO+GstLPgZM6/gPiboYPB8hJ1NUpfNIA18RuDl/2vn+wXjWx4Y5PHang7bgrsJC LlL+JMO5z4Gmdb1a3hVLQGT1PoDTvSNfRvmYUAc2NboZg30asYuEEhVeNpuZ623U5OH8osdOxv+K 4KmwNNifzAlAi8eRR3Keg2MVgFa1bl5fZJh/crm1AqlsphS2DqMeWhkHETPgEHHQluJhcU//rj7N LudF+jtgrxKxW8rW0y848bglUe07rNT+re/JRMW+RyvMdZVghm8x3obzHrZSkrMuE6U2QYum84yP EEdcqwaBgPV2nCMQngaAyNDhjRLkC+kl1XcbneN/2/JvnnCLmHdBM3f8VHYlu780c5NbSvLiQDue MOfu6ObgbQoBdFoKxSDC9pOkD2BZDSTcncK9kYi1bCxQhxTrMluC9vz3/e76wfbGxEwpvAUCsZ4f CK57p192ONZownP3BGEmJnQ71N7mt9pzRLGgF+xInPBI2BhtbUKVT2B2EPR4mUgNxzNMpMWLLn6V 0H6UhPJWNEoRIcJjwsqqnOazLqMG04ilV9c2lgQCzpuOrPQkUj+EPMXK6WM4InnbTGZT62atbjCe ybfK2pC5o34jzwxeyeO/khaf+7lQr5gQuiUYcmUOr6bdIckr4Br/z3gMtGRyeET9egVJ/J3vkRg1 L++/wfa+ci2uHGwArxBkvzHRnCQEXDY3mwoY9akSaI8Gf1qs4HDGbACZ+UvUngrn8eM9W1mU46R2 uQ7lK8dhl89V2/Ea9keAyhS8a9JN4YpnidY6Vjg8a/HXBchBFtpjP2REgOysEM4ZR9LeQ2UQS2wO qWgHu4uUEoZEksntmP7XZqI6/MX0t283rrhOhXQgmlGLV2/IaB0agUJJm+sgV7qCB+veZxxAlzgI B7/+mUJzLqpVC9OCDvnvHla/V16cPoEZMbCt3ZiR76Q3nNeTMI6G2ue630gNwsBiooUdgafbMsnL VhZYceoxqpAhf7nDx+ZmnqP8+0Lig6CY5xQZogeMq48RkAnd6k9lzhpmuuGPTr+zbZX7KD1KbLSm OWyLx2pjOY/9MV0pK2QDTk3ja23uIOjUYTk8ues2K+pH4FeD68O1IS2oYpn06JHXBCCU0t6ng1sf kAlGE5WTEc4LK19os0Ou6SuQp8sacpaWixlqz9gtrzAQrI2/acEGJAnLUNwJt9BGalqZlzDOZW63 F7j6514dV7MBzhg/lRefJ8G9kT0KvZcLk9Nzw5C542+vPM/gMm3j/6DItMte3VHXFqOEoHQsnzv4 WnZH7caFIWFfoPc5WwaL6SIDlkMTzA2rvlT1mE3miVYPWaghqvp2XmMySV4PUbPTL2wExoYlZB3I cchwJ0ukwsUP5NG2KvWDVgN07jhpFbnfBgbop26M2IGlx1uVfV3tPMVlOykWptC7HrAvKmRz59IF xjQtSCawTUHx3mHkugyqcQqh8FjHT4xlqB/06WtoyUIawPkXGLHiSM0hN6cQ1FhT7QX89RYOZ/aW A3ojLtQ8AxLRHE74/0bhtJWwEJ7Gdy/FARICMHa4K8UABo2qeg/fZlM5+XqkheSZ5yWu3Hd1JVBO 77B9Jye9X5aBaVDzUdd9t3ZAsGejO+UpjayLiXM+rlQBQfZU+euauXazI3roFHuGB//oCg/sRGHp 33phd6/NqhIpxanRljp6GX8xA4Q1BN1wIOEzHoo+VhJKph31uVHJpMV0978ksqADO5p7Q7qWhTOA PmHsj1QG6c1bsQ1OUmJB4h7kwPiwiuY0cnWN6vadrbPVGYlcl/0vCbeEufFcm6L8yn9W4FFhX6gs 3vXW2MzAbvAeIlzZI/zJOn+R2+67hJp+RGTruYy9dSWrV/dONe/l71YRKfNrFyK67nmZbLaxWHo2 L580PH1t1nKeauOC+3K/JyHYEaqofo5xmrNAr8MRn9raDuf7xTrJu9zx8OlmT66NRMZityjk73KL 8LsbrV3qfxU9FiJ6l5OlHusHTDCzcX4f0T0QlDMtcRaLjACrOWC+AftUF6A7y0wJ+CjLUL9JDJIQ pMeGhmqQVtjFu3gyZPGj/2/7fB14qaAGOGf+0O5WXMX1oOHmoc1EcuxZPRtIa/n6Shq2+LK58q+Z PDlVWaTfanOtKSEmvjBchpIGKaqSJkH+FDtRivqEgapd6j8h1BScaJT7r9LExasJJWcyfa4Rhtwi us5scAjCo4vF7dCPg1mBrt4D9Ook0p8hnkqGln0JRJMVQaG/qpgcD1eJFjqEPWxyWN9rqee57Ksy OT/KslQQ28uPaKguTRukxGlY7CjfeyBmUD78N6kuY4zeBIfG/hFtYWhSdwJYJnlPzGapmBwppnnw ajAEzPxP5w4UjidZV2+n6ATULFeGdOkrmEzp7G3frxqihJNUHu0D5/15PT29OwxEOqOz0zQ+bi0B xj2hMmthahW8qtjguTG84z5O/CJcReSPkLiOpCblC4gqxCoQUl8YNfYvzKYIhtl5uFgW550iX65W IfWr3EDivJnP01xP8e086TNBXK3NoTMksK+OEfoh2mrRBbxh0tNtwpVn4/i7kwWDXBnygH5KX36n GoEDGoTUkmAp0qvlSQoa6BsN4hI3dcBYnp8JkJ7bNRa8WVr1Z2qtgeo7ANhBQkGSP2owqtSO8bsT 3w0ODqilBnUZHYCCAlLbla2tUYO6+E0vX3Je+HG+wv9iD6eXwDhNEmVFgl/cS82OnD+zptMGLLOt o1BRAoEIhdpSdXkQRYDB5InTExrmtm7ZYCorsJFmplhI99o1S4TDYa9drtH9hFrJxPv22FsRLpLc HZQfsOYpO9M8K9utpo48Vxaao78cJTVgVkK/r+1es5+hKXHWC9gCkWTc9NH2jlkYE41Ky0MADoU3 f0bSzBVcaBR4AsKzdK3aHqfMpWNwqBkVKGtzeptxC2LmZ3J+fy+Okoo/CbffCzlcXgsJp0j8MEi5 gNzdpreNoHah6z9FFJwVKwGL+aBV8whX+WripWKVf2hWIPLpwYMhW4vsm52UDAdGTYucLPC9A3Ix fiBGbHMSxGfeditK4Spauxdfk1Xsp4m4LzARa3oKzP9t6BkMsYJlbswa871PNoyVTSbQulcr/yDv W54oI8eZJxK8zhzPUV7BqkZkJJh/sniuVszqm/28aQ2EMoHqQaET8owc2GSVWpqrydqaGcn7CDmd G8/MpSJg1AmA9G/yB+56OlYueKXTgTiZdNKSXK1eCxRP5IliygRhtPwCrPUJK8oBMn0eTHDJIexD pkhKPpXyoT3RC30aE9NWy9Ff78DT/VPj6kZkosm7SyS7NQwsuW3/iTeUAn6R5/TjBF+HihL7Pngj t++lRXN0caUsDa0PLrqVi8HRyTi/slSwDj5jACHIze5KYCmWeRcbpq9jVuU5SaiPFJNXTZaQ4+fM sr3bgkfNd115lWU4JEn7PHhyhhYGnT7bggpqw/TmQb4JNY5cbLMSAc0aIRxHqPv2ZjOtu0ppYk4E mLPV/UYY0N372/xu5sdEla//fnJWdfg01ZL1wRAGibDUSUy64Jb5Mv3S6sSN24RVg1u1uqWo31Mn iFHYd6v4J2nB7rZweYVu7FLHdpzvoVFDNudhi4cElpUcGXQ7//mlrmhWeMv5s8y/A3YILTHhI7vw q3PblKBGBIwmW/4SjmDYofn7nPNwLh9L2zKmRHPi5O3k4PSel0wbcSZyH3TDhywgtSAEalLctHb5 bYZ6Kdi7ajfUvPJ1Zzp1wC1rKcs5bf3sYiWlIGmmQ9NHD/8/YEGnf7yBj6otF/N/U42H0vYInYg7 mBoirn/jqrwwWM5n1tcsWxW3nfYBaT8Wv77t05tafRkAczdujIq9aRwskmx5no5d1RiQdNkzJ96x b8/vM9VPX8SzLAfyJ9+DN7GyUtto5wPyWXKZTUbXHoxR+NH/S8lQpD2ziiyPlCFEtMVaF8znwpXz GS5aBvOZmNL4MuGaoeoyuRBbTAUd2Uao+NW9E3XS4TkCEN0+OwVf2e4yt5WdPcuiRNi0B1Mfvvia w4GTlHwFmxvO4XhbsHOtH8RzzY12X5SnVDCX1XmLgvoknJ4W1PKzzwCJ5HK+hK60JdAtuadsjOxN DOQlUoPGswevD2dcsPsxwNuEvFOU6Ga6cFO04qgwdifR/AAVV/5kQq+yL8qupAFlraC4G9M0W83r WQIahqF1GAr7YCm4fH7lYSER84LOPl6CwuxW+SV1Jd4Ageh0o01pVB3fpyBYBJtkgdiy+PNsB4Nw 2vYn3TKqtGv2GnThO0AcLdVrqf6VOpBATz86fUTu37BPMlMiz94tR0MfUhKSU//em263HE1SY5qD Ibf/V42oIGjqxQlCYMHLX0nNQ9JXAr+KRpoYYEYys0DAgal8jCyz65vulBW3UKCh5whQDk5yFZI0 qjZD6W3nDs1j9wWE/6SBhAYm6JPv69y90eL3e1C1t0xwp3RZj7a2LxUrQygcNdwd5oGAQdANe0au XOhOd3ybDPjbKn1HeqHh7/ynZNU2k9UL5U81PQtHx2ZhBNrDAUG0YmJMvqHYS2w6FN9yM7Ddqb+X oWazC/ea0z9+UIhD2uCksjQScAtD+opIiRdFmfnmDXFFMpPovqUb6V54HLO89/zxpXihRuXDL688 n/385SccQv6FGMOO649pkoE1fWGnquKCnwbo0pQWVvq8UtnpVgAn9SIzA8BB4LCsEbsbNR2OZbHo ESeJn1PVbsGkqL2aSeDMmTuJaSBsgUn7QMAXpZsGPInAn4tml7NsN4s72Kwd+QMV0lWjqQK87AOY Bi4JOXeM13uJpNSo3DOFQMTvcvemj236HHXgRzna4zJ0wRmvK30sWv+xpys4cMw4pSf29BjE7JSH K8NTDSNdKvANRRVOo0se7sPe04H4SePZ1MoEQqhSUFBBaN6ZZbQ82LFOyfa6vghJpdy8+WGLB65y Gsa75im6KCCSf5iPAUhDoB2v0Z1EvfyeVX18Hkh+4971/XUM1z/yfZ8w1m46rMfnoA8vBUWSrOFO Lpxv+d/zruHue1SrxEH0VW25hGydf1upcoEiSLv/SSF9AOYqlmTN1g6LGJ8WSRg7mU3G2UJrZu9T 2A3eZHSFJa29IYnSrZqxEZ5EWWQl0vciE+OPOlOMPrx2SEAl//qT8Zh9HxodGrOxwPnB/iW4m7wp ncTzBKM0fDSxrCkUbYBixwkmP6+PrMg8JjXM3nG4GlFN2J0XIqoYurv+ufbGXupPx9th3A8BfV6g X4tS1R6sjS3Oeo+a0uAIUi8dxrHh2kMs/V8+Vct5BTTy2wPW+UWAVRsNyLOVBse1Tmizll7AnXFO RRZ6UTFeUGfNR87OZeq0DBfxt5DNefYN7f86hNkoSvhXBXlo/8ObBzNl9KPdU0D/3SuPoD1cCeA7 yZqnjbZhnRtBA6UQxkhtciLPLXHnJqxdGEQ5c8vcunssM9Sp6mSzwOZvUvTldLi93E8AweQSfAPJ tWYCNIwYZkxlZXNWV+WlOCqutJQiZqPaAMBnQtESu/7QvQY4RpGVY7WxJe58JNoQlNT8PE70/XNV X7UqdXYfcdbRlBeWQBaTmbI3NnVs0MEFsasJiNb8xsrtpvMY0qW7NSBjo5jrUJ1bfeW7fOA0Bdpw GCtuua0A9UzKJapaps92PXrtTWbgV5s/fwziPbsmQ3J0y2Au0qzv9wbsO9LSn6yu4k50H7KvPYwm 5e4g3LNtddRP0qLzQO5zUF9XVYeyjrqfzEpldrq++OdcuOBrXHZdb8vd9aJoLRXt8VtiqPtD/hv0 hqZiS1sleE6hgBEfpRrqFhvhUrFlvt5T0iyLi6fP0pHo85H+UsZb8arS1TVpD4cN7Dts4wWxVCQP c6cwN62MObjFEIUaHtaymBCHyEfuaYAW9UEVujLEUmWSOvNmXVPXsW2U68KvDRUAzNiV2ERlNPvr s5OSlJEiEBj9q4uXyYKjiW7/nZyaktl+sl7buDqgHjF4IGwYxU28ucZqw+LIlfPet1tYNnIb/MDM oJUJjd4RWZpgdmJwhXE6DvfPgHs1byQuZWYsq15427B7NrdT4QOKhbx8vaZuagexs28GOkIUfTFN TKqZneOstt6OKlmfonYPMjDOpjd2e0wgTwzC9tehCRRebKQDsiCn7zCpWiV4kPBucvT03vtcAJZe e7S9P1lyjUi7sAYkHrcot46t6wt1xnwSXGSRbfF2M/SzziKxm1YzTMMD/JlINKQ6iEnBPZx74rK8 mRsTFkT/Q0gQhLiXI7elXmHCKgDfzLgaS/nEEhg5eFiF1TKkT9I3X+bVIJnNoKP2pXPYTcV8Pmjk chw0pyTCayeKLwtzDhbFXirQQYQRMSI4p+gPxH6+vEid8Z6VEyX9GzW3jxNrK30YrK8A+H4ppQaO sM64dN50U/7UM5/tUWs7Lxbzyr5j5e+mh14ENV5/z2M4/bOx+MXfY4XQiMjnO6gXW4iFF0wXgTWC DuJXHBdiwdhZ0h3q56v6WAI4YThT2LHazJ2NDbjwqRr59OjDHNQ3V5zWti+sG/i/2w9ivLw+yDcH PGVsrfmA1ks3+tJlywLz/KUAUIDXjUf5GBjhQzVuz0MOLJmVaXDjZD/nzsBRcHoUvxsq0JWxhcLe 5guufIQvRYLxXoC+rB7eptRndAuGLooq4ho2BU9tC0pGGkyWP13RzgOLuwwXQTLi8HvW4rmW1UEP UvNjZW639DEKsbfEyReUYQ8sZWg2PFTeGtCYF+e7FODxfoni3jXA3VK/Bq50pkaTg/Kdg1KpWEiP XtSBqsvghHpEx093TGtPO9K73UAvbFxO6ltQfN6eqUjo88iX7g2Z2nWKw8TBwR0INSveRvhqV2lQ ULwV4AhjAReAc9I/HoxhegMxTY5fL1F7HzpE0sY8iN6Kg/kFYDRqrVEIj2xHhDPEifeOVB9tmJrc Agqn21g/de8ZMi8i3o4FX8zBZOMuZzYw+78WMhFvzsctLmUAEKy0eMjfkhOKNf1bL3cDHrLo2Rka hZPkXRcIvQSyF10DFvTQiZlv1IevaqvBnDRsUR4Bi8WP2TUIh+jjSeSILUnL84eAejJx4PicF5GU nMLXD3iEajaq7XsFXVOK1WFFNxF5U971/us3J6bSky56qEFtUminz+WnEKYPkwZJkMW22XKj69AP IflN8lzZyzdeT71t7q8UzW7GwJ4DA/Bf4eXNW1W3QwcTO3eRMe1jI26UX3pfZjF34++LX8lzvR7S rKkL8MY4Sa/RiuEAqskoZdGqGxJ0rcyJgP1m/7nTjXBhAB+/n8HUYt3vdNWM5uPuP0WVKMtwtxPu pJH9XXCrJkjeFDDqI8fIJSLzFVBvE2F24JLO+/+mqU69DlJRO1JS3rRhAB8+ErW8zxJTfVxXPOR4 CPuZ424+b3fUTOzEyGKzSv9ZlT+MZx/rjC9GZrXmmT2F3TQVdFYLmRPkDHF84SlDaAkya+Z/BDRb byD7Faz6JBZeVY/rR9gXa9WooJD0Ccr+4rfDgToPk3mUU3iFnLhW4VYEOebWd70SGS2KljMRQnoM GTDmS/+TsiFhaCRkZBV9ncABMxyw77pMdspFNXfjMWh9TF1ErjQUvkdIpbjvlW9lhcPwEcGaeCdy t0743m4sfXLiWbLr1tiyo5lP+y4VRSWNnZ++Up+AogVAIj6u5RnH+HGX9n+9WaT/aNQ9SN43gcBn 3ttlpr9dBzw3KlNmQJwmAKOYNC6XPqgcmJVANLXCAt75iLd2KPCcbCaDq0lG/XA5YyP5W4KCsnAb +u6bxgLew2KSvgq9IuhNlcTCZk6aZDTVHOMqGge36/bpGYwqcrub8ClWuWqAT56es56s/mENTHA+ FFf3dCHc52EkdXaQ6wfdRMteBCtoI+pIMwz/RErqCJMz5lqM08HdA7suc5SBYYxp9qUM7B3XNkHW izE1mbk0m+g1xpPqy46WKiEexvP98FfYO9M8qYCDsU8JXgkuEZ33HOfj7xRU95XxRcRsxnIVyUhb D16D9fX3oJRczT9q/tw20znr5hhgm7eySmLPalAtel85w+5S+iipeRuXLWD8RRmIzsEdPQSQkDBK ATaaOXjpUFVC9qP1HDZ86xtWEhUfqZ5OOud+NsRMbPyMrXWWlFDXhMpE6euxTrjShX9X2OfVv19P 3NEPvRT5+pFImFozyavlQ5lCxmCNCsPefZ10A6LToUOQf8BEAOzzTi+ZEgcjyhjD42QaYmYH9422 PxhbMFFyx+lTNJ0zcpT5ES/8Kb172rrQMQ4sbGmrOxCk0KetlCGJkv6VcRZd7g2Kt0Bb8iMfXhxF OmDlOwBoKZq8goqSsL0M4zV8NUEKLJ41WL2kXbv3P8acPuazzBNTzgv8IqcD9G+dvBPEC2CdNvGj bzmHSeITqIVt//J6xRQZ/mKojihMAXMP5jr9rekBvxLyvqfyxrF1xP9uenC135l0KmsZpo5ZilVo VPTlUlmsUyAyGUyDhdHSEXG27TbJeCDS3SeBu7lIYnD9NTcGw2Z+zhoT++L9TzJszarJKxib6EuI 5na+gxxil7arTlWOYTjJCSJqwjbRD2v16NB3RnPYemnSixIDZIo32mNIhv6hHL9GDv69lJ5WHdrE nMXFyh+0FQt5Ap5av1lJ05njMzyWYsoBG49LvsqJ+YHEgbxqr7CZxyml59QijgTmvepnitwferB6 2rchmg0HRYYjsue/mZI0hylyiziu7RKg4026qA1WZIUOQkStEyCR04T8S9JEGF9B26IKtSgFqD9f Iibq9M7sL4zJM+z1pZ3fUCaTjOL7y76RrWX36GcIhAWIxtovPlzsOYYtQsy5LoELLyi61z5tjen+ yOjdAcgi8U/TYOcAKsghv++z2xuYU30wZSpZ6/eVFzX0AxcAGnf6OTElqVjJvPbU2gQ0Bq3MQQE6 mrloZG6w2bG+Kmt2pxlGtQuTRf6NyTHQITo36Otv1NmgghVTLXytyQF/i35deNTy5NmFeoofo5ob aNDJ3GXRLJATUP9rGUU3QuQxVAcEDOVk170UnrZV+Tk+XjrdYJVsq5zRD2/eWngb6xpX+cEfhgjz 2ubAZnFFNWWsRb3X3s2s+iGf5TxFAm3L7Ib3gW8B9ZV8jIVIPxCQDKhGg4Z7O3NnnXa2SKtmnj/I PW+dq2heiGXCdF3awahcl5LpTmUlmhXVLxnk4P+IFC3gDrz6sa4ppmqY2i5IZiH/VM9KMaOPM2Qf jetDejraLkAxJr//kxQr3fOf29ywfuEYrIiSgTtfVU4Bgt6H/cNSMzXm+PqCj+pD+t/I1npVau7Q RjnptsqPYw3RTm+/VU0Gamk2wihXLK/ka6vHBCCZThI1wEYc1fTawFVWbdI/EEiIFzhv/s2srqbq omP15MwVpZUyycOzs3WDQHK/P3lVkRJAtCqflRdJATtjN4+IbvpMNRic5rcnAJUeKR4yTTdjPw3Z W/7hG2Vv/+v3USe5PmRnxVZA4uoVM1EyhKYeaMbyviGN20FDvTIUC13Gq/HufrzPDDIAs0AreyvA x0eo8J+xhYNgnhpQQaEttweQiSILzWI58zxzHkOUYc8dYFwG3jGWoepnJBcg1SX46iNqsE++CEcQ ePgXTXFrrMEky2ArEN1Dd3gzLnMUV3fOLpSz7+4SVj3QbSNk7I8rxPGAoWBOLnRhYKD9xAWgrslw 4AtUr14kBdqxCM0dcLKfuDOidDsGqgkKkSvnexhovf1riCKBeNdmzqk1KVu/za4s+FxdUBbYc8yg tgDTSuSYZnTmbYm4ZJOtzwVOEv/E09ldWkYmCZjHwFCv//+4GOD4lM+cZAzyFDZrNjFgOaY9U8HB lPAwqUqSLBOQ3glGoXal7wUG4scHLQPcS3gpGNO4aTHejEBEXpaUNtEaTpWJJfCHrwbxDqC5TnmS ewR/gHOk2bzhdVZx/sZYgiOP0+3BLqTnm4UnEKAT85PPBZiHRgEXOsE8dv1ZzJ2/d6PGjCqXPRfg xiTUVDpEOsS8HhDfVlLH926la+9j1Z9cK77d1+zDDvUO/mMfvANfWD93N351HCQhzDpjVk/c3VGL mCbh2Y49jSVaFSuEAWeksJVuS5b2FFYTsblVvM3GvXYGiLfZFv3GWLGay/pJ0rvFb77gUcdBZemN ArvlZ4iBUqxa0IVQU0dkVIE41WSaXsGAJ5DufdZ3GOYYqn1N4jmh4KEvP5CP6wAkVAm6ZD9qen3w OMQ3IvhXSvTXwcAux47lYaej53l6DjHv2Dr1JlSMLPwI908x35SdudR+/OzPbn5ADyZPZjoVPjs/ TVuFlO80UOrkhLYGabAhQyXmZ6f8orMaqYcBafZFQL1IgAA/Y9BFLtqOAUjv296GCqGT31KspVFl 0I9UJOI3wnUA+yvIzkbP+3oXt7nlgTpw62LgVLdV93o01eQJ1JcJWQts/V7oIkJ0q9cErTTwpYyu 0fZ6SHRj35vL2uW2GjRqOUUnGbjp1imrOAd5wTh2b8zVQ4x2lOBtR75jo/FkYisdvVwRMPFoZGlZ Nxs08F9pslMbNoMqDhql7xKfvCNXSqpUv/6IlbktAQwQnQe8TTFo4YZDo7Gis8KkvHdbxn0rJcQq zXHqNUmOW/bwc4qDBlAE6oSpLdRea5KUIrPtPk0+qGxEqdKT7w3OJ9hpMfa/9K5XBU9jAIrmCNid qEP+KR4W4JTIK9crJm5l5lvcQEVKehsOqqv7o4DALQu8c4b8qfykYylPHxDIZShSObUhypBtHFLt XbSMuHyINglTN+BpZobVhSmfdKsbwRnysl/4Y2Z/1r8TBgUINPb0JJ0+sv8F3eGpUbAyYczsAyHV mRColhaXHvToU5mzLCnKIjf5A601e1sf3fbwc9r4U5wp9q5hCRjClTzgJFuAfjueEgGwR9ttJtpZ IU5tvO59uVF/+C0Jj5v2B8AN3/Do8ajzPITasJc+My3qW6urc53VAznaKBpFEZ1W1DVaQAmiZN3o Q19t8+z/ZqSZdtGpu18edjs5wpPE80ATdWGcIpFwLXemFi5U/61lqteOHZcEVnNqSA1zEBxM63yx 1se4Dru3nb4eN3F6+JMlrcJ6eX98+/PcNnCz8xdIEbW9NYWrxHPSShFDbLB7J776TyVcy+DD5rNo AeaqfEOuL6s1UWJO5bdHEcn24FdmpZcueCjaBA0tGKk1jyND5ocsb+VLkWm635L7mZU/fidC5glZ jjQWrATxUmuo4ADOgbAWs+iM4gR6riMhQkmVV3E7Tgux+KUStfOYRHT4nxRdQ/BbBh1YWLtiaiDF 6fZ5pM4j0iLqBWgAAMEwBmMPMW47GFLpBZGN1p5dh9/CzY8scm5yOVahecyOZtMqn5nn6oBwax82 HZy6F6ol0HcOJdb22ArqaLagySZMdoKGjVdSoyTOj0h2Ar7KeYgEjHLEw3j787P7KT0hXhflsPFq yXLrJv1O/ET50tYtXghDQGJL7rEv8sakbg7IicTCjM6NRzAFfjWuNzuYTgs3KOjQsoA95ea4sdLT Q+TJMRzseGwnFhZeOSehGPX/obmIJUApM4etbsC+XQaV3vMGOmikxfKxsmTcugXgWock1mDzwvR4 bumSnPqd1OsPtPPtj82kv5olEXghXNAWj/U3KFdNTLuK/goPUfTE5LaRoCXQ8e9087k1CEI2OPt8 dKrnDEmmCSYIR9Nl3YEgRLV1cDoAvf875bPYOHPZT6Y6xTtaqE6Y4XxaQ3xwk5EPltKo1AOvf1Gu YPqTiw9gjTFo/4nSPo0lX+0NX1afCwad4Hnw/mkT6sfnLZhw1cljIfflC813v1VEo8AYedxjdsrr b8EWPIUVSy/bDllqB4t1pibqy9KmSKIKK8zG2nUoQ5zM3vivkulsrssOSs5eU6COeh77sIq/C6tG 2iUk8NrUbXwAOpEWPME2F/yg1voE2iX5Eeqo6IsHYQhj8dQMzIvHvTwBuIMKiVZcpOkQmuH0O8nd ULdc2I+zYG75GE2AlsYRhZqGhKsE0TmOaFtG/Y+n+8mnMBnl8hgTv6xgYx3a4956qrb0e0QdJQQr nlcv3DdMQJPuRyA4K9sV9aLCSo0YA/teAsfSyaRvWfZaPjFHzAufRntV9tvykfjYq4W9fDuVxQWR qIc2r+1wUFffyuHWFnTvCri/yPNjNINwEksez8IpDJ5zmIWuL5rfWj9ub/SxNrubBhCGlRW5mZqR Cygl5SUAViKPrcdTnoUzcr0XCDQnVK6V/06P8uuEt7sxmsHb7yMfC/6NpMt8+ph2FRRlAQWH9Z0n Asm6dE9eM15s7Hp8Y2lm/FXfJhPi6G+bYTET5kXgPtfAEc6KpK8E3DZUYLBZVc+e0fEP/Z/juW8E XS3LynNdMqFWaAqAxgMUchWQNi/4Ai6PiCyWJNq+kPznNG75T2zdAnZkIQNP+MEmzecOZiyF4rBg Z8hKqzASaQ2gV+056LIrRm2pVkHshdCzdkaa2d8eLgR4QcuEsyBoG59jHD6l6WK29XiDCUOho1B2 0haBBBVYFFbVABVoxWoPKuzgU09l6UpSlyunE9Zkr5R8pyO2PECZfCw7DSVtBpQWuul4C1LCn5zI z6+ED19yGUTerVyzg0wasMZ91CtyVb22VSD0HxHRpY40UYYDujXXudHjeH4kPSc935j/6XOFVDvG WFRKdEEsn7IO0dtYtAlAZ+4TpgTP9KcHG/bEYaK8F5xrU+FtZc8wVayuUTziRw5SLpVejfKndJM7 ay5/EjWYiXarhJ0Eow0B1bTTgTQ3Ap+Pw7CAfG2BBkcAtx8pi/bw1GauqeG4tPUUU5UtKbnOBvzF BbfYgQfcHCe31DxPmNQIHkqv/DIJ535+Y+W6/qzrAQeaLUKCUtkdMUGp264DWFTQK+jD+rUedTwy CbTI9q9Ke2xD8Pe4cr6SLu/sXMi1kAE13CaPJHgHJljURphtwdne96cUTpJyDl8tkBkNzovej/tT tBWhMFtVemW74I9G5juCD/RtD7k36VTMee8bxOs8A1IZy3DQX8D9xXd9UJ4NpR6iT3YxdWWtgFen Lx+2QS/LlJupyJrTS8eR6Z91hhSw0OgS6tky403Zy+IHFCP6HprZfBbXiJA2zkG1QaVvV6IWtyul z+L5TCRdTCOSQFZG+au3f0C6Ubm9iNPZ4Ekl6zuX2e4YalJLjN+ahOjB56Vi/adIyTv9nFUc2x5a Z9gnj7bgtaWQ/35tCJ6N1fXD9x4NeQcz0p6UaHcaRP6MykXrHFj8FKa8cpzMRQUdArxKO4bktRS+ wbQxXn5tfb1mC7R8NQRF6dGlbPKRmjjncFfIbKMzM051B3k6pBXh4Taf+3pseMLVjJfiyMKLeSjH SySwbjoY1jep9pR2K2wdfYCDfG2uqHjXxxPLlplzRGdpUyd83tjk3OYPgcQXCuRNsLHjbn01W3WJ ukc6VYNHHHyXm/rzsZCipNcMWhKPFeQiVCrZAcO4hRPkIckrdKdR/miibrcKLIR56MlNM6YkfX6j UYMhvtXjvaeVAfoDHRWQXGpopl5ua3O9ddNiBIh34QeY5nRHDp/2kkCXueYPckWLWLb1ejL0YBp+ jux8y4siWtq1b0RvLlXnQVchSkrvmjhAjk5/CrrSPmfMgLgrJqnDcqhNgMth+b2LFz0mY8hZeNs2 xQvLl+KgfmcPmF5pOEGT0wEgYkcQNuAC/MovSlGFj1s7k+/ZrvLt2C7VqeF8jY3HFqhT6A8I2qZk EnbQ16fOHy2qH4KbDTM29yaFMdWQH4ByBSfnjL/mkceSggmN8jMoUI1YxzlBnwIURI1VtnJ/Rtal 2N8c0k/ay8PjS3+F40qsTQHOQV7X+8ox9dsUfvvd7K3SjVXx9RYWW0jVu6w3/663q62WysMfz2lX c6Iek9z+DE9WpgOcPulglYtiJIBhfvX4eYZem7ZgJogkGSHH4HTnRui+ayobX/39SWh17fT3HbgD cmp1beuG9tZLPHoVIWKARkvIr8kBp47xlxBXm8JZeIqn9aGFfwr/TyQptzfeFSfNI0wAw2tydKd7 H4x/j70E31+9uMg6pS9SqG/N9/7FPUF65riqLZ06HXeS5wBZ7Kdy2Le75bokQjrhLRJj9G6OuQH4 m5ATLVPn0JKQU8VDGd1ympvHc44pHMK+/ft0lfcB++ifsIhxBDw7IN1Amml/9gw7+Liv6heAIGmw t1cmVP96aeVSI9cfrT6i2IKdRCRnW/Zvwk8IQg+aFfpZ8MiiIIfGs1iDo5BRAk2R8aQP1quSPI+L jBjgPOOQgyTgfytCemKQJL6b7wXKo//cDaL+Vbx2jLbB1D3mUzwLmZF5EQgDTMGiuTlUqaL6mp81 wc7lxs2IjQ6yI4/UCecSjWqQX7Z5uWGxQnLh1mVSPYc3aszIqbKGym4N96NyrE2q7TxPlHAlxuWv gExrQBGtGbLHsJNm8rlgP8oakxnfiRfxVgsbcQCknX0Wl4ZbLZunShH+xGG6BnyD2r/xkhkZFe1P mIV/Cyy5O5QkCSDntKhZ4DuVg7mOSxp7qwFQdAmRzclE0aU54jRR1NI2sfAXX98CzspWhR/skC4G vKIqc9vptxY4pmlbDLMHTer3ABf8PfE9sRHZYPTNneDZlWc3CcNirfKqqeAgVv3Jgj9WhnB/5sEU b4tpG9o9rhT6MgU3hmVQRAtuEWM/98G8WCi3p+1LM8PVoInikAeL+8SLxLPrKF6GBqaKuwCNSHJN oy31+Fw8fL3Ma27jU9eHzogTJgO0L616oKBnjHC3iz7y61NVe88GVeLTFsN1JpZRx/F8w2hqncy3 MX8FTVsDD8OGpts+MbNTyPx6rHyJMU1KNe9R7eKRjcfGaRg9HJgMixvUMJnh4LnH0jdCnMLKfsGZ LqurGmSNQhu/Gi211PSmKjKwd+WUXx5H3uh23xQPVufrBM4sE4R34+jzZeWg6MwcmnKyPVVsmZKN 0G6AqHI8uJYRh6E7DF7PtX7iHOdNnQXNEYdYhfpXHUbNk/vQ+qqD0kFyXrpvn38Br77CLVEMSkJ2 exPcEE9M6hTvuptzMekH1FWcdK0FwFLGMH38njx+7TQLIoUoT6BWVvHFvg+OdH4KM1e4D9uqhX2w GfhUVKqRyayfYX/EEExy/OSjweQLXwTbw9t+3Z70M4la7TWwxIRw7PE16cdHtV/w8qZME6a3FC/A Nmwzw+WMLmFbZG/ft994xsiek0lOT1U39zD2DdXYrbjA7GVNzIrzeDKQGqeq/kI9O0OYvuLFvTK5 FGQWHa4+1Im4m55RMVro14XE6sk4ymOoIGARj4koSotKk/srwGinOZzya7tTSgLzdzvJ4QtPXclx qAxGEbV9OUb8IBUK3O1A+Tmv4+MKXVyiLO+fNS1avnSbMSQGoDWkuoWli+Zhqg4Ofcq9ovTbc3kN 9Vz/vFfMspFF1/Qdr9eePGGr3NUAF0KZu2Q26v75GU9uLtoEaRnm1MClaBeItp1Zy12g+VeFQQSJ IRnY8uXOjTmUEaMKxYqgB9gg1BpFVwkJjtkAG226Hm9lhLARC+/B3YZRWwrKYIUqcSN7M+SAqmXH Rirah+oEwJ+Gjk9mQ1g4T8yM1Ki1HLGoQ/rVW+c4Yg/vNReipnM/aExfJj2n7V0IKsXCetu1ZHa1 3FAl3utIgWqkmHLOwexufWO5xz4Z+fQSgEJXPG0QAkIISXtQaIDdPtY2mxvJOBzx4ChWmcCoTkW1 0TfQlf1cyrmkIwB2wuyRsi16YyxE7jiu0Ne3d95jDFH2Ljhp1ocaAAOsWlXW7eXTSncJ0xdPLMHy sLuwyrx+HnmmHxWm9sB4+CihKnG0uflYMmcZD+tvb1g4ERmHV8ekvM/rdUM+z1vaYL6LEgx9bAus NGGs8Ku+VV9Xcl8XkfOUXIPR0rOSOrC7ETw9RJwQURG32pLZibyP52ydAnmI7dwPWgUHB+TSvmzh Ff8k5EpLQkJArJ2PDgRyJOgohH8fuQmxjXWgBo0gBVM76zYhLR/mottlQt7J6pqe73RFLIMdaYlw BOa4nJ3S5QN8KQKdUWQ/wiargZOz2WLEHvovzj8L/7VxFu2x61I3KTbvr2tBFmcvIJnKFrMH37sJ s+fFYk8f863re5wDlWm+PUrPtKeW/1mLPAwR8v768iOHkihnFbDShgpyzM/EmZZduTszWroINe72 gebG1qEyBL08Nw7bywYiUVWTdnerUQEkb1BcvCBRItlGanscb6iHOjHXZrVHN9bpteqY8l0yhl8a cAx9K6Lw67JoKphbDM9F9dzMna5AGgUOwUHeRTB7S3MH+DYjdQh6eGYugVMjmTePXbHtHP4QrMbl MWyo4vpvfOLYSnK4gxVWrcZeaSX/hE36Q1vss5Okt40E/CvAKE3Ws+RH+UT9GducQEfOUqRER6Tn SvJh6IQtCG2EsFjqjNR8NcrD5PbWzyc7nlKlyZ0ZX5WD6JBF0aNhLTpoZD1vlsqhfQmwII0zMOfT Ie+oVtmgsKisrjVLeISs6KaFTEGW02umoi9lM8N5sb8wfXoJmfaT3i/9KPLD/jgkTL0GlHqmsc/0 dYjk4NalclWWWMjWheEJKJK//e2vPqW+zXIP6OIlq8C2paT3D06J3NlDQbUKOv4I4n4oIbqdWnCT DA5qfRJAfWHZkj4ZpLK3y9Xy3/8xZefTgDBooM4HCoBiM3UVWoz3v6DnNt2Y2IThebA1CZd7h6o7 N8OCc/bkPuwaHMGcGclwIJB2FEV1tNw5r+9/4gopYxawVvyGtf1nBbcXRlDjNrAMjhSkvCYQxgQV V9gHtHmoFkZxH+mj8gCxP9pDQq94SRk5fbv5NA1Ays+CwYJUMQbytirGYkgrlXIqHbUKAjQcTC75 ZMy5h3C9brtj2vcThp994+rTNI9Y9oGSqhL8KOKuFuU+Buvd4UJ5zr5LZ5n1Pjm8Qe0QBNXgHK/y 4MlY+oe4jNcuqMe75aRXL/r31qxn52MIyQZuR/0fJPSYD1h31mBz1QdjOOxU3lYMeT7v4VQDYO37 xwTwlZRiqXgWJ04zEaMuuinnTHpl1XWpLMPqUZ8CTc9Ja70Z2SvipSNL9aZ9+1lB/3JUihIXl1XN 6kQwySgKSTWd6u+QQOp1juNKrv6nOW/XQzI6+FOnwtnw9T95c+/MwK5L3WGKT1iVp0WQM/+/z7+A a0Q0uO/Ywdaca4XtveV2cIiLZHcXSNKhbOi69j3n5UKO3YSYKfJs8S4v9Y03z+9gpnXpzd1h5xAE uEmAOu6eg4ln6eIgT7xG6FM1o52pJ4UdTX2VnEhF5BYmXN3Hr1tqEj10Y8xbA2qskUqUACZ3jRTt yo2GD7NMxfXwOhRsHJE4ZVXtdB0okF5fbPHluJ2z7489HldMSNe+Z/t+4N9fPzzcMczeoE0hfxcF 5Lh18JcJ6tN+KgBqL7TX2NxFRwp+XSQjYX/8GJP/sB1PSIGx+twjWPT0z0O6YP/eV+mQDH2xUw4D QLvYLLMtcHOxprxs8FmWuoD8rGkeu7x2ESyYjiWiA9gmXutLYE9XHJ8dJd3780JYXJYRke3wsSgi 6EOsU9P6b1lP3YB4iFYSqGbeVZYKmRcLz2+l9P72A7jd4fh8lpSFDhJzUCdyVJmPGEesigrRiQwl xlEDnGlWDI4o2esQ9g7OEdpt9zSgLDMllwTGBzx8npAo8vRbzC9i9MWqBQUE6sE31gT3wYMCWjCJ xDQa/BM+51ShEPE+4FnqYqbce5ZkWgFgkRyd/bT+qS2bqLdRWP0r9a1dkzdJKx4S8OcVd65WHsAs tIpnqGSq7FHn+YQy4eUbMWcO+3HmyWM+rGp1qqGQ+Z4o86foskIoEvFTSF7BCm4QxCwwp2SVzdtt Q3b5x4OjP5/BtmrpepTpUpKZULSUzGzpG9swibYqRjYD0ynf7uB2ru3RlHGJ20oxzs54kZq2CwI7 uNvI8AwtXJeFosV8Ak8ILpjfRoFAINWhk6QESlpj2bGrR9aBhhFfOM4TWc9KOZKNJ7RbEXdyOjPn Z57JvtwAqxTUWZ0twaNGf4EzJ117L9HMOb1npFl3C+SOW1NMWOmcwkVM03k2RE4P6f50igCEXGyb Rvbrxcu/9slTFa6Pn6LT+djWCAX9YzHfqak8MWAj1mwzJObsQWqLdz8pDX66vWDImTzs9ES4gdw8 qrbnc6N8Fr8VwoW5J3/54BvdWoh0D50aTUWmXj1L81Ig29n1YwQQfPc29EbSbHG0q6CwwTX64/NW NZgII3ZrR1KlPAub1i/zWQiVeBI4UrisSoMEkwJhjMNiKRypOL07TVbNCrsQhleqjU+Uz4sb7buc vOUmGIDfxKQaBORF3gw4i5xx3twTScW8COm8cOSInqQa5jaWfH6i1R6CUx9YKG3PrKHIXhi2z1HD +kv41/nheY4ZC5RZLMdojuhA0BTQPXWoLeWAICTAVmAIRlQDkLWqZB6jIJRDjVD26Xesoysi/FgH X2zXWS9QmzzgRymBFLJEgTl8KNwepWWXP7D33JBps44HRcQLZ9FUfjxgT+NJULy0h8SLaR8NT4S4 gg8+U+hALJPw1C8lpvOcvEo/a5ptT7bA+EM7ePUMlH8xTkGYiMx2/DAd3T4GwDpHI4Bt+oEWRqpN 7FwWg+sPyRkuaNGvqiFKGAH674H1a5HHMYWwqIgSM0HpC4CqjCdVX2SIz3eDUCJOXoTlH6RZ1sHY SqxTmuGpTLFbp44hBlnvYJ2Y/Pkjpda263+ytEDdO7YVvZ4GHe96kBw4wSHdW/28kfLwfIKEkM/t do+Mg7Yftt8X8HAzAHk8WoffcAbys6x1xSaJN49SB+DLaI3f1n6mBOGj3GwpSfuxe/QpDAKgtLPu IGIQml6gjqTReoIaw9Ed294QPqpacrMCqr6lynBBsYUkQC5VO9ycEw3wqWabHEPw/6Iw3oVqT0h4 Rj+pVUhFqFB1r6m8g46Bm/17CPAMDP3H6u/vuejYj9Hqw14lucEFJ0SwcDSvlfHq20ibjKB34QSa RSwId2q964D/CHo0F9/AeXm00gajyYxQeHFQITvc36Fm4YKqSoGDRuB4Iw+vk8EkktUZiniRANwb krhO61TY/Mea10YC5ztqoFKqRyoMJzm1xh0PDmL31ScCbI9v07url05mReX9l7wP4bAOPuZ2ZW04 nIfZZ1qTvY9IFHl5KX6d8mi4zRM+KquaNIOJvWk39lRfG+7XfTyLR+4uoaiDaOe9snaMi/jdMX2m 8+d37tlhqvi3iaZb8qXW2tZH8kTIfnj3NmNngGYmhZp1AwzYYN485XO17SbTzoOU/cEOHXmSZt+I fOJ0S6DGLqSQKdPqDaEEARIA34Z9+TTrAxMSl5c5JYrse0KwDcjhL8i7hooGZ2Af2J2sEtPUBvm/ LqNVpXhjJ1bTWBSLkyJOgkWAurxY610/rEbalmNyDqnShfpZW3SHEP9jyio/hVycDh7MmxHlCJAQ pAhITGZA6aHM2KD34LIW1O6s/FJQ06b5fKllbCA/UKFPxx0le++VVXrP7ShCrzHH5e6RlH+OrsUF Kl8IaNZnSbCF/rVOVxs7CipvB8T/7ECIvDZF3o0yw7yM3VZVxJmGesnEhKIjt9+Y4rcSHLCZecIz nEZ9VmX7yhG9si3/By9/Jgpmoq3ro6TLtsBvpzQsPNlP4XZ65D2SxDjcwwIArsMpcTTQBE73JXIq zSSRTm4XdIwy10flSDKlPKqwZC2Syzp4cW7FD+TfB2ZNNl867gCsD7qbONXfvdsWzroqeNvPtT/y lo5cH9Y12lEkY6tjJmiCDeq5+hDZ2CpofQOc6Yv7A2EeU9bMLb+C+cC3MLlL+FYIRHIk2ilUHrkN iHgLNwizGJMjAdORNICHetCGh4VMdMpKshhOoQev9/7Rz398dE7HbKB40GTHDIMYsGnUuDtOxLel IPiHZrDrC/T2NkdQ1idTC5inN/5FaSK9sxQERD8x5X9T8iWMd93gNnbFAe8sGqpq4D0i5zpQ0Qer zcOND6CHHsIOHTwUIVVVNlyYltGSwe4sMVEKKuhY3CMdg2UV2OHx1yW3NkpcXVnicUu9jTwT/AlF VRcQY+0TshCZtWi8vchda8WP3pXlQEJIFtMjpmdYQzTe3Xv0fAj4yFmf1UVjhgtrWENV0DrYz/iC sennz9udskzRApQ7Qk/7K191l98U0cuMWI97pcC01bqN21B7p1um/7H/9XMmcAORI3icNhr5EX3z lnNLzcHThzzHBJIQuiJJnab2rlc1MdN63r1ApDBiwUmy6Ty45NEW7KnXcOkB3nAIRCpwGfHEv1Qc CZ02YfzSLjDzNMpErSpL1fgFMW+HOftL+Zg8KfjTCr7C6Rb6JgbOAn8o+pGDM+Tr67okiW+oQGjb SGqEPS/WFbTP8Z1yb2XqxdLh+QFBkqUnXpPATOiES017DPyCSFderdd0iglUHSmlmR2l7L7MkD93 ncZUA+MVA41g8in7s3XnrU3nL2YoLdc/OeORDKUeuLoXo2d/BRc/DquNB5bV9KaxMv7W1hAR4nVJ rXFJF0mpzcJl+J57F8PTXylqx3po4uFK6g9YEtLRfm/drtX2ki4P9x2HhB9WxGJqfjrPp28S3MtO JiNjdTpakYMR9fohJhySMXKuGMqJ+kGHMUzLQ4yhtxkLVAvVN3/YgdTdj9+Y2J91C4qfFndplgj2 A0TUxX3kFG/wdDY8cWm+P9OCqSEHrUdx5x99vN/WcW5zl2eCPmgNYwYgF8+ZK9gxvgVV4xACBvHu h/9tUVejNyVf2ifpQeAl8soDJNuN/Yy8ZBXTnMqbY12zUC78RQ7ueS8/XVfkdjNGhh2BZo95P0Kd Epm3BFfqpmZT7FrZJ0NxSNGmvVZHeneTV+LjM6XHIerlSKgZlxT0JbdazT4j9iZHhLp4sdBKWPdd mnpIGf2W+ZxPVweaPSiogwQvPhYJDj9JauZIaCqJKYRmW8Ee7PO12g2aCpZXxBAmm8RM98sMyiis TIaIdN1jzdjP3jG6nqifP0nE5DsqMCqLIoUBiWjZ5RKgKQf3/moExzgAzO4auiCwky3X8Ic4WJTw nZCS4Q8kq5/WNAaxykUeraGcvEZxOr1n7V2T6rrwx3duUPumtZrdwwuK9+9SdXg9CfhyP6Jm/iic YG3v+gru93W0JsGTg+/r6PcRvFMQ1Np+kAM9Y1IchUWlQUW6qXaYxVCTWPl1n5Wshmifc9/3n3WC mVNWlU6IoVFh+nHTm27Lb3WK4vv0A08+JkjtH3JG2POjTrz5L55DY/KBFr0MdgG8aFIqdZIA0nCF UGNTQaAno023rZaoa9L9hXPbPLQxAogF1AwZJrjFz6pcHsIpU91rZmpdyPZpW7koTrjNAXotPFlc 7wWywEgzhdGInXG8xvyGzs2RaxYv1JXgudbdKoR4ZApgckJfTT5mBL54mE6ym8NxRvhloM95dL3C +aQpaH68SJKPM4BbJEoEIIO/g+1seBtqYbvlzDcIFBOl7IUiOg9E7se+BqLegvaB7fRDXN50xlxV DpaiajLyT5NcyOZ18r8qW6zy7GokhrOOk+HYkAUwl4/H5Ju5g/q0V64VWEm4Mb2A9m6yuhLzxuha 8MtXs4AwSAm5yzE/2/xvTbaDvkkCC+WZZaObATsK+9M7yI+l9Bt+Uem+r+YWhuvjUWu2oHyLi2fk 8y9yVuO9buMvrpmuNmSoEbH5ss401mdjunSTicut207uZipcMsPHSIYtKmxUJJ5drOSJ7lnj7EMK CvxQGCsDULoQyRHWRm/EVxMDBKS4RCXQhldloIjsqgRqXD7guDH+ntwS/cPDjydmirYTIsUwyoF7 ESNQ9zVVgy26dVvm0UonVMofD5h0jfOrFYCPZdsXtWPqOIPGIoJEdPxj8QTr5y09Sa2Tyty9d3zt 93yXOgvfkLq/sweMrRbhIYHLeSAgozZ4JjbgfcnQsq7FNVm7VcvxgIQw1nJsF9iSzcvOkegA7ilP cmq3DkU2jyy3gcB3tb8epyaLZuMgoWWcouimRPCUP/l5wx9hsh1u8wVq571BU3QhZTosS8ePgFg4 uvhFVrH4/YC/H19hjjHCdwahLPEn/VgM+Dt/s2+mgCsa8N8nWwXUsE68PsX+eVw4WZwQ7iTwcX8Z sdT/+V4Uj6LzeDCpZjTn96/nnYFQZpPrPBYjy0TR6bDOFvwiDjFUNEKpOHXJC3kSDN2lIL6dPE+V YD3TH4SPmBfe/iQ6iiFP/M2WHd+2OSsLtJocAeTay4c1m6bz0BsiO0i7WIg4QVQOPTMCVPlp5bE9 8KFG4gckbAcm6N6B1EGVL6gRnBouNb2XiWsTAv6LP71YTVmn9DrQhEkTRl4LnKULFjpk+IFEEWZz ki56ZHXSTwh88I3MzAS8vezdlva3tbNMdZQ7iRIrA6+05q3dz2Xk4wmFidHtnwaI2Pzb4ugg5X/Q s1meBtI58NtIpdeXdj2Cy880+IyGdFprmuZrHmk8/O1wa/VvwainjymXNAQCmnqgxTxHWxtxZUQ/ QPCU9tUC+KH75NFyNO5NbHA9CjfnptQol49RTdc+A//aIeQQkiAyy33gesmx25YJrmKV7ROyKYrC A0aDFE0GJCNJ4JvmYxu1UAHU/oacT+TD98llhv92wfAgyFBEUK8BNJ13/whAXIi0IxMMeqHjqCYl h9QSkDYmKml3MP9vd9mjVslNRPDgiAOYkr1VQZeVnhKxhcPlLRgIqoedksnRkGN3GZN9MpDJwTmq mxwTaExcct1G3pNOFO2xutw1L1Yihzb2m3RuuKDfq3QlTXXFAVXvcmfdxIxO3LvOwAzqvytvKr3S RYxFEj8IgUgM8Ta68ob+msVFOmDQcLZhTyui/Crn5RD6Gt+R6cdf/UQAiCRNFnpzwL8ON7DT3nVp k2Tz0Fe1Lydrbfcffdxi7PMk7CXgfUkAShA5N45R9KOQMZeiAOQ+eKJKX1+ZTLHQdmXxSo6VwHX+ dv2IZ0v4gjRtG9EaEzAfFSAD0IHYTilI1xrLBjINUWoRCeW/8zUdevLO0jSTpgtZ0Hci2+lAB6ye 55YP7NT5WPE+l1aFqohfN69NY8l2FROmbL+jbXr1mAOpUphu4qbtxi81OfNgvZal+BBM9REkjqbF EGItSLOHOsoHOGuEIqofHxbZTX3PTjgkmasMqirr39phh7LvD0fToSVFnEJSeVJ62F1HYX1LWxEf 7q5IGrOP8mmDstDpq/0Ry9XCP1mA9q7GJdeTHCmlgV2wOYy9UC+6ln3GjglVQapgWSFJB0TOpwL/ BaflgSpCm7MYHCjle+ed5Bk8bWRIbqSUyDQjD3qUuo0TiKzLAcOgmyuO0PkccU2AGqJ8ZzMO1sTV tZibLOjKzIgcAmEGN1Elok4Md0R59P9y5MNLskBQ1YDl8JAt+Puzg+XCPBblLLyx5ZDidAxieWFc sx1lgSuh6j8+XRGmaqiwS2atj4yMjk24LxvWO0X+PBRiw7hneKbRKL3W7QXOPBqPpjhpdk5z3rNg PSKDbH7T6Hs/rwD5ru2bry72NbD2IF2gfUmjk8W6nWmoriSVqJmrre3W04jFFLavPcMsTTJvMIE6 tCbGnq1apT9HiF+Xfr/PF4Tkh3QN2VVwTZ2Yo+6dBK+wThhWG4B7EIJkc6hC7ioDdDkwALtK4ilV wMFrtKWBhZEoJAMmfV1R76yxanzYDMZ1svOmfCPBDST/wsqz8SJ58UGN/TcXMQIALv6ByETZZ2IQ GrZ23ZHAWmMgZE2k00x55nC+vuqJJ+spOtmrT1HxUPx18pTlvWGmzIjB/Amhg8jsl3YAQ31/ByDl Egz2C6BuaJnhIt/1h5vedliq0R9Q/qVGmG6Xuq+uoAWc6w7HQ6R3pSkE1+v8otmdHdjMws4vstLl UtuaV0hZmvHuv7BerTotSJzlMlbeP/HjM0sHnRAUZROCqscmXui/7nHkj3SijFI63AUMRVxj+aHX Ig1l4mbjzXSGYTBkPFLg2JRsQgLd3c/KTdcgFK98EiapANYoZ5fYiqzJByRZU2N4KbojDosCQgaq CKWsOu8Ovllfp2tKLV8IPFtVzBXnE970S7Neoe26N1so4pZYFRDKLJEZ21npDGy1G4coJ8IWUp8Q G5grcpbGU/Vk7eLXpgcc+rI6u1ZRLNLR7Hvq6S2c2JPQBBUuHHGom2SrC8uFeFB3RzS2Au5tZ7Vd AnukAYUKYypQ0VzvdcXvtJD4+u/3sfDskTydLjWlh4dK/sBDCyK3jIRHsk9Z3OW2UC2RmWcjGEXL 8t702b48KTsB/PlZMQk2UrnDn5562Y9AtYJLzvZs/lWxdZOtqr6PaUhS89tavivucI2Nh3Qxfn/n 9vFFiHL4Siv8WaUIh6dU9Zm1Jq7xR8lBRvvPNzRncaVBCvmz5B7lNqRMkXVg2B1vDGg24EaJj0Ng F3fYqB9tFnX7L8y1WxOBhm2+bmu5VrO73a/vI/vT94wZAJOyGyg94PDtLGVUWbvdXupPKQe5eN4X DFEJVZ5NIFVTF82tIDqya4SBQsl1kkFHivCmivw19CDfnBvbPXUEVsD6JJG34XqD7WiXHHWWGRc1 a5XE8dFA216KSQ4+woaD6Lk079vBtmh6deY60lrGruVpFaBc8+b4DcBVZICjdMy/xwF+AIPbjaf5 0PisSiJQAWOIjqiPXRKepVwi7ECNfqlOvgfq7hDWexgdYPrxuvjbVD48VcuN0mSw+FxxQXdVXDkX HQ6gBDKCs0qiQ3AQoJEwQfo9CWggs9GBb+DSgOB3uhRBnFYEwVsmgLPIng0WI+wLCwLj0S3XStcv amS9Mpsf7xvs+VvM7Qk/+tVznrTK9dMTjMUoRA+eLMQUcAeLbLDL4tIAYIuJS2f4PGWi5iJDFtjK fJZwJJacNI8PtIgyQOULYCMLIIvoYXIkFxdWfsdGtSGAjpobhzO5hBW8JMXrU13ukb12Lb68V80w aJzVzbfGcffnuY3utG/3rDeVgE1QMYJJCU8bsgqfYQMHYIH7kFeLkxZFUrl10OrsJiq5HO5aKoJL HNwR/eMODn0fvtYX4EPO9SUnEnZCCniPnt5EDMvFoGaSua/kuNjRORt77DIcN3+8XESWbAbtLFpP Ai4jtVrli8MIQIRcA2whREsqjog/zQG47+VxE0I7zG6DiOOMj/6TmmuHNr591+Z1yGuwx3avFQvr BFXDXs9iGH6Kiwci1gCDqwqMo7FesGhdG9X+HDpipbSIOSmUb6jj7FqGzP7p9GiPgrj8IDL1nT92 VJc0CEDLi3QhHmyoalw85P8dhpbIayUJr+wedxPJR27xysIk2UnGByK3vrHzl32etox3h9Chce8L VMftI6dBOipIsBB1fdG1ogxJN9Xr/oM6guDhs5MdzCQMmNVjqpv5iAGb9kdiBRULMzlvYeIa8nDG EFOncXc/9JKRTXAcRoR7tRU+kFkH/PsSlMGyPuk8fQQ0/GiLiZIAhYl5rBWpw77+WIhq5aBokPlR j3KsnWmUnIwWeQ5sLY0Epbn8TQsOL6RyK/T0RogNJmhXxPTpt/pzhyrdW4K3ozNrgPmEVsxcmqDX eOyrt4qDkr8Uwl/BbSK2YN5Iv0IIBFXI9prz99NaDfb6Q0diN4gfSxmzXYpkas0F+ng+q6uB5JZa dSjvIR7/Qq1cmBwoB0bcETNX0ZxO+CubuDjeiylX7k+O+R6ssOT6mWgPuvugxHejUK5folhhiqEl +E1owT1VfncrOX6lwMMRgl4RYSypCjFqzyGSKy3cl8MTsIep51W+Hp36Ed3itxyG2nvHsgLWKXmB +x4LKTjjwob0MoQxxpY6E7xc4EufVEu5d9Kkoip7CeGQWyTxRFXqpCjUdu+ZVPpwlEOS1dh3ZGG/ Utz+7qQ4d0KocZLlE7WQqAzdgeKrgTCzX3ikJY3QDGQOZA0W9KMHOf5N4PMWfDC6k6SUk0kNu9ef F8So2JbvYQ5vv6aQyPcXMdAAdoDtPRf84mK3gPROkI57nOD2bVWK5xlYURStHDB5RwlpMTcaxmOM l9mVkGNYhxW1iaS5C9heAF4Ub2QB5IX+6kYhjAHx+OkJXwz3rulCKjm9LcGlstfqtl2uKmRgUFuy tMIEbSFHu6z2oEVn6WRBV94ktxHOAWjIbxb6IJs4QQ+Bm5B7aBI/rg45zGQ2TAhxMW69jRMbXwy1 zQ9MDVJpEaH9Bc8k5KYUhCTL+O6qYq/xG/If5U1BSQhj+fuI4Yuq4/ppBn9qT9Ghkq9Fb32kxFM9 /A0CX/4qNPKcpjxEQcbe4TB0aiRFsegbg0nqQOKBt6zCn0AauXbC8zyytKW6/htmQVxrBuRoLpjA 3vZmwaIe951ZrYjORtlihAWJsZql5KOpRT91q4XmSBEORhtSfbLalhWidpx61fk3IQJQR8mLwfgq jVT9gpV4s/naTJszbh2pe2btYRo8wqJz18w+qV0zVhBu+VclLiIAM16BY9QmU80VvWUIxtrGUnhI 8pcqpmw98xqerw2H97j2q2njZfCNYPs2ih0ylzOnANJqiCrIYqCRoECfAY6XpDGaJMnzZyNtuF4w k+A3CIcDJe2kBJwU7sStOD4ltSiJIeDncjeN1RHyAXYlYWOndDQe54nGVU9uxTtO+m9y6FE7Rg0v Yy7lgnortOAwBayCMij0FUgzq0QvlEZKAHT1n+fIMjMDZCcMC/45jTk8wRqgX5FnQYXoFC37tWOC u0MzjpmPpu3dACDErHGomGsZnS1Qj01jKIlUwQ8WsEX1EqSB4qbuwPJABilJQVJeHd33FdVq1xmR bE/jyRhKDd85XyHGgAtcUI2WVAPLWKkj9jdk58Pum/qWDU76tjE9vo0/YSKGqPdUassGSj0u5zr/ du/NM5QUmqga4aFS/BxzV/DM//FW8xBL88IYCmGEUW9r9erRJO5W8IWKDpRIzdH3D4rfv/i5qTBC IjxbQCcoi9dY/ycYE9kzidTvkbonvjzN6op9tVugUtICnW6be+QrZM+3zkIqy1lkfwtBeyVoDq25 rjpK1ehg5zShQpASNFRP3Izz3H6CSx2teKjmvuKJ3RSc9a1RnQ7HVm16CGeuHma4R0CBlVywvrIa 7Grj7zA8H1mY0Il2oxx1Qsc9vNgk8cyOtHJ9WcmuMjB4VHAexP3FrehQn7TV26nT/gVmGLbkkqgK MtHeGK/rt91lXimRtuJ58hFSuEDslX0SdoRe8C+1SN8bYMmJo3fWuSEwrQNYB4uAzXQIPJMe1pZ9 cFo2iTAs/pFNH8J5ZqlgySS+C0qlpAykpje3gfRdcP8ZdmdTEFHdkgc61AvCLt2aOJW6N/sisuxt oAomaRyExp75Jsux3zzg1xfjVXX6dJQYIZE8vL3xyG3EYleYatWsDbnlXCkGGgcQaTIVTF//Js88 GiMO915Sq6XUS8+Owu0HbDDNUbhcsKpqbAsnH5NWumBOzGGzRCnKHEEhlIcG3g5/dAijKUcuq3a8 88xawJQ68hO/Fgi+9JXTLYNOVM8csyWLiwfa0oyhFiRveOuBpc70ilgZuzQ6csDBljeT0mg9qtI+ fd58U5z/C3R5z3RyELL5xWZcu87REzy8+tB1A8Ifz+kOwvBdcJ1U7B7byK5P1+4ey+AydUV4/0mz qx7cF3GYJBSD5cUEtxXAXyRyrunbUQ2DM1jiDMVqriavLp89fvEv+1ZafaFH2zz9DLysNxY0cOOk vYe0r3ndFBVVJmbJPbVNhv9/GJhswSMdpLcho88HeF8JDn/KEB2TW7vdOmqJ7dBLkk6mBt+lkuet kzybyVm2l758y+H06CKqvcADEiBTGSJjNsTWjAClc3JSFfXlclLc0sydMdOiFhnbEDDO7f+Kq6c0 ROklYNorA0RQzZXpao6Lb+rNCwulhMpGAWFNpZASh6CFUTHZjApwg6ncBCFyyrz6+aWUd++CcvBD EnCSzhLzeSKXvY8QIyBDRdPI0Gat2jSZV1fAnooupyAntph8l6Tzex1EEp1gL1hlAfoK53jqh8LD UWoUdcvSuWXRtrQWYRl+i30uWR3FUMiJW+adqrxxtMoUAPexaSIrHJydMKVnZy1YC/rlumADZVAN tJD7BW1VmuHdFEbDEjMqKD8+cOg+amIKVK7CPm42R7OMCsizlcSTMNCZPT5/hxsKr1JE8M6p8T7e zIVirIksiBw84XtyepKqC6IjQCDkAhVLPRMkC9W4lLNNbq81G/6hq4GwuDfcdvh081ng65gMJLNG iL9nyVrmYFcR0jOK8p0XHugyuTpEQdma3uZnijItBSipwjHTgX1vyeFFgL8r/nsTEplAOftBSWGG 4MqlGNldjFHWivrTMScsSgLkiF79ubINSXrMIhOpD75LS/CTY7ptB+S+uL/MxZPFqcOVRdIrUGzX d2VYYHqUzTGYaYa88QRW9qWBaXPYHSg/fJEA3gjo3qabNFJcBOMcxhecqonBk/VRD4S0iopCB2Bp oxkxr98Jl0ht5RdF0eUsrw5MFlJArpRmCIlGXqHh6XZ4TQ82MGOKiouwtvKI3iGYQBtZm6zWwoUa mO/jU3uQ6PBphIWW0BkC3G1donrWD3rnY9dMrwKRpEZnAvqzV+sV7+BKnSTFh2Rv6h6tbcxxHgcg A1NkGEs0EoBIyZUrDIA4712AjraM7FH1SGDerpjznUwMxzykZU9k96kMBStyV2Lpu3u/WBBNjo/7 MLy+KQaPvfTPlrz+2bWeODMwC7RyKivqDGCrYct72pomz8qNfgFbYqyIL/oqqevzCSYBU8X+Z/7L Mmd6F++W+gf6bSNrKaMITkOlgxNQFN/u0QTXmTaRsauLryZyl2O8yYZxPhDsrigiMuThS9zJgi6u UQXpk6fRmiaxjvutO+12sZwPt1WRymIwzJy9CXvdbTjnjeocF200LR3Y+0wsFnZYcF9Yv5e/H/+K SNNeQHl98eX6CTdEVpYCUEYE87QOLAWN8VjoBQOhSGbtmMZza2SC6L8+ef9lAOZETHhFAoUl5MiU 3/RO8QInZM8yfwkIv1Cep6jbl8EhNaPT+4cWQh1Xe1NK8LPCwjkSG5FIW+un5kQ8nEOtMONxyIAy mS9+bXRM1jkR7xqmeO+XFYPDT6AkmzDFhjsg3p7us6vspMfsb9DVxi+vDY7+arrikRu5QNq2uAqs 1qsjOmLBUFAx95hDUj4OzhDBOTOeT2ciHor+LuplxfaIhyHMrisnYYVXRoGVV2rfABLcvSG0JFRQ rmFyJEkBmJwfbczw4Pe/bs7FbAr8uNmYK5KcQr1ajLCkYr8JlNNMzWs7pV/+eFzv3P8t3REalaWk 6YJeYzKVLLvoaLtWrwQpX3CTvhW51zKdSncUVF/qeIl9XaiEk2+7eJXfRBlC67ocOs93w2FHsnL2 Xz+WDeG7NQjJilnz+OywBPDmrh9C0So9KOXFT6iEE9AXB+eaNUh7TRGX+gyJK3wTDzDRnc3jsIwI mQ/FYsycQST1UR6YCCR9migJFAfoqtgA8ocsguCAyyheD+5pAQTBoUFZCWPda6TJPDepicQoSQaN WPl9cJ3kv+wGv01EcXAt4bBRqIf0FHyUWklH3ZVp5wrDaA+mh1lFFATV1yBLrDiipchZ5a5ayg4K o0J3ivdU2e9Fu32ieYMKbiMNjgHmS+pZ5nuhcYa46cyujgr20w74nZ//Oh5IExjteEStq7h3rXyu LnuV/QH5m2MWHnJL8d264byz+vUkC3NGWKaJ8j/kgsA/dVS+M+DKNwYPVN3YcANbv7Y27KWCphNL HY/5UsZW/3ZnRnkeoIe9w7LCT1Kh0kIwwG5ZagfWViT3X81bTU87CzKuvPgmc48QX3J45aDdWdh1 2P6zwQsviJbO5zfMAll15Jepd+W0meUAu1pGhnVh3K5UUZXOQb+/vKUGQdDusgriop5Q5UxPP6Lg HhG3nHjavaeHhFYjYZrJwNPvYmp0JjANU/Si43fyomzTyFznnwjSP/tvq8yzvjEYDsG63JFf8Evb vweTm58BkERRp8gctxTfPKa7klaFZgH/6W8V7ebLpXtwh15WR46LQ52JPYEIt0Ju7pDuyXUWyug1 oLMwNcnGCjjxhf67lznIwZ8J2gE9aF845ViJvbGyt+42wrKWzRTNzfYNCB5vnrNiFXTTkWtGquhP YiY5Iq3oBlzmiAlCj08Q8Dxx07KqSzCZALLgoinEVRSgnJzthROaBk0h2or2HkR769MV2dMIZM+F QOelkhiB8jqE7fcD1vUfydJ0GN71tGh1bb+nvTqp4qbhTvdouSgiO+wI6RuGWQTdfwBba3RuYc+v q76ftbZ6QyJVcX6fGVuPv6PW8kD/v8lJNsrNQckKEWPdXth62legZ0pb4eFEkAI/FLJmXl83Z2UN D9+QAZ5HRKnO/03lZKhrkB52jyUiolUPBKHMTnrNF5ZHLPlyflNQ5ZIOWnUzoVU3uZfezjHWrHd9 aA/wtOBqAt5r2hO83aSw9ociWRqGYTI75ERN14qH2/CzphGlQXrvInQjjoPB+Ev/fhmmLneqyHG9 47af+We8CctYiuC/lyBqjXC0CGV27OPncTCs0fDeHxI6E/jxfbINC06ImcPbByKewuRvU0N1Qkfm TBX2MLrrm6cogcKjlxETIA0bc0RRYEpNvWVEBJNOK+iunsw1M5kMzW0P+FrUbzW8/VHAOcDROcWk umkAlg50f3doMtI+PX0o0CC8q9BRVIx1E4renVAnXLl0q/lHc0St6W4uKASmGzOPx5zf/oYZz6tn 7Yoo/KTADe9nyBSP6ZL9+AgMN8ypJbJ9Z/TKmQpHVSxrn8olluL21zSnsvqO97zfFywzGoBlUKrx nHQDHRN21/eBKRSQWLo2uUz9EoSDiUADxa2G471faaqkq8pdy4fm/14vIVacpq0d1XVBtmf0yD+u eiSyEth9FihpQkwVJfHwdCdCE2FRQ2trBqGbUa24PE+Z4Esur2iVDEnLO/TvGex47ylFP4dYLG43 PmjHPETPKbfUg+DdmbcEMXwqqJmJgr4n5K7txxoBI03+DyRaTIX6fKKuyqxnzNPC6LnIZRjgcp3v lmFh0XY78qhZKWlitxEVtwcbaJumN37YGA2cKGDHSIuqclsnOgyq/xtTTYQ4WPFdx7/30zDj4GkH z/qF3swd7965WmoPSfmihizZITVGsK1sYixlsHQMdLG1w2Pj/9qW7Nu1azpRKp++xam8B0t4DHoF qelcyDFBkKH5/J7hP1sYJ2cyu+MTXl1dEPaDs2WmBQEVBhuTysD/1aapwIrSs8WnzWha6FYtu3Vo GFXmkNTqRrFdl7Accn58OtkEHiOg+krXftX1x1WyUieLjQvWBMlmcVc3L+qwyzNRUat8JIzQ3oOs sa/L2t5jhxWRLHxxUF9WumUf7Z12FNf5NFl7d5gr91zZ7H+75pdp81Bdj6XLgSjSF+46u1gTVEOV iRQDAabVqWHC7xGsTRWETFsDy88NXitsukhHV7LLswY5mxFyH29ujZy8KdQBBuK66jCZmdvrrg2I rIxsLeXwHB5P6Twx8osTeBUItPn9r3WLswscg2HsSnzMGWH+GzTnFRmx+sO5nE4zt3kFeTqEiHBw wSDATRx0l3joZ3671iOySqd1JEc0jMibL/ULkL/pqTHQPfgrPj1esSR76Z5JYM7tagvJZPfe0j7k zhRESmg8s0A5ycPrXcdNwzygzOYOkiwy+qaKueb/46+bywVqZwMpkRVMimvhdPhDos41aWiIo3kB FNfKVbbrYKXBkcnVAm3REaT33QCdLBTdgqLoQhRt4fYQrVM8EEZ601atscijJcqRBfhMbYvPlgtM Sc/ZA3YEafe9CpZrgFWHWxoaN7xP+Zxwg2fIaqNDbb6xcnZ/eCEXdWrbIMM2D3nrVOazyT2Xzc1S 6S2gqSct9sVtsjAY4ByjgIlhrneNR0Wxo5/Kzu4nWTXoD0hBqBXCoJ7wqeuJOkZ4ZuP1ngJRbtWu jg8EaxeZYh+XY1vITTEY5kICu/Whf4JSVHe/5UAwebMVxaXA/lvAu5V9keSmHYdW3xg4LyUphfXQ Stc1IRXDSQRLlxlt8NBVnLUoi+SNxaQd3++cbSZPXfH6kcHmNIjuuR6vjsB1IJl0st4siCDL+m4f fS8vI8cSoFAlHZfS1Z/VVx/8hiY/43DVPhKYzOEmBR/Gc7Y5xtFAwuGr+Z/6gUTUktwsVDa7LMP+ /nHxrVu50zWtZJ/G0EOzgZbkWSDrVsp+a8otFfu91/vUuqg+H2V29srDrR/oG8yDJ3qhtasm/wmU EXnjn0qh8rlGPyFX26obY5M1lb1S1K5adCYxIGx7qDpfhkpeC8bGm/6i0HY014gx2eSh237VBoCG k2xB3OJ+j4mmDR9t3R3mGFfykvVoSK7I8PF/DuTyVVPCrcUVt36P/8mlj9D+Ldn/2+KkHvhtDsmB zTOFH72rHPG4QThAPplNgYHMVKX/6X587RME0IspVP1vIOvyxr/MchKX6GB402c7QWm0bON3krh8 dYdilsEO0m2ddIvl3wMoVciP1kyExvAN+giOZHd04u2JYDvO4rgIp4nD2RyNFZOSxJok8v3vq8pg KBlKP523Xd1s0/sLuESCNN2dqthh18ePvn5TLynedwwIs9oyfXLrtbBKxtP//aD6b6jvEZNzkURW xv1G8vQjIWsXX2TMaSKIwPjd/GwSnfKyoZfTViVQnfXeiU6wA7VI5xqcnIo4OgWWGQfxAvbMRSjK LH4SbqdBj4oro5n6a5S4blJ5U5H2pPhWER6qWfgsYVHL70wUITYiVx8od4xyCic87fEFM0enL57i xgxe6EOEJq0ko+3H9j+VQiXKK9TMD3pAdje6UpxZRJ9NLrgz99AuaAnmjC5ytpyaqfrYsPDFNA0/ h7lqLE10B1G+Dk4eajo5g33ePJzD4xiZyKTt82ThX49WwFUCl4RNM6LCCWHnlcAgk3RmBOlaf+9G BG/puGAa63KzuAZedqHlr706GUChzPPTADODScLCdZ6AiFdbP6uAQNhLUPI85K8uFmqq5nvE4W34 3Z6FqwIN93asfqo3FkqDGREt7m51zzd8gORo3Yqp5UyONgR1G9H8fAaq/auMp+YagO9kdZamwqUZ BjHNYJMFAN3p2z0uGOFitxhfZneCGnnG0h/zVj7IjAsW4l47HKT9sHkuog7huF+J4T5wshQ2+pOZ wmaGrdWvxP1TU/x6qsMfv+RZbTPMfbtrShyf5ysiVsIp2GVrx7G29zOkZPkvnsSAR9Nw+l9PtMBL khogVbqJ/siwze/gcVcR0SdnaG3CwYgL8Y34he9pJunH/sVeb+3+chg2xqwk8HgQS/0TgTDSP/Wx b5XxJ13gIRsvMlAWfL9dEtOyVd7mn/UYCDmUmLsmA95UbyyAtpcq2K0SuJzycpK+5qqCXrmxGYph 7CZZ/NCFV0My1uxw//+iZd+yn9yzqETfPc+XV3UegzDUZxv8nnF98erP7gU11e91I3K2Plu0Z03p xzqNvs5jU+fCwX+2qnVuSbn0Zaqvx+eb5OZpUfneErse5MOUu8e1xYdmaPD7tlMttR5d/woDTSHY H9eBnvIbI1HqOJQ/k1TeMdsnhoqip4viwUbIozXXHIEEiohgQyELdi4XgUVzVmf+4vGownstaj/o JOgQXJVNeJ8PwKxyu5o0VAD9d7d+Tz3gy114o+zpQgGleTaJGb1KSyhLsDVIf5B29nrRlpM6xXgG LKpsQyTXl2qUykfrjq0ub7aZUiycUdzX5539+cwQM+gvFv18bqUEXPxu7YjAMiLLpaKbMbjixpGT t5ykPcluikd5Mtt9b1HEbHI72P4+ZOto9RUEIfNxo2/ts7UoP9oMpPR7z6MKJWynVu8nB9l/P1OM eQOWgx+P7X9tSSe3jw5KPSDDRuq0U6/YGT6EfYDdwZRp7JPfosojV2XM4J8XzY6zAACStq5N6VoF DJFm3rX6xE8v0vZW+FiOIAN+CRl2pWxZ4+g236TG/3mv6fZavB/YlydXSviLXgw+aoj//RiRMKvT dyYhTXmxwM2neFSiz3m6LhXOl9HKsme1Sqy6Nz8FjaUo3aSti3DjmQO/V74wgFY+hzJdr7hcmnCk AfpHZ70VUvqYeO/VTppjIHM0zD4GqpDYivG95Q7f+eRFKECO9USpFEY/jGNeVHTmcAoX0xg64mEo Kjv0PS/OPpGh/CEn9SHG2Q/635YqT+cc5vcQQkhMelvBR2det6hwRpMkCQvqGtESdgNOzX14zQGY furBdUHeNtiyjLTHRxmOE7yUKopSTYPjFjH1j1T2A4JUH5z6RwuQp6HOprlNl8s7wusGxLweE5CA kg+xm8uoKbv2SdjKniITHjQM7mmzae5Hb1oQaa0pKzCHreaYZjbxDHVlyT/PD1zWFK4dGCamrdJ8 QOGdo6775XzM7H2jg/LWKt2HGPV0iVpzeTFPYSowFPnW3pv9WAwub9oA6IdNNqMN/wEmXS29pSg4 TbwVKG1xKpBMf6+X+Mgo+Xa9Vh+MZbshsqY64cg84v1c7AMb5xnsd3RCyyzULyfBDEsEo380K8zJ 15kiG5H1ZVZvfvNR4U7YVL1Tvtk7vE4o1y9WrxNnIe7OE5YhUpypi8wcw/lwjyGwsAJGdTw8NLgm 26EIeUB6D5wviIMZ0+C+5yoVZ+M9IENZO+1PtvfQ57tb/nkn1ecwkxmc4r18Xc4eykGfwqepj38a 39vYwXKMmcnlSHbFQi6o243zD0M+TL/ib2uFws06aNnpdzXzTxhdK2FkPt/Vg2XgA2pm8REsk0zK /wC+FtA6BiqUcpTO6AtosBRkrpt0YFllBOh5/1R/OinriXRMaqQgKOWQLCPY8YSIOUyzLtzL9Ivn Pvpdqp+F7k6IpTqOGJB3HB7nFKLYBs+nMoVK0g+Mz51FSvUc00wPrMQPgbDOE7FHYktPGB4msKRA iHPMQyabSO0XbkpqS5Pj+WLM06AZbfSfV5cTkeVD88LO5wsNIgRuLhuPvj8I8s73ifwVX7ktZ+uo v4NhxpXZsSm3wFOF4Ozmz47R+mfNwRsdy3J2DcGUvcibAJxlfh4kq22XGC9NdQoZgX+X8+UJ+Qiw 5WU7/MIWtJwGun7OILO082Vu57OYHxWFS4EdzSACvLDG6Y+BPTz/7G8OhLWhdfOfDM0y2l+I5mAK 7fuGHW5v3mqWxlJ16EDUIwGcMT44IiLwweqTPSs/3PbHehKCUxM+H035lpvKYnHpDU2XZA5kiHyz QRabSwuSKpqT9lnQunCKgeiKpN3IybKJEU9XKsdJamAJDAmQYT9um4WDJIMNPDrPo9d+tPeUdC3Q 3djD9Tg1Jria2Sgh3ODBzk8Mf/gcC8AGUGkQuVQwBCaxS8uhGU4yQqhXdqj6BnZezKi9s/j4Z4ve ChFsrnQvZlEJw/FJk7RjeH9ViRJ7HGrOTa61NsmDlgqEAFI+a40eGm+boEmWkScnA7C94M/KoIeY L2GlezwKNKuKBCUUCGXnbTJLEYi78xY3XgqqeiU/L6lIvGLBN2JPkgMJ5qldvqnGTa9fkrdejX1g NyUOZ+CSbju1VMZytbmqXHSRbWuMBWtfx9piySHEzcBcqU7Ct/9c6/+A/oiRQBHaS8uh7CpYNHWv eRFcR7R9LbGv4G9CGhjyTpFCNFa/DYPMtct5zH+DLCClnwbLuWUjwdsoxTePYoWtjqvh4bJOc+yA Hy/3MFY/Ik3GG7Ls2wiYdJCy2PDJO7B/yAbTc+aohqOLklUTR3MXcOL/QuiyEOnnl5Ty9JwKPoRE 2oSWUX8YThL+n2M6uc+OWnCGezPuJzTjxS1Y7OdeY8N7kpPkyGCoQjQVcxIBjYP67By8sFqBoIbv wZIBTsfeuvsU8T1Kg9LuV1dVPCD2j1lcrvJ47q/WsPpI4zqzTE1nzmBX8q+IdwceOvfLrUIs/IoH /0FDmeUrBZlhumzvb48a1Fz8sxVJJ3SJ88DUZiSI1iU+Sn8sbdF/GON8kLQcp1rLAFSDmGXcf4Os 3oUDNCbY4RFvVtuSSSlXNrmsjehCIx/lYWLSynvUH8CI4Lxmhrn4cJE2cUuyq6yj3vvdSyAcXZuk KPVzUwXE8JRi5tVBpnl2Hv/SAoaLtJniHeHOKc+7nk70v6uvnIQLaFTzosVfSDHjhxgavqa3lap6 3mu2IYh8GY0lJagaZHyDs1VuF6WsMcKL+22pypswq/v4dKDS+3TEUB7h+nQ+syUwl9xxmkW52k06 f4Su5DgXDsxD+F1PjAudwzY8uMXc3+gxASkoYhGRDLQeiV4jt69zyv41yu/nu2Mmhsiffc/GiB5r qVxDP+0dYDlCoXpRGgG82qZY/Ja3HVBTsJ66/ozNQ29gHe1mEYxFbXg4+YiwLOVgQzB08/F+wq7b +5WuOlJ257iGjIry/9ccRWitgercnYupgEMCdk1ezPW5nHoX4bAqMM6EbPK2nVyqCNYc94vm8SgS jP6AnaATEZ32b7N4cFt1UWVvxy5FZMHpdHCFofDHjIrTcudOPm6u1HiQVa/QVKDxBkBMmYpSxLyz wTMUE9BAeFs9dZGXFJJcS5sTU28hOZ479iunSPg2RuKJfyJcnVSodQTR/Z/lqc50ztS7vFBbaXQF t3v97D15k8Bsh8VEF1z3m57Qhk2ckoiLC1A++Jv91fW1BXIph6q5Xznry7a5uEUur8iHy6K9x2WD V/qOYNsate08BLWYthlDhhFkMeUOUVhz3ld8epr9x+s/aQat4fM1TSyZcHAHbnGkvUVXF3ABX/+o 0uSfewHooCzfvL5qiwhO6rLd3j3U4LLZ/q97U23sfOWptXGCjxYJGXoPTx+GOgfFIFL0fE4MCsVp Dk1+Y1lO0gVs0m18Ln0A15eBuq1RcdndkjeCltDHIymRSRX7VTGWn/dUWGBKrtXjfv+vE5crMXOd WmrRxdBDkTDQdpetpaA0Ir8nux6L03XEgfAJsHh+Lto8/raZKJFU7/j+kpQCsGPYsy011OA9hOMi gUKYZxU8gSH2E/iOm2zpFMdX4/SAWgyG22MphyQjoiH5G7gWJRki0QpRpPHaQp/tK5s3Slp9wXF9 Ke+2T2EhTDfb2aOBVFOUTBv/72N3np8aO/KH9xq3OiP9ArfQWX9By9TyMTknZSq6bhyp6u/OqpFU JBBW6ZJA/GS4jctLsFeDv7j5Hlxai9wirbTb3PmG1HqT1LkBrsMges4KnJeteVsYHJL+AvMU/WjX /HH9H6q48adUxK+7HjufQu/BNT1ZK5RnhQFH/IsXoBpibaZzEaBOiy7TRpFaZTWlOi7yNKqYDE+o FwFIuovhLCNG6t30AKdjmwtXgbdc9yplnYLG5WJf7f+SOrnPMW8Bu/8k+oaFRdDuOFD2AgCKkrWL LkwwycExVrqHyOjLfuUDAy0Kq1k5MTrUm67++jG51DZevFvToUbJ7OZjaaH4gyIRZDcsxBhwdMv/ aYi6M3Xc22KSP18w/WEvacYVrbOgHpQhaYVgI+t3QGQd0fQaP5/6CK5SfdjZhG2mvRKxfD3oan6l BnQpTEBOJ5P/xAONwEl2TI41Aeex1h1EGpSWm7wufSC7qwlyA6WdMI5r58iHninE4Ngr+uUOthoX c3PxIaR4r66zW0ZR75wVa3emr70e17NM0XtlLBnYveMbnjugwqcaMVigi26cc0FrWcSiq7dPn0cd 8jY04UizATBwPB6JmTY8jCxJEUrs69NH6LvRC4iJfj7yTqifPbA/Qx35yDWsWdBv1PUanLnK5ct2 XprgoBi0ZMgLZ6gRWIwI2xEtUoWaabUWbNI2AuG8hzq9vOMEsID083YsH2bxd5GQGFdJcvSk5N1e JNjrMKYMkCycQPoEteZqO2nytqdHRVCofi0ZEqyJzZWancnZsyMKYgttinT0MQDDw7Q7Rk+8uxfS ZPCDyviD5quLL3aKAH9Ic+SIJ5FOWBmqO9V9bB3E6jl9SRCN28yPkua1oYC5CQV+BKruDF7XXe9p U4/eOTm3PgO+ckTqD8HewHJm33YgJdBKI/63h0Cq3ZEpSz+kXgSmn149hoe/Ok5CUH7/EdnKBQnT SqmXzecXqmlxSfZ4p2kpqr/VsS0i3cj4Q036eB3J+AvmIlxNH2YITYY2FN2aCpW+IWYAz5BFGONY K/VuldE0JsYSscZyX37US/UlSYTAs8Y8vOBWcRnNlCABINqbPyKQBqimELHiuk7pXX4GDG/hiB45 7gCV0coxoqDkf8qDtZFxZQ73SHA3HgZskXiyV4BfvXtPHSQx2ep7m7jUdOfDfumT71OYCFl+CJFc ztu1w7QnOv7ADSt/CTJBnxwTwir+/jqMkpOVkIquMVoBo7AVIof+grQSpKmGKjpt27vrLuoPDRz/ vv4HsQRar+yODAEcr8gcPDXAkr+CnCy3WEcm5ykKRw1/zJ6CTcJuCHxI+dhcEf2nrrtLHf29I2V5 53XtIm+ZfUffQVouzfIfuMotDT2UyzNveexXbuKxERSFGhFooCvjyRPvTUfmnaa1v0gPQuWqRu6G 78tN6O/dLrQ5kv6SQg5+2XckUBfngCil8h7dPIOf2d/XpNVY+WTJkjZCRgr4/v3XgpFmySZlBmZa Dsv55EmFy95KnNhAuH2Gas9fnYDfai5+wf2rFh+Y6dlzWV1rMxSP+kD83FP/FcRkVizTC9d1NQ0v 9kZNObmKnyfT83YKBJAFx0Y//OWjCtxPsfK9O5mNpWjfjdYjhW/4FCwZpIDUFn/A2ypwVVE4wTtP ItLC+b8Vje7AdrFBb8HOCjiyta0PEDXDhxc5zY3bN9TRgC5+Px/aBUOg7i7bpk+eQlVd0JclF3VK /ExVc+wqt+z+qn842abevmrwM12SubwtW7hKEYqpKeYSWcrTE14HCdq71hM0BUyUi+xDTrPIsd21 Bbvsp9im7yzWeFYjf1qCbOcP5/7Gb1wPSL005kAdZ/iILCnbj2I50e3Qna0waJtcYD5Zk0Pn6fwp pmxatMJkbjo5SknsKwpHKb3im5nhQCv+nfRAfomtWbK1i13s68UyF/kfmeNcDNz//CUq+G2QBUWK a1jwtPn/P6qTdmN2HgNYE2AKobGPv+lo8eMhmWQUtDZGot5SdcQr3gPayLghYJtmg33FybfNAwzC saM02V1QcdS74x0S8BzCHsfI8EuC0LUnCv8ssNY+7qEpF2V8aGQZlA3ICFUPb/fOqrtdCLwywCAl e1d2HpY79Mbj5LDlyGhLYik4L7Z9wlkYJJ4CsQgHUlm7ykxFLb9RHqBSUH/Rc6M4Usnb4jPiSxY1 EgxVEUIsMicMH7G4RqRrjqGJj24D1pWylsbWLgvAK2ZDzQ5pvpEK4ySzmjqKXaEqDFz7ChuAZWke A/HaX5QCasiZqojViMPQKtzj2lIRBscKEEb+4IYs7P2ahy5jdTTqFuTF788d5yTb1mY070F1e+n3 pkceu9Yyrf1ozBv3mG6ZiCEbuXtfxXaP5Zvpk2oLQ0+Sjprekl6pSUFgkqaKwDEQrT6Ap4rvxD/5 rFgZ86XEINZQYtr56NTGEmWDt9/uTeYTiGqu9IsAfo+Aix1GnDYV758Qb1N5XcliQ56GEO22bAHS +6c1q2dl3Fk6cfI2uXWfzEOng3dgGUvjCz7yszVTcxImiTAICYua6Fgh+r41pq1H+Sg2Npyi/8v9 hIn0gWgH4f/4bxv5GWYeALfWmwauve/PPGOH74Ymlvb8Bsn1yMFImES58ynHghEJBZngfxHHlWHK QoePOmZFgl+5jWFoVjQrCrLbdEKQ9AHWVBXbGSYsxlI2YfbxTJSE3vI9qRrfKoderhdkwFVFMmZG MZXuekGcflcARtCe8SoRIaKw9C3PqvjxKvUcFfrbdcS+fsF/y0jBISdiGeEV/s6gqsECcIH8OnFO bLyP0l+dqrtoUb/HGhKCyQda5FQRfgs1rJMPdi+vp48H9gkdwgLPq+prahDorDq2UAhJa9HowIt2 Hd5hPeHmnBqjvSCzruVZVeq0qQgY4hi9NBL9WsFFWPEIuF7BfBH2LmciorC82yF4CHnAu+ogr1Xv vs3OYZ+u1VaNe+jJ1ecPfLIzV3wByJ1dgEIFIT32RndVonOWg4mzTWPVw1WJLeqZEeLJqUX00iRX ZFG2Ga8t9g1+KK2ITjS2X7HPDJPod7lPWCjRJCntbvPQAA424ajp0y1zfZpZpeRyW7BFIKUseNVs jZbiOCLt/iEkWegK/oxmUcVmK0XDXHydfsZ62ZEAaeVd4J38R7RnICUb6jvwiA4Ynr+fcv5P0WsU MpbJI++H546Whq+T0DXXB9qOFWMLFE8vc1XudrtK9TOfTYHWwulVqywm9f6LXdKYfWRIDuO7Hf2N BMVBqtIkgYLRyWLJ+PCfxeNYCQUlgrnvlnyU/gTigyzehkbYKi2NyTWaV0pFm/PDQLq05AavCQxl /TCD7xfGD3JbqV2Cd3Oj5o4ODiU8g89FuoVglr3+xwGZdgyRzl/7Im2TobZ7TLRbo+wA/lDw8x9w UJLNJj72rFf39Zq5ARytepq6CCAe3GmXz3gNUPfrI3HvlCxK6H5LSYxXZVH2CJFPcbzTtfqF0cA/ zlajb3iLJJ0NqzyfAwD81RwzF7Pz/MkuWz7vgyKuVh8WdzY84+m1sQVMNb/xikGiVprariQmHJUV 74x6o94hl9wmAG7SoAb/9eZi75XC9FHoxB+lfjz16vOb3caa349DuDuTDAbAUi3DV249oBInet02 7s9OfsLkPjCxLbBZwgfp7t03OFgn9q3Ak14nq1NVd2tKV3QHPOABKrRHoLSPHj1IKHGZ3QfTbawC FlLO+beZuA6cgRie3vf3AC173UroD3JMjpAo7MwF9Qvz5Cq8fEU+xYCLS1gEskCKoXyL/pYNk6fD sSodtp1rNgQWMbZFnagwI6nPRmNJCkMz3b5CYXOZcKnSrr0YRKu5wUsaVYhGMbfgLLP6vswijn5U ZE+cNy5whe0sBDlFg17uLFWpAeKsmvhpE8JCtfcO+sf6PzsWUeJ3FYz4vfYQUegVJYLaIM0fdV8N wcdOCnZGGgG9xfUwm18YwX3Fzuif2KBAAwncq5uMPcN7QQoxB56Z3xt4Oh8NUcjUv4iLrzTGmqrD YZCs+aga28a4VPo33yVjBOUSXuH2xFBNa7IQY2KcNauRFCWXS9pry8mQlhVoQKdGIFGUbssW3mZ8 U22ivmSusTutOT7/xg7fhclAm5DWoTQPhDsKj/RMXvWiw0cVT7cvyAgwDG8NYWjcGKQF85B8Ws0i lew+Xe6UaUsvPpJQ1IaxjO9858BeNAESHjPlzYUa0jNmtVAJc3H7MEtZ96uggfqo+N1bpJQcpam7 XxyX3VKdlEANTb2anTA0RjZEoSN/ZyOL3BwW2LJAYQQTA848rVLRdxQocDXB9ubMB6mbIhKq+UfI mXOhDWI+lSGpRkDGtr3oC1whXSO0y9K7N+FARTH4ajuBv9mhT/NzLmj99l6JuXSUQORgu7TaGojI ajIDq1sT9OWdQZYokwRd/EN97eH+kx7ePjp77pvFsbrDFXYzOoARUJXxmhdW9TXYtVu8fSwHEPlS ZF+LXC+l5OiyxZBMlSo4aEJe7xUbOiC6MR3WWeSsIiv/r0BoOteOKOB7W3VWuLGrOoYkqnZwaiGM vbB/+m+EOECL+dRxh7thFP154E0VN6TGQzNpCjtoKn82ee+X9fqkJ/++0j4tSW+CGFLPgklJvxVb xO6mYD+9WKwcbwP0eYlXMyZBPPB+66LCkpOGPngRZQqdMWVkrEauzrZvYQgzTCiVyjkXnXaFk8uL VYXoH+T4bzGY26VETviE+WF9aWLniw+JHaUwaNDDvfLpvk94AA6WuN1dMFf5X/Xn6Qs4O8Mrigvr N72uq7zLrphQigoJR4CV+S8E79NFnvv3D05LN/FjKj7MhKajb9gqV64jWIT8GgHDj5VnUZqD2g80 GtlfJ9HRtEoQMxSz45rANCW2g7f8LRCu5hyx+rGE4n2ZJvr4KCKxDrz0/aq2DPQ/JgKPvHcVZwNj xHD3TvHquauEG3V8oG/CS8nZIkkmZ8m5XkhF1VoxFKFwa9aokW/f0E3ahQD0zd1fx2H5wFWs4D+J weFV23dptc2fJWh2/2B3gGy0NX1X50zLTOt4c1YE+3OkO2PEURz/VRsjQ78WB5F9Z/FDh1voqMBK K3l6T52bi1YncMdHCJx+/IpsGVEqqnnOtLMIeNrjeHacDp/46Lbq+6+pw9D+cF1uy7mqMd2O6b2/ s8U6RabK5FhATKqeTZOy1tFWDYa0LcOLaL7AjYXrYetupgdzRIUJwAcq6UooDTtS+Jmzc/aI8ALI zDByLfg4jxbXF3iSZLPfrPTJFIklix97rJJqPJEzonbgn2i28Xg/EkTmMUrYfBQyps5xeXfOorSS BToLSka1UDv50HLK5T3qBxtCOdBFmcXt9mbRbfWMl9P34+b8EfHs+S3NzBS5bo+JGzYaHEWTm/6g Vvgg5DMGmZkF5h0SVKfriRUECe7GRHPRzQfFcLaCY6GBuyggT/+RjVvE8Qw8XHsXWxAudHxYqMlQ rpz5vBQ/bcOzQ19EG6WpKHIWnnLgkhpdAKbYgKCUgsg6wIp6JkE1sAZofg02jDwhdhGl6hXznXXs E0r3ZhS2X4d1bE5jYFH3UgaM2f9rF82idt6Kr0xRte9OUcdH+611OrvEX/DB60kg23YhhU47InHJ v6uZlO5m5Hv98rxlEBgEKuKlbV1LtnDUcpI7JBrRP+dMvFcDJj/sV20C6Pv/sE1EcnCgUskLLvdb jZ0ZLj6lYs89BFAOIrKUOUrfoefy4p3q7vOh5wvmv6F3vCeV1pF6rBPGWHorsoEchiRWQhlNgQLg PEc87RK/YAen58ypJugoFgt7OwUCe3sgX6SMkTpeN8bKHGX7GnhFGk37tvFabJEYIpTnTHT8sobp Jmuhf/Y/TX21ab1ZUfdrebgGJIBg+Urjvk4QafAI9cfBa2r6jrIGOwpaMyHYMGy7ZPAHpNRPFd8p Nvhp7Q5wAdSkM/k7svAiPXtRq1jTuTSBNPiCACt4Bz3s6tomlKO3Hlg/nT8R52y7WCCAVJ9CVbZO Y/RbcBu9EzjdnyzYxz59OZIxx7b3vTiJtbGtCpTqLbT7BviCd9VZ+c5Lw443x3gRVsqVVwlJWmp5 2AUHu0hpb+A8W5UAFAk7nAjS6zqy+Qj2cibcimynuvcIQqdMgB/tco3ZrF4+nEZvWIsHx8q6kETZ bD+wdh1BNhpjW11NWfCibn8JoZnD3E4aq3J58Ylhf984fnkdxrDzITYOeUstaWjZwbgBIeTZ6fl0 JEDhdjyT1/YxZ9fTSZF9uJDsSjYajHsqtnQ+fpCVMLBwTLg8rRkBtNUiJWFG9xtvoNRs1OCyEQ5w 3gj3AP0Rrn45wNqos1Xj2ZkF6Lbd+0y67Sv18SbRjpZ4UMqLvB/++Gpw58K92L8K4JULNfHhN9Jo vLc3p96xmZ0kFutT/1gssTD7jVY3fVLF8lBJ3uO8gRIg5W41gjvihOjVwj0cISjh6MgBdaxNaohe pqsmi0UVv8Sbb3h5j8rG07Cyc4SCB9D6huO7gELAEY6nnb+zJG2rlPu1GwyJUraPcp7AfZE7Tbvf 0xeK8U7e1oJ3ODdYL5a/Vqe5o0Pc/apc8Xz866mbn2ybsaiEUiRnK3oY8j7lSjWu2KDhimqysgSm 0H63uJKsi9suRXz1l8E8rV77rD+BUl8h4r2TKsatwM2mkWgXrUHKVtzXrLigT4NF6thAF0VJp/zR XfdD0YvJPCdjjO5vfaT8GTxl8D0SYVwUcRliC3IHyIgPVC3B5661bo+gx0DgPrxoPmsKyZulua8b kXgKO5hf4Z1i7k3mRDade0NMQ+2zhsNrh3zl0v+Mh1o2WKs7KTKGckLDAS2Vg2fHzJF8Wq3PEddv DqiSlOZGls+3PQ9WfG6C1i/6CG0Y04n4ZC0TcYkx3d97TU1BnvjlhoXI4WzUwwtwAr8+fp34/xHb F7P2TEjXNZ8D1KG9s1XNCph4Y1YjJNremtom0Mv/Jy2TNig52osVjiu89ADC0SWL/XrqZBg143Rc h01KmfIMPoEU/CbsVaoAbPgMtnzGrorT8sQRbIPpMPhrTjlRZ9lv7WKQrCGXPenX4krn3cbLS66S /7EqgOtyXDlxswQOfQ16hr5jSFa3gQgw6vCnN7F2+gw07YV4SvMENvY2AtYKBUUyBmZliT8KuCGX XsfWoU2TlBrN4AktQXdVML3q0L403cm6jI6nszUdOmaQ0Sw/xx5r3O+pdJdNsBoTOx5oyu1vSZJw 9q1lj4PBmeQRv5trRjOIYgXw0Xq8nw3y9liUpqvoavVO2BDRGjoIHmXIg+siSPHuZFnQdJNljcAr UYQBqn2pAWS0IuUZkko1LUotfAbb02kwqLKVL0ZVQfuNGJEPcr/rNVG9VeUmsnTZ4elgLrgH1QqJ rxLbijRCamo1uC67Vsi+N0cb67xyB19zLn9CUb2zkC6J228mBCqZ2WAWCT6SpoeYms1uqHWkN9dW SsTLz3j2lx1ZIw4yb/+06HiaNgw2tpwu5ZlAgU5RU04sqNReYU5uFGhSzpZBaPNJ4nEtpcqqSY/a ruLeEEf27brb2cyth4VcGZxifQ8h/6Ortgv9H5xoiXnjZhaX3F+zhRbo9TvBVgeV88MJUMk7rHfT mqD0mhRqwb1yQ0HeOpuEq3zNkqfIWsvWTl01iuutnWD+CS2mHnGhi4AxOlCEgkYy4ijYn3K94Hzp Aec/gHUVTz/l2Wdm4GanCF0f1ApPd4gBvHS/rQzwQqqganToOl3+F3dN6GTmutgeAINBhgVwNUf0 1KC8BbLWovbSFbGWb8F6X+iDMfx7/5qpxIapG/vOZWVPC1n40JtwlT5XEfz65sxNJ4GrMQo49tWu fpmUWk6KCGbiKdEqSHC2/iIEC9WQqV6tdf5FUwxFhdVNokSmgZNMLkpq+KvOlM4cxjfcT85gagN/ mW3rywW/By4pNEwEtO13MMbb4UyRvNHfCBeguUODUdTuYCi59th12WLoJK6uwqMaPl24j/Mh8SoW Gl1dPlzaR72rw+BVwE0L1fXtoZyRBQhbiFqTGsROUqb8RGx/dCMiCvytkC5yFGLRocPNX4953lHN l2YF3oMgNtCcyT/RHY01GeYMDC051h0C2LErw3QykE3Nwy+GzkGWmo8Nppmy49ClnqlA8slCvmry mYBDX20pv7rttOtzsm3BnCgLY7WD0mhldAwOrpCl5VtA2t24raToQa5uADGQr4bDys79p6epCpdG 4/c37k/9hfF1LoobViInX2gGhUAfv2XtLZDLBeUuOYcagYc6HSSI/VtS0GlV8PHREtRukpToRvdL CPDX9xvrT2DV75MDGbgVPOoqYOgmYSP06DyZxZrJWy6Lj4N8UpnJwfSQS0/xo6zJHw1fIf5GRwDG C1/x0WyBjuFWLQtEkZswx0f+av8cOEx+TLC+0JX2Ng3J5i+XnKgncs4yyn4+FS/AHUPVc2mrrjKp UlSfAKJNaV4VcwbAaXMGylywuT3K+miHs1UavAQg8/Ut8Ohsmh4ipzXhOp+ofGjY9dfTkmGlboRK dRbOIWoJc+HF+AvXy4kfwRmVPLaf191Ct6Wdh0VeA+zrtmb16QMR5nclxnCRtj48NLG4KLvt+Zr8 c8b8vxamvfxV7qnY0PUn9Sz593VWUtsxu7EQKpAtLvSPZSnJd+QvpOXYN/0K3cf6zvss7S+G7GVP pc1xD5/PhSoMWYdmFq5/ycflTIunS+N9w82bt3gErtrjsaeks2n9OM7iAHSg0iL6Ejoc5ytzHrw0 Wlg4rb09m/3CnrjhuCe3mNUxxa+ouIgvVBeTdSwiUmCbyAJ41iBbocuXF4XHSbt77omWYWj+UPEA EoL5twgSXdnykpTyuJv/UHI/KhZB7tJQNGUcDgtYWD+7HuBE2l8HrZrVsr2FiAYv5kr/by0ISaI6 iennDRoauf9MJZVdZRXD/PQW61vKzPMKhgUGBNkwlTzyQwSTGKmPFFCSwSlIILQW2pehZk5bkSDJ AS+2H9warhxqlOmLOiqJ2lV5ln270Qtuc6LkoaNNsnjU7BTUTV4k50rs7FjfiOuQtiFUkMQ5PcCr t1fMG6O2z9rSGFk7sZr2NZ4NVNLMQNuNpNMUGgYVt2ypx0dXOAmrypn4wCOZHz/h1BilleV5lyHA blZVl+GSvbuOlGdKQ+SuceQLJUylYLQSl/88HwT8/dB9Hrn3z+k4ZAuVBkS/y5k6QrWseNn6puVe S0qvkguDxQ/CXRB09SykDhR0W4Dmo8kZMC7RRcBkfMjDVhzvB3wntYrnVsC71McVAdnA93gALZgx 1JwkN3757a1bfTVFV1J0rGwC0BGclo3CgCziQqwZeViRQ91tB0UztnwlK2ckarlGNFIOOi96D5Zs qHqxJdmrQq4yDSkCBJLna+EZOoItzn+9jvupb5yW0yBu8Kz78iq2BnckOyEHmv/78vSGYeZIWu2A a1InUVlMp3h6vNl/jkTo1fX3pDE2aXrojuFtcWPgLNho9m0ubiR450jl6EXmb/FM+rABbOXjuVtQ KaXnRQhOn20sL2hgFjW1lTTKr6px39kKr4mYxYqm+01eDDDQIvWAsbPn3q971iJPdgSvrYS6ej/y 6wUV+rBzuDAZjkozVaTxMkadEtMoRPntM6ykSLxekmwQgYnbPDyEezDQ26nGYlqDKrgsmVE6APOs 3TjaI6TfylxzIUFOjKQi+6v9aYEOfYaKB2c1V8hR2Zwz7nQtzFGBebVGstKCex/sC+SEdab+urEj WDcLAECJodpiuM8+pIwddECB1Xj4i7FnY/8JJHr3H94ktrd0O2H8o9vQ/pNvE1SU7q8PcTLv9wDD UTqO6Girc00UIXrd7MEw+HbCs4OgdiWXxmXbHFknlrVMPYMHxeZ5BfzN3nk9WLSf5MgmU+rnylNT eciotqimAbpBupnFfYNHGP0z5FGx7jL5/GX8N+bmtLumeyc4iErll5jcoHyrbV7M186jM0+ujjpF bFrBUZgUmB9jje4UEOUnQ9LtgzC/8Rgtb5i4fDZL23h9F/zsiU/4Qb0s55ovzqm99iPfMSmQoO58 zqIr8bq/nxcm39BSniG23BmQQ80fNrxdroLnxGB6y8CYKIUpCezCxphXJunO/BsDU317+U+Ujgdh YGS+NsrdFLILv7OkCIn9TGSFetZvDQc9GTy6Qj6vB+IN7GzNHZxLyIFUh2ugBnHQ7T84xLXdOcu2 3EuUMEp1Sog43PaEwY96hBxXq86Yi28WwCiF05VDBB8p+RCSxMsFK1u90b/n60D51/ixdkGjCtDX 4G86enY6cCevuqVQUszW58MGlA5IyI43WrUanuureJQHHuYlzhCWLCd1IpL1OcJdPFitOubY9FNd waCD4YUyL3BvXPgT8V19jmAw7dSLgQee+BaR/Ik354ITe+d0phVbv2dcSSgceJpltqtGDk1MsjUY uyj0bwpGcNTn9Tec8/dC28LTz7Jtme7QYzSuIjxw1rcxkO5NIfjZX9kQcVgt31AKkpIw11RB9FyI iTC4a7F6kJzJD15rc/lPAQ0NAp0HF6430rNAyhye0k89L0At6LxCbENFtArQyhFoJBerlb7Kf3pX qHBt9K3G6JI3Km17LoupVR/jfvaaOj/hNa/kdaiRLm9o8ZzMLszrvcVneqcBuv+UKojvdRBWgG7J 8jhl2mqwBDPBkvKIwyxRWXktynepssETMUOAYTTvlVQGjykh7zb8vDoL2IbZkgMn+kUFeKA2W22D W3FlzMskPltXsxAmFanKJ1tuP1BIGTrXGG4F77M8cdi/2n0kgSelkeqjLf9gJsPNegizusmCw4PY 895YJzsDczvvs11Wqe+QhCMtz2WBZCeQfJ4H3+Z2XIBap18nGeTCpQSMukLRMP89E5sMEw0F7mys OOUawnK8IzDqRcuYZYPSOj9/xWVj4iE4OrrAQEcttVPe/aGNVHvtiXUvcPBr1OxN44aK2BaGfCwt t9y0AKRm1PMNsJ94tNQ+tZx6Vu1n3rx5bKVNK/ZkCyfQq8VKFq+fLl7SvC1MbtkA13dw5fP4/6Bg D4egjyO3ZgNyDBK4f1O7mZzprL4Mr1rukQ0cZ81fvzoeaIheIB/xjnuwjSfP0qoZoo5G80HcJoM0 pXRv1I2yPxlPrkGgBDTES2ZF5Q9Mdg8eRu5/LL+a3QKscBS835LjlFYGv40eOVsRjts14jZUXSXa Xm2xBFekWCI4IrCeV3I1kuSYUrxTQDKr4EBQqUUCaa58hgM2mpVgZw+yE9Sm3nLg+DatFEx9/oJi UsGMBhg6vCT/kr8Ow7GyebIIgJseCNUzhb1rwBZ8cRx4MEhyN6BSxM5NNiVCiAQiBC9QX7vJsPqt W5+5LEnxt1fMj8wBJcZgaUP7oLLm9V5JqbrakZCnxBJlBI1unTNFAvr7GcJAVarKZQ3BeIjOwf07 WrzaXgBk22SSR8JttW4dosytiTaSUNIXHW2PZoDOi7QH5Rkn2Bfc/fC9NwPUQHKC/zyeOqJxFHpN K/oAJSwZntR8nE1ky90S9f9b5GUVay6mNiOKC0SAuLqfoVDc3FIBVwh3zXQXooQWknR0B3v6Yw8o fyy4q2tfBas9t8M93/IvM7NDc04YeZkXhKAQgVa2AEb3qXCs2FrJqZix4QzwdfjIpku8rSlRGYbM nwGLWmCwV3+QwaKKdL6nmxY1V8y6X1ijIecADbpkktE1K+ueIZWtfWKUb9lFnM8XWfekTSDticli 5ky+VxbbsZvABORTLDhmeEh592JEBEDLk46mkbf0CqpovQKmWf3FmiwzBYTbXP9r17RCdbDUfCMt VEriGKx2jWwo3EMgaSr1GVSt9fi2GahL5KM4Jfs10xAfWIgbwg== `protect end_protected
gpl-2.0
e1377e3dff29bc440b272d0ec995c9c4
0.949974
1.821205
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xbip_dsp48_addsub_v3_0/hdl/xbip_dsp48_addsub_v3_0_comp.vhd
7
9,350
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block U2ApDPAmNTzQCV6NDGQg8pvYthO/i5dD0Gw9/kD+Pdu42UFdSL76SLGGZlvqepBjDcmI7yPVGhtp Y7pjvQh91w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fEU0Kq0PFtAZtYwB9wz9Q12+EuF6kuk+suMH/xfN9VCb5MiKxAuoJ2QKHszgh0AxBzp+RcBV9SUd s9M3WvxDHYDVBzEaGqox/GCRr3QPMAupVYAmHs6lihZG2O6TFkoj8NxC8TuPxBW6E/kYwVH8Nz+Y xgBtn9200PQeTu89j+0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iN6hjhDh6kf1HsNl8uRwdBpPPlp4l+4zZJHWd2jV++46YsNU4Bh20qfiPW3Diu028V8ThAETXy5z BlsQHTXpumgvsjhlnq9ipN38E1+YEt473lZTdbWU+bJ/c4tXCi82tCIkmfzXjS++lgGWaW0kWAPw Fu+U4N0y3rWsDhDl/dsPEtwngdxIraOdkc1ofS5l0G+gdbldVe+I80P2z76RmqzwCcsM265lxOaE NmqL9izi6zFvk5hvbdyq2qs9mrvk0lpNBgWZk0fnB1sVAtRirsh1ebPCkTGh3+J/6xTdYuWNUaOu ms7vseljICfwEk3H6QybSkyXTRABiz/UARj3rA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IMeoxW+3tsXYFkBT7DhB8C8pj+M/mSCMTcFONSQze5RI+aem5ePcyXiiNUSdIOYCYuMzG+a7v6PT AYZyrbgj2VamYqdeQkJgkMS1hSKF5eHO3HrbWkQlXi8Mx1hjKObRx7P5T8OXTLfXj7ZoCq6nf3za tjWQ5DwiN6eVjSBTXL0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ss9ithmNWxoI2ccoDAcLm7edumxiMEUNVIP1gkqutqtanRL0EPW/r1HtlD6uM+lntduNP8oeGG++ 6doQDZaUnNGSCWSI5vXBzAqyJnjeclALaV6zyDHGnzaTDq/qWtPVuK5Zz7Y+/0LO9bk2Y4Xha4+t Y/JqI/o0Ra5e+oV2pHqe+BCUsTXL2ZJ8fHynm4JYLRRbJED9Hk+1xDwup/kB0j6lNTUR1n8uhDOK KIIFVHdS15TSkQ9ssD7clEleDCU3Hxx7nqr4jDh8+8Nv4DXtBAOFhce3NzqW6zVcBAHHG34EPX6d NF5SzUlUIdwIFEee9X4WuSGuW5S7dtHko3IgRA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5184) `protect data_block GSS9pt0hPJwvkZtKb8ciQ/ejsKl2BYST+I8ppA9poJ7jue1bfDz+y6Si0zaHVaZRN/YwFGWNRuQ3 F7waX33VyNFuqGaWWDERhkEh4juJKUbPcRC4dVcW0j+TyVLWICvva3MA4GKU1tIbzyoAUvNVkJ5w iNC/CXByG8Lp9MvIfYA6L721Bz+k38+JhNtkay4VjCfBwCf1Ns7Vma4OeUPsDLyspHM8qgEoU6kU 83Kf2FPxumV8pHi7n8HAGVJDe2Fa5If414DcnD4y+v8ixaCjqvpEK3m5hdmAmlpnBDbn0wHv1Lx5 OciYz9mJR/bAzTd15m2y2IaxXwOzmtx3IxzYAfMIZ5AgpnbLECUVbGW+DinEUvM7+n6a/eeR9Y6H cg5FGZ6+b0Qoy5SuI7LumQeqkGZnwI9cZ16rgBn//SHXWX5bo6u1JKXnk/PlpFaet5StMttvEPly yjjzAamMErxVZ1FjVvkNuedUS/9D/cY3CPWAWzHIpB2DRphUVspNC3VZwioYNt/XQ0N5UAYFZie0 GRQ5+G9+6mQpe7AnnJu0hiWVSqEg9P6ebtvbS0tP68Uy6dXFGFp3KmAKwBl1GQDC7fHfBpzzjIPf PK/uMRygA0Gf6S+TOaGVP3lVsPbB34y0fd6H5JDKZEb0OtXETwWr4ZdFIaAGPB5ONX5XX9LC4YWS T0m4/htMn++Y6Pz44OdD/arcGy8tbygxaolTMrH3bFg344oAXBjhV23jKYiwWjnxzr1fBoswbxlv b+EnLEUggjG0BixPqke/EpprMOrKiEZY7nVTKShv8WQ+uC/GPJ9LQvi0f7DDgbhWDVm/8avoQ09I Xl1ItlOkZrI3CAm22s7LYZQyNg2FMNl1jG7Iw2tJ8FGq3D3sSpuw1oP14X7OBKh0R+X5h+3MoH8e xvCfjdBNDSsOlVNhFHY51m8ByBe4LLHJnbILHPfwMyCf92wswnNKe2EoaZ1If9/g2yqd3PZU37zn j4aG1tE/SA8vJuqtcs0Et022NQWCD7r/21hQKFbzqlVsoD5mbdI1yWzZSWW8H7GMS3pDnprEsaQH 01LCtPIYArkEk4XAAa/ljM/R2kTEpLKig8nEfds42vEvsNg73AxgaYp+ibhUlPO1N1kBv/YmGK6A ta3SScGjjAeHhrEgDn4GRQZjfrc/hAop5oAo/8ipifvCXAC8aaKiPKWjRzrrns/lc4G2uAWPDt7W 4Vv5c8pu6a1/3zjNEy5cqViAhvYI2+8DaWX+xxU9Mi7VVu119Nx0bJScA7eXwBHrnf8rUUVfGypI qBnVU0VdlYGHyesOe7DL3zGlWDktjbLujro3JdiVUbs90wsLw47cVlANGAY1cT8Bv63VnFnmcWUM +doeX0M2YaRm/cIyPI76NU7G3I7b0Ny1ksz0T1BcDvwMT9Zzs9TpyJQkhXcn0T0pjXYJiBT6H9Il p4zHth49QQEyCtjxZKho60mjp86WaNmhXQSimvcbcv+InboNUp8hm24DubOBfn0IwOWMRvvKcb/v 2WlQ1fdWsaSlSxbzNS2kNqAXBB5d4MwuGu5LvsD5E5+VRsHxj3jVSkfAlHanBLGpYMjRwD/pPB0g Aso340Za/cOG4qmlHW4fdauuySBIYRWANYtGL5ehjfIXxVfWmmFGBQ1MTD46DZFjqkxWhAoFBPBM FIPJlvgfYcnCFByh5FLy6jPyKMKM8d+o3QQMTCn8FrQ+uyh2VDzd2ldBH70u49vOGUdhL/aCZwRk kSFj2wbLIcP/WZxIAVydMkFQ7sXap4n9o8UqMlbR1F5Py2b77t2A3K9Sbx6fWef7Z/KuPGnIBhZE gE7FH4rJavA6Z/vMRJpz5JgUBf+LoN3aqJDy9fL9cXg2iQEf+VtAHasOaFx1Cf5WghBWzR4vZ6EQ ldMNwMBLYmcNtEGHrHpVAuNBI404dnvi2Z2xJwM2gsRYvvieBcf4B0aobvAcXtYwpLNoMYzctXxF fm2EBfTvbvE0RnRlRmR3sXJayE2nFbEy5qI4Uu57YkbZphUAnh6TXJ6SxJ5guFObCfXVx1waZfjM w//nzsoguZUs8wrYUnwanR6hXnj7zrMrjtxUhgwRGSdVOUjmn4ZuaXgIQgNa6VlXurV+ROP8EDr5 bcrd/t9hJjczF6ZfddzlmsmngXK18AnzaV46hANwZCV5U43TFQHSwDSvwdIPmsBFJSLdxrPuFwt0 J7HAJGQvKExQtH2orWTSTEIhrAHgQHzLAta9sfIYKmyz4A7xp7WNTWzhdksxp3iBDFrWEi2i5aI1 UGjZ/suam3LAlpk8B5VyWLu9EcdZSvfqEEQzDvBpYGMwhwBFBhy3/wlYrv4ckIU+uTMCh4q2VQTD kfKlecelmQw/b/0NHUhk9BfY9REytfKyrNvlawh/yRLWGsHMIbpm8/0P2hx9fDHaWOpeI9q0HASu 4Qm+oRGnBW18PhF1wIrx384V2PeQy5mg0fBONELhIZ18EQk/DqEWQ+p71gDv/f4xKjKqj+PZkaSP w+qXl9t5p5gxfzTNCr2c4MiAazxxY3PSUEPuFLDwY726+w0WALTjONHKfi1tE3of9JxB75XdIku0 RyUPBzCb31Bjgrmhjlv8yub9bH04rmmpQgoWOQo64Q2OUOcXC/GJ5XMTL4nH9z/kJKvZxrOjmzLq HG0ObWC2STSyc3VbJd0qZJDCh1V3uB4zBcr+UxrnpbtCFA5tAzdWHATU4O7T302/fNZZfBU5886L 95t4RORdsfUJbgKpYOM0L1EvcuSEWBClNPvXYKDrtEKXfcuKJd1CxI65YSG896DezL482aFjpG5M RuFG1ASTaCwTGOp8cmu6kjygyNRQl7HfiKd4NR0C7+aeGGo0aEPdXkv7pCnfSK8yRExKe4cmJHMj FiY4WIbi9bzRomyMA7LKiwNk0JCX/AUuV1UiajTUGtVNfUvDGQ/b/PZbZy9DeoJXfV++AwZDLNFX 8gO466gptxY2nDql9OUcziqDLZbyoAa/ljGpUJEZYSW2uRWgkJjT8bdF3GB0VWauqsTfdLL4W25y BMhWTbSHCYfSFbsMhLboUVeHPqKnTSmCRTKy0DQYsAv6kzMKU1ZL2cam+Vki5vx69SQgLa2jTCIZ AfebTY/RPVNm2Mmryzk6toeenWPi1q14W7+papeBTrgFU4Fl0q03CR8vKOarsO6SBTEQzX3P6FX1 GJ5UjsxE0l7TT9V7Wa0yGEEax7rCSRDSkPFFBoCgFibIyp5E4xsS+xpwD06CptQNqozIE6ZSdIal IylO9HBV1aEYarIfI2a6FE49Sod6XQEl28oqoNzgaqJp0nJoj5H8vqqhhDFp00l3aWgrjZYlz9b2 bQf30M/OTCsHQUvqn6e6aZK7Gh1L88S5iUJs+DScXbztoUYQXooDe6aWK1o7tdgt7lQsU4E6PFxg C9BOWZchOC2LchtJsF7c1bLqaColQR3RT0AtBHziwBKKbO5c5byqp0PzqNIMUDLn/vloGS9QSQAY 6J5QuUB7cWj2lFvk00egoOXqZL6BvsfdLYItK0idJhnGW0pI4EqWyX35qa4S6sRnguIQBWzzmKJ7 VsEHfvV0wQttYeesIPz1Av21uXCV64+eMfm7KGuTPtslnv2S0SNsQRARrATYpYAviUtSYsz3cbuB B9iF1bmbsLqXAn5vpum6km8VWkcNSY6kxPHsSxvCIz/2ioJtWx6JECZr8MAzzEnjiML7n6iwG/Ze B7L0prX1vS3yQypq/yeyBPXyYcD+8LxCHtAlW1OHQ0vbAyN4KthLrVvkytGFyN3deAGeCyEkMZdL dH1qfNQD/UDZS1qWOdB4zvOEyEbRmbbTz8NkgZE09cdJIoW9Uy/ACzGl66iYnD6sNvAm20iWYDHM NMVONFgf2mGLuiQe2IwNiQvs45EIBCiERVM8Br3FYcGwL8V0Y/bGT2Ma8stgPszhJakZ4A4w5RNf ohZkpYoSASTPsuRcu16IiEXCAIdniqo3gOhw18H2fnn34rKngFkMoE3i8TQBQjDtNC0makaqCR0W xtydyiq/5Cr017oTxp5yQNuZ4w8tklSYB+vVCwNCvMkRAqp5Kw9/CX0mBGusFKGl0Wn8LMsX+kXV pZeXzDPcBA4n5BTNciEv+lBa3x6L1s4TMwxVQghcKzx6bwTr7/FoE1fFyHg4lG6RHQ98+EVoHVBZ Eqb3mnHLaQ7c81RXjtL/W7g0PVENcEWLzxgXqX9AypNK9gYbqTy1vArmFiyRNjPjWpAujBLNeua1 dVnHz/aiTF+abjQHHs0OMXqanIBIwji9ko6ag386QllG8MM16oLS5zQ6v91hNCsxxewWDwbF35cn fmtC3YW54ueAvkJCl4xhKjOJWtPmHkGzoYqHQ7LbGIkKh0CX8Nq4Zjy83VywMnKlVGDCu2EZZPCs T2cm7WKntBAnhtxPpSg6/7uoUptRfOhoOazsxuLwoZwZ0i1XTgLaUMt1fbOzKeKcijJnD6Wrai1/ HpHFDw2c25mgjQ3uyiI1nrxonKotDqgib4RAfJhVy2tzF8aMayXKFF6wAFy2aMN9kkFWeKpvAd55 QpAPdNIYUN4QOZPNlUWz4+uOHb2IsTbw6GAMpD+ENsEV2buzb4c6EMIjrlOBdHhoxnyZ9D6KWiun JDNIPmZkPj+7Yw/65n8VVlYMeZfDTJctCNd8qtdMyHL3wVwbNDvvxB4cligyOZr79CeDTNhWfloP DvWR5NraG4FYuw9M9ZsnvWB2H5iNGiiiubFeeX5SrFe7gMqAA86er9CZKT6FwL3w8RR4I+92GaE7 8p/Bjv65OsZ4l5cXPAjfk1ENredaEvuJBqLT+kUnRWZD3j6eHf5Y3VUTVQz9ooqKdTPILjfzjRUi 35HMUGas9kPsRChrfm+6gqJIhh3bzXuk0kWB6XFLdf7v+L1bKjlkr6647guatA1b+P2jPkqcKrMB TpBGwQDNeHnsqGohGBRQITbvqCgqsF3MTsvYDFwCz3YKLoYMTpQwGMA2cCoGXMlfRxVP8Maya+t4 t6dOTPLiPhfXdHzkyuiw2/0rvZFbENHV0cT/UlFvooVVBoaKA0WWIx8+axr9NV6iZNaYZ45pQJeH yiCul8Q0aG82xAGBAmr96MbGuM0CbQ1gBa6Q0Zn45utPL6fu2zCAdkcppSiDHdAG6hP9hXJAc74r p20+5/m1R8sKZmP4LrUmgdWqa6+ynjIYDfWeAAdcWffLRZ/MW+dmFvcI5jHL2peZs1tvF0rLLUmX ZN3ifYxow3YRIBl6QYbnsdUKQIvGkw3WDSO6ly4adMPrjtwFRfEEusZS5s6c6+rdu5JmRArdTFut fMGgdNj3w8DzBbXNGZHWOCDCqrsNP/e+pAEMWqI13A8XRU6CCGYmfdkWCDtVceREWEf0yWd4e2Tw V54zDUAa0QScasYkwHh6V35BU3BpRGRpcSgQv15ZKxa6ijQ9MbkEjH0Ui0IJgLcBs43BS2z0lG8s b5apl//Nuoc8YmcGxmmzaHlY0AnXBvuYbAGVJrvMgB3wFqNIfVpDSM2bf5fCxymhETY4QDHLaS9j dVVdWuu+RVWbyIhWjBR0VOSywtzPT4pHS9g3AFIu3mrKyIzG0cZBEIoPVdiH2BWaFR0rkQsHjgnS jjHMYHcjeFj9ZVCwgKDDgloH0WZP9ducwSw06xRSv1QdDF1socnsD/XWECDmT4Mm1KE3NeXTn998 Cp4WdKGGwXfrYj+BJq6ccGxGtsuGDdeURTvtnF3ghLax61o0l/ERUnvp2wiB7CYrTRwmg+v1Btue vcP0FN1HjjA6wVIYgY7CMIWT9k0WFePdzTwrG0SSLfN54bpnRUGJe+N4qJhe9KtEhyC4mCCRheFl ntgKUjO184xUjpvooFhd4tVJaBfbxIZtPeVVamaDTvY8Yqh8Qe0XMbsBhklR7v1oFJQVOBmUHsCi JPy40Lsq9GmtmpKyTQuoZF/C9MV+OCOxqnRoQXy2mvTNVmOjTFRfM6gEL69dK1tDMl4gWbdooksH Xa1//3vZwagwdq4DFTCmzfqZ0KX5Y+0zAa91PB7tLJqW/Z37BXR4Lc3IJRnGr/zioW6wYAJt3Hs1 628PHWOm2gegSOTXJ6hloDVHb1SvQYojKKFN/yPJt7MBs/e9aTIK5/mPKMxz3JSNfx4mc/+N0HFn DtA2X+ohtgMTpfKXuq7lx0rB/r58X1E2Ycf/c+r8VhbmkmhUKro6j9bXrbwcC/ygMqasWMuVDuwW TthDkxTKDSY2bPksMziGNb8xTPlojESzafh5Z2/IlpjuiQj16Nik5DnFW4oLJ0SV/DLaa/cr6cJx /ifrDdLo1DR7rlG9bIhuNRiZ/Bj69CSuzyd7WMJyTD6whiVUJ4uTSU83Cx34bMSefjFqAtxdtoEX WM1fRW3pwYqtkUb5SbmgEVIDD6tGU3I1c+6cthXCeTf0ogZQWlM7JGEewEJKrXGC0KNLyLfsBrUj RF4wkYVH5bbFZjYkwatVLm7tC/GGg7IAblvoruta3U9UEQ8Q6100YKoXW35jk/At1nRIjnr30FzG GbpiZnK225UQWGb9K3XSkooYC+2X207AEJ6eCHELUBzL3KQ6JmVVBz485Z5FYTFK/KazC4CcP/8H QD8ivE8puI0WfWTy4rLDmY43K5z8MSLVXFsijx/sF2zCVYY+TENp6PM7IAybyFFSNlr0X1YwPoIo u+sOErJ2PG6IBcLLyEqwZx6Vg0T9D9cs6AYbUryNidS89KODR3lqxd4NEo/TsZZiwpwB+SgV+Q/I HjcC4TaM/4WT5mY+ZVnUUlC0hXPJcUrz0zlq6JRInCXiFWFxYIjp1Lx7eWH2D3Uhj1Ba2m/2H+Jk E6riudccfkrAQsWlNSfg95qEVD6KrnQN/7eHoxbWoz0bChoSCoFrmYKiE2K2cRdkpVxNVC6R `protect end_protected
gpl-2.0
5899b6642b470055cdd02dcba64a0488
0.924278
1.908163
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/twgen_distmem_so.vhd
3
26,859
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block UsQ+3Dz/FjjNZnKJDljqyD8ygtHidrpgSz57R0dte+HZrvdBbxAIBCMMCcKjiBG0ZYDWVOT1lXM4 TNXGXWZE+A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MX/mBcEB8jBdz9Y4jfTp8iG4a+e0h++S2BxyniIo+dBv5JG391tt+EbbNmGc9x1zbG+XtgLcG/aN fKzVfYLKlHSLjxUrEGZkqXGoIe0DCzsnbDw/407qKypbi2lRGG6+RwIYFl2OhzksKJLFXZtEER4Z PrSzWoBEEVkOXyWSC/8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block S4PdvX5yQZHKMMkSf6skFIclAoZABuCu8L1Pl2XJebCTu6U909QTlr1mnR2Sa9M5A4K7wUSV3n8f a5Mdi1mFPqNdjcxklQxO5cRIj8hKQtoD9+FDWe6fZoPBGgxSDThdt9qzlHbpgnKDzXVCsCTMucN9 7opVYBV93qR2GTabGJVBmCrrNX+YA+f5DnIc+k1+znYOKPOQwYTKYhrlnmpo2sZC7GmBIZ1LrxIi leskjvx3bVfKoHmunyXMGhQvnQRqsBlPjITw09H71KGPhKCae0vw0r06dEO9rSWPVKuMovxKXgIM SiHtv6EuT+OQfuSvoZ5Xv+VK9nxGT36JlwWLJQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MsLwRiSsuBO5cKbXkIjALlCNmSpjUcoY323knBRP9uIWS5N4H+KSzvQwK9PgvpBo+AMtoET/SSF6 6YCMZtYUPIvOk2urORz+MBKbQoTmAerS2Dvf+34YYuDrLXM7RkAfg3AUkvhl+aaJ//0AUsotjU9w 0x3rgq1yIdFN/k/eVjA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eFoOyWnh7IDajgH/ukBJSbkztPzRR/gu9/LeC21/3z+me9nsvjwkEeIwxgEzIzXc+NrVISNVoH8B LMGED7sha7b0J5ra6R/PeWFoEtZTrB6s0Ez2tMk0OPT/3yYDS3ybUmlMxril3BTPVqkIlhT0kUS1 gwlQDuDhNVOUkrAEOE4IqnQ/J2KlkMrah/zNhgc1OSRbOX2sWFW7wTPTO3oxfr58Y0Kgrmr1lbi1 KSdO9JJxCq6ZuTSarnkDOGkKb1w8uT2c2SdFdDb1EWI/RvYvRYipjcrZmMztxbpQLbwzp9RgZkN4 EQKOc4WcZzu0avAf9ZY8ogFwO1GGT2NBHt0tBw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18144) `protect data_block yDUjz2NKfazohraw7eiWjJIE+KHdwZa1Rt2ASlLFqvLwGUOv0qnkjrJ/Rp0hT//hlh2DaDG5KMqM ZCxctnz5OnXoMrmeprUjIxfFbBovNRAFnsFNwA8kQEWyfH15FmK6SC8wLyKYkLGIQ7ZPUJbGjsRB EZHY9XrokjLIcugiPkTrvc81+9t4YnrRHLZwgUM/26Gb5D5aHBljylStMpno0f9HZaqaEWhHgLWg gxZ64+OS1vkv4EthzMQjxJDjRls7LA5T1kMKyegQW8ewNLFPyXtSNM1a3g9AwmZgoFVojJFa1c/q XDszp3vqN0mf+zCYeHf9R6FfxBKPmZDTNvzOMq7yF5VyRRYndudn3L4qDF+p70vZiSDIeH97xWkV DiCbj7iAeWD0XdvSstAonRBHUs21deLNn+FdIRo4wHlwpcXl8yaRoSsvWx94hU2eoZ63grf9j+37 O2TnmYhQDkPv9UZ5D3ejslmOMjFPrhW/uBh1Wj73A3z3bD6tgfVzwdBUCMMQbEVLSy+FIHxqXZD+ vsVZxjMJk3tCr2vyZby/4PHGM6cHL6jm0ivmCLrAsDLab2qqQg6VVtlYtGcT9/0w2HROiyaSzhgn CataA/FVAJgirm6KKT8Ul71VM7jexR/LSVLS2Yr8qkG22lXIVr5hLOcHWAU5E1afY/4VJyYz7FRo L4WuEveiDsib6HoGxmrQqjg9vqslQbX/jm++nEhI4m1G4yV6nlfHKchqXg1eqTMuOC2vm/TiHUrH eDkUA41UoNMD/LHHAuPH6DZGtNW1afuNFXRlogZdTJjUHukMF8mI754AC1e+xeBCO/k2GL04xG0k t3leotSEURgPnpp7vqmATyRhgWjVvzXXqSKtrR3/e4XIll92rRqitZH6OOyqz1L0vge05hgETuDi dPdLeP5cRemBMEnwUL9ekAFwEVw1MWYMNi0RACycRQdzwLEVfI/5qYqUc1YZoHYJUshUI8ClBn9u 0ahNcCY3RYd9KLDZoztVpC2D9rV4jt4BXSgva9iQL/CXhj+xnyPXHh0MdHq7rQmWcSlTruhzoP95 wL3uQKlDfBBbJzo10m4v0PIDQa9gN5Ac5/6VhEY1da1lg/mMX3CdThYS+62aJFPCEbzRCGfqn6Ls gp3FNeWadVAcZWWNUetaJ3574G+wCcxA6xTG5GGlvezHfuxKegCjeKcS+fTiizkvVcXxlLVCnMX0 gJF6771FbpcMyxafdFWB7IgjfDK0qV1H3Thr+RbjMncdgoLUCrfp67fjxUcU+p5uW6pFMJzGeitJ 7iIKxNhH13Mr6N4P2eOAxD7ibPwO9sKwZzLWOiMYwL8OP4q45Xues34Z/0hesD2ESFbBRMgU2HVd X0PLoqPkWFLYpOJUvGburWZE20tAeMHNRUZnYoDItZkLj33L9798oJErsh0tnkETmWv7B6cPfGbj 4lHufrNwJJpFnlYSQq4oofi9mEOMuhjJOLUB3QB8eZVafCOqBOSiofxSG3AmMiT4Pa8mAKDBPPz6 pfNOPnxhq/Pf7AaNHbjxSm9IHXYafVuCg+yKASnomaDEcWAJvOOfDTSePKeIBUnj6dcEClMXRuC2 390q5w4SakujjKLYdW2CeboBqrRFmQcBGakgsKS6ClcRP1B/KsWhPuA7qzFn2Lces4OTc2WakGcm mdezSD75ZUy6rq0jnyR3amVNYCLfP7Dy/vMiiRPsuVT/N4MiASC+r7B9fqwXyCMZmZ1X4pZQ+q26 zhd5l0WuekZgiW9MAOJuqDJgfjPLiFtcoRre9yvm+GzQ18JXqhZS6c+fXQlRb912u15/r4rxl7UZ TqAKryKyqVkGk3YXEcyfGptVxqOND+SgzKWBQysmxCtcJtFwOiH6SXR9vWy8InvJ/3Lj/1wmwQI1 +nwEb62GXPT8P3Xd7/ef6lDjCE/+l7aOyleDotUuOb3D1ctH0h11YEu8JdFbxAaCSJw9aNRMkofu jRmR0y0nUaRbwpyDpWRSgxgD8aSaihNqNUi6j8Z9NiYaAXTJ2TYo4BD9n6MSCPueVoz5xgD/rxyd jQz9Z1JRYVzu1mthcfaO2HDD867ctIKPTKa5VrlOFAOOCYoynEDRXYT/emL0qqIK8ciVswqY9vnT tP/AmPQvRd6VneFsPqhig4E8FhBvXaSZBn5H9jYjhqNzLYDER4hgCY8v5KHnPuC9nn0HZpi3NdFU 4VDQZhE+R803eTRfgwwhelafeso+wzInnZ9R+GPrX6a937wLe0KPz+t0CalR9/dF8i+KzbJsLCM1 RGV1vrCXiT6JWtlXXfgwvd1rc1rThKpXumtIsciYa40bet1HjRODPflflXSG2RjJ/86XQZtc8a1D do0udqxeuwKX6Kkgjr6CyZG9JryIM91ljdep+CSqYiN7ssDwLzPQtUb3k/fi03wdBisuL9pOjQmF Li5qpbtEkkMqEr0gJhA2/TfkbNsKPJW1Jg6zj166NaT0OC2yU+eniv/br9niMgDgMBcY0liIfDyI rjQhziLhrpfijBG5AR7PNjsRlTKi8xn5j8psT8LQadB3efUXu6FVX/Rqgz8+OWG5nZ+TpNom1q/s /LELGDLmhbTGwWY8yExtdsx+lO0azj2IwfqwFSVkXs8SGQzfuTMddTXmhi0ODwIppPaOqpGvz0qH zL+w2nt1ek21b7PlpyOCdUpemXcyHgQPW4qXeuyeRzDGqdQsDTYaRsCOs5iriiyH0CxUV3ZdxReV QX4TiHkF58KFWWEBElut68n4jBYPqK7XbrsgblRjLnFEkCGIT+U2gA+8aiAzQ6sb1KjJ63FBtDhG T7Tim1aZHPOBlQXnn8W+YgbboxBB1J2ewGW15/Qam63s1ojDmNiuj1iyVFwmdzeUX5E2/etlZFVb H36EcZzn2brZErwUaTwgCNGBqzOg95UuBom3gQ/QIuALHt7k4zgr7OlcQLiiaOX2f61RQrDwfFY1 Rv/RYFRfr0jSg+HSybNHIfAjfjz/RGYepA0VX4tuy5emo5u/V+x1R89MSxxmbhWHfbj/pxBcW6IC 1F1TAAtF6xYHkQc+ee96n7A0vVcZCOc5VOXzsMuqxn82A2ONnIcLrmbdtV+JJ11lmzqhMBNlvj/O x1RHW7LJK8+mCXP536j5LbLYK5Tdv3Gtgr7aaAEXSPllqpIh2qdO9YOIj/CcnA/787erf/4TszYm NPDYQiku6WGHchoVCKc4GYqMhs6ofTL+vrbd4kiKtZFEGQMnFILtfV4XCwd1jXddDXUML9AtRaEF 0IbFs/Ur3wuzipwYEiZ2PNX+7iJtww5xtJsx/vt3SC44GS0X7V94wux4Fm19lKVP8DbKbhGJsJpw OIBK0E0g1grdrAmbXTl1vuvptptzq6b77MK9ZpR9VBOLXl3iEgcfucT9qKtpF+TcfB3EwlJUva9h VEb6Y/UWLy9TkMPcjNx1nZFLbA6kNDPWsnzrJxx3SgsE53Hb8k1VOLbcDReWl3ZI1rYge+x2Fugy 68LNli0YfOarWJr68UtVf7yVYcTjWm2Jqj7upMnqF6Lb0tnw1n7U/bY5AW7V4VrEaxlPn/c0B0+c r4Kg9oNdXLGNRLMK5MVNQePzH2p1u4fuSKp4R309eB7TZuA6zrWNCz0kbsNw7ITXdxTT7km7AgHv CM4M9iQGCo/KeQDe+vPS/Qtka7RDfjqm4YAYspNb9g2Nsm4My2WjrzqBYb3HvfIozOLn7/YdXrLY Kr9cYseLmnblfPwdfvvO0on4sgDVj3e8enLQh8VFlWgt7vOCtvjwdqSwPtsg5nrdGBvUs0gH8h0f Z+JO0jh6jfrJ3v1SKK01teCUEeGJLgMIPatoM/StAqRNOXNXJJm/RMZXcCCw4JBc7FKgBC4EKWcp bsDoXY6BxfSKvNvkPWJzk/YIkBdZl20sSMmMzMNW/cjX/lf7rROEdqCGlIxtcCgH1Ui1yOAy2YZi 9FcX9ibroKdLyO0avQtfA9ZBgrm5/6/x27z6XnbyjBSMghiKCQXukNDi40tT5+yl7VYCh6g8C+5A XypOYxjTNIRImziir9QEkN2pl5S3Cz1V0t91DcTbgu50g/hiH3zwpUDDxBl0JgVkZrSZ0+bPJi4C KKxEbpSfvP7Wpwuxy/whPGORQEU91qXttxeuEEy/Uu0fFxjR1Ly5sOXvG9vKVPn6XZ87x2L/+XJR svNAz0VUbn3gnC91oVGvT9ruN8PxuX/GHceiLbjWHaYOO4K4mWJ9K02u0wyh82sgaka5JDwQmYHU 8LtKcUjMyfHqdKilpW99LLH1wnc7NjVzljTnKhV9FFrwg9d/PLntAkWh48l6CVfoKMptUrS4/Au6 QmmJkrk23kIvsDBEzVojjh1iYN4wCrF3wrMz3uw12nkdG+/ugSILlIoybogM/IU5B/tEkjSD6Ljs E7ZQt83q5/FP4C8yus6J7xjFAutgJ6pP2TlEDpu8V9QriHVLvb8LZ2KUtQwxKDymPnXIfLvvetnO ERMdH1FJlhfudUdxmPGCGDbn3n5KNXbg4yUzUYb6vOTQo0EVaNeImIaq3MjSX/4tPBJRWvKLFz44 NuPD0Ln0dIaMR4iFS3+H4J4IGszbuBEsWM6gn0BYBU4Nax+peOfnKZxd+g7vEWIJR6qNtFWuK2Jj p+3VjUfZL+9ngAqOmMl8PpFSu2K2DJ31RLno9SOHa2yb3qM89mSZa3pEDqmrUqLH5SjKpanTjzk1 LFqdAnEM4ZZRYsDTyqH3yjZpqLI9y/9dtbYViMWj/dsnkb30lkI82tAZr9t1SHyX+vWwsFKgrBra sDFriqvpexx08K0KwKO9crhtQxC2xpuNc9jEgJSe3W+Hqtvtjut72GySWVBpQqlflFoQZUBf19zh vOnJK0o9vqCCcMFvJmRCLFa7wQ/2VAoY/Iy3C3xxpFupkjrN6OddJ8Fru63F8arVHNs4ZYIQTlCG 7N+aJHibYtWkadfKFWCT2BmF43B/SiuOi9HRfcDdTAV0nALqiB8ZM29HwbfGynJMPj+CuiKa06lp K2IAt7NHBTBhu1cy0DaCml/CEfbg+t+v4FyEt3k+d6e9tlSK0agAG2JEe0fF2H/AcAfEKMk+10cc FGZgwzRFwGbXY3eYbFT7rnAaEYcYlitGOyqLcR8PwcEcORbeRtx3W8unVeiSTUlWRU2SSiee0fOy gCpcvsYW/ixeRjYjwAMrxYSRAxgl7CPDzu/B1T5Sx20zt4CR2tQ2hSjDazDxw/zU1EDJC3GtThy7 o+wUw/7zZOhBg7GcjpkOBb2xC41fjUpKscNraZ0i4u0Zgpuk4Cd1bslH8XPV2T6zl3RIMiogojGC APiNEnWUsZn6JuUxEy8GR2BV0lz1RnuYkK20PK9Jfu2dhfDAwRpTyj+OPoUFdtjSp/iqh3VX+rI3 BytMnwwDYS+X5DNQ295RAOQB86im4aIAjUXwrmQegyeNu8O284aBiCR7WD9o1ZbUjqoHvVS1VqEE M8TK2InlkmbhpIgd0BNMpqGo0LVcRPS81N5vWT8hEmUcXReV1YdJf1jQOrcfzOoJds8Wi8xzseTy O4TynTAgDFQSJxzFtqLIYfE0qmZOvu47JzE7n5Gl5LoC/QpALjOdLIpolI8tsHorZMwFu6UfK6RH Ksfb99p+5kGx6BqDmlecUSs4Oqa6eEUXT7tSuQDSbYYX96YeGHefomQa8/9LEJJJScQBKdBfhpUL V6iVSGIncN84j9WCjWm138CyY7OXfl9lJcP9lgm++67ZwPp2v38qLgR9JB0lKPEIFuuxu5asWyXf NYMFdkKqJtAQid0uGcicXpDSwlCbRpludQt/7ck5g+khVMCv4i2o5WiqMXD8zwv1COp1NrUjhlx+ 2HAKEPIOWFb/kh4IVQ+sot+u//EaouDT3A1zF2yhSkeUYX9fjM9yuN9NH21HwMxtu7JuSbGfsMD/ sBnMCOCkMuLe0rSinS8yLXL+JmzRWSojZqoYXvkyJvyVnsHZJ7mQVu7GYjwmKywKqkKh98BE+pkJ hsvd3XIq4/RwwjM2jiQ7ZHVwuu+NB0ZV3mTNnI5Ru3Rwn5SaaLFSPdB/8zbKQ930WSgs3DtIrBiV 5UpRuw+efzuc9icoHTIiUX6vxtSvTLAn41gTNBa4L5uvQRnROWfpXCrfzLRwCl03Ao6YIZgRvfLn /ThqTqq2cx6ZRGEfYbMhCDrA/syNGJF6ALsfe4xC0foklKI+1s/6N/W6R27jXFSQApvj6Fzn3nJK Fwzdqz+uLpHidA+hygReFfa1l+UJIYjwA5qoZTPw/2vRUAP8uBrSKNlGsmw8oh2WYPKVWQkOpbha DHSu3uwv66vaCENFMJBm9faD1GI+X4Sj2y8AIPDUzQqfgjAnkdPjDbejg/zvj5yhTGkstGK7kl4K hso4CsK7FVulEtlPv7KNJAX5rvpr5b9YKsayljzDzjsH0UKYd43LiJCD2cf5BdbI2XT7ELZl/AvN AAm0Hw/0aBl6Z3woyC59lZHcVZGoUCcr42A1LeVIdVLkQaruMHCfkTcbCoIrwvV52CqH03YNOqs1 d1A1lS+5n3wGi+6W3QON8LotQFJ4a9LAlbduaT1rX1we3HkKHcj1SPdbYGL591Cty9Rq3XiUq4uo /5WZTGV/r+jGwjECQkCgQfVNu5bqNbabGPN3JzMXCPyFxB8WV4oo4iFvwUnWiRLLR4nO/xQt1SEX PGQgmCJLdWQrPt7J5jiOO9vqhWy7EunwLHcZBuaPAFWGx9idzEH+0VskFQKTcsgEsWx1Vu78waLj M4vS8cN97GX1Ocg7N4Imm/0MoodltyDcgU4WZt4PaTkn5XyWyklPiR1nUGv7EHafdKlB9mWrOGmB zFJB/jjk3v2Bh8WyvyrAH4T77nR6Wl4wgFIh4Yf6ihq9px1cWMCOQe7umbJoh4LqMFb51WIDECBN gGu2j0M9UVfm+xp+b0uxIYuwSIZGs0nMIgqDTsL94Ih/YBvkd5NhRdACfHJsPG6tOMZH7Cdd9e43 DNyiMioO09+x7frb/YoTuxFt79d8zpAQR08PKahi6hgbDMmUoZtAWZ1ytFC2/HxFVo5NVcZo07dD nbepAZ01s6O6NAWal+vCJFmbRtkvuGYcEghxi4u+1uh/CX1RdOCko1ZCZNbYACIpjPkitqzO+GV9 YSbypxsz//PzuVfGoc0YYnm7uMgT5byuS6Glt/CSpcf7npf9LG5yJgy/l1HRL7MNeJ4Z4WqVYPev ihWXiaS/vfQHufUNDfh8148TGrFVdwMp+9yAdMyh27h7xnSNVScPrpc4tPr8WV1iSQ02LAaRvbvY XSBgO5SJXdaW1tOLZ4iC5T5KbmnoR0a3CevUh2k046Nm2CKjdGXWPQtcBb30htUurelC+W0QIa2P igIdClyil/PjSfMe7ldPGGRRB6qW/QXZfvl3mpY9fZpKFdViE2JLv/J0Yf3WbyooMIXTqsNAOi1l SmBA9EowuPOgeckeEd/pP/GbP9qURA5otovWLyAXBwUo4vgL/z+hNeyt7lxJKal3MpvnxJAQsaWk VqTw1Pv1HU5F4NDTrRk18nV1GbtUO4y8qQaR7rpQhOASh/guleGl5PVIgb7E7qSVxN2y8UQ/FnJC OcARolHdcXMX5DXLuiDSdq9f2EJZqJkQpro7n8irPn9wCJb+MgkjyDKaUXxVcH65DphDc7Ijsh/e /bfVgtrhkGeSfsMjmn+zGctuWSlkDwVeGkD+5ar4HELG1YpuwIEvP435YfFThu+A1XHRgQ+Kurza qUqIvK0U8q6fcbNA1VLAypCuf3UBma2JIWa5IoIV1jpCzXeSVy/L7hisJ9yKYYbSI1h6507UZv5i UPvoiVa/7vjih8SmdVeM2rS42+DMgNauO9Yp24T4DbiwlrzFKQDUTJ8MoQJa3raMdaygmacd/bmz HTesCKVI4pVYPfb9Y24oajccr/Z56Fr+jTOKvJVNxwSdX7rQfFTzpeZ+KtRDtOtIuwkrRc5LedH5 HzooflqweVEa3fVLe5PDQkODyBDkdJqQo4kcZHuOU7jatzlsKMXU2DNNuS2HXEmzNmejwk1T8Aoz GCZ8d/MWhCItbKikH+WM57UEW5dra42yEJ5SFSKlhEAiQA75DN09DAtHX3M3Zx0QQXvuGzTbIb00 bDAQ/Vtty4KT6DNTk6kgqPPE/HFOc4l8bzIPoNAgEwaPZ0Cazq3KxGnRjQV8h17MdCk0xUEkHWG2 KWEzeTvHM/TsFGWNdcuvC6w3UsA8nu99gchQknBY/Z8qLOi9YZ+MwojuUdd/OpvlGBWUVZ4WuA2m GzkBh6k+Ay9X+bua8s9UgbxZGJhBD3h7IrwvxTU6MiEvDPEt5DLK0C9SSJPD2BwML0p87crX9bhW 8VTJps905y/W2e9na73Mtmnsjdz783ZW9I0bLbBzsjR8hfGkLLJa/EYya3VDdCOoz2mxKwNldoSi gI9vgANrSSz7tpCeQSIw5FQNhQnz6j57mZ9pQ6I6sKT8QPDv3E0GztvSBL4ZfEMwyTpHUG0dI01N VEHTeGW86yOus0Wa4y9N1K5XPq12wCjmQ/VlNputErGHlR2O16b98/59bbW3HdtIiPTdxL0V+DkG M7Gxu8ZgN/eFua3IZT0J66e1QKl+uw97jEF3+RFbmqt9C8lCc1CejKuH297/6LXPmzEDCxwZW5X7 9gFlmmUC1B2Y1narD+/po6TsqiTXofvVmoVO3uV9p34wCR24H4U5gehW0uxeEeW9/nNzeW19DkG+ HZV/pNgjkz69U49BwJ+mm/SbLmmt7rK+6Jd23abwOVN7q+kNpHMW0Ywmd184gmQSGDO6ebv+N8Oh m/KEJwQyRlMzp8sxxoqT/YJKwfsD9/ebhJNkqX91aPlOV/kM8YWJurCA9cczMNvzhTSlrg+Hl+tS Fv383YdWSQw9zaCaAz/GlUySWxGThZAovQaZJq4d2ekWWQLiXKemSherEtqr1WwM6cNKwo33aQqW PnN23MCcyFnkVgdnRa4fIm/AlXvzpOB92FC8wheBQactxXoeZImia580lqsFl4gK3hwIznVOaMEV R1BOg7ADublp3nAbBip2/4eywU6oHuij+r+cuEK3XbfunAHqsKf6iRjApHrFgSqDZFpcqrr3oM2q myXRDA/2gW7tPs9upFh+tn8yq+6Vb+r+0HciPfbymSZIz4yrhg/P981k5tmey4DmZJu7cw8Mgp5k yQ29oeuFBlA+H/OtsulMZQE5daVUp5w4ioeHIdLxf4DmorkrqQW5JXGEPn9/h3kLe2a497JYp6Pb XSm/mcQihnJV67lcz+Ii5eT5N6K9Y9QIMoHqnKaQ5sI/75GHPBfjsJOI6MwtUqI9o1mvOBLXfDuu FoyvOsYYmthaoKBULvyUaLLla9V/j/9bmq3jx+4JFFqGX+fDHYOTAOJL9Q2rvEDiy/yW6gcrY1zL VvXQ90LR4VI+sKB1wPuzh4Kcf5DxqerZwDc+hrrX5JVDw1yns3pkKosZscLa4MXbxfJsBWPSJoAq Err+IvUqR/3lR4234GHWisZfXYJN4bmc7M6FENiBcoxbwafu4y4j3FJnhsRNePeC3KgkV9SIiaou ek/SH+zhUJkUYNxO6FVzz5f+I124coyM/FCpNqCrOD1F3pO3SmAAHmlqBmPIj8LgThslKGtdd0Xo foUZEe66FwIrlonPmFZh8fqbvidlUb5pJ1hNyLxPwegmPNuWo4Bs5gQT8i8aMwqkQXXKi2yXU7LV 7KcwaX6kpMTALHtyyQzsw6aTHGlUDVz119bmo2Kvcqp565TISCmmFSkF6VQ1ibT4m2Z47YXWReq+ Jp5jqkYGu9cqVDYNBCobLdriET0QGgwX/nMSaqhJvJ3Wytx4HDdLXnFnxRXe8fkK91P9lDr6lW4Y ip7kDKhg448m7S2vEcA++FyRFO8Hu3uXLxnWP/TFHPMBA0tWrP4Pi0gfKJ7LJ7RMah/C8IGsEOpi LNt3yfY0iM7+LVFItLkcoWJP795uJM4xzhpgcgf82KHc4IHTbmM+TXB6/XhczVceJ1Rk8S1Z+Wy5 G5GM9WBATo+aWYiEzZg+lfNU6+0vbmnEzGnj4dbdmhWmDbTAydwlS4P0ywzBsGm1DeHKYE0ubxuC qr1IaE3Zpwb74LW7POQpl7LlPVN1axTPbmSsTp5X5UvuaCsycKHvAFq5um6CdY8LeP0sn3l0NcB9 9DU8GzkOHKcgHrDeWhbTdOkZ+0wYDW3HcBX59XcgyXSJulXEez8TgJ9A2T+Y7O3knRFOBx5PhQmt h1RzJlP7GRmpPLbtzg4HhBaKTSqd5jfGQvHjpXxOPge5JgxozzL867wbIW96F/7OGFx9y0oMKMHq S6byirzlDc3y6BWHjErwo6Hsc7Y2EoIybyVBFFwQLfzyyvUkpbUtGXcYtTcFZu44Jl3c2dFLxVTK RSuG76YRVfisp74S0QRYDdQOM/gNnOBZZC6je7nYXF2vKxzYu2jDugNN48ckju/5QrPM80pjNFwy JPfNZkTFqOPoeAejZMEOPutE5hLeIvZbBN1alXWwhFQ5HWW+F7B31PIo0YSgXwR3mc/0p/uAu7Uz 23DPg2jHFdE8arVjAP6HuyKQ076BPmmsDKOlhLea4dTUeEBLOkth3MX/48poE9gvrgqAhCkk7jmw j3OMexxZq9mCaFgow4FxZfzHUm6jCi+3lmyNYKNulNZ/deVzap3I8d6lzz2aI0TCFf+xjuBfU1Qg VLOp7P0vEFijUmly0eJJoj3uSHA65ccI9PSNt7Ph6yUn2m+Hb54PSpgn56z8OjQkGFctoPw7nO6O 6GPXzUR8bambZp8PK6Rhf99b4t4fc6/0mJtfWAJ1b4wX5LlPxMQhu/HlfNBm7IMcrddgvFPsOs7z L54jgX+PHJtFbRfwLnKAwKW4WEZNyCs18Zuka5sQ5twcCFJ1hjpojcVcn2IicFncy+KcPhK65ZDS cUzMzAJM9ELtYE6hMhKt/IrsAjNzwMQKlv2kPnXtC0ZwSodq3eBzFnl6jtJtDPtO1jyUUceo60nu lMc5/XGdS8/qL+Rjzj9U823BYDfn7gDsG28LiOkS/0SqnilATJiD6ivl1xrsOtxJxxXOv4hSyeC1 LfQu0NQyR64cSR0h+BPhyn8Yyk18e30N9eYWqWPh7sxLjlpGvXZ1MsvcFCy/cpY9fxCtnOrdcVgn gwqB/CPrS2uFTjaAvzrbQq+swPYzh2ezfwtnp/xC8OX2ZV4lOwNLJTeESZJ+PG5Gujqw/IeKfhPO gFdIf6mxXhlOCKkQgxfUdIBlC6iDTtBuT2k5NbsnzydR56OCv9h6xraD+4ASv78sc/ECheMQXEft yqdwfG/QwThRQZTiLolcXTa7mf8hzFOIAXh8HBZ/yRETKlPxRXb0YKunPzdUUIR6ak4agBOJHe8V Vj5T0nPGIcQNeUDUjxjBrYJyqLRDZpSxvaR+nYsS3SdOikHinfiUWJC2K56+2kzHmghXqTQt2NNG +0Zl9tfkmH4qoTXjAqfGMBJmZ7izfYMQaRnP5dz5+425jRrp49i07CU1t+ev1f7U24RaN1iySGa/ M3lCjWXBsrSeSWoVnHtQx9ROJosfEho24bKBCKoYHXVaMlll9YY2XieJ/CKloab94ulGVgMhd9m8 DrGGRrtTunstOpzKP59lp1PvKSWLfHHdLZlfc1q5ngrDKC3F6qhEc7OWC0IPtj54Hb5T4Dg7ZUZ1 9oAZUbh0IG3c2c4XnZ3Ys6pZp4RKEuHvutjOoKZvws5VvduzbdodfcSHdAKtpXmBxHE09jaO5qBF bggl9hbhycF8LGSkSW11lz58Xysk/UhdpP6WGrXGmcmtu0OqFA5Zz+bw0s9JEvxB1LDKKtlJ8YYK 2Hg9C5brlpLm75DaSAs7ROzTR73GUrYcUPJh2590C5MJ8+SpQzLnytjGSGEvb8WEpeLZUaGhVIeM /B9N7WZHJphK0s8PpGxWuKwB8XJ61ccxUERNMq4yM/pPTZiFs3t4ZY2ckwQR74mjB1kOLpuXQimd lM0C7hzrtkoMCKzZlATLNtWEYvqBpdwmAOQc08Zy6vchXHoNw1CeUrE40uMoMY+Ow7Z0ryfQNWtk buVhVGvU75WhCs7Moaa/5qxuiHtXxEl5NsDQAunN+tCogSitAeuLdU+ktQSdKqi/ookzJOdsMNIz SrKLNJ4EzZW/ObfqNi/k6jOuLIYugQVAfta67S9mRcFj3G5dFQJsxPHrNTFBefvbT0NlCJMhL7pp 6TMB0gwPD17F8Qnab/+xQ0sxkkPJGJXXKGPuIKqy6UvLOVAmrWeEjxrdZ+IHOGSMRHivSE1BItSX iQdes3oXU+rDx4lsxXl0+UT0FGS5haVn3vUdHjTXgOufBV2tjbtyZlyoE+ZQDmPTQ5Tdb0DSMZgB 7sHtD6lMP3P0oFAJixnZWTYKZtHrYVkKwgdcABVQWurkT1VvHOFbxr/WM6Hq4Po7CcYAm4nw+KTt RJ381Rxu+LR+WRFqZL/Tn1BWpG8cHgnFD+LxIODpvmAnerCOPTNONLr9Jcqhamv/J0RiBeSwDjW9 nunDXX/DwkNsSiz9Iycr5AaHEx0HyIEq8SBRhIAM7SnOAPxFzaeV1fo6Wy1nJvC9OW7HJ1y8AYEp MvME0N9SMesZjsJfMvbcAbstTT3ycHeAE5xWTqX2eGh8Pdp1fFQb7m8vB/PJcLOL/PFxZ2albOwW zpq4zQwQ1oGZdj9ODZZM0V++XQy3/Q2uP/MLP50Lkz0R86+mFvqSvw8qcVTPqbKuokgjq15/I6ca b2sg9zyD8EbANY2sT80ClhGg5j054al8lqEwmB/O3HPjEWvbXyzsuBAUAmohkRmda/ZR+iZN7cTA I+jrRwVtWsS9nZVHPp9HgeWm2sTMo51uVj3wQ+y22xNX5ugb6KoMytbbaT3pZCmXF8q5x/7PxFXX jcYSSMwYUFt1FBvzwojwscGzIxjzzuJnJzSKpBNPbF7toPquWHji9Uyygl0hehWSXKbb+cp8Oq+/ fQq5QeVRKnetirHt/7yW245SeXgAnhfccM+lxQ+AEFu0EJM9qip53Bz9tAhnqMfqKHZ0+Vx5/zkc Fn0twCnBD6un7mE76Zvnh5wmBP7heFz3h4zBnIb1hYn2UDNfbm2LL4pLyJFWCwoGKFKxusmvsJBL LlyRv8pC2S9xNImPu3hqOPyag4AXGkp4rToH/KkBSIFiWIriWdPsDwO05UcwUWutNkZCdNjTESH9 hdWr4l787Yo0WHSs+/GGE9cmLSaV9Sa8aCDHQjn6s2h0/pRMKA1coira0puSjF7KB68M9XFLk3ZB MzW2PLdqITayucgehY18IC8KLCwMhAEkztp4CZj+QV0F0+M/J4mBRDm7CivYj26FFEcAEs09sb3c PRBNXS+atLfAjgR23o4tvBBj5EyfpbZIPuqyqPXKdSzXIVTMOT4SXHjpYz1Q2wQG/uYhbN5PPkbv 9D3ELd/lWyd7QkIm9Ovg5OU9vSd3Z+TLe6/O+tYnhIAtPIbWjGJWy+xMFj9N4mQctIlhCrGVjSD1 ajOTXPpvpTu15PGCAD6Hqs/MhBcpnk0EZQLpmYzOeXZlWgWD5kdTsjaqkGrFH6YzlXcya5pn/u/6 l/zzpB2wAzklt/Mji81WdnAaW69etW47P1L8X1kyma5huUd/xCSmfStzzpZ12jIc8d0rsBp2mB/j Jy3FBNac2OaCr6DaG+FgPYlWi/09Tt6HsksnmCBAWACkGU889tenLxkhRhG6ysiAD3WDEP2RpWX3 s2phQXtyKmAXxJnK8lH1eMrXaOKDzI/8UBh0nYhsJV7sqn7GVlaCagD1H+AIi1dKSZjgswKPinJi xBcg+AKW0rCTcIPVgb5vSzQoGwO4WKgHtX3Kqc9kjl/B+6sN7qzD8h/5UCLOU0rrwt8+UOBlnXIC fcV4ZDA3y9XQLhSVQaMcj2G9KHZXNlzU+lH4cZEsQXGVjeuHppb5M8syfSNPTiu/2fcqcsVidbAh 2d1usMCHhxVUbLeK7mrwHAmHqpF2FsxDYl6a5gSwAWLR73D7j+onIt3lTlG2U2+a5WSQlyhUm4Sc oolCq/Fuqp16WIhvOwZPTvSU8HIXWgJmk+JMZtmh8IpLKYN9t9FRpAlRdweNZR2Qb82Fnl/uD7B6 tHtMPmNtBhC2bvh/M2SY+Ssixf0Q8xCP43hAwDRl4u/0Tao/ZheL6bqcjRJiBU3qmEeVB7a2hpo6 /kf2Qf41mF9ax6FSDxl3zoVuNEniy2D3t8JwK7VvK5S8Svk4z4ASAPNsjTjxkOJ5oWj5uSM7OVP9 6zo31dQNrIejO8HSdKUtNOs2kHFWVk0Lk3TktHaC7uTn8M0pApwvezOoOqwCL750/b251wHPGn5p EK+Nub4CvFbGf4ZmHRVUhZ/ienxWAOUnLjPKfxCqkGpnGQk94OIaIWfmXtzvrLifw2tJWxedSH79 R+n7PUyvZ/mdbqR0RnJSR/czaP8+Jvl0obvzSFPVwynoCLkKA6VndmE7ZNT6yuZg6CejsARaaO7q WkRNBUfWA/KxSK5crY3jNOeN8yIME365QLIfOKedhb8ui9lXiIFclVhQ1A0+4HsQz60rY9gnoNez 4fvbtCvquwTBTLYDnUycSErWlBVLhfi7yCdNtRW75jyUEdh9ROBw6B5u4kFPiF5bHHKLTg93DFlI PAcGxMomrVIncjNrjegJvCmxKhRbsU8ltfJs5XOUIJHTMaTTFqk21PbV7NPnwfy+QZkrwTsBd7E7 Wfd1jkY7vOYIq2SLZC94wAtStEM3DUOLA2SL2d493vz+6DrLo+rEWo05XjXpw58xSTllirW7xCyq CYXjiQSJr5B7+bvXtRxB5/17KMamlosBXaF5S8YDHlaFG0jgzr6dXjAvHNQ8+Sqmk4SM7MUxhg5/ w6Qg+H5yu9qpwP8IHnsbV2wYrRLQVKbhjlPADvI8Tzl4AhObxxLJutVO8X/rzCXlOpqfM+4Q5O+A r83CHAsBwe31Z0/DpDq351GkIxB9HrJoK0ZVmJaEBFUWCmMvAfBW9zkmOiKNWusQ574SC6lboKnC 4ef1VhQUnt+rbRkOoAKfrv7gypp/GtXg6IYVhmciUOJLFfNLbYjy1GgRjcQ124DUbhjl8zGWFucF r4H7zGETW1Z9UIc+dFry9FmJZbHL1gXQeNs3d0Ck2egkzcv+cSwf/TqmlrqH8kSxmMtVsetO/JlA pLOwp/4dZxJDzo/NWMPlBMYhCXNDYGol2LvIdKGy//A76ZEgi1dgzYk1ByP+MmPx4v0pZc8DxqXZ yfO+a4ivQQpPJkt1q0u0iA3PaKyjqmoA1iSSbU6nKa5j3lulg6nuw0bVzgbH8dZquM0Gl8ufsmbV e3TBoXGNfb7xIXhOTSK2LG5GzIWeLfY2r5kNB1BQkvCtq0of6PV3Xk7mQuGHFkwk7468tH5p7bfp 3LFAhPiQAXcJmBJ8bSYnqjavnvW++TXKk2qn9VLBdjQpzYAkFxF5RM41x9RES3sASpQAVmco0lPf Xv4ask239HgAD60s/lM6Hpd5Vh/i61PCv0w7BZbmMvS1CRVvsts6uT1T/221F0esnAWC/PFLGdDM 5BMq2d/HkECmlWoRl2h+CdK2nZgAlyJ1yHqmH/Nyvg8c7X71eVtm30F1Tvu62q+X6bfvtic3w0+N rsbnxrIVwEt5ZzpQ6C9lSNwOKWS008dXSktNm3eSK0nmbGrAHOQVQsjlsj14hLLUHkBWxxnmAsrH kkeBF5nN0dyjG160BA1JT4x66pmgw6HYKty7tuvWayfBAOwVG1u2lhRGtvZuEt8WWFpVZYhFCqtI rvcby7UtxvmrneUYLkNgM2BLglH9FZ0mowskJjbjcOgHUMMkH7x4w8HJ/c9p77uqn3kSVpXUR6R3 KFYdypsN2fICH6t+RDspOdbp28Rg6jh1xOD51f0sERmGC9zFlm5POoWdY/mrtxvBCV4HLP62QT5Z IYGdAN49JqSt6HPNcj2mRg9qqPBBLz4VvxXDpbZvknIwuUoL7+kSoYAfTBJK5SLCQSQaXohnP0iJ ClwvTq/7ImQy4EIixmGPuT0gqkUfy1KkInpJRjXp2O81mTFNGRstZLlNBwGumy/SvaDFbrrbrNIa CCiHmMo0WjM/MCcSdndZt4V1upaSbZu2ssici9oPP7mFXDP+091eeqmOiToYhzcMsqV4g312X3mA 1Sej0H8WYdBgDUZMjHxeAMbqGhC58DO3GwsOo2mslZBEviw0+hLCmObyrb82p/I8W5zoRXk+2sZu mCLV4RyA2j8ipK4ySxKD5cThJHErlIcnnirVD0HMSt/A1QcbgCYQ1cmu2JvgmDIRvJnCdeFpFWh8 dGih7j7PnJh+ZHIVLxNzLO3m+ahq/gePMZuK5eYZQujg3wJfPxCyGD26YjFJvOpgZA8MIU4Ig8Vr UndhkfORlOPcJ9uQX6Fbk1wEKS4DL3Y5oCSlBvsQn7snbSfuIzfWg8DSAruSAb5pbG1qzEmeVBXT UDEpnci1CX/ITB5f6nnOd69Idlk60+xWltmkwe5Lm9+gQb57SzAvyLnqgd28CE0PJ87pStVIkqgi TSqw5VhIRTZq8z0pIh/woJTDXlRE99nmowenw8bmnWs4Q9EGuUYWUZyus8ShBN0jXNP7I5GwsoTf 6E4V6lPta674dEpHd7W63bocqpVPZBuWRiW2NHTyH2lo6xBe9hH1YFy3QHQK0ypo1LNGYfbQ3hFy VmdpL7XbDnK5zwYGdS8YbpQLz6iBu7xGItuBZNb7GF96AiZbxWu8Y743Z1zi/hrpUCIeMT2/clPx BB2mSiIJCwSnGiHW+7nZsbVq2zt4hsZzg99zDeCtWaqIBk1ty/JmUoOArzDk8N2RJd0vB4b6ZOVA O2Ou8ta+iHyY0eBsPaHxOO9mn70+ITaSPa/kfpvLZQsyGB0cAU7T9jNRmewCeke1EBej7BrAmtdL i1IqTPW5jax8Rh0M+TLimXE3dIwc/3/dElBARMpfhTv4z3KHcZUWAmoHvxwRBSmdKNDsTnTobHwU RwXZStNuDdiEKZs3uorta0Omn5uy10VwuPtw+wucfp77Avq8tma+7XtIYezR1SegT34ggNw8qcqu sx/6+vbbzgxZh7pcm0pRkxjAeMw/Cd0kIYaQgn98CxGJYf8hNXYngQwxCcO8xgEwbeFcg1h5qdnV UTzk58YBHcZL+9qWZ2oOY34JRY6fwCrBz0RcH1ODETo/6RiWf63PfpJzglXzq236BJimsFzNtLnU SAS9hdKQ9XolLM7md/bTOeOciSNXo4Yh7AFJITDxrFuE9D8uEgBg90vhEdgd6inoF1cOPjmmdbnI 001hHmIiYUsBDd7anEuE9sJF+hoG0bYTkUjbHHIwsfpduyC5uLQ/YK0skiVgF8DZ5DW7degr4iNu 52GkMLXRVXWYUHgbtN2XSwoDfzlo+uemnigXv1eNnHgxTJ0xCFNctIZ1tBquCv4qlETZPNg40ig9 rTRtAwojLmVhmJz1AB0R3z7/Tdlr8+f8BJl8/IX7pvs1aksmoaatZmaoFmIZnXMSIyBgRmNk5XEi DtjHgofzyZQtlC9hVwkzYOPZDUjFLRWA8yP8/abcDZ1xh7sJVl8w6F5248Uk1/oHmXk+0cI5nbhI Qp8bKjWoogHwKC3xZXZYvrYeXHa1Ap0k7DabUdqKOq89ELkC+IOVVT22llEHNOHCRDBypd6G6xwa 2odo6r3Ep6N2byAm3KbMao+C7RhsxtbIFAV6cBAjd5TzH3PhTYaO51l46LZSdGrOTTDioiSPwXo2 KUv6AWcShgUBUM4zSjVGif64KpUPkr8EqQ6yIpUllKLhB56vCKPzM/9XBt9sRsBD3MumSUaNt3Mt 5zMaeTk92MBvwEIQ7mM3TOtHEuggRxjZTxB6E2lAX8jkTnaZruLKDmypJw0j5GneJLOJ/uX8uitV oP3JS/2fYltmkYrwJ0Fi1uYIqD22QpjD2YfOLDQaQu7bvjsHzV6RtlbtNU429X0b5eZkDQYWXaaH WowaRkAR7qlkcQyuW07zmyTIS323ELrhoDefsd9+AifM/wzev3JnsYH28tnkZLLtAFrbo4HSspdV CYZcUx541fUFgatmV9NbfMRJ566XgLF7mQQu9Jwo9ANAKshUy6+BPViiZakaIwhN3cvsLMaeoUe7 fI7YzIZmhL/2tAX/8fBS7FrmCxsLzakSFzuJdpJJBuaK37uhP/Ao4XltGRhG+xlhVeHV6sj4OY98 rOYZv8E/zNhe8F0RB8D6OhW+t5aPof+xggv1xMCPXH72Jotyu+KcNOx4+Jdasyc/Btd22igUyT/9 HzVabxiOvXhJXiaWVgzdUjPwn4LCRV4zgNQylsimcRTvs9RfyF2uZJXAf/wqDDrIXu96DaiHzQNw dTyE1bRrnAg2SFoJjD8JQWxT9Vh/iSfFXe8mKBqpuqZ1aAX0Dt10Ow5pCpW2Luh68DXDlKKvMhQa LoBjm4Zs1fg3QekzV5jnwWevfKrSE4WvoJhLAzGcJ51J/Ef+/oZTjnS4Dwgr/DTbb3ieVF6tBr0Y n3uv0xM8hnf6WX4//szHJcnu8v1k6t6KftrNIt/zo2sa6Z85K4OA3vyTBIK8tUGwhsBay1dSGWO8 jEV0XNQfJWWJ4+l8gGVOi7EWxfyji+IJ/vVKbzraz5XV8+So7uYJoZ5EOuEmYUilgFwGKw4SCxGq YlRQuK4n9OWiC1DpJHhmdxyiJdVVV7dNOAsJMb9bDFFfZkL9DRKkDI0BWfyGbZcFbHK1rrB8JgNS wreDuyFzaZ6DYv+dUSnJTasKtpw52fWyH3TqB/3bEXrAovfXUpxXz/0R4eCUriDZq7aY6ptnTm2Z cy24unbX5sF+4UBxf4pligNg24AgsJHVzKSd7pDWsUqSONu2IalNajmgr5vzhSikO3tZb7ZSxuaR aJVritxqpg7baoI25vPJtgMtoX64yhY5U4Rs0U43iEKL3KELYuK/xh9j8lzH+3qNqQEzox7AaPVV IF9hM0svaEquMXurWyY3WIOsu5LdUNuUOWv2sEl9gESCT2pBQMzIKDKsUcjnFvSkmPuzdz7o9hi+ M3C3h7srxIbaW0voHO+LIJGkUrqgx87pj01q704mUKEmh7Iy2cRcUY2PXL16gEfQWnd/RGGfmWjw d35uJhrQsvERx0mzNoSOgN5pBoefksl/0I6F2ZAyH1tbAZHLGXkjDz1N1/JSfdhcBazceVUH8BvY +qOVnePmdPLMOCFnnwp3tpLEOLpMq5BwiZ2Gmu/sStQEpSlwdCihQcT0C1qreUauQwqgtYx1miHZ AKHOgKaV14K5TFE6jAiV2SYConivZRU9tTbKi0nrVCeFUKNNHsfJvspRbYd4EIFL7nStHeFUx0nI dd8quDdl1J5XBgxIzrv8Lpsx/fa959iHU+2dl+z+Zc0ZSmIeq3+QD7id17VQO5YksuRyDfT2WlQD Tllhr7l8uH5GqndV0E9E926y5PGNmsQ031dxc1s3qHvdsR2yxxoEA8CaZ0sV9YTNa3DOYjeSmtIB HHqtKCzwow+ismPi/Cbp4OHqKfj2w3Pb2vZxbz6fF4cJ6oNESZqMvdX/7JLJAxRSLqg837j1z46q vyBF022FzlTDxvOoPFRaEjEPJ4keL9MOAKjSm/aTNcYlwOmaieV8/OWocqyF96nR7EVQ731MaOjj AhGCcA0q47AvZwMPcjSXi84dV7bXIbWI2Z1iRx4i5fym5VW6fIQ2kuSEwuh6s6G8Chkq1cjU9HmT 0eLGzOaL/6WzFRnNv/1XwNvgAkmwpVWcSTCTYOSZYOXP17mz2CfFMofWjB6rLKLSNFpmwQlYCXZ4 l6E0wBiO8uTkuDFRnZ64okaWI5ix8Jj3WU4nqo/MS2TpubT1yFr66q6Puxj16e3wlQoKX4MCQDvl i0qGHcy6pqu7BPFdvqL3RR7cMcwJWSSPIsg9ffDg9YgMz8nanXaaGY/V8v1xE7ZoCVcxyBvMxMCH YgT0ZxxWmvkvnKP6x0GolZf+vA+hWAp+V4BUwPMtpYfA65VBWy1DsJhwToj/rRoDf9oZ2JJ30fhv NM0D0b5+CNLhX1KsXcqcEzK4AMcl6E0VUntdA7Wx1VPFeHpGzKtgwHB9nARnQp8TU2CtPg3EytuB ezM57Hr6b4XyCUb7DwZa01VO9WzlG2ufWu/eyM4wdRLEUGv2Gql9l6wH0Apqnbq9NDAQHlvp6eiy 0YYp2dDzsnHFmrwgwI4awyVQLFtTrZ9UcasrDOT3ZbGGRBRzU5lr6oLfo0lJkEFIjPGP/SrSBnpJ 3s3wLsHqt/tKHhGfCRiOaZC9GgyszAXrHlQgWyDPezq5w8R1GQPNndBaei2LLydibh8KDzNACWpt TP+z1bz3QWe+4c3PLmmjgN2XxBJiWkwdkVRGZ6KIuPdp2Wna9q7u32bomyRqgvAsGxRRC1V6eKJT Jd5W4MhZOLlWwnfUQWtT55iRs5i7ekqSljade+iGqpUtgLJku8qtsjHRdu5kp9tbelZBCqq5jhUk UlZnmLSI0kaqC4/ztJLeNBI9S2kYq6yCUwpJNo0OCJM3+ggIwFQXLKkMC8hbE8EIhJ/MHcz5ifzv 5P82ho6D4Jy5C4NnqZrgT93sOdDMgIa6MC6OXHIsHg4X+AZfO5rfRe4iEvoSjBdrpqti2moKVN1X ufslG5mBG4ilcYaQ1H2I9zbI1Y60OpShob4ImZNgvB7r7N4ejDmh+MbumFORzI2m18C3I8z1KX7a 0IbKMqn+EvklMkj7FUm5ogDsWWhNZku1crzSSrMOocybZRXdXJugHtDFmKdBmY4Sv0rgyxuyTiS3 jfRBmlA5E5TguV5QvAQGKcybGtaGxYQYShMaLf11IYnNH0z/3wVl5p4p3giQCKUgeI5ynGIhOSto RM+rvasGXcKiMtk/Z1Ga8nXV8cbYKB19bm+6Y2661P09ex5qQf3UTh6PftmYZMUWjyRZJDMfEzUE 0ZaOElUKmMGZwYvVc37a79ShQNEMmH6NgT9EEc6YrjtTClKZDIZNJeFjlvr36pW66MhbV7ZJzVA/ AgwYvtFDri9ol84AOvGb8YbdsL8Rla0r8ksEEpVuLOdX33hS6DJDIseysb/fpANyJ5qqHNuCOrVV 8jhPOQwSD7ArhWklYnsLYtECsl5NWTJV7gu1pzIDVA9K19e0ibnlIGmIX/HIMOl9Nbp4hQ6RSqqo Q6ZnseyVlGNxKSskyDKYbutvYnHr8gNroP8A0ajK0n8DGtU600NOqMqLhEbzIDG3pDN+OVoH4X6c B0S3AS3S06uKX2pJ1AiE55UGUQhUKgBydpO8suKpblUYflCYCzKwpYkN7Yvsk/b2/NvHyeA5Fvpk Grap6YzNB15TVmLNMrZAoQDqag//kbu2zlNox2z93HitbbEhEKmS2apscC86FwpiwZlYo2XHvpdE exu/KTqO+XzfAqOTi0UcAJZVjAt1ajGywjceofJLoDgsj4gwIQRLgRYCNJ4vgvunrvNpDTt5zh6r rc4zkvqnl1jJgsjqHLXkUnirBT1MZi2ctgO7ubVOO/jbEgXCcgVo2HwgRN84DkJMqHqm82dxjp8d 892Sp2eK9SHfRxoMr14zZPpKkgIgD6nBvhZ/8nv40UyfF1DISmGl/xSMv5512Jb9Ih6ojSBYaP92 iiqvD6c/kmfOPQTWipDgY1kMqwtT7j8B3V4DVDePirRo+9NU0FMepkhfthm3HbPDczmrBFp3dwZN Tw/5uRN3kUrxgMwNWzSbyfU1mBsJ3r1sIuJQ3CcQ4FJEpQaejFF+l2F26u6+/S/7GN4LvyuSdvs5 /wJNiYEcxrgTC6N2848VVsmAAblFaJ4Hed/WXkavEazUb8ZFWA38vkVR7sK3rbQNzVQBjel31Q8E SvgagC9fGkv9BNNJh5YunWUbVLcifPICL/EC4rJMWfRsmp11SKzcAMjrePvzTw/ZMBSXRVdalOeJ snmsASGbQ3R+vAyyU+j1Xqn25XmBd5TN9bTa5znlCzE5SScM1rtNfAi1h1CxwOksILEjHFBch5U7 QH4WqoDaNkHIf67Wnx3pEK+mRC4buTZxMAOGvx0H0gzI5tDLUYe1VDmjlzxxWVau7bR+W20YZ5EK 4SEhf9w5+ql+eg1MZM5eeRZNd20oab4utVE0UHDGqia3nKk7nbILX6qKFi0yvq8FgcMzn41pV3Qj zO9akKeGyx56qbbuYSUQZGdb0/HuOZj/yNuy3/cb7E4QtlwL4HTnqTpyrqlpw+tO3GUTYhwAfQZN JnVvpK4VOkNzJ2O307wc/xTHg592a12Xwkp/lhvgma+InxV8PBBmwWhBPyJX3vFpuYWEo+IraLW0 oWTIvXNN/qdea3Ycx7niNv4K0sA6iES750LEpVSBqfZYCXuNT4WK/MzPAUat05zjCBsLeIbHpf/g k3usm8rgSp83vV5U5Hy/U/6wXgu/E/qfPENyOa+tFLuKUfpeK/8xCMPoDykW3YeZIIAGLVY/K+Yz Lne3RASY3rzdQBAFYpz46cO8wQ3L/Kq1U6Z8EXxbGwkIKwPNlH1JMO9Wa5p+ZNVW6u2rt6ib3aAx uZuGzXG52iCuOnfr6zOW8ItOmJ767NNX0UNmskm5c5hdvL+U/JwHl/jH0FYV8Q5620PWKuYxwv5q 05owLBMTXTfBjO7jAIZ4lCk6V4tbhzp4OMxsEFrCv7YjIEZZhGDoPJltwt0AXZqlqX+IMq4ox6LT mzMQ74e/5bGsZO1Qo9LLo5a8/YAjvo5JtrBzpyqSgqkxP1gfc2q27Oh/YmUAueGmxh+BwNAhHUvc eVvgmwdoRyCVz6tkclPPWHMVBi36DVmWlT8TExFEEynBj69xDLXhvU8n2M8qEpRWV2FdjVRHikZQ DoEIi2Mnhwo38+XF1t08FilB6wDa4SL3PEcbYVWrUkyTyErF2poc+N/u0uVtJjqiwks6Fh4wjg8w EjxEWwgjJcrhIsxT/kbTGI2GACGZ/VsrZDjvyRZ9pVDgw7DrA33Qf501tKIAVnWb6xVo5uDSw7Ar 0txXRoOdPNIWbuE3u6QchJQDi1Fmcl3LsiKSToZlW45DfPFWpXXGlVQL/um1qNlXJCBRGY5YGP77 wkkE6DWH4MCFezXzGC8fpET3yoWXBzfz+fu3qs3c8ikGzQ94eH1uE1lBGxrETt8Y/tBZbg+I7/TN 6nKrIFCek3CdjrJ2g9va8N8U+1SuUuw5kTuneQSoB+Y0jBfAPNOU3cp4G2FT2ygntZqLiS5Q04Tp bTcWTEnEvIwQfswVVLgRTAXRFSMORaE9emHN+qcuYt+bOH5V1cQ2s8tltWr+cMPpGPQd0bgpArwc ac38NjAZnFPgs2WIdzvLVvcdiUmsLcuZO40zq1qkVp+WxLmXgNbem1VVEn5rlIZRxmfVpzAcGSsr bI89nbo5kQBueQpwe4F/eKmhHg2yGxGB/yuRFcCSWlyEnj5W0mdN0o+vEOheuyJXDlWzDzxLY5s2 KNquE6Pk2WgGjdrTf/YTGxeDlzVA4SGMTkSBJh1+sKKPikpEvzbjG2huPfSWKPrZh+JDxBMm861J 6MQgtOUA7nznAz3etU0jW7Mfp724RQcDJwqP5xG+5vqog9OxfUEfBES3KZnIAm5oTVcd9TTKQV+J XdFtfaL2Y6SRMjc8fmS5miovA+k5r4zVlX637+v02AlLSl4SyZl1qmrV8Qq3MS1E54dsGj8HI5vO O+NB7OJjDK04NIfNGfOj5gQgHODmaycS/r41m3nZwIRJjkFVcu662LgaA+EfdIuMZBXv0h39K8Xn NUZ1dWfmg9h4p7Fa8DyFYDhSYZ35cwyn6KlKcV7wKbpc0T5IMvAKg4hhmyHsbCXjEklHLsy/fbSZ evTz/243qR9+x1gxsmFxcvAp2aqFSgCrXeSb4izFxRCnQxkalUHBexNsyeswk5dvGq8/q9RwY3CU 55q3hQIi3wa6EyRdZ0FKFUnU/WoZVBE8v8gOBUMpizaf1yRYQcB3kF3v4F2ipYWuHOSq4kwVSI1f WCUbMeNja+dACxY17MN43Fb111DZsem7MNhQ5bKkw3ykvn+1E2cpURljfcIkWNb90fymu5k4ZOoh Fxla4N4AfljV/fyT6krhuyvH15fXTpws+G+4X+SRYptjXk05D4tOWO9xONh791M8hXs/SplTJZx8 sMymAbobREfACCrcwQBDxpPE `protect end_protected
gpl-2.0
2179e3e9fb8f28669b82c0f2f2a9edd3
0.945307
1.841676
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/HardwareDebugDemo/clk2Hz.vhd
2
1,513
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: CLK2Hz -- Project Name: CLOCK COUNTER -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Clock Divider -- Lower the Clock frequency from -- 50 Mhz to 2 hz -- 50Mhz = 50,000,000/25,000,000 = 2 Hz -- 2Hz ~= 1 second -- Actually 1Hz now, divide by 50,000,000 --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity clk2Hz is Port ( CLK_IN : in STD_LOGIC; RST : in STD_LOGIC; CLK_OUT : out STD_LOGIC); end clk2Hz; architecture Behavioral of clk2Hz is signal clkdv: STD_LOGIC:='0'; signal counter : integer range 0 to 50000000 := 0; begin frequency_divider: process (RST, CLK_IN) begin if (RST = '1') then clkdv <= '0'; counter <= 0; elsif rising_edge(CLK_IN) then if (counter = 50000000) then if(clkdv='0') then clkdv <= '1'; else clkdv <= '0'; end if; counter <= 0; else counter <= counter + 1; end if; end if; end process; CLK_OUT <= clkdv; end Behavioral;
gpl-3.0
dc0e2442ed78d2e9145d8d654923079e
0.487112
4.0563
false
false
false
false
fafaldo/ethernet
ethernet4b/new_frame_buffer.vhd
1
9,456
library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VComponents.all; entity new_frame_buffer is port( DOA : out std_logic_vector(3 downto 0); -- Port A 4-bit Data Output DOB : out std_logic_vector(7 downto 0); -- Port B 8-bit Data Output DOPB : out std_logic_vector(0 downto 0); -- Port B 1-bit Parity Output ADDRA : in std_logic_vector(11 downto 0); -- Port A 12-bit Address Input ADDRB : in std_logic_vector(10 downto 0); -- Port B 11-bit Address Input CLKA : in std_logic; -- Port A Clock CLKB : in std_logic; -- Port B Clock DIA : in std_logic_vector(3 downto 0); -- Port A 4-bit Data Input DIB : in std_logic_vector(7 downto 0); -- Port B 8-bit Data Input DIPB : in std_logic_vector(0 downto 0); -- Port-B 1-bit parity Input ENA : in std_logic; -- Port A RAM Enable Input ENB : in std_logic; -- PortB RAM Enable Input SSRA : in std_logic; -- Port A Synchronous Set/Reset Input SSRB : in std_logic; -- Port B Synchronous Set/Reset Input WEA : in std_logic; -- Port A Write Enable Input WEB : in std_logic -- Port B Write Enable Input ); end new_frame_buffer; architecture Behavioral of new_frame_buffer is begin RAMB16_S4_S9_inst : RAMB16_S4_S9 generic map ( INIT_A => X"0", -- Value of output RAM registers on Port A at startup INIT_B => X"000", -- Value of output RAM registers on Port B at startup SRVAL_A => X"0", -- Port A output value upon SSR assertion SRVAL_B => X"000", -- Port B output value upon SSR assertion WRITE_MODE_A => "WRITE_FIRST", -- WRITE_FIRST, READ_FIRST or NO_CHANGE WRITE_MODE_B => "WRITE_FIRST", -- WRITE_FIRST, READ_FIRST or NO_CHANGE SIM_COLLISION_CHECK => "ALL", -- "NONE", "WARNING", "GENERATE_X_ONLY", "ALL" -- The following INIT_xx declarations specify the initial contents of the RAM -- Port A Address 0 to 1023, Port B Address 0 to 511 INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", -- Port A Address 1024 to 2047, Port B Address 512 to 1023 INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", -- Port A Address 2048 to 3071, Port B Address 1024 to 1535 INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", -- Port A Address 3072 to 4095, Port B Address 1536 to 2047 INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", -- The next set of INITP_xx are for the parity bits -- Port B Address 0 to 511 INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", -- Port B Address 512 to 1023 INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", -- Port B Address 1024 to 1535 INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", -- Port B Address 1536 to 2047 INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000") port map ( DOA => DOA, -- Port A 4-bit Data Output DOB => DOB, -- Port B 8-bit Data Output DOPB => DOPB, -- Port B 1-bit Parity Output ADDRA => ADDRA, -- Port A 12-bit Address Input ADDRB => ADDRB, -- Port B 11-bit Address Input CLKA => CLKA, -- Port A Clock CLKB => CLKB, -- Port B Clock DIA => DIA, -- Port A 4-bit Data Input DIB => DIB, -- Port B 8-bit Data Input DIPB => DIPB, -- Port-B 1-bit parity Input ENA => ENA, -- Port A RAM Enable Input ENB => ENB, -- PortB RAM Enable Input SSRA => SSRA, -- Port A Synchronous Set/Reset Input SSRB => SSRB, -- Port B Synchronous Set/Reset Input WEA => WEA, -- Port A Write Enable Input WEB => WEB -- Port B Write Enable Input ); end Behavioral;
apache-2.0
ba96634ee39f5a568f76622c46e8632b
0.750106
6.046036
false
false
false
false
UVVM/UVVM_All
bitvis_vip_gmii/src/gmii_vvc.vhd
1
4,266
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------- -- Description : See library quick reference (under 'doc') and README-file(s) --------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; use work.gmii_bfm_pkg.all; --========================================================================================== entity gmii_vvc is generic ( GC_INSTANCE_IDX : natural; GC_GMII_BFM_CONFIG : t_gmii_bfm_config := C_GMII_BFM_CONFIG_DEFAULT; GC_CMD_QUEUE_COUNT_MAX : natural := 1000; GC_CMD_QUEUE_COUNT_THRESHOLD : natural := 950; GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING; GC_RESULT_QUEUE_COUNT_MAX : natural := 1000; GC_RESULT_QUEUE_COUNT_THRESHOLD : natural := 950; GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING ); port ( gmii_vvc_tx_if : inout t_gmii_tx_if; gmii_vvc_rx_if : inout t_gmii_rx_if ); end entity gmii_vvc; --========================================================================================== --========================================================================================== architecture struct of gmii_vvc is begin -- GMII TX VVC i_gmii_tx: entity work.gmii_tx_vvc generic map( GC_INSTANCE_IDX => GC_INSTANCE_IDX, GC_CHANNEL => TX, GC_GMII_BFM_CONFIG => GC_GMII_BFM_CONFIG, GC_CMD_QUEUE_COUNT_MAX => GC_CMD_QUEUE_COUNT_MAX, GC_CMD_QUEUE_COUNT_THRESHOLD => GC_CMD_QUEUE_COUNT_THRESHOLD, GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY => GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY, GC_RESULT_QUEUE_COUNT_MAX => GC_RESULT_QUEUE_COUNT_MAX, GC_RESULT_QUEUE_COUNT_THRESHOLD => GC_RESULT_QUEUE_COUNT_THRESHOLD, GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY => GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY ) port map( gmii_vvc_tx_if => gmii_vvc_tx_if ); -- GMII RX VVC i_gmii_rx: entity work.gmii_rx_vvc generic map( GC_INSTANCE_IDX => GC_INSTANCE_IDX, GC_CHANNEL => RX, GC_GMII_BFM_CONFIG => GC_GMII_BFM_CONFIG, GC_CMD_QUEUE_COUNT_MAX => GC_CMD_QUEUE_COUNT_MAX, GC_CMD_QUEUE_COUNT_THRESHOLD => GC_CMD_QUEUE_COUNT_THRESHOLD, GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY => GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY, GC_RESULT_QUEUE_COUNT_MAX => GC_RESULT_QUEUE_COUNT_MAX, GC_RESULT_QUEUE_COUNT_THRESHOLD => GC_RESULT_QUEUE_COUNT_THRESHOLD, GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY => GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY ) port map( gmii_vvc_rx_if => gmii_vvc_rx_if ); end struct;
mit
0c6c9fa910e56817461197101695bffe
0.485701
4.596983
false
true
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/multi_fft/mult_gen_v12_0/hdl/mult18.vhd
12
121,508
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block I4rva/cuf4t8VxEsBqPu8efL40TgUMkDqF/Yo+sT1X03Oj4YCLT73IcHuEBecAB0Yk1189v5a5st XG+Mr7PHKw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block H7bdBneOz64Hq0fEibwIAzUnDzdzMnokctesc4WQ6LqHdwGx7+Tvd72mptonuIo+tHt4VMorxvNX E4sey2qbkiCMVPvUwzQcgYpz6zg67jAFITs5zy+Cj9JczQE/k9WvDA6HHh7Ck1/zQ0P3ltwJzZGC DTv4t0DJDMfi5J2olWo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block oRLeBRLdTUZp1yLrMwbsUOF/pXeFH1d27D0aDIxZSDV6YvguOLzaLYZyTZrxvG5IB7SwrpBiiiTe QMHB3zj+Rq1PIUKWdQN3J+YEGXLNXyYh6cF3FzhdUnJsxJPBYusxmlKtVZccmmDDAdVMM+8eBrnC 2fdqD7D4gRftGKSB7OO4hbfZEEA2blepsYpXd2aON9iW6qegOSqF45zSC5iirlAhcQWlUBYE5yAN 3B5dRRa8BpqruHnC4fBMMgU74YmXJOGVqtf/TRdxUuUh6tQbzVYfN5bDRDi6TdYYHZmoGqQAesjP clNzvpNa49SD8benFeh8YVwu3vnFCRGfa71hbA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bvaNTGZ21ZG8Nb/2A1Zs+NmKg+RCqqnq6+lNXAHWKLXwRic7v6RA+LaB037GVhqVGQWO2/AtGEKc bIKDBtZPKPVzkZHCa/Khzs9+YhYProEjTjFLQzW92mJh/J2A3oo5ZAvIyXPE/aYKD187Ap3XrgE3 TxiErtJCqjbn1Riy0BE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EVVLKsNrt41GWcAAD3vdBOANd28+fR00pHRU3ceOV66//xapj9fdQmeBSf24gMdvVFv9nQyZ7Sex eh8VUE4O+mCkHAcEnL493jLg/U2qeo/QJxp/jsbtNMcj2xcHNqd6Pnhp4f2Lbb0Q55x1Hyyc7Up6 F5ejmN1lAdlq+/Xnmjq65LEoiWWRn0W5RL+AGCq6x3o1ELaGrJC8x1+D/MqhFJ4EaJ/3APdqabvX XYWRQsWTYll0dGph2+N/C51EbqUd9m78XKevLIgue4dYBtxIgDefDDcktHqg5qg8QiXQeCzxdXCr Mm7bJZpL15/3jRwlA36jZGBpMcyH7qbm6m66Lg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 88208) `protect data_block sEVUUCfYytDTX+4EVk4Np1Fy/RQLNk4r2Mmb54KAVfhvkVwgkBBSxmJwItYlBm5meIcLzWqPnuGa Kzbfbt/u+HbHO4ni3GSWbz3yR9qhDtRUIYGtGx6rFnzKEO1jeflu41EsHwgVtk1SiEbFu1RMcLzf jPVKIkyakxyy3fQgsuRxmb56lWIodbumNIGBqk5ZBbZGr1VrUMzZTqxPczQFfyzpxyWrfTNMO4nY HFKaltflqwFpz20RnGATmajybv+cmMTiKihQU+sYetgs4sKVS5Jv2lTeM78ptYdw1PoxkXGp0RyR fICM2q18tvQXduPx7+jBbKs3ncdDN3ajyY5zCN/sBoHO/FxElZs3e0oysCCuKwwn8G3CbBhjdJHd DSRThvajXXcuICQycItgvW41fFgNMiJfOFW1sm7/ULpzdir+VJUmXvj0efEpSfeBwgGX03TG2ofD c8MZJAtaP41eui90rGQ8p/9lRJ/ymoUBqRE6N51TAFg/t43pkrN0LrR82PYGMvRRL4yo1ldoGq7R Acdk78xnGTlQPxX/6ma/sTy9iIyu82c3pi3kHA3L187P27zfFvc3xks1zs5uxW4Llvbfew08sZK3 2kB5NPhny98dpfDTFZ3ZeQroJ+4HklvG230809NI/j0OSfaKxuhtYGpYrCBYcQL/nP/GR8UtPb68 hiKabJfaks9mLVv4z7bgTHs5PvzO9s+EiLp5JTkOxWkGjxuQ67TTo6ycCGltFJIclieO3JYhAqSj 4A0Yo8jTDMqtYK7BOHbA/WJ2YY2DMK6uhuOiKhpgSIG2+TETiE3OH4yc6brbNSCjwk0DCxIWg9gQ w4vpiI6aB14y8g4FWExYK+qtknbLFjtkbkwwGNArGLnfLiEOwUqu8qhH7o1GU5nt6sxeBYQxH+52 k8ZWXqRbDv7g+o5w+jN2OcdVvgdw84pBWIKGJ6X/f/qlgTq0LaKuaNb8X6s9kToFe/fJu0fCJd6A VlV2a1UDai+Aztx4F3I7RjSfMQ48Mr399RYmWtR5RvtvipWwWrIBe26A/GkRt6N537gKXW5RlQJ2 R8likYKeLFL7UpBaZ1btx6vE3UBJM3OHesOATxMkFxcly8GbMIVhTQjV68cTO+wc4wMBqS3LH03J vpBMC3sxAwsD/m1ACQToEPyKlft4EEAg1VxduuRuqNNGX97xAmaD5gKHZZ6HgDPMXBmCOKyuWA60 7K849j9jyP55WJZLOqtep0epIPb/5SjhmjmvoFhpaiZ4ddWhoRNxUK2hrocMX2O/DMILVlDgwTa9 dvajeuIGFsPwst6o6HDGV3As4gqSFFoBJpyLZ9Ni6diTbdga3FmXglLJh1kMryh9Kre4BbhYJ/ZU QKPokjSA0Ojn/ymst7RFijoFFWFj4NaJzuHeOzxf+Hio1a5q1fr9xWIUE1KAW61yjFASXinpAHgz GVCVkWlZBk2mkO5nwFfCQPllnIyVFNMZgM6/kujUb00L6cqhk64Vawh+NTZ/TNzDEmCMrKN5+2Lh tn4mTDz98OqBu0JDoq7UIIi22iMistyIozHflc+0O32NJLWv61jjLYf2rIxveFJ+LGvTMMZtqoJR SBxhW+fDY2WF28T8KqLm5ThdL+kXbplk2rZGacb+/q6LaZ/EoGHbr/QNFI38VtarV2y6bIbd2xYz D9YyN7aUe0K+WornYhzL++l0w+5LGTVmjBEywp92aTVFc/C10t1d7KTnuo2MvHIh9TL+QvGLzHYp hACmh7oIBuKYWvQGvMi2n7Tr4+gWYjWQ6yP1vU+eJktD1S2w6t91/EwKdg6bDQN1ngcZCw4K2S1F dcbOu131ktwZ8atR6a+j1mqP8lJBrK09h7S1r9E1WQx3UYLzyK+gF61B1ShBTs8nGNnyuvoPDq2+ vMjFD4hXpMXK28rDmL8A8Htyn+CSdjJ/ErTqOCeFNokSLJXc88X9t6p7W5g5co599C6Hu6BpZv1h VD+0Bj5Dx2RFuSaCyp465h139SUAJ1s+qYGmoPRPfzGn+X8+n6RvDbXFDADE9br49l9O0GtdGhU2 rH4oVMMDqxpDro0FiN+WBKXHSNLtX7AZ7TMP+/31/fntORgBVSHjfRUnfOofBx1LKkL3+Jf2bnwh M33Rv6hVUF+7hwfIoaRDXqhTYOLdVG/RsrcK4TLR6r/WTNd3MCtdzJBxVUGb2/OHg+aX8pPYgmSi V5kjGz47UTXjsbsznNelmoH7U5beS7+QUpoFtI+Sx7NwRR2gXgqXzSrU0QvsdJIplQSc7mia46Y3 dMHpfaAdAfqT0Pre6k6VzHKM0LrbcofyXRCCiAQHSod5P29cKNDLglYv9DO8lpDoH/gDtip12HT3 Di0y6j0s+/Pij0h4+GJ6olorz8FWBisueTb9Hfr8PoPHuhE/E6tNHjwyFvKcQ+48kBcDJ5DOUgzx W4bIE/KL1/IGj2mUNkLCIB+OcEuj6NjIeOZLdivXX9Vg3533SMAbcBDZVt0EJYk4YSkZkJdsCOv2 TLAFm+ny7c8LpmzdY5/aLXuWzouvRhI9puuPDcPkzLx3Yc2i56BzhcDiwOmgOWV4e8AIqQU564dw D78uhyjkmzz4tKw3FcwMf1pWaed0RGOi4lXRw+TiwsUU97NmemVOzKDjlZ8WHwNnnvj55vYFMgo2 YUymfsPI79f+njOPv+lYKitakGMYrqDWjQETL/zfBHVqHOEBePstVlUjvhgYnpelGgah6oS2/g8x DxK+SpjisRM5iZWXs11X+xJ6pHqrALxWVsLPHewCwhLss59e311Mhuf61YwPH6cUYXb7ZbSzapaF ToA50nUOH3mi0B8PqAsN5a+v2WN0ufbrNasikJTRxQuf2BtlkB85WJyJ++tWvTQ6XROXvRs4MM22 ZxeABMVxcpDipBsrD8XVzdazsip1BMulWAZQfxJlIMxZsX9zBFBx4hg13tQ3D2qtHNMRgCM1vaXY 4SCnx7b3Wotf2S53rIvldltPGv0uAuPR+6mM+7D1bvR9fEq3ticsJx5gSH2opineuAiVTPqkJqEL DT0rM0F5KhUvBNkXJ8JeNoZxzzyu8xHFzdfO/DJV+2oqQbOTqcTH2SdgMAXjU1V7lZ8LXP950434 faFtK76b1egU7GtZGxwxd5pH/mr4+hhm9xr4ZRQDUAGqrgItxk8PWpkSadmvCmmyMleIY4ZSN9ux GXbqB108mLmDfW/At7BCFsrP7K9rZ5ex8jHIU2J1PYbDujKjX2y/4z8Nx2mgF6hlQ2lhu7+lVG2L 4rZRmfnITFENr+Km673bfNJfUrtgUzfA5thbmDAPXgrGJdBgigzZFE2M8nvHitS2I24OQuxoj5Q0 +L3zckgGDN/s0Q2R6qqFu6Tg/trHzEPB/TMNCzxAuOOhNiMrRzQfRBngCxITHCFFYNl4T5zkBuH2 rmPuTJOKhPD5r8EyoqB/fDg8ZMvARODw68eMA17MRsDsSss0mTBZ2sXXwf4Y+qYvWl9Hp7KaocjR EKeiTT/jVAY+RexIb9RT1qckNXhIrsk7OUzgzQh1gv93ABRkKySX9bMzy7Tud6kwUI8qHZVDIt1P gtz2xznCmh6Ol9qY0T00msEskDjBTBa1h3EqTEmyvlzvtblJ4gvLfM4cNzvLUl3I3rqEtrsldXFx CclS8aclJAxOeP7jMcvlQl6Ij4qK71jQ2qzUEF2rh1eFlrNgi990kdG0gBkUpx7aWoaB00k8IImp YiaZ/kJG53gti+gquC5Ko1hQIXywm4f4HuAlZJdobmKGSvXylRGWwtE4B8si+OstF8EX+3hIOz91 vgMD6VU7T9XtQaeA+cOCB25ifdFqzDu5a72P3xUmN84fKSHW1RUVjX5POh8PjjZiC/m8/wCDjs5A wJ8pI699qyFwLqH3ySQnWB+OUQFHBeHz1vBosE6PzeZ5mOLLgPazB+zGBCDOmkIQxTWLJI88f0Z+ FF1a3/DzCcY9S3JO6BR4zCfEOeBiyHG/HuXQ0gxQinxH6FQfFcGO0SV5jeXpQP7DU547A0H24JYx /sVzVMAMaxgC3/jkyTRAOeEJH76dcG/fkChMhCGfLOiFs0aYSeHUulluCq8hkd7aba5LYH9bF08P 3mzb1yQyqKaqJBwQzv/a/sBRSCARLYfY25JY+RVbmJwKSiPG700qml7xsXTZjHJ+W9bfzQFtThXI IS0Rkqd+ZH+rQYJLRYpeOwTZzm02Nu5OdaGTAHFUjRIiFDlBD4eyY/ZDXhEqrb5LhrWbPzYPlIJM pOvsy6dnQNSbxTVvfEBJ8nhRdfQzsnLzjka1l/AvjnhCtqm9CcSzNmqoiX0CivIKXTOR2IIrxaEm MAiZz9ccUyVG+9hz9iXUU8TiQDtGEzWlS+mPG7Uw33sIm9VTJ/lTPiWUgaoRucyBNWSqACKXMCOQ QvIGnoX6BsDeULx8k0lorcAlWmwfZffjU3p573cr73jH9zWaJp1CHP/27N7CpiN6rt5Wvu4p7RmM PGi3bNzeMBipS7JQJZi9jIJQVofrK3qYkcVJaJrr62qwUJtFSKWkmwxqlvZvMLARdi3lqJABn6Pw EPIJCQ7Brzx5Vs5jtYqehrXNRMAhoNQX21iCp0Heaxkm8Y7CitVzAZTisxZHhUqHimMfZGQIXYnJ 88gtZ6Vbpn3OYmBEKS+WtRjicC/TuiVgtZPsO7tc/h100CIJYyQ8mi8WfsG63JNQysy4au1ni85L Bu1r6L6p1y3u9zC/xkGNc79Do1U+iXQXt2GhpeNXzt9BQXb+IQq8+Wk6gypBabwBKlHp5ZIV1wWu +IBi+PNd3pspl+FP7pjBdwMMcfG8Jg2HmQiEIXNa6dRIFOA0RNJqu16LPxlfnCqSQV1lTm9WXGXA JcWbBo998D6Wo64+up3dboxCsLjplcU1kTjECbXPpceheq4a5Mulau4R3vc5YP0PTNeg8IDCblAH ALMR4rucoKlzHQJ25D+GIYaz57R4fTJmrHogum/G/w47lI4OtD93PpD1I574Lw17wWOMeKmkCG3w +6367pBiaKvgFlU5ZUqdhb1SoPNVmKrZSqABhFAwBkh7yF9UUfP+u8P6l0XcpDrJYkoW5hzkPqDO B4B1aRorcI9ZpRo9ZEH+q5DN/uWW/jk6bkJcuWi+IYaQZ9hDvcC1Cx/ZvCy5Va/8S2v+QtHkW4Q4 S5eFh51ds4ot4FbHpHnGnMme0RM1Hg1qv07jKLk4XIXq8knrOeLVhwWPQ37uH6Tz1l9fxq9jJ3nV xL+2m96v6s1i5xjLkc4/GW6cZE73nhY9yEkkoqSIxtIMRI3PjNXGZbBf/mWNTy6em/zGSTP53kNF jbTQerctaz7B0s8h6J7vnqXc7n2zS4z5zzj9ScCLPHy4+/YavQRbkeKUUwIPH+4eoSCWZ59voFXW wjHBgOTjQrd+bJhaavPHVUXnpY5cUgnu4Zv9lO/ubXSVXYEBPiLyiwioPIZwVhQey74p3d6Wafpb uJCvMxYIBWJAsTRN1F03l7K5tyZQl0/AZGEITWePEoB2+jXkytklcVdqMnP2tncSMKhv7HGEWBP5 CxUwUrpzM5xv7l6m9dyQ6r7PsWotsJBgndSUPjxO1a5rjCKAE2shzicdWcYoET1+uKnb2biZOy54 6o7tQaNR31ETY3d24FXImoT81bponwdAsahItvIZ5EvYTJICOa3gJgDL2aQZtd5wS5oAIy4tjB2N m1HYGoCpe0c/AUr55Zgx93LIkohInji/PFoQ/z1hd/JlcA4D3qxN8OImbqPJ7odljpsC+ae2OTlY d4uzHGasxSsen86A0IJSzwkoDsfnaVUyfsz/zF+wQc2XbqAwCrTEXtKlkiWOIRtpUicSYbghLh8p NxwOR/1Dzy+PGCw1v08tQDko4IBtUG1tGNX2aHzVvXoQZ3wn2jzn/gSKKtbgODGJfJ4JapJUZB88 +1O5YkBj4fyofXQTnnYxVA233T9IRIAk71DzoWGka1DL6tiVUrudRylOuQiqwgEo3t2mPD7XO7ti AkvE45m/LZVMEZKVQn/3yvvBs7RGg02fcoHw6PGDUnONGdgYIEiTNxUuaVt3SJXOaJx8RL+/MnDO /SjwseF8emhv17dgn6NYet2rbzdl8n4FwC41KEYwSnyiiUGXq1+nzC6w9LTXw2olTiKamkB/JkjI GJJHhhcnM44CwhGLqTq6oEw5QkpnXZkbH2GKuIG+3M/97TeGp5ZPnx4YCclN3kJyLGUku4DPLbfJ xNKhRzF4AHtXlUa+inZRSeITiIIOf9gBUxNNAxKIwLdY4jsWce64i5/g9vXZwH2+UEVsOE93Xfeh HldQl0lDf3YFscoRp86qhEqMEyPDFM9ywu3/RFgKHqZjqbvsoJeCBTDX9OGfINHX7w56olsIBH7O 9npNC22tvC/iVajZgbpp7DctQkog2eX0lmZ3jorTuDIBOGgNde1IoQmqHeYcGSRCHbNt0D2W/QIa DVMT9nQtKZawLXUB6YtBTaV+megGc8NzIQm9PNQJJJUd1jsRY0QSGpy73S8ymqYzz58sb09Z7mBm jCNplEz7/sB162aZ/jDjMRm95kOk/HHcnTcurZzfII/cGj+YOMkUs28gb9KRX+Roe3DTmcL3L8a4 1XzmSW9PxM+wrJ9RgJCLgMMOFLyQtm+uaW64+ZjtwxbR/r/KozD5ur9H8Iob83iTB1cBBwfSBuXv AdwcNnfzkrCrNxbyVcVdpfXFkpWIEoNkpwcsLcnny+F8Hw2CyeAaA5DSfnRXpNtEVXZwEIYYr5ed UuYMXygLu1CSKWk7afxc5bDaOJhkQZVkteB5PadU0dgT1Jjn5HX2d5MLRWoBx+0thpGEBrejiBrj Gs48TW6GwZv+QajbhrOGGozjrq7MC1Nz71DtCm0K66dzMiOAjUnbDKHDzYnzUjw4GzJNutFvJFBr EyhFI9PlQmkAhZQ3h+pHBIjHbXhhCZ8kCcDHqyGaAzdNoIxcrvHFyhkQqCRnEyomM36igPz9XhHr iQ3jqcaQKexRP3Xc+MIL+2uFeXcoWIi0YF5o96VNfkHIG2xqrGsYSETbOsa4SegOjk37yYCK0jN/ Jnb72ye26uy2QbqWZSy72xjFDWPI/T496VMRX2Ncij8rQeDic3OE4sDhkkK9yIF8iZGQlPHrP6Sy o/WjX1TTtoR7xBW7veB2n82pp3gNF+kHkipw/1gVb5cUsiEityZPFKlPGQr3EtfMBX21coYWzauM AOrTYzz0G6MTFKluipkd6nheK2IZ/cfa+2L1RCJVGkaviRl/6QoSkcDeI6G4G8y/XVI7yZOBcDq3 iOOtboKhOSx3bFM4/jZtNL9DTFx6P4BDXuUTe03bJuEffnPySkOUFlqMKicI2sUvTWiRtFfBuUgt +FI9b8FybpW3u9F6pQLWBpM2W1AY7J6le22yvcxxwoAkganPblFO8EqGvqZ/EkYqVzAgNcnbvIUo HY0FWJRRpHYb1E1sZKgq+Z1ds7LQrJUIc0Klp9cSy5iP1uHSGObTbPbVxHOtb0dHvJM1uoLZGF38 ZtOoMBwrGFc3WuuakaWfOQ25kjQPYy5DEj3k71wGVjoyJXw2BA47Imm1ez3yanVhVcqXuUeawpcb 1+48pIcsPPo25yIzdg/zVrJjMb8Vf+Ufm1GitZi7j1wBE2K5G2C1QpBnONkPFr0JMlu8sTQ0bVAf UdId1RgizcVh5vuKYG6iYOto18he6GyYaVVFikG+3VMZ6v2zOSxsQjpH1u3XWL2Y9+05xy+WBgl4 hQlXX0s5Zwca9u+WGEqjDRsrNdRj10N9Vt64jnj4MU5MW54/krkzSKTKyeDMTPJn8PBDkHRrWWdA rknBE9hXNh3OwcDLkJuMe4uRIx4KFawUTgjRLc1ICs3r32l07jyg1m1n8BPD69GkdyU5Dpa6ShS9 i2LjD09dks8QrZoUTERY8TZkwY9cOz/Dif0DaU/hlRkaLj/VbSBvEcVV5SPZQrOAsF2tZJVWm06C 5m4kBmqTgt8VKoruK95uTPHMgfZBVpMo+P9ia9hE7VVgf79IONO9BLGErT/DrY59hMnuwlnsQJ4K MJMY+JxeN14bl7Fz06K/dtdrqMbxVV/1NhHdfpGuRINQBs5NmTXeGfUhM8b1gEPHsHjO9yApiMZQ mVBJRr6M7P74DzrFYLX5kSAjfnYd0dgkBLFel5BeC3GbeEjyQT3n4OudN56Xr8B2CjOtAYEFebqI NjZsLAtgNzWMrrlqQDq0L0rSO447r4f7Eh67VjUhRGXeiE4PIOW0wyuvpPojHUU5voYSNd3YJO50 XIApdhY87I6dFPNhbMEGIFGYecOyxqj3iLT2VMD4dqBG8gH4icjIQnzTn7yqWpXY78PJHPj7iF1M y10sbMYQkgLvXpRAEkWWvnzECJSHL7WSEZ8LL9Y5aUqhl2Go4TbKx4FzDl2y5Ytu5pWb2S6pZrl0 oWPK+/4ohNHbTzimfpkJphJMYCo3IQ51IBE+7GFuNDwtHE34VZ59Z1XFFtNCZEGzWwr2LTLMDBdz hNJc0BLLdO0GNxxEbN6IewmKypZtkhRhYby32q4NO2DnZ3AYBj6vR/cvnypT1K10FPwznsBandal 3mMNy3AwTpeC5rS9YfNlVQNQluE3QJflRaTjNHYgqkMEbmtaqZoSNFArpC2eh5oIyKaws0hsWrWO F9NVpktPMaqXjcOfGOoAVJcSEvagzOV4wlCZQ1X0tPsXtAV5NzTfOFZRtlVH2os4KP1ESQ2WLTw8 xsEvsZMl1AVfcpl/krHmELWdvPtLBJ/4Cgt19Dw8p24uzmSlVE9Ntcgqdy1vKl7pKscg2jbVTLG0 GrzaF2F0q727Rn5pPpMAsuxVgaphOVvNpdPl6lYG9P50QDgq4QDpN7cs0MBac2yOan3fgGuNH5xj 4VgPSxewrCaK7OW9fgcPVljemScNb5n7J0TdCm5XrcAehXK8L9Jp6QUyUcNd6Cf5FQowonkMqlPe 2UOloqTIzrSlULk0h1dzpqdwBdwHYmCCrS1vNHDGVbRNHoNhvDYKy/s+FDKaqBMsmC52+3uLzZls J8NNk6AMxYYNMticrtqxSeRRaH0GPQ/G3yVacLBR8NX34+ii2wn59fByzPq2xGzkcMtpamTzN7ro EnRf9qOK+MGh28lZjkKBY4NE83kSvY3SCuK8ql1xVBC8Esc9Bs6nJ6vKHCpAZMaQJ3DQhQPzo7mi 77lB8zXLos26hqgqCDj7ccyuLal3Wn95P/+z57IOk/XiZkWLZt2zlyRv4/8W4YuYTakCgNVk760x Ho8Oh0NWgW/XKKHMGAXbK97oJDO4Jv2bA/Pe2p/7h/zExk7UqU5Fa589GEtq63dge11ih8krpDON Lw1pwsta2djritC+ixhV7iCGGVG7uCPxuyF4ukrn+HTRuE1f6fa1iTelRi51P3tZU09TefkJGUq/ CcLjR3RadS/YpC9KiPz+Khno27mrNp7YXEK6hhxvIfYVI05fUDwz2/Ig0u+qVVDSzbO5idUPEHRp tSc4p8P1usSE8uEsd2Fv4KU+Q/mxY2Y7xlNBHJNKnNyxUZmv7nfgK9dLvJO7S2HpDh8GRzWUyfuJ ouqQEWbYotAJ2//kUygVl3Ye29rJRUkYiqPYg3qOcZsmfnYndAV4xsHO23Cm1kDKwTpTmBWZL7kS kXKeM3ojR90vFLWwDQFcRS4jUSqRUZpNZ+q53RlFJ0K/67i1/EYJsl5azUl9P39nq9++hVOtr38U Wh7WASuhF0O98ikyShngX0V9YCaqHmCF0SJ9LOc2QaXm2VXrkboRMPYh8ZvZDyoVpMk8+8oxHuGz MTrpf31fG5B9r1t7r1/9UnVFssxN9JKbImzNrwjno6SNZ8cvZEeisdMRbegHtS6etGClUHqUtx4i e+dN46YZl9BO+s4/9bpdLvecdicXW8/PLVp6f4GxieCfCIf8GSsusMnkQEE9a30/n435hTSpTRlT Q8NrQBL2C0woFSliPG60ZYHIyW5WMkBkBCHLY2yzaW68aXWDhgIRo9V2riPkgzLuPHVcn8V1l6/C Uy4i3ROmab80qQf41Uks1ZnM/tOTpEKK74hihHxSh63QCLI3D0kRFqsh5hhiRrED4nFNkLE82G5u oZrvS/Tq+IiZrfSLVAFQkqN9f3Oni/8/kNhFlJ3DP0z1CLMwhzGMrBrbW3M7/KDzAtZHLCMdY+99 vApz1zkS4nm8KQRGPbjv42oJIwxVDefB55tWsMQV/vNDVS0hhm1zs54bQpwW66blMRBNEjN16nz+ HuQ0IQV2YTKaxMao0sm3WS16pTapukpjrdQ+Trzznu3Vv+MiccGTdYLjVqEOyzmrJISuNYUry0pN lRl5YNhRfh0DshtGargVzAauLj9wAKhWWFW0jRnlitET9fU+03E3OnxNYWZf5f/X4Tfh4LujbX4u eZNuklyG9NQsblhr6ULJTv6ht3bokODfjyoOqNDy8XjPKwInpWi8TM0606mecLBsYb0G6OqbVmJ/ 6NgpQz05PyFSH79ZJ3T1eQ3P9t5Bh4S3Iwo8yRlUNfi3ZyL46RtX/xl03rA5hizI3jNFwSsokqgU QztbF/MZAZbae52FV/xtt2UzcQF6xA3cDg5iMbjEAfUh8Wjg/JVjhbuEMEamhxXGf85PbovlLSa2 05lhTZRu54Gfy3TOGb4sVyDDvlk9an8Ib73yl5PDAdIvDhdtqui/cNFWgIuaBHj0QYlUQ7SNn2kN VmRQhFadscabpets6Phvck7tqrt7kGAKLXZ3Dy+7QZZcx21av61Zq2+zpX0rTN/Q8bb4rHrb7PgX tqTb1OFek6wzOIHuySbe4LQnGZhbpF2Q7bPiMgIjCWibzMLfWB4Uvb4PA1NsgZwO3C6avDNaSk7c ufpKWbjSyjGp2TAfoaMeEDXgpyjZM3XG16rvGvpxV2shiO9sGtXkUwAp9EHd7EKN18ewWHQmaxUJ WMqzxhcHbQukh3Q0shs70RR5bn3gO3jWvvMmVYxgEdXgJLxDEjuVhJ3bX9mR1jvZ+jrXGXt0rikS plKKDfRxZMnG3hJAZNrqKbz8EriHJ61BsxPoger15d8YjZr5gmXYZRFmCAuaGGEuo4oHRLqKiXi9 6BPZmBjJtL9CqfGoQRvM9tagH0P0F8k5Tlby3aqfSTmcZHzmQbFiTj/gPSAJaejeGeBEtXlCT6F7 bVorEVDbtV7ylUZRtopkd2cg9hJ9RfZVgvGTC5rFYJBZjh0+13W+eSi8lAy9zm4lCh/uj8XaZWKI 1Ynag8z0lY9v+xY2iQCJhRsfROLpAkwCOhlJ/AjMGQxMQMxJ4V5myTTmsnJ6n0YNS4r2qss1k/8x n8gE4sCEcYAgtLHwM1Q84ol584h5hnIQ1fc3OwI1zZWxzlpM0Ov4Pseru6TMpDqMVqRJG5Q/909U on2W4NvZ8aMfZiFGVSFu9dlH5fdQtWa+FX/7Y7PtLZ92ItcFDyLglOkrbNxD3zadk2Wk7220A6k0 EFZIiSZ6KDtQmz/vcD9qsmYK8HGsKhUJM68+3/cZEtCoo7QO8H16A7RuwNAiL7gRWkr6itRZ7Bio 2Ny8p9WdEDr7jZ/aGe7iC3qUBNHCP8yE1ClLHkZhcRo1+JJjx/oblBFotH6sZLRyuYnlUJa7AmW2 jBcLrIzEBO3k0v4DVzCur92GBmIsg1kJ7nqVtKNOzsTsbLughT3KbFIy8K8ZfF00CzbOZNP+uvls izZzW5atYxKx143vydbPYoCtMeAFCCtBMAMgLKovneTVf5B07kL/Hhhfz/ONjV/+5N2Y5UX4S6YN 5Pij7g2/Hfy7lw2WmdtY4qDOMRoePP1F1AK459GvtE+61UROLLR+6KMtk1ezxI+EspFn2GnUEzUB P58SsHNJHxmjNezZC1A3Rt01fjyLiWctCKASA6oe8w2XjWCd25ht5gor5C+UejgDmzWKvlWlUJ3p xmFhNU7vHd/qq85QjCa3ifAxJVrY0BGLHcbMt8/yIU8VIhW11pXk34V6RTg/3uHLYC7mZlojtE5x eikNDF7OLJfgmJBFejtnkRiQG5b6WhLA68YCPSRs24S4+L7fLUKiRq8i/lF3y7IMMKVnNqfsU7xh HlVLe2DVAKyul9ELwnh5t6n7R9qMiWLc2QpwjQQ5jwdiLbwhcRMOVETPDlyNSnTo2BP3su1DoJHD MGvMFgRx2Gg03l84m5JZnG+H2pN2FwIDWly3n5ws2SuYY0VUY7TLZsd3R6iZXFANXIbGeN8f3YEQ 2wyTL3/sG/OnBWb4M2A6T+kn4qrcx80SXKUQ7dyaj7Q9O87A7XAyXWiQMIh26ddB3tmaeTkTeG9s idWygGP5p/C6h+B7j1Suuq6SJZ4jCWR8SVCoGZsrvoQORypcwK+P7rSijiPGxyi6pSlugqKXyjWl a7flXfhxqEDITU3exLgz69Awk447Xr9RxdEl9I9ImiTp+721nBE/htpSMgaEZEo1wyN94h6YLUb1 3MMYi+qKD6x6EVeyf0bmTMjAzk9LkD6h2AGI9UZhY4POj+WRmuB9WOjjZTzug8bSMIMVPxq7A4iq RwBNpiJa/3hYiMvMckJyplivtZB01Y6Sy7VWBJ8F938qynchly8b9bOdnClYr0yXYhJ4ntFDHH/v vbTg2JsnTGocPhPpB5QZMVeke7ZoYoZ5P0UbIih+CSV0XgCbbCxxbOPn1sbLieSs/J4iQ7r4UyPq b4P7C91Uu6W2HtK/KQSsboGhCYNCHqhjSx8kIQbFxo0ALHGcfF5OyuDxVBBARICF4z88jg6hEdyt A7J1B65AvyYVMazJSQBABOiBMIfmRf4gRBMy7b8Hl22Qn5B1oZ/SoIBo9EStsiGsnEht6I3aZebY 45/WY53FaEByoZJGYqE1YBbCBhgtYkwE73UrSM+Xw0w6ABFhtUHC9ylvBWxzESvB9yNNniYU+rUM iz7dkXoZBoPA2CQq58L/fWUVDlIOour+AitbCrApX6OL63k6Xf4nkobeWc/aZLgTvOON4ajU+5xu SqN9+N1T/nrM5N7yNtgp6j/V6te7oLrPIpSb70aQGZCy3snZ0SDQeLWt299zP5vNRqk7LtoyqhYp VPnKKze7UVJJGdKkFQmBaQwSzAI9MZBps/IVt8OSPwNWHIW5Wu3gulKv5e7+emgsFsOjNe7MPKNr 5ViWj86UJCyDIKT2CeYtYNqG8gj3wLLnf0dt5tIiNtB6c0i4ciJEc78mY4EIEYW/ZisEBycbFZXe juHFhPhe4rJC6G3ETOn60WzuRvzi9IdPM1Zy9GSv2PXekM6IxjPBpPrDjrNh/iIG5UAOjTwebXDX +1zjBjxYiJV56WS2kPIcv1Gh4VCrZ1uMl9tTJsBl6BTRM1GUJg8pqkT0SJWmMKNsxJkDzf1MyPp3 9RzMvyQImXlJwNvC200creofQragVSbJBkZT3WIVjxdZnxXxULPSF9a/oAMrSm+Q+XijnQvEojDC 54uZJpoKnxoJxyplxzmCGQx5JbUtAED3g14283719wYaDNKdm0W2WHPpYdAalCjzeXzIecCaCaq9 mUvNtrD9Nw52YF3WatkCKwGozu+OYktQOxIq0o3rQO4y18GKkk3c3RtaDvxRjeAsn3GjoE5Y0GJH 1mjhC27Qvbqp8zao7UhNfuJctHmKuLA0KpTv6qF4ZqRPppj6RJX633d1grbD6cdygtgQ+3Sj86hJ tAooS4S5100AoLYNvAnZQ3FTWryKjD5nuh7GRALrTWuEn7/DIbt5//QKN9rqNTUmGyfA8HOsYdP+ z2KyL5LhCuYyvAN33BYhGKlJ8S0s1yUiwZWNvqVTyF98nV2LCL7qvDhD7hENbPBoEkgLeLFeG6yO Y5A0MV4N7QoCfVxvw7RSEeli+HxeGGrr3t4OFCjUJsUdONIENmNRS4BW/GWev3l1+ZLeCo3EIcAv ubdvbbYyyaNQksyGlnlhJPQagZhb7Y6oh0ibdtMH/PtLuXkJDVhxEZKp4FQ2CkOyQpJ+GFg7z2yh q2wmXXOUStsLmFlBsVAQTb/lkL/81rNzsi6AnnNDL8vnsNx57y+OAz8Ny/O1rXYcw1Qcws4Jq0lc Mj04GdL9yM/9txIpBEAkqU8XTRb6p/abIce/IJjrubGDid2alNXdjitGdUQyIPSbFpPUZQhf5ycp 33R9auz0yliQidPX3+lH7z1iokhTwWEJ/oaeFoE1dHIb3s8jGNMHFU4QytDh5vwBJtJJx9qLLmLh iTRIZ895YXdYcom+a1pUlvPvyfkBQVLzYRyefuc26bFnYtN/1yjaJweq5lpw77cUX2eAeAqSEOLX lxl/Eyz2IhA+RE5yFqgTsyH0xolKtbopoTnLUgJL0hFqP5QTajSlgajViW3j8uaQztCQaAMJur4P trxF4kMA+VkzEOt9jHLhX4I5RzAl4+pTl/QHfoYTBFELL+OaSSSbuUZ5SklQO1Ye8MYjLjo7sHBi TB9PdeqzC1NrFErlafJJiXkPkA8pA/2pkU/hK3VhsWz/C3h1RhGH31hRBzRZPDdtDZw5//GeBiUV Z/yJ2mLwbkNwATyLm6uGk54U5NB99pDJQuL7XUAFrSMXDWyVK5zhdwtvUIyXvcdBPGUU5ChlaRa0 P+ZOKV1CSgp3SdhgmY7rFAQWjm9wK3Np6Tdpq3bNxSOZsbvDVgws/PPSwwrpMBMBKu/4IIOwFQdg czzjofYVf7b1eCkIF12zmaH4U4+8vaPNhdf+WgE9yXFVfpvVdUfneKxXavNHYMbZXyJe1uoFpycM bCNpyLEutC/01fwbR67QFY/YW1c8apLwJfhFTD14Hcs2bw5vfTkEBufObAeqpNs13HDRRf34azZn z6djEi6MGfOznMe5znDgTOk736cLrNn4vDxNlmXo64R9zCmdE6I9TlrA7ZU+059o4XwKYfBtUlFs ukkBhZunQEfiSLOo8WWJUP1glap6Gd9P25ok0yhzPvgbmPYOmwLp0lBM+0N43FTtxJ+zfiO2k0L+ Sz7FvLuenF5S9MYLa02EOEpZe62vY4EFD+cZOQucXqX8TRM28v48nn57LaVqSpTmCwHGDQQwD7nu ruw/RlwIbHdyWrZcX6e0ybVjNCMa8aVozRTMsxwlcHMdyr7A1XMiGcILCBMmH59LjhKMlv0NGDRO kF6m+sHv5oYHqW/p0HwJaW343tDTx0rTTvnxSXsUY9/JNmm+O4RP/QiW/KLyIn766WO1esk+LyW+ IqBfp4hETorRg7qlV8FodPE5PmVH+DBgjYl7pi8z0nuCzu6AfoTMVvo4BL3GWRn8NZWGhqkB/1yr UCuhAaOl6jzx118gbFdolwkEtbkc/r66EGp2Gj0qzfTMXuBm9TcU2EdqqhpcOORWjgdLINpQ+9Ed lSCsSUr8Ap6OCarvTTqzzW40R5jPeJgSWE7ReIPDqhJp8AyQxKagRfYbPbyKFcke0IZwG10jhno+ NXOUatl6DCGo2sssVMmFqu3bDsT7r28/ImpQ8Nvdp4ch9F11CCujj8Ac9DSK/cmCoZgXe9QkjzYO neDyz+qnn3w7O+2lkBuU/Ri1xgXlkLWT0f8yvMOJd1u/4L0O2bIHtvpa3VotiWOyudZV8/q1faTc 0ftg8TkugSrfSyJaz3tXuWNUZCzYtFEV59F19vFKYnp4MSIoI+DtDi4816BoDIiyvb/nLL+ResOk vMQL9YEoLexfPwxusho/GtyJNuLU0ObcHozgE9aM36IjNx0NSX5U7vmtQDfx8u6R0gagzCy3ikGR xEm3ZdDoor+mfY8YNsMG7vyjGegaUxivMGneKiQVm068ONd9AKHpg1nUuzxi+yQU6lGxA8j0rsoL RSJwjDwYNnTsQ7KGOpqKFfnDog3M+ND+r1hDEGBnUO/wV1PJW8HgNcweNXHefXn3fB8mxg+j9WvU CWh2lVA+rXHnBuCB6F1XMmJDlrRaPd70zYzRCu5zL/tOdaghW8JmRYKDDJe2ZlVQYw9ioQ1fHBE4 jJcEkVVJqpkU0QXkl0DF15LqVNU7gbk4kcClVC5Hrkuwhya6rLoZN9bD3+SskrF88fOmVgKcIaMd jgJDSUv7SAiHl6KmflK3i9gRWFxDRonVJ/o/WJUT34I9Ht3ZvrqoSVOkTARx1rZXBcVeKbZXaLpN WvaF0Ob606db24ve44YojABtE48Q4Hfb4xYXYZfCX4JL2EGA6cirQpPsXC22h8QpUPOqNmQ1c7CS 5f3qNrwme7fTOJZyrvlA9iKPThk3h30hFGqtZfoTr+KJ/Gccs8gobKkGPok2tV42KoDddtl5A8gn elos8aXicWUHGgyLuiWeppWqciwnsoj/BGHTDBpFDl+PB7Ztz/v/61WRxy4HK07+a8FbOlML0jCJ nlDbmEtOkGFTSDvbllsbTrTPYgRCPQ869s0hU2K03f6N45zC1ZHXJGURXBp2tjmELurS/iOFK2fX OGJYaaKaTQlxZwms7opLKTB8hyf8R8sYwNJf9TqznVBQMno+cYA6fm+ahSHJYbQ4+hwt75/ALmM2 EWxBG3k9EmrxjjmDIkjDlrPRfknqelXcbuhALJ6/cQv/CTzjBqWVYKY9Vd6TvT64QB05QkrR9pTT xPOQksiD9Y0UB//DvAysw+1JKC2U5QsJe70Xs5vK29DNrqyk6gmFk79PlDvpBVdr77Pes3qz5vuG SescbDwNOHsuw/iaUJI6LHoR/m7/GadV/A6n4fSXYWvMmNSWp/mUh6pIiPSV8BYKj+bJLDXWB5iv +lVTgkiqozG//14q6MAvcCbmUelU8NeHlBwrcIugAGDy2hYfKM8Yj1VZX4FjUl2z/g9XgqYg1l5M DipRvCFFe0qJNrWsqIx904hN7RjoC2lOo4Sl7KX4NwRTOlZAMUltJrXbdfkzzjL9WfkNbvNgradw KiXr40CPJh45pOYikGHc23UxmhlYLZjNW8xzelrKuC4tUFwoqb39HuCtQUY072gaT41/et0rk1OC l6LPSWtafIlofjAKHAzkNERnMG4bE4klA5Tmg6kDvN10d+WFZufCJZ9pNWHP2w2TX3jts2AMLgDk Z4HogHc9E64lAvBaSbizxdOhHHlx05kZ6EaHBT2Y5fn7iAGG7SJwcBFZ/9q7qCKni2bUq2l5QStW epk98v6W6IBXKL8VsM310Vu4Rx0YOZ5RyMNB3QcQaBKkaIIHVUwjM0mHBgWnrKkdtU0/yoQLJW50 p8WPXmAJAiN7u0rxflNHUMi/4jRVMwYwAhm72IcmbrJOeyKefmXrdYNCKyC+lCY0V+VQ56MQ40j1 y7dESAV+cYDnY8EpUehRZH0s3zXQlLCn7ajx8KYBpzjYqzO8JEjvtB7ISrg84A0MvIpFJEZ6Wd9I gFAnT00KWi5+zPspaXhFd7uD/3WJcDvyzsWnOwuIzC3YSzp1YHq0lssmp7MQEPOdtk03R5H68ICy 9v4bElgnZ4Qq0Ri8gGMdmirIkxmKWhdwtLMXsPyGdT/VcX1BL8FB4Qv8sx+ShySn0hSm40Sv/fkJ yCZfISlzmXS2SJmjO4nliQweiPfEb5eGZeJGOq+MKo1BA4jCEfFLF8DFbwSgKSw0QAUMqGofq0tv nLTS2KwwqeA/+tjeW4GewlrV63zlRGTPqeI+VvMRm/k4IzqvDp9acKf9B5zsbC/YaqfiX5Iv6vPr G80+Vl1OEtIdxxGk4QQcVbwoeXiNAtcKs4zbXtyNfILpUD3Yu3w8+ldhmUqtBHTLMizzT/ZqBkBY 6ibi4NQDeMobawP5vwpNcmtfjbQgSEvYs3HsGgKLATdwhZs4kLKt1zFAUqWhu5BH2yc8zOJBVBLb 9qTVgu7T8fY7NcoNb4EtcB+1HHvQQEj+emTkcKamoorBM507dQoaZR45TzQ90EEmd+FfUOH3cME2 iAPJMmskWxTrgdTMYkxl7i+uFgdms/paxLAm/xHFsfOJhbkwpuGeI9DebqoXcCChhDTwGqF2sAMR Ecixpqs6+F9FL18PvcqevXCFodtyRKbzyAnFB6s2CvLC0pXEYxwBNbBQwQhDM7QRtxXHEafZ2Tbd 5ClvbOHJkh1sFfbaHEv10W3Pg0IvaTUUX5sTYdEFdqUqytd9x2eO9R9SlCrqytl44H/oBepGXPO1 /NJNSareq2A1XtYPUetuSdinNaE5wGb3s9r0CowYL/U9Nry8C//d0VmpnFOEj7n0Jn5mO5RrZj5r w6akrtpSnrBeRuZnlGmFhTJ9TDY0zUKN1g37tZMUD30ocEjDaJ3xQB2GEV083YvgK+jFbTplhhpk UBx6l1e4tSKS3mij8nQIaxyeXN6hS24dF79tUbIOIH62iVyzeU/Xd+Cjy0MKyKDU17IvdMajTMlq nY+Mat1Z6H1ngmxMZCWRfSddyXKfRJ9bJkD4AwkZ1LI4uJ4fZoKkyXoYTk4Vg+IaD4DlPs9RbPYQ PvFWnBelTk2qNI8SIjmt8uwK/u37pWtkZsUzxED12PxHcktOwsZ5NO2e6Q3RS5/37bxmxbWZnaVv /VnWRnKCplGi8yQNNDQlP8CJmIJdjSLlAYq/Z4CoR4yz0Pdj7PwZgNZa02j638Is0zWi2te+ChWC 4FHxH0kchPpqREBqewI7ZBj3eK/VDV4LXQV8IkkQM5AUZ+teL+9jHdYA0jYNHwODTXluIF7LWULu XTNX5eZkGIRp7cfZ6tfPkhzJ66rgj9WzmjVXG1Wpt5LT6Hql6H1P29NRBa2QfMwnJ6Ibjtrmpwg3 MqOd2F8UldYOOm6Ysmx6NEsmuJiIwiXCBBOfaV8iL7GhHnzq5DrpR6gphlbDePO6eDHCp03O8cgX yWC7SFkp1sv0F7wMXKQiBi+DKQTeBPd2VqHOyeXnmPLUAdCs5z4IfhAW+ulKN+A+VYmDROc2xQOf quj6qxMap2tAg4meIj5o5B2KJBUzOngB9ncBZx6bs5bR5/AzW7PtaiDlAhjVLpb9loNA6qZIbM44 EZpBhkHCuFoPOZE7RKhQTF3P7kRj6vSvKF2HNZOcYB9sgirWsaT1iUkU6C6ky8H6C077l8QNG+x1 y1v+m95eu1iQZq8bJ+QchDdh/GR86STjM2I8laTUP7UemQaxOTNP1u1EtRfwlLN3n37x62RBA4i9 oNNT9+NOEX6zVTQv0mDcPQCr98DpT5kq/hEFFQCWFK3vL6VUWUtaWQMQmHB1B9N/jRtEbOWvm6xP JQHRkjqgtqM+RGTYc8ZrPRDdLJM43a9gx8ntYRfgWEdTZZvyesOuQ0Ua+SE9oI8W6O/qzTtny5G8 p1s4F7z2aGKw165uC4UqHVbsk9A2asnBm+5wbwDlouGwV/wzCQ6r9h+D4CnT+fJlbLBR4YRUbvb4 jySFJAPx0XZvCvkjxhZjCbznXz0tOSk/QG5dTMLoDPbIyasl1h60hZMYct4w5JCxztyv/inXtUIZ SvNMBMzF5C+7P9XwZYqgknCxezwZLBXlTPRZnkOz0ZqTiRIOajDxzi0yFXAuuw7A9XH7maOp74ch 4FW8hPuVMxFp3r85A5rRIsdgVXO4IamuLNAVcXTnzjbVsAZ1teMsVUDu4Gk2NRRQ7Htrz5KGSX0i tvBBhzoZwq9Z5lzxLTyW8c93O/iflLSdiZkStvjvXWu0PgsGQgcHX/UVnX3Zllyi6YMEZ7sGGmCY davbEgNzeQPBOLhXDh7Kda9m+nktE42JG5ij1ezKHBaBTfwui2uiNVokqU0vUp+y0vWvdAMa5Zdm CQCJd8c6+vFjw0GYqEO1YlCAm6OVxHXHEZ2U+9WQ1GcbA5Y6b5ghLtuFZM7otCfd91Wl5r98Qxnw ZhTrjwkASO/c1e3l3YADKzUl0hTg15Picmb+0LyQK1JovujeWChGACGIuYMvZINIO1B+nln3B/lO O3auFWgkPRb1R/wV3fe+wLFQit3nV0fw4PaI6IZoKEEyYQaGR1lFUPrMsTyepy99YP3nqXIUd+yl DJdndtePD6Zr/K26A3F2odkfb1i3Wch0uR5v1VTPqmRj4zINJLM6yadh2xyM+J3UhLDm57CE4U5n x6OuUY0G4pfV1u/oKE2BeCnX0iQ8MHQU9vLzhf5YuhkTn7BPlCPe9peE5ZbPwsDqLZjdVKgUfl1U dM3UY0SfgzPQn4BOGFE2+sqQdC5szpX9opiZYAv4VmPD1C3suUrbcqhkMy7ggMq4rWTHhzC5Wu2s N9BS8QMXzY4mr7WzHUfY9vj69E5affstXnJZX6fOtaSNFLDJGAaq1ZSMqSt6/iqhwRcq95e5ng0K i4WVeYZMqrUNjfb81YgD9H5ovTjjX5iGHn/jp8p+E4e5niOs+gcKaMiXnqZLjhj6t0cuQg4fAcAL PN8Oalw8VMg6/q/9+lxUKikpFBXAqNq+q0hVlscYcF/uXjkXdQSwNSISRIfdMzNr2YZZICaOPLBu FNjqN3z8Nc+IqJl02Ly0P1wP1UibI/7cL1W4hoLF7/58hDBDJXJ6s9lB5Es0GRMtT/OeYtEPKKnt LmSgFV4t8A+WaNM3d1KZkSoreAZkfmwOL5+fUH4ZSr7ZKcT03JjAz3SR8UXalJRGq0Vcgt4lzQx5 jIgr+M26/H1r9+tfXmquxI55ZJIfcHz+aveMtV7ZFeFKF4Nr99Nuns5RVRB8XC0b24DI4TT5RJcx kcp9tOmJRFXZQ/tZ0BLshc0gjaRdgAKZpG8To9BQ9f6cLjJWv0N7BjmO/3W+4oPNau4eZ8srPNdV L1uCI6UdsEmzGxxDQl3aFjUgrVQUnvh2QRkLZVLp6qPiOvt8FjLGeOeUntaCOtC8Yy6dUP+7fECR oNnorAgB9pqbU/soCPqk8KlQ0lvjS5esekPZzpyhknHtkA+Ux7pT0kGUAf8ZJtyIehRI37Yiigu9 crAqmU3HOMkyGkJDgleJZVroNae3PAj4HQUmO4O/S0qEfLK398kVdVaUMK+tWgfyuGy9ztY+Cheg V4VBRSiJyxXycMvlE5bf24PSxNKxUU/uAPBi+50Hq8d079bL6fPfHYT2DW/7UzMoJtJ65Zl4haga mVsFa2uXjR0OxQB6vD7NtXp0jS2Xcwo+Rs4yumI8AOdPaa7NgLJLf6oRSpcWvV7SBuMtUJu9QYEa ZsKq31Vgdhuglxx6xandFwnmMg1aIUOQmYCpGoN/PqBtQ6jCttyq7J2MosGmfrUQl+1gBpFJQzSC S2lcJc62wxvAoshKgxwba5sRi6CZlZsAbpnYkr/Pq7I/6rhY0DAtMlVpULyfDR7WOcOkBtSMQj2B r6JY/lM5LJ9mHkr1IevOl8FGMsa9abQFLJ2HRo+z2Yzl9uqQh10gn3PGUDjRS/fL1lc3qAXZSDg1 DnjBg5nuXCtDLnYAkxlFe4UxuvUR6QBEn0NqVZoUstXRA9989OvizSDgwEhrw40nU9l0fuAAVryL zfr0dVvIWShd5Oz+z8zMfpuzYakjppDvr6IFTFagY7VD39NQxUoWKy08w83PMhS2FtkP3tih7605 8kXK9YZLZ0Ghvm7N6y003APQvcTm2s1BmkBO9Z+UeiVPjaghIXXwDRDVE4TQ6/BBhyqJq/X7TwQn o92u8yyN2uMMIr0h/448CUithy3riaUh1Wuqy6TA6SvNEcOuiTbJC6xLmLHqmMHhRJUEjM1QoX46 Q0Qx3p0tzLGtjWY8gDdVeVTGRbuxLTNr8Zyfeo+jFlwav1uuX5h/9trsaZS7WyAo6EtBj8b01faO zzeOtjppxSVFfb2aWnbc0fESm7OGabYqCLIhKJ/TLOF6o0CrQKoZufUZd0tnkjqzBRaOJo5ACuT0 pXaUEXVXaq6D7o0Efr9T6LhCJnTOTr4iPOaH52174lJQp0OSGYGKvENX/21pZAy8U8FqCyDgy3NP 43rACTihyI1PZN+ZmyjP710/h5YbYWMobhPxY2aWHisAtyfWhSkKRqHMK7qQ3cKsyYjg7pE82dNB rT658UhpLkQxd/IKVC/Cgm4JOmpTz1T+OiEN3c0eyXiPMR9/CJgFfemyCNyzTGY16Vp22YZFplJf gzlJfDHNRDKp0XRIt3CJ6GyP+HSAyEqzhRsZOhkBXJ2yDUByQp8s2RxNv+bY+QjBhik3ZRB82kKm poXkCn6nZ4TDtZo2JcoDrI/zGsYUIxLBofiBxNKYEb3cjIjRVDbXSIbm4UJMrNNq9uIsF/wInd1k dISOv9omr2DAOTNrjGOq5ZBu2HQDH8kIFgdwB9Be0Bpr83PcIWwAyDN6btZyJ9RdaHH8VeaqFtJk x5RZIbScWs5gQBXoMQ0e6m9JkfIusdCDHLl1SbuZpZBHWrEbhPHtjei+OBG5Ee0sA6m3Q2DWQCuV JE0WhW/DPtCJIh6lDsGa6CyKwvEZxjqJf+706DliW+jih00B7SC9R6tKFWSa5rdYtOhmoLSBnvB7 kX+Z0wtc5n6qqxTb/yxD4uXYhHJvIlhKBMVdYRV0adP/IxgKzP7tVXkanlJRy+O6X9O60JuFIVoo vbt+edrm++oE2xCPTbVM3PoZ6eyJKvUmmWEp3Yfp53xyuGVNLqKuLEWQHn8zD2w7WNrmZGMJGs5/ qTKw8ixI3SyrPmKIMcH4zfugiGSV1IPoLppdK2DaETqzzmBR2CKjY4XgZG2XFqIop3VNw7q7fCwm n5TEN/79ogcmCLls9cE1EYakITUSkoAczIy9gxjBHvD7yOYjDg08XGIL7mUWMSrz6NB2rutO2QCn kaIdya7IijMBmNwkGNDmvvTgdrBnEVsL90rZwPeDA2yKd8nhogpzJciCP5Jl5EBUsBAGigOpmxoi p3iBL8v6dPRwtkw8IHkQKue43A/pPtFwIbQcMC60WQoHeYQOFLAnPyTjw0DBFoxuK8wx/d6+VoaO pQcjAFwfvxWyQm6lwc8GQOrj/n9Xy9NOJkzJAG3irDd8SnUSuJhKZkG0tL82RTP2nopoSw2ButJ3 D4s+XI0fR6rhLi9hTR8yebsezfxNqfg9cS/Rd5buB5v1PhFN63k/HxGw4FDy/0bjDJtalk24/v4R 1G/QjQnS3I1TM0XVeJ9qhN7wcYFx8g+qKjChoKMxPIpLJRC511VmQs8m4k2XiOIv7Ky94L3Fs39B mtdXp1ua6A+nR8mwNfQ64px6oxR09yPqcONKpL1m+c/SfjEvXciZV3tJ4iuKC6DAu2onPM+kV4TL HkNeRSxxv5CcYQPFW8rKYHmglIDJJXBUaanveWOBOyXTn6IibN7ZORaaL/3/qGsLp9tlJ0aGUgEy b8gX+2TTQHdlArTZKjNohO3wu6nROhpsiAXBnV4C30d/0wLR9YLQHdYXpD4/so8UUO3QW3283e2V /zqxfmY4TCmpGFxK2T4ahwJNONyjthIo/ULPGPAFut3U94YszLnUy98Wf8VAl1SdctDCftPMPDMM T5EuPqq/CDWr4jAJnYR5J83FxOQtlIsROxk848jeUvOBtwjZ74hwG7nHhiFQIIPob1QNZG+MIIgc 6hV6hML02DgdgFTfToOhVMCq8NEnG+dxiyI6bKPn1Fj3r9QvuGsVVnSt2REgdn0l2Ile7Cb7DYj7 z3Ujx+Q/7MPhxRwvTT4sxgxAHUbZo1BtfPIF/DbaMrDHn2GFjwHYJPxnVjqPj+UDMPrHzbZEEqcw c96zqjAeOrguctVBgHG3ldNi+rWIT/N8iRM8MJ3qFYDr0Z5i8QYokI0okG8lghZKZ+PwvwdJ16gu kgONwPJs1/jc+PoeUP9VTYCW6Sn8lV4UG5O+L9r+2qzLY9lJsdkqw8by/KOEqeXDMgVDNRdXx0Nr BS/4Lchtmw6bg/3vXSQOi23gWi6oo1ZYzvQihrQ847HSbvN/8bfVztcpHuxs2Fds3nfsI/FDJi+k wQ9c2aSlL40LDFgsd1aE83PP+WaIANYZMdHGaRHiXtqlOmNZe6TMg1GQsjBsb4JkuSfT0jMphPju hvmsX34FatDN/1rXEVQozwdKDnxOgUgNdlvm1qYTsG/GellhbZO2DNtZ/B7pTbCIbh6sQUvKZsiR Lk6Dt+Qig3KbpUH28URYB29AnJsHzwm+r8rZXK9TM8N1kM2w6Fm4C720tU/pI9GDVpH1izSzExdR HQqgVg01j5nEEUIdX11wa690piMhwEjCyvW4roqWq1BqYxZQffsPm+kc3Q8qySjiQ+0W6GIUq5hK h4E97QqEOWRys6Db59PW5PpGA0jt+u3Cy3VqouS2kCSJ5FeJWJLfv5h5UYLKMFeRqGpVgY7TfHTD 6RCItRujTdIsQt7Chf9XUvv6vZg1yuP/qB/8KbOpQZ5lKGXSSTAXcc6N6SdDZymLnomfqiSsrTqF xNJLcMhVOhTAQ7DOLSirtgqr/OUgc1vznaLGvLEItpw9d11H5/n7tjH/f/qZ9ORBEkrKKWPmFGc+ 8MGrMA/Wi7xkZHjshzYMX6QI/GDka/aEZge4oeE6ZqvhPXpUnviXqD38M6P62yez0/6EGt9eCipG 3DWpRYvq3uyzFNkJvpJHxo94VofPurWemjBRAPZT7t49g/Fql7tt5/bfVb1Z/cSPvIAgAwXRenKN mTsqGKmp30QNY4YFVFRMZeGjrY6cKVnfxkYcjTcEDW33Fb0L73QNzyADtPN62zvaEKDEKpWXLEwE CITPxCdHQeICm7dWq0gM6J7vPnWNwgQEJK/p2bQ573lcLeabk1xhX+0BDFDOMls4B+xQ/riKE1uf CBWhhh5NAID8s/w2TXxVV2a0UtlHIMVAtZbpi76BwEqqxJ28L2UXgoB/leeArLstExO49n1/14g+ Opa8jZsq+XyrUo9TIVtX4V6HpDgVLMak+P9AjDQ4L5H42OTY6Qg886vsl5DwWfmVPZCQMxyN6Ltu kW+Frt+/melETHpSDejvRsfMtgRkUVzkP4XwflC1J8G9auVQY2sGgZRVAYEFIhrTAAyftFCkZDjF xPN/jihpFH5IXVQAYWbTzc3uy1eg3W1dmg5qa8gr3ahTR8ZXSCOWi/UUBpEAUg/Q+jthwUT9QPi/ OGK9LogqTx+8CHQZ07QDGv5K2mVvIUZzOi8TbDFwjRNWE+N00R2OcS4O8+bi/6PXPEkZc5Paqiuf 5yT7WQFG0BytFFb/r9wS68t2CayqXkGRNO6MGPpKDdvxgw7gV+CRSJeHl6z7EGHeXSD1uHi4HNCc Ff/iq/6Bdd2Ysrm+SH/k6JO4B5BBXndxqqs2ksvqdUUCsReEdzcj51OuNIdD+/W55qnaCakodw+y c2/bK+Ogpy0B8qmoMNSa2jwmcuHbnxfKG8+lv8bdAha8TIk/ckP3LqmBhWMFUhpW2sQPIqw3SZSD oGgkAVWG0GbojN9n+eJQY/ERXr8j7USEjLaRMACpTPqsNYROATNfwNNznhOW3rbcnNGmq3sX4Wn1 gQyXWx/soDxaE614gCIBt6quVtKzsPuLb7l7lUIOuWWqssTzODyPJ7n8pnkdoWBfrUOnumnq0wEi 6o2yjMYM0SpZvpxAouTVs/U2QiOSvSCRTVsNLvcS6lfMpKJcCq6s1UPsgLIVH32N2/tEnwi+Ubby u/M0m/W04RQ4dbeG4F2HbqJZ6Cajhgq86Lzr2ND3wIW3FrYKd11BampvVxAhwEBVN672cagmKfK4 Q2+fZKJnX7drFE+r4WUg4IP5QEK44C01PKGwUBfZSSI5ASkKvWt3VvK290FvBg9eAL66CpzlVNpZ bNR6hSmxnWCwhs5x84GnyZOUk11bmHV2WB4IWMzCqYA0pbzltOE12Og1I7sqAP5IfKADZU3FruSO o23j38rpfX/pjaWSfaw/isItDW4jqpEoLDHTVVyPzRcCazywgJR8tHuAqFWtpUj+i3g2TBaY/P9m P9Iq72a+8tKyiGzxfZ9jj69LH4rZEFWhqmxeA9/TR3qo1IlB8Q7+7/IxnJjTtbOUc2Tm0V57cLnW vYLQc5+M8erAtTwxlGQ3c/kEcDddmyNGzjdc1oj/oAke+4BwJ/5EpN5b3yrgqg37rPd4+u8bNUqf IY6gyzOurgXjTvOaFZtI3BWNDBMPXQCE2SxClFKOpdDmSnC3mG8dQMFd7yMBojerUEAdTzcW308y pmcCHo/3l0LtjwtC/LCMS2tt5IMNPUaiDJ+X7nlscONC8+jDaCGfe22Pk4z0sHJlalIdjIK1BrcW iIhd3z9yPiDjhuhT8PwfEgJJ9u2jB5L0YFkG5zqjyAfiUe1c0m11ZSLx+u3ZHdIDxyy77vWl3jCx 0pQGGBxCd/Ivt3xBfEzjhwkRfKnK0s0li3J06H1xt7cc4gJO36WRJCUoq61PQuY2mC7YRg5E/GjJ xUEeR+V46v9bc6b/bLqY/bkKaUkFmMzXGypAJ5ixa6ti7ZRBlycknDHKAQSzSR4UJeqNxx1cl/zb lrV27xkg0SoVbpdrKYYFH/Afh7W+hHU+q8QCsTl8iBNj5tvYBTdrpduXXPFdA/WB5CLj53VC32uz IQLa05HE3P8LAumM7Ym6D6rVWIrHbW5C1pqmcVtemfO45i+AeJINLoYQSPtxPk+IRixxedN94wmr l52m2BPT7TuLANUcyZWEm+0A18yPVIyXbY8bHTK5/psl2zV+GsMo7WCoSRji23PkWRjzqfpXRqku qFSjL5IpKbiKyB118JQns5tt91Q94WLc2jR/Np3BkBq2tzoP2UCHBP/BMN9LmXyJtVrtevCT8mSb qM8d4plemoRCsJc/lm4ol+wtOvHOLgF5ohWTVP6l7/9DHaq63OuhjsjI5KdeJT53x/c6nXnzq4QL dlCm+UMpDfdXshApOAh6upramzb+D1j/B6VWv3gemel5DEa4jHd6TAx1XQGjxj10sYV5VS2AiUVE hUW73AddVqWsvonrinn8nBDreztW7F5/g7/d8Ij71srU02RcCBZxGJTPzYr0v78P9vYeyvHItFIF /TfozzVRnNU0coU4ZlNrc4awapiNF8twczqcYEqRGNK2H5JaYFd4DvOkXx2bWE72G5c41hGFxHqr 6HVxJLnZoEXbueOhbgTyYY6e1jStyNRsvNVk+p8kvkik6TlicIobeJGXT0e0JEVAsJnUAbNIGODE OvuGeAYLAsBrVFK6LnPybwuyoUycHfXRO3dabK09N8MWpyuvUH620mmvS85q4WTK7asNHOtjge8e G+PZ0Ea/civyms5YkcF4rt6qePXewPFFNcC6BoZRuXEqic6uVvpvzHVBmhVvEBdHlxqVonjYB7wB cqxmIwDuNmXcgJtj4Gp24xMb19PrE/x/4Aqj1ojSjlWZp9TUPBNEjZWv3hx8RknL73Kx8Hztpudy djj7p1jR2lQAtT21EGp62EGCPkMMkl6qm1sUhJYGxaR5hpYA0R/T0RzAzXuVFS8OO6EStPR8zEZz V5q9TavBdzoEKNSM37zGbSXNbM6wadSZqumtE98Bhi8GskIMV/j77w6Paq6cJY58GMXVDKbIA3HK rwkWBTVXVczN5QphdDvJC+hh5tpQGO1sRz1VywwqMrI1SLCJxOBRgEpXmKZu0j7eiZWpjfUloCyk xNgMR5SeqLrzPnWcIuRNUuCZCgULdsQMtnnOy5rjsP2wiUaSzb/LLNmHbyutaDnvoH+G2SqS1sDN vlabXRLk4oAzg0ymEACf+j5BUPwAScshscLQIw1W8MzNwGHJkDyBFH1ZxVTu/zMZ9IQOpDKrbm/8 en+4XYK6RKfPkk1pVpcLjGyatXlnE9A2lYlbr3It9E3u2QyvI6KDRAkI4EnHsTL3BhOhCqIoz9si EuGZVIO5BkbF9WRz3fuUhBuedSEOHVrW3kakpuSSDSBnCJLHERfgSBF9vZnPMIltuv+SO9NIydUr 5NcARrIx+m1s685xupWoflmIWggLStfDszdVmY1F5BBHOqF8dvU75KNGfzpXQvBMTIGXItXLvUj9 hD69pA0V5j+4b+urMemAmHh3pdj+VcdEAuZMQBH2+NpR367VtCrY8sYaa443ci6kYMuMtpFjjLyZ yWGCJcEKC6GDMpKJI0+KaovhMKeD4aTmhHDzEfv39DsZWqWnHapfQRWPR4hsqVYXRiJtgMfYCxJb 0zRb1GfgvHiEVgVJJzcYUyD8Lt/ndvpiStzcs5He6M8wwsS1K4ExT7xSwFFtBkPoX1L+nsmOh5NK 9xGPL96/+nE/UeKTwtyNesG+KvfQ0NiCbFlUIdY9hFzS7gvxLhPgAZVXeGy3OKSRvRoTZ/aU5B4E EK60yXmZmbO1NoLJL/EDR/KIF+RU0QEyHmBOoZ/1FuZNxLtlWZJHW7nHeeh6N5d8nWO4zQjQHxoX tg7OP4N0HVkogi4nqPG0P6s9597vJSmzXgREAmaYfd6YEwwAZBPzqROSLOY3s7VrBe6fHFJDT6gb 26nOx9NHT4JGcXPhtBsjBsxLweEUiMBzcDJBUPh8fKift6B2OcHrgUz+c3eEzORops9B+/0ik1f5 KD4TMGHy3hfH8a3/gvSK+3agtv+bNNHTSDqS6dciRenSRgIGDzDAltXmXepOx7MieB7IoVf2ePrj HoLom7RjPuvgSRk8vanqpWkW7DlU0Vg1BK/sMEi6dTZ8kjGpxun44sEbRf/YI+koh1OoJgXM39Sc 9moWTClUh7CR776ZdKCmjRHdM9vummaCscivKZCwyvCzSAT5EEFEMCYtJlOF/b67B60vnQJJkewx kGm/7Mvo1Nm6j98jTWYmMFvFdidbGergSNKLLS46lUubafH7bci/1VKkD5+dAd26gMqK27moS4z1 NVU6N1pxQ5MGJMEotUtK5/vRB7dyb4mWKSFcOWOtgCjIJl0j8SadLVio2CkxmgETyi1kJyJybIbV y43tskV0ETlIs9xRQpfOj/hwUgNjP/j0qIq+19Kbb0aokBFDaXhV4yPPO3JepGHdu8WbxeBBi9yC A1+0NE619unmyI+SIUmuF9JtaXbGsj32YaeHOx9OdzcQM5cVdwU6hO6aFzSU+qI4G0o1ha1GUIcV 1QZ32jFFKtfomkXsA7Lp/7If5sDw4KxEJ4a/kdQ85kWFTd/PDsCraeWWINfctFls8+SIEyC/4YEa RforwUWEwMa2zgVh9sWq+eCGVU7/t6p+/cy7BDa31TLPCZT0J0/OfQaZSMaKSYulOjZx75UzHRjj dgf3IIuQxx14tf/3RmtHHFL94LswBTAdTzGWjA7tOQcIeHEQ0yI0ZGjPQQZVneNvp+8eTgETfY7B N5unxivchNpNsDEGxlhYJisqyS8YN7IEUobgp8pjpwugUfLLe/MZMueU5EAeZlG0Ut42h8gFrmXz et1cAV9euWoUTy82RiJDfMjQVb85cZ4Q4e6YuTF3Y0i4Ys2lm6VlZYXK4wo/mhykFzZXl8xJflj9 E07zvRWGkMBUtCg95wW7g8PAd6Yg3c/m5IEIRT1Q3ew2Q0f0St10TWOd3bYtHRIvFFiKJhVr1T2f VzbZx+s2B8y4cWOSnIvPqoe3JAuhxc05u0f5HK7ThF8L/Uv5O/1UyedVzitRwvN39pvlSXPShwnx amI/vIASWrUg3yJww5wOU26Y2y3zdnOsEjd+O91p7P4fMP3JsZXDijsLr0rhpnBFZMgurKx5Q67A hqvxv8ybh2AuD71vOOTJU60Spm7hDgIZe1dYhjDGLjMb8H31O3Rgb8SnSMVA7MjswBg/hVVEbAcl viRSTgpRY1O/+ZZYzwrljzTvTlxutoaMyljIubRbRT0o0fbnqHXw6EsIm/g0dMtkyW6NckhKwYSd YWqnJE/2IAcsae7fT82rGb/VTS4cNY+5lrdqWbi2XjWbSVsawN7JtNpp/w3KtirGoYpxaNtpmhgn o4GuhqzNWc1TpqG3+3VcGu2rLy1vVElp0+QSZbkAOCfbGVgRRbo9pculhSrsl1ITla9J0h7OaeEA hdDi2IYwxQJkRPzHlBznffgGZMrviWAIKw+xKI7GmuDyCM+pzxiuIs8IzsIj3/Fvv2aWf3oCnJyL zhnxygz6E8SJgsX0Jq3Ljl9CuIhVkCQThkCKNOR3+eMOhROXK+8ZVi+tJ/RHTXzaHWwqQMVWzaiG yque8TH11C6GFBdFY6ViaJQYpDn28Hqjikoq3+D1o5TFeOhMbPQ47zh9yajpowX3rh+xQ+snOqke ZcxaEXdUU96bgPH09xgh+nefWok0+HMAjCLcUYRXhsTjGkN0xKdygiBXHBdeCUhgzODrPEPDQY0Y vk14NVTZCsDptIj0rVYbQwj1Y4NvWaEQUhzdQdDF+3lGHLUidAh9IWojCk2HD4Fe+ynVJVFjFwti /1qOZAFiNQw5otAfwduMWiR5tQKKF1ZIrv/VUkjdeng/ufn/1/uEnpNwX8fIe+cT8Mpip5cN4KPd GDixp1GpzV0Bb6hCNDUfBn9fI1beicqixKY0cW89/aWx+DnATQ1v8iMLPSADEz3HgRoBVsoCavBz E1R9zNrDw4Hcr5lokwlyifmtzioxKBxpo4Ld+Adu39B3pUK6lk06u+y1dDTLTdyyRtUHafz0mW+R fUHlSqAd0HyQone+NSvbY3JTQQjIeJGZ37WHx4Bt0iiFazJdCnCewPol2k7rxtVIq8sGPgEh5skG XHyB5/v26OY16gBWK/pdVcquSZdo3Fk1MhDhvylFe19Gx2rsNWqGwykWlq5Ge8ceSsjju5cVgIp2 U3Po+IAc4TNFFTPin7DIwbxFok4QmEGocd8rIouqih8iAxjLSaWcTzcOCDIXVDlSZLBniHb1NtQ7 2jRLlv+MWCXJL8OP9pcoP+WHkOYwhngSHswco/VqPMf4ny6qTRONC5NaAaG8DG2936TP/33EtMMy /JZdhLe4T1tXtQYP+shRxwlPt0jR+zQXdWuGpYccFsXUrgKq7sPX+eTvOzsNAkTuf7/KpH9MNu2v Vd7p/iUnRPhs/y1+5Q792tlrySKbBg4Ax9g76sp2Mqq53mbkqY2tfxj0TDKWJndLqU7OIVZuXpqu 9kJoGplQidZd+dIXmjfKQlJBYQDF9KiJ8gw7Y1aW3KgNputPO0jHIXVLs2ZpsSh5PJNfm2hdRyRr a/v4hkwkP5f+rw1FSuGHcuB0V/+RI/HyFHvVWZ995AsJ08Fw5PxRQCPgiOlzsC5zzT4vmG/iDQLZ I/w+YfLFecFg99U7YYW2I+I+v9XJWCb+CikjeV/rz7gTZBB8b2u1/kuu5ZXOyof0XaIHk3g8hk0X yHVIGS29eKGQfaGWCfLuaX22ICFRUOYzP8lcczYkqkKWApQJXKoDxLN8jzB0EgDOl39xqIeKjn7h 3z6ODCD1mLO/yuB93urXOYfvpbm/hXeKqkkM5NYQnBYTyt1ug50FVjdI1uIsLDLCX/uWmXOpMwKD 5gpbMfYC05tHD9W/wmEqfLm6TA77lwCTM1aLy0LgxSfGYyQKLpwqr7qmMJLJ5lodiAw8QXEv7XrK fV28MAwZ2FeScREKvswSl6sHtib3DgphZ47dCHylT1bOp6UUFjHfkuzq7Z/AlusUpSyClMKrj2ug dInlbD4zxiA4mjcR0hP4QGPkZe8M1FLteYhbY1/gCUcCc/7rRUnUHCXafrZd6MR3GBdAI+RheaP1 tnwMp7jFHq0z4vpx3JgMF87XWfQJh5NCF3EjbluWNrmIv8vyiCj97/xNVZZcAqO8FbAMINglwwKv OxRBLcXupFUQpb74AwjkD47mhBApYBHRBV6OZpYAbohkWUS6eAWyE1m7xgN05dF5FppnhFarNnsv BojH12ZAigJ2OXwgHEt8ELfSA9D50w5ybHqvW4oSLCUfZvR80WnfIEOMnQXni0ibO/0MhxM5kEq3 Ll655lmnhwimn48gLBdphdFoP7AnuAT2WM6mPlqQCsRW7c+v3pB4Ywc6GmVhWNnuR+nruSP+hryz 72WwH30+/l7GceDmvJ20ofmYGZtwOWvL1TTLhY+qyhnZTnhBvK0m4wkOwbjI+0sP8Am/gKGFgve1 23L+SjUGaClpEbGNYt51tKXEtIXAzgbrVvj6cCIQrS5r4YJMvAGmxs7cweY/C6j0XhvEk4lwMG41 1lVftKFz9Jq+hl2CvIlvO7g3I2b42ISIVP0DRof0IpRejFUdbQhsg7I7n9JYADsDytI3ylrpPBOB N4Svayxh2lA55e/LKfvrOVKG5ys2LEcNEZ/XkidjGO6KmjQOkTL3sc0zXMS9hTcB3h5/DFN1IKgb PZJn/dpbSEU47Po6TLsOqHZDCmDzIQfHqrF2OzXeJduHqOYvwNNQFV5ZQFN1o9gVN/3Svrn+bI+s UMlkykAFBPG5u6UTnSyUZbtDY0ANx0bIltukuQNNRTzQuE/tb2la8/M4XLilJZEf3uWHxMwYNTny XZN/wT+wKBzkN4+JmPke/Hd4tnGU2itOpHBiKBwDHjdnIEeDAkOycaMjS6UmQIAnCHhwBT8yiN0V XNsvTybmsDpR2N7i5ks1uB+cFfHjZu9YFjfd+6m0IGVBbsylXUwSGrSzvPoSR0FpGAUCT3cJ2oBP AQFnIIlRFS6wrGNc/qaro+hTDisGeUToSePJVHp0rpdJvIwRKGHIoB8+cwCHrcEFMacN/Ql/QGAy Or1exXqrHgqj/ND3cKs4j6ujpTed0KJsyV4PrOrqs8YUNtmjg57Vi7MHiVafjct+kCQHMaJgM2Jn 626vVZJg5AsvKhWy2M+0mVblv8iYHLUC0jXkHFQ14fVh/lu51XG7iyxGzLz5eH+gB+ZEzEruV658 XkqZiC//NXmqM5MeR/4Iqoka5irlPaRCBuWwOErxCASDFkaLfKsr7bQq3AgsqmYplUYFoX7vfvIj bRvj/ah39NacC3jGPcTj7YpQqWi0LN3rrCjmlAK58IpTG0Bx3NHdDbZhxOBeILePQgNcwrLuds2q auLyKIqmX3pRP0zugV1XIW7UJg32+MEIxj663V4cL7jQHmq7ny6fedBlA3pCFQOPg8nO7n/OtIv8 Z9aai0TXjcQqDgcUsDdEoOjDtSf0uFHVFzuxyxV394z7JNebD6fbokiNHxeKS/tWTZIWzmnqi017 +7JbKbVyJ4pYoPjK8OyVmpId3ZrYanXvPC62Hi+8K3+clXZXBBek2LYGDzAun7VdwzOuVkq8s3yE 9lLYPrvFG1q0VY2SOc0I+JGOAE9Bp99XuugEObvEbjNq32rRMD0ZTsFMSSQgwdy8uUgbYck/ESBa 4gHqoVIfrOwjYp3aqFT3y9Wmj5C0ufcxF62iPcfgPIiacSB0RTCxcXkTpftOuV7F8QJepxzBPlTK j5PPwIZf1/OwZscChnUNHom4IQVMrTFnNzqjI2XG5ojRxBR2FEPaonGMYHk8D+fM3Y9r1E1AXNwV KE1PgINPonc7Di9lzBTKKLvH6FsBLa/m18AHnjFUQxjh4A2gEcp0fJZOpELDLgCp85A3K5baeV4c 82+FAdnDFjwOJkIAdpMfxDQFDdi+09H0Rn/LV+A2MWV5nxw4eR7KmDdEH3ce1P9aju0RYAJvgtvD zQ80vvUDpmW7lMnUeF0dQBooh3V8fESkQvb9nYHVbp7OYm4wgvduvp0MR2yiyAP2R0+j84M+6leQ keeNU6aeztoLkSD3+9r63ZMFM6GUj6oh1L6EVETe/pfsnpdaKPUibA3ghPM6Sro9nEHBEIwMSiwW wujlibxL175vatieW5FpQusJ8tCqRKBbLXfZTwzCMdj3MnOOoLOHRxnAzsr1jMhqczwJ/HIGCvLY q/meNGO2VZucds1S2F6EmgYLIYbs2Pgz5KXkAutPtDyqjkkuBVmOUgEJSDD7bu15aVx/KBwHHW9J gjycBJcks/wUhCmSVpDGExSmkefSPSSGk3bRvrRUfoMQKILB73sJZnjdprFsv1BqZxQWHJoq0xKG EG3XneP3BtOoUbQ0aR8mjzCUTMv7yP1NjMVRlSc2tXZj5e/ggtOkxAfskdacogeUliARnLVi1ykM txZs9Yvhv/h0E0fD4EVrZEEFwtq1UFq+Fzs3Gym2jfHFFs0qoSo1GW2yeKjHkfTiWBB59lQwezbL DjXsElmnfQyDyUIvu/wpcvs/QSqqdZZUAwkbExOiCxzif0qqLEghUQ8GPbfNSWddvtNeADNOcFvQ I+fLaEO2htHgNqqjX/UwC+PCedKKJmGbQ+omMAhZMVdazg5QWdLeNxcQtFJaJXTHi9E+XWbm4uHT csDdALp0gAsDKPOpMXYCndSm886oj5CE5H8MW5jHIIj1hrwIZQYaJ/B5Wi4HyvxOxltXRIB8+U2l n+x4oNIO0X62MGgkjcmkR4oNigiCBWZhUphnD2xVH/m+D4C15k/h0mTKlrZUGusa3giBELlZ4pwU 6Av9rVe5JSNFAmDqMHLB3ojofNLPt1otDGYP2QcuMvsbt/Ng48A7qBo3Cm/y0Pmmz9hmOGprp2OU AX9lCn7V10oyW+E9uiWVYKO1yxhVuyfbq74zaS0OZznElKZgI6itdDb8TJKSJOPy9klo//UtbY1g B+Cd4d4BZWSlm4S9UDjLANeIN6si8g7L/8F5LYc2D6st7T3Awl4+0sA+7smy+Vqfr7b/fBp0j05J e0RscDt5HtqDyacTTHSB8cf28IlGP57fAcSnMMRXJ6xMjxLUXVAH3uJxcsJM28nPoGLSZWpIJRHu MBoTkf5u+lNrDcDw1ST0lgJiswp/qHRai5FNg3CsyOqmtsdHzetaOyaD/9EvXhU7nFTDsM5YABGS DtaMLmE0DhHhPP94+fqBDISi6Y6ukrPme1aWZXAxEOdeVNw1hBPwwOvTLh1+74KGXBDN409c/OU0 j5Cah2xRrw0WTLCO5sC7q5Ur4SMDDL6aEbBgnaMkjwR2bUnkGMpKjNRgBRp/Ka64JBw44vanChan hHsRgHV+8l8LEIStdZjiwKvJwB1iCdpDCnlZ6/LKPXhMqYTnPxeC86Q2RrZH0HLaiUwDtX6p2MEP YDE3qIqBY/FmELXiQM+yKhxJ3uZdDBt8Eb7tVVHuyh4KM2mIJ6AwRQwhoUQ01Ezb55DqdSq9tG9F koJlpzxb9gXsYoWaMmGk99Rxj77271zzLoZX2tUsc2nwvQ8i6dJhbV0P6Hf+P+xshFI20nN8Q4Np BerdzF0JmncaRFXUmBKaxrFN6Evxz5M/s4LK1C4xu9GooBQeO84DxI75lbeZa6jfiBjrM56x+LsS sDWsk3DlvcsB4Amk1CVU/g2PlPK6VDygHmbSgQNUmxKFtYbagO82u+Q0CqCXH4kpaQr2h1TOJBE1 bfenj9wo6v4zzYADlUKlIYzx5iJf1knS9RbzlWqm4gxplHjbC6T6+ogl3SIAp+zQIzemNYJbemo7 S1hzUWZLhiKCEDdGOShqE3l6x6pnzORriNy+9GcQyxMOBmo9eZli3Q5AUJwDN5yIuUGAwGu59ArM fnAU0km6CuTN0Yjnp50Ll5p9noSWjYsY8PKa1UA1IIBsatIqChMZvVVNkGx+/0vfrYjjKpEQKOgf CS4JYv/BPGndv78BRpXXzKEgdEgswGfZOyPLY3k+OJ/3ej0PgDuVEcvb1yQmy2YS/wCMdMuT+Jjp IOw7uJZlzhYjj8M3Dfk2OGlBON7hYSmKWtc5rKc3JiZjerme0Zy5hv9Ux/u+H8XP+0l8PkC+0uz9 2q99D2iFafkpo7FlFppT7horEsGApCe4lwNnYqxbjV92KryCB59SH0Gsu5HPObTVEkUHPQ0Mu6zC suwIIXciyPfS3yK5ROLqAS7DkLZ8bteE/eL4tUgMuTJbiDpeMfWgUILl4g99iNPBghPf8jh+90hm MOrIvN8hARd+vOWI4LGDAN74EEeZ7Bh9YgcAXM26Uy4xFY6jj2LQrQXTMM7SFPzSX7VeSoViz4uI U0ex1TD08HkQ4mkYEbo+ojO6Az3keVYCRT4HRHE603MtviA+fl2Cl9YFkiZ29DyzYkSvAFpNAPJD zJnPkaK6SbBXBk4Hb9JLHloVM27Ll+u403p8syrGVSjG8P9LpifddtHrIJUAAElAoT791q8hd9DE Tq05Xc7SLFxthliaiECfdyEBjZMEk50GxrcjrBwilJdtwPttSf5jsntjELv2lSPDAlR0Jn3gVHvX 8+jr1uY2B0M4sNW5apIflxdrERAX7t96lthUEHB6vDvRJ28fgGMdhXv6/0hsqel26iDtmF+MPjfF tbsmv58YGWTyelg1kRBNQvx2OGI7fPd0Ia/GFIoPtqeixxaITZbC3RbdRqNQsiuy/p7MJyz5DaU9 Xxu/Xshy2E8Q7n9SKeWsS94VvwndOWZ4Ri8h7Nhb+sywOil78/9kfJf5NaQcsWGwNGfTWltD2q3s JpSWVQ+Vx15xlxiWCCSg2D7tqknkpUGIQ3zBlFjnVigReFftP1LIze2UL6Rplj/sYVJzU1TJ7wGs npUzkyoU6E0rO/4i9wU8vv1bl4EhLQjI+e4rbQnncWP3fwS68957nMobADcW0QjkMVrJtTfbxdN3 NVda1lnDkuaugaxexY/I8NmkEf5VBZVsPIU70LRN2IM73iVFpAOF3QfKU2e8XlY9xePqqQmr0qc1 /UdAjdCAmVmT1BvSvwaCL1wbPJRH/82EW5pWwS9U4Y9UkvDmqHRlCvljm6maD9asCPSvJ8m2NfDD KP5iEkEMt44F+x9CFuwLdTJZ+IpIa33rF9FKXQXaQnnG1vxB3/630dJ2J8TL8isIjjsOPJqQURlg sTVlintX21bKzFoqvhFGVqn2arO1u8sJ2SCcxnwBmb6cAEPt56ElhT5JXgSYy/ACmfgKACPF6+0V FDU4erL/pEl3Whp0namNL3yAoSKjtUPRpQ4K2QpGE6hyHfhgvrq5jAfZyV10STlHRiJYmHxtLXZc UD25iAIozq5dTCmzVbN5UPpd27agFs9trOcMqWa8WD7ck6pOoxM8vJw5/h3PBs2aCfsbfilSv00z wodm+4HVMkAByqkSb+bBf8jG3Df9iUAJMxOBG9F9qXwuO/GB7fg4yHY9HdTskfCZwgCAo/3opBPy i4qSHIB7vfGuRY7zclUBwJ9cqEPCV/CksVN8+sU3fs6AhTFBjS7/K6GTiSJ8200VNOq1p5tWuxVr eg071nHZvNIFD5/92urHAo3serGKnXoUF/jloWguYlR6BCoyDO4M/T6l+pIw5zQQFkeK/TiXC5mo SpPPEhF6uDiNaaPfQTk0CIWiu7xCHMcg8ypRV0IvOguONjBWIowJ4cOE2Ro4C0Jhqn9YxGKpYaBY HKhYDlDu4GXv/MHEtHo4XhqOmTeFgmnDqxJtZ3jui+HiMZWywfRuf723tQYDsZegMvYOobsgfDAP FGJqjGj3CzTfziL60aGuj8kb+qpHxZb4kIIjlvaRtNCOvGvnGdPNMzCgiRr26qAmSrFeElx21tbZ h4aa6QkQIiVOghmwiqSf2v7bTflLe5d6zvY8GwVxDlsqIRx87uNdrxBvAYT7DdEZ7HlnfiWLppvZ 9UTziFSZYR3Rfjj/CIqKxOrPoi4IEoEQDkiG1HNLSxxPi3Tb1T8hYBD9a1NdQYqiqij41RX2HOCJ BvYXPebuwF44gmbA0aospSyjJlMyvXdft1zcGGeGb9wICuwKg8AOAlL28bZgiD/Gns4rxp+jPnwB OrowgAVsDGve6eI2aBTbUUha2+s2Pw0BTYnHUfrysTelRLZv2SVPSro+cxlDjhPrHU85mWIFRaYj lTJcaHvMWLi1VZ5NC2bN/K6avwZhRk1uqHonT8s4eIxVfjpaf4OjcCJfGgLFgdxbfMlvH6jq3Fwl bdIxjdQGQg6S1ZJkXpCGrineW7UBJIBkV3VoRkHFCYpKCaLESILxWUqskMIvp2ARh9D0lMmuPI4H o6y2jCdkoUOz3LZIXyWT/93qQVJ8TvmGI6GEMI2CfBpIlrCUoQqaPEy97SjhmuqxQqJH+lg5P0JL PeaYg3CRdy+xLrgoeF/QFE+NBLxy9sHLzcnt908vVzBrSxk+FOC9GpE9FMJ9hQuJWNnHS2HpTFQs Vq+r7txBGCKtS+fNPRKgt9QFY7xlYyvtseB92GIbmkS3dtx4TXV/l/FUCw7pLvBIXct4NXTGuMuV UpXLELpnmxHUX0GwjvztCGuTOsKc1kkdYS6F29eqvH1S4YTS4XYax9v4uylfef/i+xPWDNmGYsIA 4IhzCuKt2ApOlxkmmOEcnERtQNxBCHhHvN5Ovr0b3JhNZS3m1sB2bJ7TNw8R8pS++UkkeYN2ymdt 0JQXMNtVxftyEzYwnScHVMZHfzUJDnCpUdQSNizK2MMA8L/Vhdn36/btv/tm1GjptIR/1F+pbWwI gqUraIrVtp58JR43z0FwYDaXLWJMIzZCd+WtTa3GFDxJY69lEp51WXb2e3nrfFVQmdoU0HHkScIB Pypy4lm8L9zcACFq6ZXTslL9A024c/Vf11daAGQAC5FSUuL7OKQXP1RZZ5RVg7aP9ErUlujfqm3A 8HpIsCEtPVZmwZY/6y84wAhI89EaOvELXceQdfr5QXUnDGxpJHmuSEig40lPfF6xh935Z7bbNZ1D /c/2v/tAGD26SPJx5byZ6uhJHbmLFJ+IBtGe+81E12F/uG8RzZiUKUxZ1x41VxeY4v8zClJbMz4v 2Ko+Yx1G8knwB3DUm56d6SysD1GnAKSrTkPlA9o/VAxEHDx8hSLvQLxESfI19W6sbGBng5eMTmTV F8M+ZpFrCHhuLDfWsn5fszFURVOywQmsJrS8ZEiEuDCe29dbljFdw77+STzaBm85nlCaYy0bQBHp Ps2GaFqr6ioXu6hZXuYcSly25EYCTp+nSdXx+fiCQ8bBMCXFj/MwIe6d7nA0N6P9hgRwwskS8vmv 3OnNg6dUoN7c7YJ4nJDW3xb/f1j0jg6iDHytvgQM/A96K5fs7P6h8U8rR0DZfNuzj+0jZwa7Y1iV /YKdFiTvwItxt589YwaC+HTvPxkbIgfBPRsrgySqsUBFbvLSuSpTNEftx8YOnY63M27DOlivmdeE ap/Pz6x4rBHHbdzuf/sPH9pC8bMyOnxv3DWr6L0vwL3IIAcRYc+7rWEOCkQHBPot2ujSa+rXzuTK PqrkNcaOn8eE7Yx3U99yhbGm/fV5MeCDmHHjaCUkfg+tJJATemxe5Pvpy/fFqct/oF4MKiV2Z/Kq 1ivWGBz7j9SVfGuVH8d/0GUoChTkSnPxb+g6gAMDj2em8Ey97RApG+UKdax6bxuEPHhGgnTdAvF1 odbazqRkanUWnULFAPZnoqT3OE63oj7vci+9yJ3gUaYdZzsyzglrpJ6MZclCHBIbkILtHBcVeIH9 zo5082Vifndc5RE8yZ3dMOuwCyHR5mfq6qBgYG7CjrBS5uVt90xWQ7txfdxB4ArMQVTKdrmA5GB8 Zq3NWTSdBCg6blDr3EQfa9QHRZti9QVNPtKX2/WagUXtEPPKgm5OShUQwVxkgvqvV7Qj0fk1GANJ Q8LNAC9eAjb/jdMic9Q7s9JXSAGulKQEl2BpEXuO2C0iddfG8Qz/M9t1tLnC2ZFkEQnZ/pAv8x9b MzBRPF2K4Ur1dreW1GUtdN8JQekiC8kQg9jOzkwMOw/4WNxvy5r/SjdN3rHq7zUM6FjI/uxZbvgb iXip0JHjawk3QlDSA+o6H5jmOvNf1HeBDENQU5uy1QfxGorhoHBLUe8oIP7qtOzNDU+KnwPo6teG RXlQ+KytCR2GrqaOYDm1KrjjFhP2rxAlNWk5ytKdVZGQr2h8gK5IzXhpF1SewUPEm2LQ95GWeJFV mlaDxv0PIhx8nnFVXtFFW1uTN736Ks1y1UZ1blT9Us4RfHTCZ3epLeNXxrqfTjsIgML2/UTsNOM2 3bw2UAliS51Vq9lG450nJB37IVFoXx47dc52qsa6u0HVwY4mAH7nfo/D4CLodft7WrdEko4+VqOf UKyI+yqZcu3/A7aKkf1meWwb+nBslRm2qGFKGysxURibKhL9UBXJwElPv3xNJUGi0QBAqIu3Gs1l zrcsE/hwJ9czFULBB3XuaYqLhT1Gv/H1kimjojp5KHZ0u4XMsVAxc8O+8QLSfFgboOcIoLWoaTH3 K312522IrK8J1BScpTSV3a20wKRRK8trBNDM+a/Xmj839641p+26PC6kEbXe8NimZucjRHJ5pE2o V6JPWSoSa7l+w2pJiQWHXCApW2Pse1AYSazoKhJ0HWCCUXcK+5OO6qOauzhPnSx1Do/CVR2eZS1A pc3nnl56VYSnk93fSIBl8RwQEJQRaH2MNYQhIVOqdb0mF3ZsCRitr1QWPM43izLLNjy7wdASXXhs uyOIg2IwEiysV4I1vaW6mtQ2aWcBRbY6q0LsAJYCFzi9wUMtUch8jaF0IJyi++lulUZPP+9McKCZ cAdx1eYQX3e7hLTGKAXn4aD0wq3jtKdK8uMge/CEWQJzKIxrpqgJ9yLFmteLvwCqsY8U6+focz2g jOjfEf/kwjm9JJEuWkf0ZtwucNMtPtLYKl+rbw6kLGcSwBrAgw5WBYVnwMplGBxFMdcmt6c5PTNF 0lz7QMpLXfMG+AKs3Zt0GYh/x+D2lWbUGdqk1BOaxfz2Yddlb/VhYfW8AwvS9jtdDyDdE6QxK/ee 6NcNdJN7SaIcFOTagrQLsc6wP9yBDkfasXnd4/pMCiYM4BIwuqgUNoPWMFGVHwGhBDZ5aOiuSh6U MGKMBQW+qFPy/zLs4VWgZP1r/0PriJFg4zFcR3GSgKw/19CN/fgUVtUDxql37l7J74wnc/BfDapv DXzDg+JUREC6ohUz2BB2zN3Rm06uGBFUb4TePUkKF8+1fGWb8cX/xeZUcqG8gtXLadpUT11i4WkR i/yrAriAXwqnZyqBliJNFGuK3AaYv6vEX68FcbDXJjV0O/tqsK8Fc8A8748T9KCaUW8re5J5tYgj qH3X/QYEuQYPpcjoTW9hP4OICyiEXKXQIozaTFXLABeqqDqgXVXoPaRSbtloDASSBqhbGHz2CWEK cDHDrWyqXuP7zq/foIpbaUsBVTfvwKVDySW9Q9lo6L1Cy1qsdcrSax+RE2U7lAqwDREuv2WIbItR t+vAW2FDntfSYQ73AJgMNm2UD3DyEdwvcaPGgtLI6BUGMiEEWB0t3X4mPDdi0wB4VpmxIQx1B/Kk b2q6REoLpNrkzYmZZahEifJqtH1L1R3YDwng2JFCu0YSLVelgUyUyXboLh4M9DYvT2Zu6WB4nYk4 A6V16p8W00vnPBfK830UsevECN22EnaUn9OoOYZgdQ3xpI+B5AnFycZeoMgvkW62R5GlprKip08l otTNlYBLKMPEzqdpvIXg0k3QTaA20rOR5LRA60WmurQDpRQDeA7pgriAm3k0nXaW8p6SRtTBLlrn Ak84Ce1tjulY+oMtNub8xgriNEhnagDws7X45klNdIeIpzVcbhkiOQujOwjjE0ztpDgIGzwrFIYU dm4kVBdnDYllqiZVxzT98suW0w1SXLivwtGtP+EngMTp4fQQHRbbchEDqce1xg/NUzLv0tpgOtl1 0rh8YYu3m5Y5VSe/q5VzgndZcoa/UOK155HdavDTt0JBgWZFZTP16lu04YZJqC8ysKQpHnPtLOMa 1Zw+LVfhcUWGYElhJLIbh8Fzp5itUc8tWFOHWVbW19HmCqYy7jJBClqB/Ysvks7/9BJtgutFrZBD x4Vuy235B7L+6+H5RS7OIWVbDia3n3zEk0r56cNEPLxx6M3/qvTmZoTwIaOmfIcDyTIOM5Bme5rg g19yleg2Ahnzk19d2SoG6G/j36nqeLoJ+ZHVsHwU7ZX8kZojV6/xVp9/NcccteKDO41kCT1aQqCk fB7K0iqDoSgHtQT8rPLs1h1655a7K2RweXmxDUTnCUMAGUhB7Bphm06lsTlpyoJQZbHkeSh38VUG NBnKj1UnxcS/kGIR8P5D8WLAtOLR8dInGwbdjAem3Xcr7SseOYVpOr8Vww7G7tjB2FMGUvNE7yiS xrjnuA9W6TXA6mv9YKS1KoUw+XfPhRV4og3jGZqWRd+yupt0JyzC87JswNhJUuvLCpq6eGtFU9JA U11Zfvu2v17HoQLrNxlwrq1lju78b+TMoLYZqMixpSL96v/RASHipaWzxu/jktcRncwdFGusyD+P dhsBJcbZ2HzSDvfaIe//CMVLuN39b+jeUT9+NViPHfVWT4z+eTOYuMDdPB/nmO26i1m4JujjJQ75 McIlQ2CX3Phwqrgjr+iBygq9yaKoiHPabtpm0QvQ5SInamHaWpa5XASjQWPgKezRwJiTRQvESQch hyH/gXLYrv+76T4onnemMuwLq4DV2gatqMe34eNmbc3TENSfXUcj855mrutc1NyFQF8TsZgE7Gqa 0owBbXzGNqqnBxhMQU9fmZ/Q4XADSM5i9Z41k92QiJ+hKpS5iQ+cL5Kjh5pMp/LZJufiGurSSjS5 8/CBBjjOan3zzBUXfvLZMzfwkNgJOCFcG8/3nypB4uHELi3sVBimJANcQ9/hu7EBDGP+BJNZoM23 FAMYbeTIqFTH8gfXcYD1Ypsr+ZmjasoKNQUwB0kqp1wBYRITTTaBHPuvwLrstV499RX+0ROCLrdG 6GFMCcyeQrHTMU65d7Mj4KDV5hqDD0wzWBA/9/PXsReOabIXg4PqdI6g3P7t8gtGoeawfHpQMGSd AaTqAgytQots/82ZBNm4WT+gASUg0BzSaDqo787Fq7FADtt3FKp1iEAJ0Vu27pR7ouplY9CHYdGP E3kgeW8hp5C3kaRJ6jLKueUgOf88VaOYqaLNbTrLR8CGOdAu2G0CRnQsdUOGMpBUz+v7YbzaMRSs o3WZD4DK4M/UJc1XXtp7SspQomInPSn/7qWqEjeBFVB3Q9KUBIzp0LFbfZUmIFdw1Lc55HM7Vxk0 Z5izktNrssVRkE7O3B2JtVyWc4rxBDHRLE+d9284YpJOPxCNgYiH31jOg+cVUxzdnnpGX3Kwck8k NffLucwAOfgKn4djgp3D9BnJKdwKZT54MyVECAL+ljF3BIvH+yd9/W/MmJEAl8f7cMQtpg/sB654 eE0uVCOudTMotr9P4uLgW2YFlaenxCfdA9qxGS8crLr/cwrWEnBx/xZRUEOClgbpZU4LC4L46L/u Nb1ueOA+Po/pbERAo6CG6OgrcqUgWGSxV5yhaEpJ91/dTh35+iK8pIehb5ewN+6kN/MBvGHaAfkU OnQoJuJa0w5QNzdpSC/QM7R12dG/2wKxfZYWBspuarB5HRi0bMfquJLckFijlRdKoBBoKPSATNiL EjW5ija+sAP+/F3vb7yF7Wqjqow0tuu8U1I1ICR2PzC6uXmTkKrRA0oJAcOai1zUxcwqgcbOJy/q nGZpHrK9Qgf0jJVay2g1I0dbNAHm9SeEEh+Sz8T4wjbaqCIKzz9pdx6t3T0LhBLnX3LA0Z8kWgj5 8cb5zW5gu31eE48exXw7aFT/ROez/Blbx0iujic/TPRTrcyZwZVeZWX8SSnHF3oHmxGeuwl5gQIV Nj/o1g9my5Dfir5Sm6lDaR2vpjm6PFBwcj+Kl43eeyhOG8lRnnENKaAfsKq7rk1n268rE5nwX6c+ f9gd92VPBYwuFNjjArbeIqfiltRv1M69XNq4WeU5SnWLRkG424AjeEdsQnztMLICB+/mHqEDlsV2 X6Zy9GV/fmT8fAKRtp2hEo7dKnviEWaiup2Hh9NLgKE3kY/3/rBBAG205JoFtrg3MEbDPOvD2NS7 9T7EwzjmUWQKzqQZLs8/bJwBK+LyimVVv7mPST2fY2C3ZQ49as5quekNr1GnnzfBuTUR6KxWDj6E sQvWEx1E75BVXrAOnGQ1Xv54JAwPg1DE2x3aAJSG/RwbBtMuZvWmTId3TUO33nvU7zWWoQxfWd2s YRYQEaqRzZcgyN5bkq6AZVSOcRSBnV8kJvBsUnjLES9sbbHdm1qGTVv67xNDqUQkW5RtcjNmeGlO 28n6H8xSKBW4Z1xP5HoVXbUL0EdHMOThlApTM1xU0f0/3+YvubCyedXHMGqzcilS+6m9JqfPxcFN sSP1RlCiTPRwGfwNyca/Qb3dIeWoAf5SwvPZ9ehw1HqIxo+fWdT6J5FvUlnv+NRAak4jBjHxLhIW cuep7pIa+TVElor64RNbQoF6+XRMWBoo4Us3FP8E2VNkbQm6E5tcnQVy92upJH17jVZCPgJ57f6s VUCPDtHFYAgqm8tMHemRdg0vwKbVB4r8WW46h55FbMOJhbdv5ozx+HCdShUuW7UT330sFMpsJVmv +vmmuHNTKrWKhrqHGFmDG1/2iEbP0tOXN2L+OkZj37F/YmgcshoN8JTWbtioJ55wQdR9QFaPvmX+ roC/6eq2MI+BRqMQ/HzYZbg/whWVUnbWi8JZUd4F/GZFOk3R7OfzC+qiwAbs3Gfw6rpKiqr1FLKH f6YFRZaJTYet6pP3IKmbQK9QkQMXVZxseVQLomLwVFTZP5OL+MDMo0TgBPg64YE8GeZM/34Mehv6 HZDQKwbApiD6J3Q9i9pplo7kr9+00F2qcV7K+k+7KVM3Xqa8n/v98zTYiskJeTssPimDNxyUK3vq GMU2YhzNPtrNXhCF5IHeE02HByMxK8+TLUvBgjd4Ph13SV2ZLLMTs3hydS1kVMYpDmNDCmkg8L1k 3IpqO71r2VFZdWjUDRViQsqyMZ/JYmyi+rM8g3QH5nXlxNuj2Eos1phkxUjO7oI5tscO1J5J/dJU ZiYq00bRoOItmR2fOlMudqSMeMu76upOV/tJHlxwKQ8OwcBk9o1JqSuQTOLDpdkuRE1cRjZpao0o N/z6eAy4bIpjzt4I0qB9K1Fd4avmVAX6VZsc26DxiGTMxD2nblRTgFOkUt0U782/YCH2pahxtQP8 f+mxirXesq1YaDXNcEJjMtlKGQ1BocD6UQASj7FfMHMiByPSt+JAGMi5uKH8yYhxy7w7EzJZaj3U vfNz6XEIS5Li+CajdN6EQ0m2DGArVSbg3OfNj4slLR7TJbHaJRb6jhKRjAv9WkCqoSRR5thFEm0S zKzWscvVqeYFJoVvc9RsK5hGQDJq3QdDdFlsRVy7f7kFzcYE+UoEtbG2c6vDjCLMYgp2myO/Vjcd TWIADHR0I2KO0pb3PXNUtgKFJeR7UdU2jeIDn3XrX2LcS/U8WfmrBu8nCElPK8J/kWX9QCwh8rUT e7ikwi32DWCmUtNfWSWRbrpxi3P9fP9zsYUbDHkilLTzdztQhQQwL9/+Osgo35zFqwMamuTd4ovk 8qYqAwmOPjTaZb9/mXWusv+ZQ0p0YbrWx+WgRENemWcTk8WyJ6F5KuO7GXjFGkOea+I9ud1dncPQ 7T/FIql13jyv8XK/SOT1KksqhkFiQmKGfL+2dPdvM/DOv4yDbS4QF330ryr5gUV3CBh+6bzF0q/R cOc5wNKSPmLC1oZZ/+lc9olT8sUhTNnXCjrWOrBewhKKQySu+sphPW5x3nRbi6eBQiToYcLWSFpx nM45Wvgn/NpfJ8U4nOsIKY5sBWKJD0E4jbUxrhN+rGKVDcHAc2bTkaHjOy2Tv9AAy0S7cbiVk46d NXezuHZv0/nXtSBL/3WXw5Q7VRGfZnECzrTUr8e0UwgKQvnovr+v8igeWQJjfkTF13NzaWDaPk1a Xlsagq+jYy5s/8NIFrwAfUUv0q/Xfu5w9lj0i2cKScL/X3KeqLPRjhzZJi0xbOT8kGN5f5aZLPro bNVwMluEpmhRNxGz5bAx5gjtvPpf5nTjmR9Snu8AhnJ7LBycqBZUbySyzDfhrmiklThpGAjw80Cc Cj8Ra8eV+1VfZYtGaK3FWu8MKjEEub+bKd4TSZxragKN7V7OymYdnGmqE4xwgxLm9YAVRsjs1iQa TTlalixKRqLb9tUUJUMnpzfT75qGWtXvZrYGtPp6vsEadkItykQ1EL1wvM9NNHjHs4hnaW1OuHik fEKWKmpni9IKIRrkwXJ+m7C2GH5DUmElwvsVNAc6CtwSZ01Z3sM2ec3vPOe11l7125CnUAta06Ug A/DgR9DfRxRbvyfXAKXJxvRmGlfbl2XYBCFKxUxsloYmwjo7POW3mmufpUF9UP8sWOD8Z4WdBVCy eeZpawpIjSV0UyuWzFC0QGZ/q//qAvCLWkDRRs+4HaMvzrzjba5+Kqib0e1Ek5+1/5RGBAr/Ga/c hEcaIoAJpBiiAhjO9w9ucPqQfUmXCR91BOYNvngLIsidnm+5cRFK9Mix4ASn9c9cD1OhFkcZw6lg v591zvLOK2j4to/hfkmRL7NdQ+NTuQV2U1Zt8EzdHKYn6oyrt7GTsrJS0KTmDVN2yGGnc8wgK8DD DNmt0TRyuTV41MaPr4MBniqvPaRYcOQR8I/Dox1dAINAw4uO3B2HiPFVmJa92VVX8qpdSUAadVA1 U4mDPtqx6r0oIg0D3HYtii6VdNkzEMVqANwy4ZLp9IClxdoQfhJIlJ4ZFnbzdRqbMUQJ5XhSCZr0 zr9P27k8drMvXLltDUvLsZadyM71pVGFk2cdMqokq77jLiuE6snEvphPOMHvJKFsfOaRzLGjYJuH UHb/hoV87hZhBvtN27XyGCGrIAR1yHXkPlYiYQywzvCCPA65fhm6KgrIqOaf+y81xYglv8o9fhPz srjFmfPBv9+NLSQEODMkN+ev/CywZXmDIURmYYXdX72SHgJqd0vbAzUKxTwg2FqYT0L83wz1Zp89 3LmwF0Y3wrJ/t54i5Nypc0Z2inaI3x/+8nMbwbfK+taAlVZZhNdakURG9DWh9GMRejvDXi+NaKGH TNWRS5LvONDtamjiRIvnVRIF2RQEuZh5u/nOoE9CREMUsu/ZJBP7HZM/2aTjtWfHYlNKktJPdcVh 6e2WUMpDK2t0gP3+r2kxQYlrVd0cblU9K48hm9qkppSXAbvvayjgs4AZ29V04ParGHvUhgScUzmM DaC3up9EnkAmq879BY/6etOKube3UiBmpLyrQ7/cY6gFLE75yDrl/B2UvxD9z1v3/X+U9+K5Fal4 o+UeVrtUmO7V9yThLcmnPIFpP99kdcFhHAp/+UShMes1SvS2Qtg1OsalNhWljRk2TlD22BsGD4xA TLTai2jDKd1RMhoCWE9hq7wBT80OEp9p02jpkehYHbRDrRYXv7OPib20zfFSfKAB48eDVGKwXjOf ilB39LQrQhR1xAIVone+tgj9tYubPucS0xo02PI4eHoR8LuaftY9yYvw1rkrGhriAuc97CqCLAWi UdFZl7REGjmpUVPrwAGB/g2mfE9vfmQ+kM5Lu32sH5KgMwbA/yTVSGdQHjPtQqmRsfG7+4UCivMS IVFSf5uLNKA/wT1iYKlSHErOsrrNOy5f5Dd7weuSihlAQt28cCk+jnd7FrWH4YEbdtuuxwUEPyS6 eyp7tyFo0WUVxZdpgrzc/CFsPcvi10wGZ5vxQoO4M+/9Cz/RLo+hI6LaNFeC4J5Vx/BFA00bF0jE cgAQivQgbyNiFriJ8zgpedSElyk8xN1S6PSoS9c+zUGrmN3onIU6HNJZC7n92DgwueV747hES+Wi lkTXxXF8l2reD9+BVIEE6K7+WdUDPLeCpwMpzwKp4ERRDb55UHC28N38HLsN6+aQe39HrUR/Zzh9 8SkE0yuv7flKEIP/sPgsFaLSlu3vZOwSxo1YuDHaoyIRkEH3mOGQ7f1kV7Btya/20qwjBKohZJsS 6aU+BDICUdaoO+82++0L7qD+KngAN1pdqwMHp2l8Wz99+VeYQNFX/47vSBA6bfj6PRYmOkkjtBw/ VytOslVjhXrpiuJgQlMdgW2rWMNOxDg9VJKHJ3HEj3OhnQj3qzIA+BtoF6T19qC+B4+by0Sb0lH+ nAk8A+A8O8owicesyw5sbSdcKoKnmvVpTcVFmfjxjD39AXY6wGjdpCgpaULDG0pkscs2YeY8zzMU U0tc00tVh92WHE4z0iXSuSu0BJqFl96RmSI+0n90RxiOMHAWy+pkmqU4Rbw2cnYwzA9/0N+fbdKQ FVmM6m67qG6a+aNW2z7CfWdlwIDqT/Xf7G4Hvdfxzcq+5CE/sOi4FONcyPobezJgEPQ7UsoVRWUt KxQKYHIxPxvUZo6Cg9oDdiOVGu2dhdwmKE/4W0FSphwWQKuQUaouUT8Y3u+qttbV0KoXYDoLF21K CAXlnHOpLmJzbaLdT0LLgY9p58An3/XbYndYnk7zyuBFFcwMXRKBv2O4Xvfuk5YIjNcbdrxUTRRT xEgRfVc71TQ35X0c1kdOWduTmmSv3NLZZalc7IsgD4oWSdCy93yD6XXs95uMfT2cU3o7MSevJ7+G gzog7tyNhD4k9QRHkKhZwMqwNN7lCJa/iRHGgo19Yf1POKGmp8GRKaq3qo9h6871yDfUScHiEKDI u4i7bUj0iA6Cx/wipmo/BZjoZ0RHFNdToqZMSq5tfLdxzyVxO/HnfH6QRy2zlCrB5fB/ucEkrFLu PC9aoSOADNJikccPRiBYF2mQw3S4ChTMamiewFsLJiGg3pfxzKhc8lRDRCYtW1ugIC6xYjytK7g5 veGO3LNsLrATRkE2+GLS8cozft8Z9y6+sKBdUfvvuqbgvsrVUJelL8Azm+uZ+p3ZF7aTwnUbUoj3 eWs72Msw5rUKVZWk8SrNauVXtfU+nM6GDl1L31oK3XzW2M29E2oHwZWEhC2YZ9N8yJfZZmKNhWJc e0n37K+v/GYE5W1P4PpGd3g3IYrMDCHnskPSZ6XNXJrUMk/KqsScus8eRS31GaLIyzCM6ileaMB0 SNSVXiKSiTlR0z184zb+IrzLMymTJfLvkHX5nQ6SJ/xDjFGrCPzjCDpE01y6/WkKf4w5twJdS5sj qLaoTZmMqxf+Oowirv9QzHjCFWqwRAKzPhikHJOGFH/Im96BAzCiJuazwkDX/PeNZ/3bCYXLdKBM VOvRr7049pteoaOY7MqLbThFuWtgqdUo/ttP4OdwWfLWLFMvhZN6z3W3Y1BMacYShl1chL2M7oM6 xGdP1xvsjwMQsp/N714B/LjMmNjw5VzOKV1eAp92L6SozQIc25gUswifGl9JRbXB7Kp3V53/GxEA U+SZ1Nd3E9WpDACz5jrmO/STNRHCr54IKAmhTE/0PsH3vO4RHusyV+gi18uoY7MqZ57Z8JMry6BY RaUCOUh/R+3vNp3I1YSh/G9HilFc2Ozev/FEZ12yP+96OfEWKiaTl/Hm9FSIEuoopyeWSuS2BHNn TAO54yMiJOdnb8Qh/3LVI9V/tvzy2R91uVx60428BJIx6VrQNkexpP8ncw2AJ2noDoMaZaTVprPy G467k6TtyiiMtSm3Zjg9PHqX+1rspJ9eFXGvjyniK7fvDURQHaMaTVqXBXZusOiun02OP6Cec9ww p8zt9WxqQ8UTWYCtmjYvAjbfGhJSggmvbZgGQ6ExJoEY1dg1xvWvvCYnjT76Kt621BYNGUPTOu1t 2n0OC40HvXGac/RJnsXEXFi1oS1thLl4EdK6vxMsCaTdcqcsFh8Da4HgtwIkOPquMD7w6RJ7LM5+ XHUGFZej9HjhMwNLzFyr0UihxBSTO24HK3PrWunhObVIFIDfGfmEV/aBu3IFnAH4B1qCE8YC+QSu +3Odi57UNm0flx4+P40MdqhMnK3pNNMRJc7Zglcw9GlXrXO6uhEijpjrTnmpECYgRniqKpG9DMUA FJeJtmLYOwg5li8elboCMqNFIf2ktdgHup/YvyB+q16md9csHnXE95JyWXo6sjDm9gRRDQZLcgjj ZLWS9fYpB75KKQdHF2M6I9RAsl9KHC34zT7h7edMg8qfEaPfXo8UAInWI1tVNMPw19bn0asC2VfL InUQoSAE3w5ZlDFOjCMR+NeGaaRUtIigUrfqh1zRzA3cPrjcP/9QA70EEg6kKPESpUSiDhP7Khfp wlDztscc9K6a6NTl8RAL0QXyb83zRYhAJ6MaJnW8jyoMzoW1I+thKm8F9vR4XAA7hMDHRJSYaLs/ cysAgcWSsKxF/sOwdwtOHZpK2OuCczczR9C+dGosC3rZhaNS/0G3jSPXPFrh1GYFOvFZmo1nTONc GhH9F2nbVTVouV3CMdTf0Y4+q/9mvV/8LVxLxu7jW9TymMcXHivT8sg0wG9o/RRGmTyEbVWZT8wo fEYouEfFHnh5phGNk+Z9WETzakPuz5Uv0N2i3SnyY9HjoaJNowswvuDN9aOWAz6nrT4eC9ONrEJF isYgdahSh/BGzUb5xnjLhpgjLU4t0htvq4hr/aw3XxTSModjYhQX0nrbi9dUPC6WgVgFh0X1pLvj uoXuUdZKq9xIAajxN2fbr5GM4T/H8NdFQegapcGvrAYYzgtjO3qVxmX/ZLyVBjLxFsrV5F1/zxOz Y+1stTBsBo7vBnUk6HRi6TzRhykXL5Cwh/sgbFG0ZhlMkJ+E6nTP78HqNq7o7oukRsBmMd8nzAyy valoVRPBbaw7rdWOSuLqAqqVipfCeqyru7v4JAjgaByNifC4SdQ53h7tYm2rrjA6JmCsAuaTpI7L IsT0dbYwclGAw1Cb3WuE29rcGPJ3o18SiWzx0sLN4EkIBzB6hYGhSrXuXIqRcGOMSAcvI+n4Tqs3 5S6Zu1oR/K4qNtozxKaH55IrZ3GmiZCbJcCnz/jkOE+V8fT4MMn2KJJd6AxMFnK8tlZAyreZZLQC w90vKp7O7VPgo26DVV/Ps21W1UwGBRnUt1IAAqVbrpthxgQaEATy0V/PUGun7FYMcBAjmjNWDBSZ y4B1mBVTq/gIs+GvH0ig0rRRzsZC5ke1KrvEmAa0PhPUcMPzLv+KtDIZrJjjaKGxVktQnxPI1wxC n+y+hOemllqsjxfQ6ABEJ+1gpKnjru32SrdO1GzHr4/xwYTNCeFM2+hd0j3bkBHNXCgS5gHpwAi8 ZsUXFheOhslBeMDijcKotL1Dyqv+BhnYvFNCXkLNud8NhRzfKl5hgODQIDgbt+pP73zejwsgFw2h PYKUQs+T10PjU4nzwSvj/iMSzKgSHamdniG6lNz77WwJLacua7RmSYawV+7+HELqGoE32zkaIVdd ErC0i8TNNzyZPAuny3aCojOzkwLCM0EU+kDiLqh6ZurlAe7DfuAXXnDpEB5eunGJ3FYlupP1LO1r CxFIVat4zxsOzPum/d58Um0DOcEjnCQLIj/hQdAbFsiV0ldahZi9GDZ9Hd1OOAIZciCsoGGi6XXA DdtD3NpDtKFRRqbsSSQyJeQEI0Ko5/V39+HMeMDvwk3O+BvdOXqRuHysv8JnrHtaFaODkQrEj4FL a2Hzle7YjgwgFg/YQOh7+x2hnowvvc4mMLU7jU63fT3NtT0eJKvGV4PXFuiu17gqXphEHSC5M6JT 1GYHP0dTYaIg3AfWeJy8J8XciuTb++Tk16AUqn2h/gox6PIe8bdE88j9c6/22UMfUgw6WAhp6VSJ iJ5pTZQursxcFrC5Z1sMvKWd128zfG/769f7vg2cH951wr04tEkReBR9hOjs0WHcyKxAJhHVirxP 7H7XRhnn+bLpxXhjjHQ2FN8a3mIpFbVOQiRgZVyUSITsX6hbYooJd8HqsxmGpsli6x3fdS7/FHuq WfHNC6LHO/jVqMKLlyCb2XA/k6QWbF9ybBW+qB/bF4v0oO6LmASe/I/R0D1oAemAOtgGNizpkg0k fT8x0oICOwn4S53QRAIoHcc8OMHAEdY4u3zslgha6cQpt60V366uw30cY7hxyq5IWT2ncwvuMV1n 6Ik+qJlmTaF3FlTIWFxZgMmNBAiNfazIzeBu2Yc6JdcQjscYRKWz1ZjV32fY4PVekg5bekZUGGR8 wcK3BRxWEMkAQwYsgQ0D1StCLqpna69EjwcEmdM4fneWEajL3w8sZYsGXMBGGbEQKga2YODSGBY7 62ea2GrQgvJPVTa4uBQIGVPSKYFZw2MI+Oda83gnKvmQtV3sfi2K3CItE3aVvBrK+rhiij8VlTbg EoVGGV1AhmOcm61yup43l6RlVRO01ONZTXrpImnX/VJt8Cs2E/Jr7TAYLS4swqraqATwsemKi4lr Qtkvo5jp+/at6tpYaw2pUw9uSHsPyewK7gbpCIjvrtkkPaU3nqlBiTAK4oM20z4R0ACjkJ50uMTl WwzWdDqmDJaHA7DGDRz+1DTXpUA/yra51GgAZNnB93gRDSkgTRIFALQXH4bI0Vv3XQ6l4q6x5IHK L52WAqn/c2vWzYjex0hdTCZzOAdrHMtUjLnvhDSqeumqJmbivps7+rXAJUqsHfeE+9nlwCQOKDW6 4uaYTiSMcgdfUxgEkPjUKX3OGdN3v5yFdpFlzelMub4G7K0ltBm+hCKb0yeX6XumdlRZlaw3wBig hH7IzQ5qZMNTuD2C0eUnoAEZW3W85uy0NGmYXN1M+3FhSPPNuDE/q3OTc95lpo+YnTEd4DFBOXQl 7LIg4fYWttWa3Jx8vS9uXKTNMkWhU1IRLIR6nzdykO5x2QF/ZBF5PFXflaLB5PYyMYA3r+DDtm6v tNQYlFZ4u0bbkW1hWI6OZb7PYGQ8hq+g6CP6TpNkQcROzdpNkjKkqeKZNYU98pcWEjJt04gzsFpr DEFGu91O3jtNo4xAr+3IE42PFBeikgV4of35tvryYCrpDJb2fv1ACyBEXuNBUo+6gw4b/QYgwJnm jBq8GNigbMNCv3yIf7mKeI66y6rABHbzaTq5JB6U5BPc1zPkHdwTUmw3khWoJbeYGn7+F9mxsaQl jvYLeK/JU3QQz06AEnkuDKiKgLFHvxATt8ldxBtpZjzhwcBMgVzCcSc6/u5Ef5WRqpZuhJNFUou3 LHfY2XWkkCGM89KigW1XaWMKFa1CQT8xxrsLxJ7lyRfT4b0wNLWGuuZPiF8JWiokT8eNfMn0vUCj hczSQrnh8q6p7J1ycFKQnXnqqnBwt/JO0YficBkcLrTin/QW2hZuHL5F0y4W7HDMLoMOcpOiStrl Cf1b+2rsRB9fjecFyLDXkYK9805PyYH3DszjjRtSsxQfvzk5sim0foZPm8LheWs+erneJ+l41+DM GW79wrW3zBKaLEcegcGgAlQFQsTSg9ezO1eQiWVSx4sMolDma4/UOI/Fxnwg/WsGH5uLqlkHvZjB wJO1Cd2PehVKLbSIyOC6Rh7Rg9wDzi2KugKN9lDm6lTuOclWOjXDkGhvPtqsFNFcA9PID9wLa9RQ uOjOT9Hiwim65m3CbKmdHbJXGCkqSd8Kkyp+cMgI3l49zZSOs1LYnZbNvZytoesAqjK/iCfXcraW fhVdp/keyqcjbNZF3kmBkOyemWJCZHsUoXBwzU/UN+KZ5jaFDnvC5G1P83usbDhw3b3UAgXik16r l78jifeW58c4oA+W8q/2PCcDtk5DbxWSSAq5d/Fzu83gHg94cFYzsTFrJJi48HLu8Ymjk9mKp+2j L48OToytRqwj96n5pys9N1SgoNB5pxyFTqADg7xBuE7o39l696iobUA600kumDrNduWOpXocHZME xWbjAaf1u2mYxHYBDIuJYsZXLMM/J60zAwLmuaJbuhOZ4yOkU3DguRTwma6Q0q1hZTa5mvEhRFH8 QeQjXcOsaom3lBk9S/KYAhVsM/ZcG3fhuuU/tcQI6fqVXu6Mg9b/6Npw748azw963I82N5xtaudI MLI4QmT0Yue+D9+Sjw/BLK5JN5adlKzjPcyl4fzyK86y/D1jpOuLridKmjLyDfNadCDKkTjv+zC3 syzcj+3p4wOwjdeYrJahFmMwhUdyD9E4gij9PYKoVGkRuV6HBg7x0tujr40uZ8Oyc8tYCMTuGLYV wUu8gYyuuS+xC09pQ2mb2PHAudvc0flv2ZGklWjdV96vWkwH//3bbxHcs5yVo+O4GPQUeo8DE5iM 0YFj4eRToyGwm+MSPDFe6nXISk/B8kV08oKmtaYGoAgZUjljxcZ10r3O+qD5DaLtb8UxbBm34Adu rKmTpZEjLwE2u8BTmRl68Cq4PWKLkNkomZOGLVKsp0tZCgNytkbSpTcvICxIcm5TZliBLM5Llmh9 5CwYh+Xkth6Tec5TtBC6K/o4X/t5IP0uwXi7oUGGelDXebfSC2wFpUS/GNCPVUtEjrbvGGUBJnH7 iRqMYQHK9kXX3fW/FinQLMyGCG/k/L0HWS1FMXMjHdCUiqM2kgitCILWaJLlT5ORzjmDe7IxWh/g BKCNu5Zrl1xj73jmHrFGcvWgJsUiXAyd3PUrirVukH+usilCmBzaKPyXxOM+PYp8FRKB0VP9GrXS CbM8x/i94Li4TKuVXj9/nZ96iTg9bn7P+Xo8UQfPhkqGD5609/gB3XjOIbiDFd091vZiOnChSBWf f9FOKRxhOggZKhO1DTZ4Mi7Le/jT7L72F5zD7eCQLYBarthnhB76BkG8Pyf38CiDmYV85h0PSJZQ 9ECBRNlQfEx+2sguKfMM7b42Q+3iGe6Gme8A6BA+JX8ogeM3aPi4h9Djyl6PlagJupVqm6WCr/Yi Dpl9P0tNXK7QY763zdLFuabwsWjZVaq7j9/oteNmjZsu5Zb7eCeYmZQrYFqX6WvTdokMRcKvprwt 9ntVtJc8W+3afit13j2dQYiQybmt5Y+IYNglTWvx1h+vEzcSEANESosoXHTmYj4dJjn4YeyzPv2v 2G7HbVYU9ZklAgDg0RK84hgO5rNdzMEIi+YyOX3w26YDEA7IFCvL3EkAxcAy5OUiLpCOT5PaGKN3 7Y0EQ0Zi8cD2ltvg3M4ATiY5OHeZigZ2iy5S54cY55nXBF5I3oa0uWBpSt43gbdqebtVMQl7nSN5 42Rq5Dcr67ltPNur7anlti1FSZLqoWFxgs+6xbZzy/bv60UoV4AzvrQcWJk3cDnROztmB4sbnzHd i/fdH0NWq3S5acOsxqQW0DzLMaJBOhbycWTIPZSd0/gDuYOQ0a3JcHphoHueQYwqoQSMcVi2pK65 5BaIyB+p4RieB/hBAj9UT0mu3wScr7HiCGdiYwD8j3CYjbH6mcv5hIvdoTp5PJJpHZOv8f65y1tB 8cBQCltZKtV+dc6scJ9/TDw3aj4fu1G/JE/zS7MeLwBfmN2+Tr1q12GrT/UGi10Oy6SUrqyA7mP4 Y1xJY8g9ikBvRg08+2HZGt6GXRSUovpf6qoLT5qEuRrpntDyeER/Mfv0IyJUaL8pHSiyNNhPfGgO qHni2Wa0/qvxdgCheXcqDU5KaMqDe6rgFLkF3+Yh5s9EWpafOByNa73EmA5UNB8wN26caal6lbe8 MBG2MPV/zYZu8LvRoSys0xaTYPTmBRcKKM1Vyb4ymwuSUB5OCg/LGKciTwsjXPAX42Lf35QPb3L7 ghLYcYX0BzTmbIVrC5U7dsE2Cvm7f/N3amScaWqQ/BnC5oCh6X+sWN9xSBjjq6qx9x+n3cLSn3CZ Rqga9dLl15dGVHz448w2iVKFZCyH8+hvhZg1mFVNtuIns/XqaNGaFJV5Dw8enFJFYFwMn6tKo7X0 aUMynIP1+NN002cmAz7uganE5T7D/p17tEQCZHNR+3mqvgdpPhNB6ZfsaEK8JjDK9C813eegROIY KLWKAytsb1H2y+Z3FgT1GqSJi/huLViUUzjx76GPo9IVsw/ZRTMvdulToNHkSbWXCxg80zJr55uC +vsgSKEr9s0nJkUB22YfiB3OHIC5wVzAUO5BjexM7MtbKMKkwmHNBrGSpyLhfq04R0tGJVrTQgGE jw9n8Xs4irwY8aqDq3nMpUXb0ao8tDxkocoLI5/z/NWm/cY5iDiL5U0HYt2aESMjQ2JvoLingzVB O+13cKnzQdhTIDFkvPY65N0b4djin8hujh3CaCj0euOjKc3kSQ8rCf2J5Oko96CvNSXI/d2xjuxG H6qctEP4k0lMHSHOIL3Q8YeTR3xSoQf3R0arRJ/afmThDIwAHPDX5m3fXuOiJPOiQ9X9pI8S2z7D Iii66r0X4mfVaW0Pj0kwbM+PQkEGXSNEkrJloDFDBjG8hNQYxOZb74t2w8ieqRnTRP1HU/lMhuJ9 D/YQiUAyknE6+ooOm3DO4xshfuhL7EsMK/TebvU1UME2tGmJKbDKZF9iioOZTaS/HNybIGrx1cW6 MdPKeaOKZ+zyo0OfNTNCMdXIUUZN5WtCleLuPtdLepJlf0g7N7FDoly7ob0OpkfDnMsEQbgICjyv usbR9Xh/1UkO1v+7pGHVDsifTBBosBw4Ow5A5b+UJOicjxI9zataNPj17A9rCbcRh+3e9HG2cTkK Ch1RGrvjJH9ILTRYtF2wn9kLXkOhgjlrROPHdVaLyaLzbnsehbRxTILkh2c2ZHqjSC9VBF5ChWUp 7iqRHknuiNyoKr/KjsqpImrZV0vnxkYovhAvrAM8WK9WqvbWi+p/qRnrYktgObXV2RnZsYHobeRP c7qjN8398wDDCyEz3rj5aDLotDC5Oj3ivnQOlzB87sJfZV9JZpcvEZfcnSVH7Dw7f10XfmP975sB C2elezmTZKSJYRQ68fGPv0Um5z7zaErnBGuzF63jOkcdiqElMxWTZHc6U91RE9nTNPzsgno21sLY FhTo7V5dh4/99mD8farVDHN7t8mfqJIUWRuLaTmxJEikY6KF+qjHOD3+En9cFbrYduaxEG8Z5Z0d P1u7icxk9C6OJDZksLKmUHetDAqnjN6BsaVRt8BsVHUKLuXRap51w1ZlEKTHHqEwlwmxTOOjxo3j s9uxVkIdf9XkFCRiSisfH5dCmQ46iigTIJzRVS1YzI8wAMVOdamwxruIfd1GNxW4h2862y4q7JEn tjMs2J1wtxWZGTBQFLQerHln6sEARY4IwA1vw9jfAH+rHDgcaVkp+Emsr7xhHD4nTN9yMlJxDcsp wJzibmDPUtg59mc2R5fdi5suQdy4cvpSxQQp1u4RiZMycbEaky5wH7yfJ/zdbU/UE3oS8AyOzhyc kyXP4cWYs85/e0K5XFw/NHUrJE9cK5f6mhLi3mxmuDactJW4ygW8xgJQiYD3awiZJa80WCELsk2D qpfe9oB6IqQaPwjZ6kwur2ZYVr5Zmtn2G23S4gF/37M1ts7Ik6FNYSkiy5lY+bJr36T3Lc5CUurl lPagqpaVaumrx9Nv9LyWHMUDIb4Ue4cSq82GADc3gx2Z5M+eqoIxtZbNbRaQH+gq4BI2fZ4AVC5C okvdIfwvbhdt6l+H/q17nkM20VWBcNL8LQYcad0prrM5KPdsh7VVjvJRKM5N+ejZ5Gr+H+g0vDJ2 GKWtQU6yRsCb3+1v4p8ZBk+l8zltEB9yHa+6Le347MUC11aJ/VbGaD9WTv628QJJIBEp/H3nmwSy +vu3yea9nmt8x466igH5Il/BdTs4jr9FONoqCyUgUcPefmIyZL+0k2zKmgKIaT9bmrUTeOH4Jyd+ DalmTJL25VkMn6KJzUZhEc7aNbxHPDEu0eUFID86oR/eWSzER9uCUUfUUbq1+iPKAXRJdehrN01G vOJjPcGGlKXjxiIwT2jMZ4IIfYyUBCEKRh82t/A2HCk5TGcVjVfehymfVj1Nku0ouDQPj2RpEwPZ 5iVmG+ndVhOxgLgf5CAtdvFTcs0ER9Tv2Yl0OB1gk9RLwf9lX32kkdkjmpiNlifK0wa9t5xKBkdK upKX4UvQrsKg4MQ4d8ExVl3+qeRotE+VThaKpOn8BJO2cPKYztsagps7L3Kup4oM4+ArdyDX1Cw2 niUebjsa9NoPpMkOhemJMAUD+dCHI7Qka/yUB8+SxVasfzj6dUk5S59q962XdWtbHrbHjEabbBkZ BZ62ysTl2Brt/ExQ7neFlp9zPQx1917oz/HV75eCI6Utwv8zkiIOD1gF5QaNkwlIL5Kqp5TCIcMo q9jTtb4ANkdOTcU9v1hvP5VYGyFZ8GTMoBiHq9BGoTzHfFgZlDjsCfozrJgBVuXTZzlARm/ysvkX ZpgD+Govom6G/SnzmwTejYOuK4SpOzha9u0dHS//zHFIPVCcXVGoI/fINFVTMsVA015GcpsHbMTN WkFTGpYKEfigZeFzxWxK0Igst1FuEmGmAmEZu35TyIXyn31QSx225Fm+WkQNrZw7+aCV+RtVHcK3 R+1BpRIMwCoQ2h63zNcfQ4E1+26LkO+X7VkVxKtQWJtCcF4CeSl08Lg0ByhzadFxb+mYEMUqnEu0 HuTf62G7OF2MI0Y2jtRhPQG2ClsKTHtExYoHSdPbqZgkJ7JSs2vwQELoi6VWoH43i5+MBhIryTDz +DM3QewBwswoatNoMZhSEVUM7+THlDmaA+yB7CqfQsGxUFcwzG5YSk6aYxLxMwtOhcOutA3vPR88 a3qruYKP//WFKQUn3OgSs7vM+nmlILHbXxop2FiWAyNBQug3iflLPRn4oi4wE7UnXp7p8z5iuuck BAwoZ7F0MMA/z4YOPsdAXSp4/nh72GiBub21/oPVa6vTIV0askxNFMp6R0y4h4FaToNje3dug2SM m3erE0qBTzIwS1sNgK5VfS4pYls16e9JtbhDEL7BHvUolCD1YB2vrid0nzWI1XufF0Le+XFy90yX OG+YeYBLvLVVGS2scW1RUP1ms7QrUWJdfm4oiOplndWNPrn5Z/DFrQrZfnPKV7L8yB+CCf5l1nJ2 PZC/tNzYm6i4Ekn0xCTNPU1SHRn7C4nZgeRFDnPbjjNr2+3ee6bnKLnisJoT7wOVj6kh3JgGPn7v Yt+KCcuDQZBf0kJQDrOrJ+rGq6mnsfEsRyJIGgGJBZcg/0BV75f+6qqjc39rslonh3oaaADBl0Ac /okLcXBVr7Gy/cPmrNVeCnHS+4ZSJyF7lGeforEBREurgt/6mtgW+yK6MCv6WtNdePrK/+Re6Q/s efd+RpZNF+miM3x2G9EDom+yDewSNyUJK5HbdLajTusr16QafllDM2ngIV+elgPoBcbkxqWVShmE Boffafp6nDmMM7XIz3/ywIEQB7lqVgJeiwugLaGsGmJJKs54U5WazLjO/3EvcjXSCG/lWXeu1iZz vWBZjMlQQOzPODVkBXhZgmM33cc3tXuEE0ZjoqT8uPKw1FCwadX4M7kxcsH5CrBnXtF1wvDpE99J JbjU0k+KQDW0rUoSzWaLIyvmnUiJha9dfw2gs/+y/1DULKgF2Jfi2iIoLYamFlfgclkH1T+dYy/F 0lNzfAjgSamHX0ldRUmhvNd14voBzOw84fpgH7A5IeEhFzki2l4oUbEv78dsvRlM8RXqYdYV5hfa b/VbB4Y2SiSYW4H5gVTBMm+FXWRqcaPFMyW851GwQUGFK1n7g2CPxmiJWLyJQWpSGGTnmBX7g0CU IR97qSTgD2mvK3+DjNQPWsgeHAFbe3rwMd8jQNNXOxqKRGFPQZkYnqwdUcqjopCFUwj/tQNkDSZJ AhOS5CSUr1aDRZdkUkxNbVE9e29YdXCbfGDOIuEKwr/+TFYI7Fdm12GKIQIZSpznuxq+wy+1spQc ASK+T6oYmzrzcUP2q1JL4kDlTxH2Y5GFtlCT+XBDT8ya7Jn9FbFFVORD7EaqH5wt3D6OBKs0rxT1 6WWf9VVMm1fcXipTMf7nIhueskgOqVmR2BWU6eSy7bVT1U8qxk5ISzMW0NrafRprmWj/A6xl1wG5 eqc8g0WLd9mo4+ik86U2PfM93h3FbzwjnSiv0eYpr73Am7gnpzf7poJW4cHtmr4TIyeOcGtvGf3R /vdF1sqD/QHu1lYwT40UIp9QSLKTtCwPfys5hqCnteLr0Fjc0rb7AMdqS7Y9FDtRqDEmPRDr1oih gD/Bl19fsqN5W0jQPzzaCPx5veyZmCxu30HGE4bbBwaxcr3upcZs2aj1PD4ajpNaI99hUsiEsY0N 4Rmo1U7wZGXMRqP+KZ2waxMcqPtEE2L4s79WP1cDz67jCslt3nsqFlMLHHkfgbBDPHTQiesCxMAd IvXP85VvwCQQSRm4sGoA3JZvw8kKJL+neePO1eo1/is5aLLyFdV10NjAbn+dXtvzKlKuRsFvddbx NVAgpES1euL2WmHg2o0N8pVo98td2h6opOD/O39PaHHUVtq3kSKseP62WAzEd+IcFKv1eMuF2Wu9 XOMrwihFF9q0JnEZYhF+Sk87RymcUN6G7kK8UIOgxtx3p03g0clNqr5yW4kQIgk3AnL7YrhlRYTb 4LG9ZqzDzV7mQNKhYxhH9+iDt6IuileG/h/hfy7+sPDgmsw64hebYBIgdmYvUl6JculbSvzaB0Qz unUAmRJBcyLCo/UTykEkaOwb5yA9w4SRBTHyei0yzhaullk2HkHg46COG6Inha2127nCIB5yLf2w S6yQJuWuvL/6/iC4n0uNyvuOxtaOePjbjU/u32Vh3wbmtgoc0NZi64ItaBv0hD3wscBVhMHEIhqs vKuoSfNyQzqYejL/Z2sFVBLNXqU54Autk4eHzEAPrmchHCZ6spKRPHNXqBvMOr+dzV6kZMyom7Su Z1STL8mx9tzIEtgS0VTrhlxiAqd0DbBTJffovfjogmaRx297ZiBXhyC0fYVkc6iQTJPAAJFpnki4 8KRpZY27l8D4VkzgH1hKkYwuUQCnMiwd/FG3uW2UnCKPYObfAeSqkp+6HGyL/O9E9i9bI7L+cAuy vKlDcbkcs2PVnJo9jxya307E1L6xLJrW3mv1d5ITQ4tFRxRGRscxzfGWo6K+/VDS9/2ZC1WZX3lQ pxUlEMNn26ifJ17jpqeOfDAhVjvhwOhSRy1nEngE5BemGpHaOcE6T0JKVV8lZ8FYgDXk3TrGt9pi 6ZU0pgjsqvVwDlAW6+2wNrcGDzY2T/xxRYZRDhBTyGt3z80R1CSbFoT4NoJGsfnFABYc+3ssJfhi 8hJ+hJZr7HyksBRbNLZ/Xpm4xaCJfUYQ1QR9olF1AmUTuROTGGpyYxGWav3HVOCytCNKI4Jy1C2J ZOTn8/6bZZzhCsTwwCYzTTEhIsg8aeB2I4oNMwp1G1+ZErTsPpJnTTbKrcwJojuJ9/1j+YMAPRTp YhlrKfqvOUX1xMjvM9gxeTgasxvhHDG6XRRuLVRNQUAnTlrGF9Ua6oolSu3KekGfMJu3nd3M+n8/ svfpLELf7hGHe6FyprTXs6GKp2Z1gZKhTOozlt/n7IGYciJHJvtsT/Isrjm9dB7RwlwqDU4HD56u 2MpY73yUsOGdyGBKp3s88g/BOVpOuTOy8c1szcFfO1mpJsF7oaQ400hl18Ye929Uqz84EFZPVJ7B J2feSgkW6oJc8RCEV77/BJwD/MowSXxOaK6Rvd5yynNFvdfkPUzv8fbXvLumwNfgRSMNi0j0tEnx Dppv3Ph6PsITI8EegJtWFGn1iSrx6AmSMwHSA797n0nEtjJHQlbe45zunBSQ3zH7dSPPG6rYr+Gk OeQZ+XF5XSPJTurTRoVgfReyiCmhq7CbI/ioBmuRUgRHMCDV47SuJGwbptrYsYcsIR4JCnE45cyo dtptSuPocHQRYQhwkBfOJ6zi+Pjwy6gUM26OiUscxpZwCsvuDq+YTyBvyHgCQ/nBzHzZRs9a9ASo ZtVpEN+/JGQQc6zcR3sbeKlYx0sLGza5I9z9z0/dvdeo8VsO2pL3sLEiRfuqde5pFK6f0GTwR0k0 DZHSmcqFLeAnrHTTPjCfoVN3IbaaQyKX1wKdvh3P0Z8EqvaRIKxxQjTA1Mk9vxANRstAAgw1tOcT dF79wCDFIGk4zMSuyhwVNRTBwu7E7JHMauZHoMcQ8qd3/Wo8YBg8+erSvuX1REsYHgWtteXGOsh3 Q3rv1TCMi4y3DRcCvc3e8CTXSi+/KrR0E3Mluvdg54bCndvi628bVZESw4dSbyjs/lAiOTGRpOyK w6H/WeXnagpvt4K3o/JrRnGDaABlLdRmUEQ/W7wZAJB23vuTEvpaa2jkqYADPAEztsiom5X2NU2x +McGw5le/PKkfwWW6uwXjKe2hGT2zU/imfmuwfLyghrS3BUDFdWgeW+eLRyAfJhfphem2FXv9iMJ x4+xhXINoFeXLjeqhwecYQYthbytwmHcvhoHdEmjtqZ1UOl/9kd7p5AydxrDh/V9+ZayP6m2FfA4 B5kjpTPe668JOWFaOkU40bPRaC47EVu2020/ty/iLb9/TQwtFHCrvogrE18gJBUyBcuMu3oEA2/I nhm6O537JLJojct/gB27rr7JIrWeZ5Zb+nvgGUgV9IRlSIoO6AJA8sLwHhW1Ba5MVAuF2sI1unuE rBw+U4AnqLb79elrhiKgHZoYYUuX18U1fuLO09fYmsa9oIlnQMOySV3+1SseXRbRZSMJwgzAwKS1 ywfT9iP5HvBRCEZbTVVuK7ncNfr8yDpRvNRfEP47ghoYdN581amJxIq9DjeR4D5kyPIxlp78VAP8 vUzmWCrj5o6Q4dAqoDZ/u5jfSHBmW2ESJxIRVGWlVPXiM+MPxsQC1xwyVOMukJRgCwGWB0ypbf7t nrJ+eGH7uNeSSIPVNXkh84c8uumD1dmTyzpXxhE1xwrXvc1hDRUhXkVI0XyNDeCoe5B2tw7jTzFc FKpRBYwD7hT7n9GPahmPZG1YCgqQMda54nzVA4l/9ZiOg3bK+/xppJRSedW6AFFc+6W7fuuhq5+M zVy4/jLhvfKUz+WoT5jjPxLam9V9uTvlPzwfH8clDmB9Sf83TJYqUHczbQgdRbc2IfdqjYU7C6/N 6fDhv3jpuFRqLpzRbszAayPBiuPX5nEOTxWVEQaUnf2WiP6mUj+3zkvT4+0xdNjavzVoyGqRIMuy Sd2wOSp0msNAMKWrlimcrlz1d8jGhokKaIbslhssBaXFpiXu/EVIoURxDJY0w4Ln0pzu7782O/HX 0QZdHxyuuut+9io7qoBFJKGyJgOQQ/WqNcTEoA9Mzzd+qWx/+Ttj1dfRkpNtN9OWxPaXeSkyzSEN 6BxPoWUJh2FMbCb5ZKhZQjSwre28NKH0VJyky4DqA57kslmpGR8VbXyGxR99M4wtFlWikk880gJv ir4XXCdrltTCT8ftDPAg6rYAtL7WE+QTvYlCx6Y4QhDroPeYWfBb94eHLha2xa0UNnKjRiTGGG5G tj2EvkODn8DD2banmN42ARl9zErxFguiXHv6N6UBNcEeuU3G+gKCP7PNTF6gDrfZLaA4F0ovaJ2T T7JiSRAv0kvse4Bozqu3RB2mbC7ij6G/ZOyqYXOc5ePTIqpltsuT5XJR1TNOKX9OQk10v2Y3jg9q XGzzj6QoKw3dSxkKnedT2td8tOucTldbyN+8KAJr7s1fTSPEBQkdWLgig67XgCx/tUKl5OgfgbWH vNoStwc7ZX4x6fJU3Mdoqc8ffV7CW17I2mxDnkDDFatFw9JyARZa9tiZtYAU0290Btqzqms5aJQ/ 0I5TnJFVhOpdaln77Np8AxZ0vFRaPwjqwj4aIYMksxFCYCK2EzvJriNHMX/qqxuq7QcSR5x8hyMk QMRouVBnT9+kwDuI7//V7aSyRW6cCxluxDW0d+CkkGol9ZxjhYKmjc8+BE7N8LQaE50zXUhe/qM8 cXlV+TfMbyHcQXGR5ea/ZNeZbEzPoB1ytYwKqqLJxhRNFtCh4/mO9Pl2bUC52vDkffBjVzcW+f6A 1r1DHYg1SqHwfTkZwQCwbCgWntKlCZcFKqZMBPxlI01PhE2ApWhiS+TaF14+VowjbcRVaeflvuoi 38F6fIJngqOYZWRmJrQcIyklQKKH9HOAIasDzcFCzoh+vZ7UivJmSJSxVgqDFzSfu5i2e5N2HrIM 0AdGJ/J21+e5Gc4FnuHxq1Lk3KlOv47wVc/oq3l4HB/L50aJ7k9uqLh5UiJrK9JNzJw3S6r9AuL+ MBVNfLr5OC3Wu2loQjDjatZcBUcDRZS987gG8SabNFcNR/U6WVGKqxfiGnm7HosuKuBN9They7rr S/fYu5sYVV1cDJkJw8FywEnrm458BLQMkfjjRdEGOZ4XtdjCFsJj3o0kSLy+gWYy9Bh9Yt/vRWRH xc8RataHwPwgjlLBFVMrV5ydepAvSVhQ9/QhjBZ4VvIWQCb8csPlHpjlumPk1oyd9vt4mlNngZgr 9YAabQ0RhN+gCKwX7aEgnVKh2zSKcu6RymUHfH3LoMwWDwBJ9QPQefW0jzIjkKzoDaPEOoqvEglJ yarJ7rpBP6Z5gW1zJbhY7Shm7pHIQl6YbYGmWAoSiFjKQnY3yvmrDaYGIzOMe69ApmEyX1oWFv9k 6Ueadb6jygfDzybXi18LkAQ2fwXxvCWGRrYVchgQCYl506OTJ6hs/y+CTlJFox7JeeFVdIFS4EV+ 7spDWrrlNA5vUjoKI9AqxX1giEaPYYpBpgOQnHfRrB1JkPG1hGoU0MCxQWXd2iG/kWXw7trrEwf8 yY/tzVIyrgxluohBkiq7bPz1I03FL8YhqVWVaGWCJ01i+D1jLWebpFyHsdUbDyOlz+Wkd+2XmNR2 LCPgDhGQJufB1j2f27FK/Nl3Jb34KwVW/I99f6OKjIJZ91onAN3EiU1qyfw3WGPAqy1jIdHaVciP N8OPFjvNq4dni7RyBzlCrBWHS9wuHSMU90FBn1nUPD/RN4KJulxXG5aNN+Y66VdHIP/apr0wNClX w/B+kLUkRB9EdGiHTYuHQZmu4T2POkZ5XMWg86KZEQFKbMZmH99ZTE/4qDyGIx4iPOu4PDvNYuoW XTYyYpiN2tvCx37+EHp1TK8LUk4aljZsAraYJRQzFlMSaUHBlb2X9C4Xjd5lEFYUaaTNUtWx365e mmshfE1RSk/RXVoBXFaX4mKcTWGduQXNblVMMU57VNdq61dAqLkttabBWAizndV3hwSCMoyRO5ik BWRtwUF+kKdY4+0Z71C5enJQDhU+HZFVZua7PIJ04O+pv2arqIVndGJA+t93TFs9VJw+pJp0hDZn wYAH9UWK+bxO3GzBxFtTQtmEML3/p8YEQba/eWDZYYGvDYa15u3H7Cjsbw27pb4vbPj++/+4OXxL CDaXdsmiscpdsvkSYlpWM7RMX3fFfpJhcD9SKeOWw+6y2ly8LpJIGAyANQ52nLarI8moHJbpm6Sg LjVjwBDnLGcgiuVF/M/NG8CYieMZ56tinukv+mTB+cSMdtyEcpiwzXkjaKNlUmIAKtH9frYunw5Z zYj2m2zkWrVDlJYbBg8c9/bPV0YccgEq7f5P8F7suhpcxpW6XXBi5mBnqzOqDEFOPiSpEugWH3t9 kqmEeOL2T5eXyWJfBRJXjjtWQF98D1efaoJjdKEQFEFz/ryRdsLypSiJEHuRfmDzHm35Dg30utIY XyO0YuIQqNUhJAYOGNdtNNHfyxl23j4UC26/XvWLSZKgbknu3Teg8F4wOQTCnxxy23jzWIzLN/gU iWJCpxhdUMkqOv5JKkH+XaQvnN8fUUHp9ux9OOKJyZfvNyuFqholJa9aCLj9i5Fg82/F24+q+Pzz 39dwUXy9fkJGB2ddrhny0ZiGCgzJ1WGsMY+ElCp7d9Utsfp5XPe4zFsSKQqYulFICHrnn0c7+ewJ eeQ18qF9ueGGTb5Jo721TmMSXjIZQhD/grkIjLyGJFqMBpPcKa4Q9pzySUf9YKn8u2sUmcb15Xsc elmz8leA5XlXpQdQ3sF4S+FbAV8+dHGF54NsL9wIep08ZEB9tw3uPNiRqg4lQ8MkaK4eex2gvSO6 99KqlGtKbV9I7NZoMPoGRHcQDkz/dFED8hom8rwh0qJ183JBR4WYiWVSr40O3oBPku3zPRqFRYcN DJBF6XR76Vd1eB86igvpAn2UrvdrD0zXdT7j2ovtfcE4Brb91c59H5y+j0fPjZmCSbq8qDQasiNu lwDRnS+14r/USdX4swP3kmF07b3vTZTvkynCFFnzmBXhnVBk1qEDcV6hf2n8OO/NGCB7BteIWZl7 QUyB0Ma3HF268rSitWkyLfdVUVVc047mqG0Ra+9d7Q0ehsB3RTYdn0/+GtYyvi4RiDY40lugVbTP m18rAbp6WScI6aNs3ngb5z0qbj3R1pR+3NaqRDulioLcZROHFxEGw/jjdbKmI6TaKFCMF4YVJV5Y 5GU+0ofFgYB626Ylz2y/3+WcQPSLMMcWRCCNAQKEtEd6Xwq4npAYJZtDacJu6Fi6QqernmYxHLll 1Jb5qC6pU9GEzfFiJRsjRhSHR9qsqtg99xitTj5BDcbs62UiJrl/LkdVgTN+0Aiy48HRsV5HSgEo obADOJTdAwmG5s6Pu0cHQe4fRQdQpDdFc2WLpUUtxOmxMLNw/clRsiS4ew7K3PHTWP+5uFYYh3r7 LUQ+4IGfSNBjMnfc2iBd8Yoki3GJnzkMoqRvhiio9bfuENU706cOmul7EWpDYUHgNlxg8p6YQckc QR1MpoO6kS61ad6IP1+ywf/znxiAs8diFZgarDD1cgfrbwB0b8rRdkJYD0PxEvFuytIALhMks326 wV7EnfjgZloE+2Zhi1/JxQNg/yqxvhFYHw/+w3hA2wHnHPJSYdQS8hqs5us7WaAYLk9hKA0AQcTg URKlUkPWW0xiPI+VWC4lCQ5Mh1NOWEfJV+OFYTk8Ao9U3SQpYTr2pl/oV84YlTLxpEnTYw2WhY4Q OawAeyfnFCSKFzhuIc5hMr8oN26tztx0oxBmU2EhVpMVnvKqpZkc4o666HAyn4GEbELAKujqtODj Iyr4pSf6JNcRtx9U2YE+9banUibh3+1ELlfNzNPue5q9zKIy4NnO3QOsZlrCfGiPHjn6LmsYVcHn /PyOaCAtWugPpAGjxMS+lVXBk3MgVkwSuLbnXRhgz7tpvkmU0x+3w49T0XTmJEWGXtz6p2xFTmne 211Km6ZLnNPZP90xHdzl2BX1FwmNrCvMYc8WE0kwr6i6iyEf/YZCCYxSlZK360vYrfwDidT8JxBn GVZsCEMr6WN1Gmj0Rhc63W4t9J0gJwpCAxJrhKORvd+gZ0x7OdKfE9vaWnPwkamVw8N4ybBTC3pN LSzdHM1iGxdkMRs4vSn5LTHtz5A3Q4h5b7p0NB4yAveiHIk0jOA5Wvx5WTrpSdQSvKx70SZLqKl1 mYM77lomICyvhVsLjQX8nHB21J4LgMKS8HsBo4HXGvBcvTgK7Ol6SToWleg/fxmP8993jzNWBTVC 20ZXFGWQM7s5fZ/9fh3mDYXz661Wbyt0yYlWeRO3OYEywgR63ZW/iqrZmYhWkxHfbKxkJ8cOyxfI t7Q9+/qRh+P6Gd99f02U1dkWhLfVU2IxR6uHWUWrn0snPvArmbgiC1bfDIM7qA6hnJ2n1O8K0Rp1 Ei7w1VEeBI0k0FMNIK2a9XURkIPZ+wY04/vEqkXsrjZ2H/xyqFtY9NpaLjOOD6mToBq6K5bThnzZ cK96+E20S0b/lw/f+doywJ8rBmYWlpd+lcz3BW8EfDrojzGqifD42K0eO/evuqqOst3YI/JYxq5o jRB9zaDPJuWredrfG87OsqPzvJEAnujKAZD7ktIa2TzybTL4IxoBpQC0LWZIL0ZLZi5nCgyfve2/ G3Ec4JTcElUdL76VYSZlNs8MrcuCG2k3jaqNwOE0/EzPNO0lyv+ZcH5UyDbdQl7EMUT6WSYjGa2C x/uzyC5KuPWWP/2V8wFUZ7KYJMNIwZFlJnThOa8N3EJmEJO9MFLZq3+lMq6UA2mHEC0At1QUXUok s3uBczlq1xGq7WB1ZlWxaWaWmDw2IKMpGqHDlbj5KJL4Mk3RMxabmGw78p++Kr42E0UZDQGYU6mX c/o9pemoDhPOQqFB3kpuVzz1DNqMF4QppSI2QBW3se6SW9d29MUjmdPCkIm8t7C1SbGKdMU7ZSbc 4PIMNRZMW/PUwQKCvpx+bBKXbm8EbsqRGqMwiJX2Ei8LgeBEriuCP0UI4no8xVA5jTy87neZi9j7 Iqx3qqE2GHGDs7ZZtsKrdj+ysMRdIVRixbDU9R1F8eMOwwdbrN4WipexNpLlfHoGDp/8lOayK/Mo ihGDTclhkQvxzyAJoe6B/CxHfjW2FqtWaZWPgywqOX9aYfscRJa66rifZzmvduGuPMm7eDgUCS+6 H9dvbIgay0IspTVfj5KMiGNn+bI3clhX3hukoJWy5uAYWOEsL+dZySInfV5+Ezqna/OISXe8AxPD /XSm64bJQqZXjVDzCUlx5q4xMEAUJyXr+aQD9ezP3xoJZ/A8AlOd4vpIbDEXmNqJSHQ/uLO27gbv ddt/9m7azX6l0N+dyqZrectMIpmeIGYi28k6tpCAQVRhHkuzPiNwE1ElqELNr73Av5PygkXj3wHQ GBixECSSvFx49iyWN8v2ya/jstiMRKGNkJvMq7Su8onqykC9b9fvUalnKNIAVRXD9Fd3Ad3WQz9Q /Pydn0tTuylEgHsIqPkZJTcH0PWk17MQ42IAIRBxTVpMRhgc8bxMG7ymbcP07T2gHvZ8a65dGXC+ I1sOCHuP9Fb20P0LCxroUTlLuduNNl8L2S+LTTOYClYOUHvWeQOhjz+ee3uc7Ba8RQe2Qsytmd+/ gTKKrvhVwkSn37T4+PhrDhlZGwVzg4zzZuThyVtfZghewtXPPqz8euPfwjSvh7ZOuutzqmokd3jv tW/d88BOTg+zv3wJAmKuP6EcDeY8I9ODCrbfdynBjrKMFHo1QOFVvjOjxRVfyY0p0CIPnd6yKhq1 7vaIF94V5M1ciw3V1dfDV7bEfBDKIPJ6tBWYzdUfGarc00q5dgWsS/RJQqd387Inzmnn/9KGDrcI E2TQP25TYQ12KOR0KsMOFb93xZ+8wTCOA1Xzhallv2kIMgkkua3IQmsWYsgZlNB5zSuO1fXwRkNF IJR2ZkFqV+LqWJqEr91E+7yV2hN17vdPXwk4XSDEyK+wNmLsqm3c+MwAMnnN4B270XgrulsEayU/ mXpY96RYvFWDv4CrXaMi7C2DJh3DqzzX7xPwv0+huJEyw+QwljJozXDa0aTw0i1DXimLEukcHFlS PeTTOx693LMOMcFt4bi46QI7KHFQDaoOpfd0cXn1FrSApH/YIiIlNDUtGYUgIw4YnhCJI1rYZRop yqn7tJE2c68DCnrEJS7NVPYO82k23HROOWo6t584ZNRGUyW4nC1gwv7ck+4xWbtFV9FWeuIk+Eq7 rSQ2sW6VDbTkSGyMjiAHLdL1Ofzk4kCzq9jki7xwiJZAzfGI0dpTNdgMkVifTJAhifgShc4WwPg0 mRpqUPPOd/BW8UkJad86hHSWa58SzmraGwQuf1NLjZYAGZcAkFfr49sOUbiCRYZFWkkHsCaTj8Co 05dfB3bHnXiQByNVcOSlvTMjLKgyokusQP79krWKOYwKfZi43mgvX3ml6FxCCLD1Mb/jqVdsnmt7 SWKdX7W5Xxm14HS6mkVWHU6PlSM0+9UgUNSSmf+QSlI/1EnUqfb+73crf07aIyWy3Zdgqa3nWljI atNIjdtnqFcgngbE+GqpRoQfFzOPA7Jswk4Yv2koC0pFj20pTKKxj4wq/Geh52rD4iqbmATSJ649 RuQbGBFdSJZHYaTjeubY/lkbWnqjGbOGaxdVGqCCIk/t74jJ8Ywk+5D4UhCPD6G/OudZHtZaY1ld a4aAGqIdM8OhpIxoSJjHG533dDZniW9SRzFGdNgGFZOula5YL1uDRlfrLlPqwH3bCBzr2tsr3tSV ggMy8OVcWNUMY/kx8KakQxtPUS39JeiDCrfTrruotUKZeKiv+e6xB5cFshIfsG630ap1pqoKQaIP 1O+1CsEU/YCOaTR0hrzrYNeVxYGC3HveHJbHDrnSWkvs8tSH/e7MPTrhAvMjTBMqvXVudEZQCre7 jLv6eoGZB+NfPifd5NWcEXJ2Ds+VVPLzJUkZsEbsIf3Sgpw7Mj5Doem/AS3gLACmxmtrln7yE636 l5ePlnTn2/XBKzbe7XtlhDDT3eJo88VUpTDlsTWj+zVVXctkhuPTqqVPq7cdxVLnisV22vgT4+6w fGRdbZav9NjDfpp8lQHxWP+2yK7PzQNwVdXcI3xqwcwkcqVtMIAwwdSMaOLl7cYJ/gaVPgNxAjcX 2Rm38aL4/WAgV/kxJEVtYlhT+IYKqzSrq0c+T9+5sNi/FNq3OrZevnqIaLBClZLR5RqdpvfJheFY 5T80qDxu+k6VlMmlsv+E1ZGV+c7y56n8HRw2h4QxpMeX4GNekHAubfYcBFlwgQq9MpyggfCOZZXV 8tsaKloRJHGAA6QKsCwdN7CITSgPFawIvckbqpNvMDFsroY4dggnMEuLshYIy+wd6THr3PQFwr1+ /A+Em5zTLwPwLEt8qnYSbGqa+JCdEx++LeVRnrOMqxvEKyPsvja0vhRG/gy06ubG7QrLT3Lzblbz bzGGBfVOn7TBiW02NgwLRVcSABZ3lV4OMCyh7w8lqZoelyzrnh9/vkXJysBgK9OH5y25Ha9YO+V6 nSGAsU7rp1T6ZB+/v6m+mfV/Wx4eO1f5qAXohuzMmizLIA4gKuIBEBNHzZfiURnsmzt4qEQWf7Sx 0vhlRYDvRizaBKQpTtT/GDhG+CIPE/gS0Q10L8T8wvSQ050hY4GDl1KSNAK7PO5m+sQjwbgT8ncZ w1R3eaoKNNfkDJgz+BdiYshj7G2TWflhJtTYTEYz6Gi80FeZvjqCuYCfIs5d4iW5pBGxh3NRTYGa w7OWflTZnERk3KU3TXzaK8Cq1qBjUx+g44mbxqnbdUKrSBtlLGTiFhYXJ9iIV0Y0yto/EQZgOjNS QOlrPcCU+3h+mw6o8ryPajUoSJG1rtUzO1qr+RjNHW09XgloPBT+clHy0t3j7xn4tZvEOtr6RCyo tCyh5SrLMx7VHEhPQ/bG5rCt4U2nIGA6+CLGa5mOGm14p0LZf+in5XZGZEdf74zLxo6+pgC3g/pg GLUT2Lg536KMj7fSedxSBwZntL4XB8t9euiOErK97xUaf9FK60sB+CwZx1UQYfwr0EvYHPlDMGUM M7Kvd84zUwIag8BRrd6vML0niXzbvkOslDfAubM2T/61nWccc3UROvV3ItOSCZyfOc5q/kRmMbtk yP2e8SsXKdsByMj44DbIyEjJ418DWGAVz9MkeWnkiLtcWT8JUD+0dUup0bNOnxy5cynUAG5tQajh 8vdTXX5nCEakjbI72rWjB86u4BFhRT/5Nszn07L+A6grGO3degjyL/Z1FGiY2emE6AWc7IuV8RQS D9Hw9naPqqyIQcmpL0HwREnai62wiKSmyN5p7pnckD2rAP+ui9dMa6Wpnbp6wBQgML1+KxE+Q95D xIt3E8UlyREwxm7AMZ6orQbgCm1OvRsWOV+fqmmR17SRaPyJr2jluiSk90jk+XCecgUgkH1f4Pjr sWJ3WBOF6nqkfaHS7PAr8QCTVhuH4HYqGN6W4ujiuQg6393lQNym4likbzeStW4v2jOm3Y2o3lV9 RN5xQtjLQowwa9xZksKN8qnib+bqRYlrH3qEYeDKlTXf2dlSxZoJ2TXPQQY0sF8kqNNJaLwZTghB rVt/CLmqKOkKj4LbahD2SBKkBa0RFqLmC8CocMudrQdGOiWontbfLSU+v9mIMOB4p+U5Sgw5zs1t dWPTIhomO7n6trFEFWDYhgQjwEMzMktJdXiBKnp/q1n0Jl1raLSl2FoYoezALE41yh0htKJYnAzD Cw+t4+K4EQxtQQvTlWYwi0heGfWbyNtoMB84YUAT37gso/4Y6Cp/iRnkVV2OtT4v2HaYw2z3VyC1 +9ME0dhHOCam/ekUl44Zpl6P5XnOTbxCSvbYehZOWaGUXZA3SK6qdE0k9Tr25jjv4fz67mJPampO NPxKEGU+p2x24OXVjl3f9MXjdlClgC9q7YXWFwaBxaw9Ng3T+ygOKNetlEk9u6ZXXRmRYxjWRa1I 40CgyUIfVaQx4WRn9yML/nJcXVhqG1bLq+SQURQAwPvHG0247g4at/P0dndU9WtrljCA+SLxRzlI H1XWxb5PbwfZ3VhcSftWj6l0ZV3nY+bnfHcOXLID8MwBcPPc7HvdUpvqlwvsofdlhL05NMvF+vz9 DIkJ6E7k4DkGzXuGNKdIUyq/jFimijcMMHgWBxFOhJixlcgJ2Y6DuM8j0YD2FIGu1+jGGrFIXXLL qTPdloQGvqoiCnj+/KcPM1p35JL+bMICm5KbXCX5MurQxz+4RSWCYvgqNktpMYmLTOplCt/msRao sEZc9AHQLwnfKpv4Mwf1immVPl8Cs9LQNDr+4pXgaKDJoP5wheO9vxvymsS9EEd6U9vpJtPrddlH CcvSi8ehjQxM9NfaBZJF2uBEopunMcFfmTgrkrgg2knbpjdF5S1r39Hlq/zW2or7qUCNGAKrrxGj 1+ppECDrS6R/5mPT2ViyelFaJUcVXUXp9hPhzcMWEkTsv1GnB5ZuTbi6eiAmd7/EvuoE3ix8r/qt 0F5K86cQmVKwFiRqdJPRlfo0slAPLbbU7x3dhFzdrcbEJhmFtE8woOHG/zFW9J3KTiOTQq7OeM7m SG5FyYtiKHsNvJANep+CjEec978z5sMZJCtFJlgQpkzsgdtSY3eHkD1Au1D9stVmy78yoIZDXh+F GoQzAg8RAmqCOJaG3Hf5pMdok/4qcrMD9+FIrci6X+RI9jT15Ps97H4D8c4ZtpF2G9LPpI63v+8t /1bRX/J2baJK3cVjFjtS2L1EiTrMgwk61RX92fLbUKX3/y49ixKUMqeUKRCGyPyJRyW3pLe7eZLF mfW1l+V9sNoQkDhS/PuiMrlJ6ThwwgQSrCkKaNMZ9ITgn9wFwsOpEq2fh/r+Ph2IToLocrSsJFxS eoWve36qBDTxo9BJv8eiJhHoVim0epGGmwQvC3FYeF1lqG/zE71QnaFekBW2PrsUwB3gtfQDH7VE zaX68Lu3j8oW7HTIE3/ziqVk+mQ/jYuZ4Srh0WibOUQ5SL0Uxgf+ZPYAOqCQ3CeI5UOUwnNAw6Z2 iGul2Zguco7DheGfiGjCjOxsXFYAUMKnxpgVq2xKng7b7FFZtyJA675ui24F4mEo760hqgOrsaOX BVeKAUtUPO2+TrYSVP41+T1R6odmiyAsLPW9GO1XWb5mkT1Yq+EewMisRlaw2vsU3F6FTZ5TA0vF sKT23skPAtpCgnVlQLBvTFUINn9ej5Q7bxLRfEC1qlibOEHTrzn7a7olEDb9zGGa1WdiXqVGiF1j kbYja7c+NYtJHhTmiK2HZXjT0LUBwZqcUEuyp1tezRa0G9LvfA6tJUfDdH3RKN+gpGeeOAuMC4Pf IgXtm9f+KX1mEsZI5dPqkICPBNsysqvghQGSsDK2zwdO4eoBWLQbMOltivMlfew3zeMqG34rnSRZ LsKbod/4ODmPVXl7RxTjVW4UVjd70uYzGx5UYDXRKSDXTLpzwo2+jn1tXRDusV+Sosrhyvb0DlTc lsH+865k9N2ngVoNbTPAt3Gdvj2k0MW4P7ymoDcwIa1PIJS7gy/R2pnBwJCbu32vykQ9iT9CIkUD YzpAkb2LgleTAw+FkyCxuYzhYFNK4Gfa8fxu6g0Y+K6LiBoKMKRtxHlgdljYnRSlGkWMvkaeKdSs p5Rf4kI9Oi5PrsBUMzoaC6EJf6jpEC4Vhax5QySGBd6qVvd7cecW+1U2ol/F2yFkpvIGoJeABFGj 3O3KFrbdelgG9jELqZSLkVOKydfV48P+vNiSwinYEMuJluVEsOlc9GGeiG7VGxDBKrfC+YUJaT98 MPmh22F9FEXw4y2Ngd97pnDPCA/jBvcpBGlSXfeFEe1d6RcfMB3mabJocWRsV7fZSA0RwW9+TEVr 3RFQC7lZWYAGtL+/xrXX+k38Rg9cLFKE4+eG83FbXkBO+H/jgWL9Dgu0bxuDWSSPwC8RR07WlSg2 WNUPxDwvs9h5QJz9AQxpph1NgUSKi/SCca4dl44lFTQCy32LFh21WzenjxExIbYzdvNSEiS3L1cj 1SOtFldkJMXQrIoqo0F1bZgMbG9adp2Pn7ewNSjwI2Yr9vmJxAnOYcV22OXcoBwb9ez3APognygU oEh/NO/DYLY2cKHPq3GQi6iPhrapaa7cov+4+tC8CW4oFNCuDFUZNSKb7dYEzFzmV/wdy4O7RT/F tl4bYKT080kHe+g3yY0ZP1LQ01YSzx7u6SgdeMHQm79NgSGAsql/BeipKtIrduEUSKbRiCay1AcS aghr+ox0cZVxLe+EkbUn1oNDV9sz6abEupETFNSUiICqCtsNpL3W4Srj7GXtbs/RYwThsalxNLVv CS0APTgCMI2zlmNnYp6vOZgGr2IRKMmNjCxae3t7p7gtpm4hi6ZOiZUD6b18KRU9lE99nrVEHfzm YpLGU8jHTUC/4VRR5yaVDE6vBxC9RB3Qq7ip3OHliXdFhPWpVmmK2NBFXZ53xoDNrm0N5TdMngaW 1AlO/wU+593xarzb4H3E2eMsKShcnNRaN2a94YC5UHJFJO5M3TVILAJWFOPh1NFyAvnFNbfUQ+Sg hWHyf7tn40Z+moBbrfz+ACL6ODc/WgcXtQubwW91A5vou0227FNm69H6+74dHcuGSp69ARdcFjAG J8M3hOCj1p5f7VuAYwA8PiUMmtIGqV7s3Si+jWdRaPtM4Hn7p9Z1A5Qvohab3lanHy9UfEmbFOUN uoz7SOFFBNKC9xs2YiLAYDIcEAglUHGXf8M9B3umye4jiBXl6pmQyEbfHgAETPBV1qNwlGhkRSpr GeX1X6H15jDu5mCW9fumFJvsg+UZVDJDBD8kzYLbfCvcsvSW/P2n89CMEMhulggi0lvIvM/PyORE 2ydtSBj7eet9ORj40KdahfM+LuXWwOFvXct98wioe9vSgvXPADcN1V7bupl8uXvUov9ykO9U4ICK PFKGqE5ZMwtTmPW1fryxqlOWxRWlSUXiBWLwxWYKAFz+/iRuGnbRd3NH2NH9WZOMxiDo4BVBO9UW ZmESFKpuXyMJAz+cpbe7v+kHXrgzPPhTJbM7T7ogFgKhGo5436hZ+Yos8X5V16uL6zUPUuaU9yqK /PZitzySBP2NlKQj4iYFwy8qzwtacPdcH6ZJqznPjO4ltnqSiUo7zuz3wkiz3Lj5jXbyhvimjJSM xnvxn+z8d7MIODO9T+z1nmCgt92icsEq9xy0LtqX1p/htpUX1+DWZUoVu9hhrttMFbgc7hD61ctQ xYrPHUP4ZECrl6z087ctokJfWIRerYgfs0PfL6OUWEr1qW0fakZNa05ZWtcxVv1JKBkjZboxs/WS IwLIev4TCDDN73pIf9vKDMnEkZMoOT7iyDzrPpx6EUtnHQ+8nmLdWHw7PbfiARjXf//tFBKeazlQ 3n5DeNBA0qMlhz8NQyYz/6xzJ9H3Ebe8wcXwkZVJTr4i9Xv7v6GmPYMJYAWgVDPxWn4QSEB0GLbQ IOslX7k7ri269dp7FhnhQHhuL+MwjnQfX5bONOmhhGoVh9wrpaymRp0yfbPp2Ae2kTSW7tM417Om Ofi0CWgvFTCvW735fdYdlwY2n6n3ghAS6Z5pFRZZI9bfwVTZdU8y6tSjUwbI1o/skIQ36yxE2VJE 9E89C6Fs6YWYArXDSIgwd9oNdRTjFkOpFK+7aplq268iNkhzEi/rb8eWlDXzBxfmatCoYMEPcTeN yyiccP1ZMPjctt66lEHN2TuAw2v5wyi0vuEg6+//lOfJ6zFQ1L0FSPWcm0uVH0dmzjr3pnT8STgQ Sw9mDAdwHFEe2Hv3JaS7YuldM+GwAZzd3PHLF61WYHSgpXmPQ6OHTL9Z2RigRJMcfXmb1buq1b4J QqNVw0MCBqf4UDvP2w4gyA40O5qjs6FoemR8xOL59Rmi+jLAMNe4ZruU27baeWNENChS3Lajj/6Z KOAOuqcFfogUErCn/Fav3ZlmhXt5YWgM3YAAKwan8NYv+bhIZZRyRKymoRFrkyvEeglz9bogim8p dhFy8VZp3xNzOBR77qBPdxOH38JQFMU29WHWeuQOCoe/P/erY21s+0KgGCJMDq/PSYGx4TuaP/2k B4vQevtJPQUNrTGTUiMFJYnaTDAMUoL7sIx83xFCtvFb0PVNGXZhfiWzdlmcCrE4mmD5miSI23eA 4oWUOk36QXXrS7mjoM4XJWzwZi5H/S6hCPWjg9RoV6Zb4VzmaNsNJWiENM6quqYL2cao0xIXe5wn 8EJo6IB5ucjycVPhyUesL3Eth6YyxKrztzMXvSEgJi6rR6i21DuEISlg7VvYm7fwWSdBcF9t0UPR /91H/x8pOC7/KRYIU8vgQNxviYeOXG+4r0UJS6TF+g+9NCmZ/PHzGzYQDiLewz14Xb7gUd39XyOh 4FsfeT6Aklaam2oABQrPFQs7I3fKKAS2erh28UsRWK2OQhI+VVeCQ9PA9L/98ltxI7cutqeTy6Kv zw/VS51a2Lma5EX8ZnNCnMcPubA/x1C37znseLW8UPNCpfyL/Nzb5wN3zSKNUsSgBsnQd4ZH/u0y 5pM1ewKNK2hS0f6f7cqNCyhunf6Wvsjbfd8nzx/D0l6xxa/gK/iWP7x4e0nOf0PWOEJWNE6NUmsi 5xoaB5k5RuyzznQorhAak9IAgp+u5KKO3bgUh8JwDUkGhkFEwqmJBngpOyc3HsJwL3+GcdGESS+L rLb8Xj0eQorFF/apkxOOlVGTK1ho0efYHTQmh+9ANLKXSgKUwf5dNDOnNnrAIF6hLF8umks3Br+e 5HQM8QiM41fOrlmB6EzSFJTc/CTGllyDMoatQ2s7IkyORXxspq/F1dldrVhpksq57aeWjG1BdkfA zc3wqYNPryMFBjWfFMNxGxtbB03JlNe5y3XPXHA9WAI8uPdi5cJslTZlz39kcRZGJlfhngTGFek4 9eike91LosOeGxUxwRXHNSFo1w+sznKV8EKGYhp73wZlonxwS1e8JaXfGNI67Jw2h8YA0kl4z56m Z8xKZRSnaQ+DWcUj+Bl6LEv88bApeVqtf69ArMbVT2mCL9H8LTsxDfYP/Af+aSEj2HyKlkQPqbXS xS1h76tLoWEl1bdApamvRusWN1GTh3jt0UwSszuj/pNXA4ujKdkCosF4Gi04sBIMK09xP8CxmFSR CODoESog8o6x2ljGl2Y5+xSmlzeshUktuFt0n54FIbluVADQ9QMCVaiJcXubADADh8nN6CHcjM8o kkJ83lWsrrk94L0gGRqZesrvPjXlB7REnWKqpPZyZxtgHUTc8jZh9JbCuBi2BOAL1WmxbrGv3JPT rx/IXvADCIFocBmQwYZ6YJEW8gmofg+alMJnX/hsxPoiqzBrToUMxUi5/QnLziNPlKgc4V6J+Bnb 9U37MbB4qSsP6wv8eEHZABkOIkCLmIE4TWDilPcpqOEAfj7XPwlrww4dmnZKddsSmNgH2cUivmKB vC6fzeMMCB+YX+GKxCrFpBeFY+/E81ISU9V8qrdqZ0O7q9uIZPUexjL0M02ic6WqzG4j4jugpVCL krQJEmwWQH9QRntDMHnBc5FgsYTUy9OfA2XpeNA7+vhtIsGVsV2lBVZrv+Dz7/y8fiuS4OINV/k+ 0nslzE506NisMgSQm2jOH79gfAOIyBd/qDcFguV3cO9CdkI+WgwE1TXGMl/0qKw4s7rp3l6FWqCK HxrDELDnCcSD/FK7dc0C/f9H/el8CgziyFpAWOXLbNVDnc3zu6QdyLF7qkk6rUwX++pNWiNyqjOT g4sgZxkyGgK4o2CAUlLGmRHXn3rk+7TdTV+RGlB0kCGveVpknprROpySr9HoZSG9bUiwQ6fbzE6X 81zGLAr7+7ZVN9rctd2FLKooqTRbYCJfZ3fmiN9OW78pd+PMghLhgxNVacPjNXyXMUHUt4x4dhvE 9Txz5CPWfNSdEeQvZhcmszbEpOxiFOR37f5fcyJG0IIHf1wt6x/8uFaexK0Y5txKH+GKA4Cd3jZM 24bVuqCxrXWi61WNOpY9jjxIsFgVM4PSzc5oaKi2jgT5h0iy5o9uzJqnBLfb+xVpXypm5RaIkkYT nuL3QAKpwowr3zliLd6NGh+ST6VAATR0eIxHoLO9mn4YhbQVItlc1bA+kJNm1iVH94n2QeENbM5W dvNuu1aKtGyp/jokvorxETc3q5GD7Kt2WKkcV+tZfh6n0DT+nc8C4JKYJFlhgPMOhr2KN4ivB5mt iEwLbZ0UX2vFG/+TQUElAb1+9kf2n4uPPcltW9aGUpRF55sYWcSTRubvjscvPaKouw7SK63c805F RR8w+ckFd1V8NHH6GGS1U0a4fzfsPPxTmP2NXhJRAqEjOJYDvtq+FmHKJjT54x0QRFbuFf6nf15b aVdDoWAkx2PN3x0YgHbDu/R9piwTLRc4cuQJ0ldcu0yxJZHUSXw6mI4WLYFMRd3JBkGsoJ2gvBKB vbXslHbuNoD6K4gqw0k1ppZZQoRqzhTvNRMj1JVURrrHZ9MYs3kwG9A/+cWrf5XugNVcjFHGdFhA 9q4BDUqHMCp3s8TtEmabfDxvhKl6Msut6fJBg5h0tuzB9kvaVYnkoE2PHrOoSaAEmi6mNWshLWbV m7hGHLvsgW7wptNb9nlKzUZvdxhWbCbLvXo7ZJKzuWNvysZvcINpT5nSNj5fIYLrXfmeSnrnKW4R IWPe4oY00FDUYn9vDCPgY2KD1vVOnGi/3nOAeZZBAeUXVOdkebdQH6nmWzF27apoZaAI9SI3uZjv iylxQnQg19MNV4P5vVjABUaE3DTYhRsesWT+IfZ9qcOU2P2q0W6GNI+8R6GEf75xUTddRTOHWjlm 7IYrBgJQfpFEQo0kGwDnz6agpUt99SsX7M5WLXYcOXDVPmROxzlq0xKndHjM9ytGdgiLwjBxyH9u W7eozqpkHvXRFCqB/Xq7oH0oyjh2SPbXFy6xC0LqfuXFMIBpEKQAf3/JwssBvSN0BiowkO1r/0c2 cti+X1+uTYb7T1tR0uJucF5qbeNn+rqKQwO2P0VWlwOCxYxCE79x3g2u+EiRE2ydfaqEMsfASPru PRSIx3NB1M/rM31ijMEzAX9USkixNgcdknYFXwPVsig0M8wm80BpKrGw2cCFlOwCUkxj2JwuHFrZ rlOuqwu5NrrpfBSaQZgR/02vdak6+d4QvxHWSM9VQ6wL3vmC/PdTOGQf0DZrzrEbxKyFmm8V/qKd 2VO7+pzAglcmO3yReW35oVnyG/QIj7hiL6Mamj7QFi7uoydgrfufB3sbGF/K8TJFF0K8mWU4DUQC 5JDYpFv22RVDkTcLux/NlgsGG26SHnXpgcm6Jl9jZqTjddJRbQfuh+eizgJN4oSyB2Phc5uNe693 psI/Rztnbcx9XRksf+aFnCvms3ja/50MDP2KkVXu91f5pDzXxudB8UzD+eTN5K0w7/wrlhOCdtJ5 b38XL954b1wyArpH+w8PUcZFLZbJ5BMIezM3lVrxYwDNiwXFjrxgd0QpoijIen9hLaEhsyIiWGlE 9MF5oHgjS2NsJ7SCaZxhCVYGCxUucaTlvsHfb7KFzP8lXgr0+EDNaVHHxkewivTXGRQV8D7/Wqrg 18VuIdFJTzrhLjp1nWxB4l2R6+nqXBYX4bUhkUmWvmPUIhxBIiZYHqoAKSqJ31hNS62AWDoWYGJt BicRBwmVOSxrEhnRCfZ/pCKnac7l14lfzCJ50ul8fiYCYNJUTXZApupezKVL18VkxID1gLNZYDUX 94w7ZwNLgPRS7Pd92Mxz2NA/t9HJgkbe3/S/sX1pZR7iR610cgHynL33y41WKMQiZHSE7BHOJfUQ lgbbFdOYO5iZqMK816Ttk59YztKKhlb5nWuMlYRbfTIJReuhnp12fapCch5Uafq2DsnNkc/l+NSe bW4VGSWQxmhlannVYTyvRzUnOavzL531zfENr3pXZh0S3OuBXXe0aJm6OgbdAYfvZJ3QUzUWncvs dLy/2xaacBkiFBL1iWaSuHI9JvsmBp2th7b738CLj/J30yCEtkfNdKUqlfF8/4+UtlOnHYXd/e5i 4ki11XSluYKBFn6L2cLxSuTE+phv5fQkwwJvKMtlBCxLDFupVxbZhQ890WJ4hUqte7FfWH9Drj/g QdeK8c139fjm7q78BYohXIRYx1AOVoaZoj+46uvFUhVm2muU9CkkU/8oThqaHTD1zVqNRmRpKw/0 odbqPJL3gBNIibpHzmqZ9F9ADkB5w8B/FvCXlOMzElb3fV8/BmHLyPQuoES+cFF2CTEcJ1FKi5Z5 sCX14jC1cN1Uq7ep8pDRxJ0PoSGXIbLEWzc5NAl8le8CDA4Pm8KaYNJ46r4brZRaT0fJTOo/qphj 39TZuDLGIKNMJU7SeUcSDlbxeCdiv4ANFEzFZx9ACK4s9TxYwTOKxFkTSuESCsmsD9wwA03LorsX 1NnWAEARJ/pt86k+9R99CVhh93FXSnCH0hDw4/qbG1vRnqJ4p6e0XF90/O3RNVKBmt1A+qbM7T5p dZSmghjjYPvbfAKGpvxexyKVPL93w+UxxZMskT+ZUlBnSVzhEk1FnkQDq8C9T63p2mcJFJ9wwvsj 1fkgo5m34a5nEcoDd9vrFRLyrDSX/YaKqEeYvIGcISvI+i8EdX0lB3xCN2LjNw7nAzYnS+lECOzo GyvI5ZmO2fYM4sUc5pccVYffrNhtwLt3DIhM0p4necCgMjAd/GSdEoiK5CJ3TjxMJZCEPaB8rnhx ftnqj/QgMVA9PjTSbO0waEajVosBVZ53cyrkc9odB6+cou+RPhCMuG9lbOGM69Rl2H/5+E9U62cD wZcM1auNi0eeYBn+TMuZRfyDTiaiCYywRp16bT745qic/V0PyTbrOokI2jniZsxTJ1frDIzu2ZJb VHuEemeB4HfZrC1PRaq+dMXcACZfnR5XrGrKXeWt3JK21Ci5Z7DeMLUJJ+W6mfBTJiTWxugAnCCn C5WDONhZMfXttFrjOEVXZRovaRKaX9YwKBUUGYqFUmBcrLWN651YzxRT0YPY+jyuUnpPy49ngnS8 D9bivs7GOtEw7MY2hqTtSaesV6sgQPQLH+nj1Me89sh4WvkdTyZOOiAk5IxuSfxE6CSJ8M8dSd9Y JgZm3MJBNahNzWcIpqmdpOumzucmPDJrS3140Rcqc/ZjYadVNkCBD4rOnvBSehPK6lktDy7nCpWi ypLz3SnGIxcxjMGBO6AI07JHkR0L6zNKDQwjHoiPue+mmoUyIDzujL7hpN5uy3rHPAc7aHFZ3MaZ wOPKE/dkH4NSbaYclyz+6JrH8JqzDb58/XVHfxxF2n5n0wY2YIrc2a4wlLgQSs5HjYXR1Fwg+BOk NBMLvqJsTaHUqaKvTioXrtLwYzdyWSYjVbQIzmtMA3tvlGnKxcr/8rJMfI+fx+3WAX/S1w5PnTTs o27ekjIAoVNICHkDwT9eS1AtyWf/H2H5GWBXSk6GcG4DV2U3MWBSHIKQRwf+pDO8NP704dyuOSni aMmss6+PYTwjosjqvE5tPlG4OeEdBAr31ZQUuywZbIY4jB0MmFVDOaokdjCdygsPEkQEGhDLlcWM izhFImwUs4CKyYxyuk1ti1EqBqP6IJeP1CT45clxXSIehX+GZY3R52ku8p0cBuNpPO3WVFRfTZ8w XF/rMpKOOj8OQzn3ZQoI+0kW8B9gTxyWsKoOWpwfvQVdOl3SLV8XV+khOxG57TZqjjoxIN2hol/c C7vK9NUncIuqiUNLGX9LBac2xLtIk9V2HT9ulIDP/Jn7XjDmwx9eIZwfTG0hHrEeSb98if606CAF 6hNbZo/ZZytBfKHruarzHxIqTzaAQ4VZLCz3RtB9YTX5ROPJcxrI3DJMtnkfZrD+jmg874AwXtNg utuV1TgZFbXGqysNU/o42RotQ3Mvz5fJtxEhpbr9k2+IORXKAFYoZ9caIinBMW9u81oNipT8zoms u/psWfF9pJ0EHM2NuFiFlRwpknoZijpTzgwA5O4WKEUlDL7auGyVU3VE99s+iLB5XAIniJftpJvY SjlHphGt8AzAliwQb7zY+f0zPnDv5RxfkSGbKh6C8HxAmCj3TEk9IReOsyS84+NNH9/toe+0cpMX ptSYcpFgBTTXKlD4lHoysfg4vQZpI/XaQicCJ77JnkPgcFTkIYvCegvp+aZpjG1Vgzq9B+ERpUDP 620qpboQxZSUzizWoUhEtluO8lh+0OuHw2A4y31tbGPtKfWf1wN22VghyFsmGQHcwxZPf6vyjgJR NBUDIuId56HXSOf+FOMshD4GAfd2oMZ0SwgElEXwg1hdq9EY5K/H9Q+7aX+Kf3CkNKuYExt/XSqI VCd0paVQPZekmHVeqS0hYiQcDyf9jt9Kww89RQgY7yhWz6p4cCcKtV1buSqlY4CNzb76X9kr3AWP iWglej/A27UaInuLEYqGyjYUBHO1Yqb3sNGdLqQ4AJrjLangZV40V/BD+Du0NKKI10YKvmNeMNx/ o2l6Yh2lPVuCvmtNgAMijyrvq584ufSRSCXKckFb3t0pUHOSYS+0yESLnW8lxKN+WcZzF5rfvtg3 yi4+EHEt3fAGYjv8oJ/tVKYJcQtULjPa4mHL8/51MZpMSsQ0qG0KXaQqC1pFNvj4hZGbBCVj6jmx a9jpy7UnokHLlLHux7lPnbttVV2EHP3WJogkvUzBCoODODZBwJ/xbdce8CHtTLB1Dd6394onCxNY 8wJSFxx2itH4qbRC1GjFwdh23b8ceecktuesUlL6bUZV5P9lz6jnKpIBNUyCzv9GE8W+U8lKXFDx MQIMMRinolIVs+zsnr8FL027dqFxRN1BYOT2v3etsV4637if7eKqQ7e8jM9SB47ApG30+blG++tx EfnJ9PBPHAsnfrh+HbbmQkrUXYXvKZDIh+PDpwBDDnVFXa40PUrH7SLatBhivDD6BI5VTA4AiAOq Phqfkv48tKFpmBqHLeOSRII4UKQLfqSa74Oae3oDY23jPL7U9e+6D58/GLS10ka6ySvtToYJotpa K+bSOZefdDD/3xPiI6PhLMLQ4/esfeJxfJvhj2+VxilHKX3hdgMqInp6U4vFh3Jaki3xIs92McaX YCaDnsSifa2gnbv1cjQZ1bJd3kLmRS4Kxp8FPNRoP1Pqs7+5cFPEv/L+tSfy3C+ncGy50ft4IkZq C0aVLPxiQEi70Kh2+7TuNYnlaKSMCINwu97qpC9pZzheUc37NkZWE0PFK+BVI2j6tBATb7tbFOVu QQ0gyRx31r8ayokpfE+cJFjmHjlukfOnPvuyPQq23iWJv+hrswLjKSDq3dA1PwtB9/mVf5cp2idd tEMOCkjQ/aOCuvKNMYrAQzO7+kzOXr9X35IzJPS6tyR4iXXz1q/9ASfu+L96JSXE+6T9nZeqZuhQ zWc1kdo6+ylvKUE7Vk2fbBQfEzX1huI2gLJ7/IxPJefgJTD6n2B59yc5Ufu6r867zHbo9ed5bYOV xd/h+uYf1dGZ5DMxVVhaVj8Ox7xTHiqr4/3aHLPwt0cGS3WPO5I0yNYGuRkosocgJtzRIapoS9VF Vr69ThQSsc5ogGKOghepe382HPIfm72oW+RI1GaOMZBys0j4aDUTZnosIKLwwdHQPFjItyOsEhLx 1EUpXEmegVF5fqUQ/Sz3iF9V1/291Rf3W53n0WSkQU3kQdSseJxeZ8+EC2+6GGwL/9GWkYFvew5y OKAaSRMhQODCYZoXdP+A7pNgEmInKR5C/JL+de7KFAOMGfx9H8hXZcVDQ+RJYkZ+BTmDfnO1o1up ZUmLCtT/WoKlbkFmmHA2tkn5PX9XzoPRTG75CQgkc2yZh/+gKoaprjs2qS2LCZeqyn1+iCJDuM83 e023DwzaNCc22iIdFWRyE34uQTNcKFKBumgr6WErt4OEL+QORNmrqpSW5vAEm/ZW7AIQrbIO6+32 YY14x/VHVe7UZUoUlNxq2ynUPw1mCmFtA05WqFBdSbp+7AvN+WQv3xgxmNG17eyu0iqSs5UZgprj YmE5E/AeuPLTsPusVeXjlY6wORYfeZaUc4QFja4l4ohI+Xa0Lnh4sNUWkhy9FE+DG7v9F/Zg+N11 idp1bY/lSgOx1pBhmwS8VrPzHvjJysNdJBXjniTbr0wav+NUBXYpRaOSHzmqjXqBBSRvkTgZZ9ev QbmPrrZbgMYHn9foKlJ80p2JP+eRF+3B27K+K24oKbcEIycyQX5hkmf3gPHk6keGevZ0nsHJ9SlT +9D2FwNTKKREqFn9RmpW+7TAXxO6nbzTWPyg2kOvN1QoJs2oSWUQmKE1Al2mprJWfYzTUZNhfO/H SsfZdN12csb4YXZRq1sizzy4qtVXDoI1IThUodGVSiZIIfh6eLdpJ7LvVrpoA1biv1W3n4bzK4T/ 2ri4GeQTixuu+x3QvIObeNldiBycl+GMiLY3tKHRFOdRLkKPCpzfDqjcc4tMOdfcpTkyeLbX2+Yp pb3CoqaXKY/rayZmR81/0zSa8R0t8fS2sNsSCgVluuW9e8YRbxsawUd2IQ5mdM8EU0OAcwk8yT13 dbXEphzPtbpO3c5tnLaA82/NIf8rTpBhW6F891tsAcVDT6dh16wgG9P2FM45doj50+jpjovLqTqW jnZBI2uEpbCUdNBiPYNfjmqeSdkfReVdWSahMRniRTKXow4ZZ+Cu5gWJQkzgJSQKlGSnqAtOF++x cYH4eWSyzEQHAk0Mw6fy75BMWNpxKKwa6kDTNDxeboECPgQaIdqBW71mBJUrjBw2j3T29AhWLFRz yoqps3Or/itHlrjOk/wgNC8S/rvLcgiZtpZg75E5Dv+fKbTCkI0PUzumClltADAylxZqSMPVrBoc 6nqcAAhDvql307ppKUGLwJ+LSf/7kXnLKDTHnhXsfSIjoatdjUkB8ZShLxr6hdo9r6mh8wAtH1bR JTLAHrtQQ4sNqLaxCjtRWnfqrIxA88hZ7RRMyOY4nOkosqUlomtA42R0tkIizsQu3IfH7yIazmsG U7rdUSpYl1YQjcjfC4c7X8t9QQ4SLt2E41zJYYKLEcxMR3fp5F8ZIhdBMyd2iUGv8sIs7U0HNHyz D6S1oGm4yZ502C+va28p1tXPaeq/UwqgRDmWn+1Y6yMB/pbY/Qi/BWP2JIpUQSMuNuAOYjeBlqTX qSN5ydnVcarr8R8XH1MmoiQlFsMAe5yfB5dpcMoXKgNRYjd1yo0RNMk3vyvBaIcQR47FVwy5cRxM 0zeJQleK4G/HhKHjiB8RNg0xIWaO9KLOtmOZ9qa+PZnA+B+kLF0Vln/1etvCKdtznFaCLSpwXFJl Sns206KtjSFEb85xgm3yZ+paroRxgswBERJ7HkuLme5PRtR+MUgW9v1IgVx96ggXkBkya/BqOhAy sGwPelSUXXNbXoUI3VqoOhUPZGsRsDJQtq37EhKoh99rL345Qmi4JnsgIVCTZja6k0df2O1JWlzb /rUXSCeWeqpSWFU7AsolC1GjxyOMqNHUVtN+HwZywipF00Gpz92jOsKhGkhmLankvKdSWTzJWmXG IFwcPiuEqHODDHFIRyIRNld3PpCoKutIMUAxElI2IfU7oxXui1u0Swzshtu9r8oZck4TSd5o6AT3 uQjSP2rb/McHDTv0TRnpT0Td1s7El6zug6UgLCt136dxGmDbj6O0hUg3zLxt/x0EitN1VM5QI7YL a2u29k7F1NkpPsdAcseksOOdfdzCY7UCL9Hf36Nz1msDkZEtiLb8Hyxh43Da41xMQKU/1IdJpfj4 sFs5EcCYv0e2KVlyQXNhv2X4SmSaZCSUeofCHTQVTp6JDKgGeE9k0AR3KncbFHcnx4S4/wbfHZZK QeMMlDny/7ujqW22YWqk8ZAFAPcHmFOByCdVVnNb6V+8/Au33zpMjjhG2SHA8d5su4kwRP2DVl30 HRoW0crRyQy7A9OGLUbZgkP7M8qL0t6wa4Xa55BRwGyPrbqw0KlZVtroeXk57hf9I3NSV+499OHa qZBKt7pb3WFgiFT5ZwsyJA5RFzGKTfMudQnlfxf97qx1R27GVWzO3KFjOwGRqiwE09xnHx2nc/Iw JYtnm7R5vvYe8UwgO0dG/Wh8a6NDr6lxpS0JilE9/jI+O2QuzjMp2IZA6387NYcikgL8IXye+sXY Q31DwKTNTpVUyRjYtLGDw8eQXrc7qnIIKTKKZPF254xqPEOxJDTIeZrZGn1ag9mweEDclkSOkOMl //iPYZOgI0iqLws2Wg0OpfyqD+id/4aXDdFGx312HaH8lrFqb4LpbY5+vXdzElzFKF6FOIgXV8Jk 8HG5/ue+7+OB8Fe3I0BQS5nCdkpFDaYgIGFRihjg0gs0dC9YHt/rclcVnJ1R6+MQwZ79WcI8GHoQ 4ipZnMjVg/oAYKY0p0JkGZUH5qYalgDbtWzV77snXLtEQqvdkKQzcATHcNIwKvK9lcp+6Ll0B/ab qVpYUh3IC2JI3/0PKrGZxMpmAt8xyp/4vcOpTmd1SWfwulOpN9sACEGAyGatQuAbpGaZlf/eyHC7 2UkFzpJip3f+Gk8JL5CJ+g6X+FK9MsmZW11nE8VNto0/9aWtgCoJ1LI+ZMq+zfknJ39cgmJLnuoc s3SstZHtWoy99M+2XKOZd66rr8zuGmyxBOpOjM/KrutJ2BTCoEFGKiGzqY/8vXm/UuUly+pL2eEn 1HP4U8eBGhe6idRnrgUKaOf4wN1keoUmAD0WDXWmDLw80Cctvjd08GR7C4DHfae46MFqozQfhUsJ RmyJ14JT3RlDtvEm5G13khodEInCi8roT00zIfxgkvpEpVrYNpgL/HZVFysfTq1wkEstvF5aCO6k HxAloZ+WEUf/oGkCXzxiAZHJMd2IdfoERQPPMzqISRfzVUu+JOm90B39Y/DPvnQeA9GlmRgiWHOQ jfrKdBZQLNSqt8VhZcEu6Wgn+dODgR1Jx5DsDL6iE+Zkw68kZ8ZuaD4F8sJJaNzIk0JUO1OEupLo BH9ZPnivRnyaxerDdeydYEYVHam+n1VcWQXq+f9Vj/rqdCknMvpFkOtXiUoJBTPASxvo1ybpXag4 7vB4HhgnVx9ShJaaj/16HMJah3P4ZuRQSpmTLjmBirfulozxbZaf9DaJMyxxiu1dRDIcZ2LJnUIW ZKfRpy/8GeqxtSUGGqchQT9ODzhLHE4EiE8atvoDI9MmJ5C9/tTlDo8dAXocIOleqm35zIcW0ND6 wXj2xpnsYyr4yBv8omMepodMNGmUgbwXAmsrCSsxs0ZS/OZoeBDPFRKGs1eOOMOg3lu/r0tSut8+ 5bEtyWmjRuVpz+YU8dzSFfqeS5IbuZTnxHbp+3dN5w+ZLUPcbf7vh/Ecn+5f2BYAJBCXxavaEfEr c3yszxgfjZtkN0FSksEgv+NvM6DhrDUtEiEyQKy9VpeA+UugxoZg8iaojiMt2eNbZSsj7ZLmuNqY NXoBrxdfzcvtmVUZgpb1CzA3O+TIXWg9DcncIXy9QViQyKteqAbS/P9tTeIUwlAjO/wmeC/eRNYG ia/qPEmWREkukxyNYx1jayRZ4ezbmikMQVnMDhJpNNsu3+RKRoi/6K8ZUektqS8UAjXKDPkJzPvq ZzF50a24bkGI7xFPZf9NFXYzf3o9rKmf6DqyrssWWvqlAYUA+rkCysJlQmHPIvyil6nrCJy5kZcZ YZdhIO3bdR7m4nIb3nRAcnZuPwP6uqLhcXQai1H3RXT5LFMQ8Ov1kQJlqsC4zUUCpKeQfjXFyPIm 2VDy1CxkqcOyye9bCPfnza4Xg/o8obPWqG85o89YBBlYG6pO6fe2tlUxRRDeniKe3Qgj1lv8DE6S pXU64u1XoIp55f9V3irM2bs9YbefGPEWisMvc0o0jNuk7bxq/nvkDS078o8BSic5gYDremvqxzmI CTa0Yg6CQCDdL9Eoc48H7A4ohxU/Pi8LN84wUBa13gaMSdTYUZ/n4y58mcS/KOWt+sFzmQYy+Pxb fYtmTONiA7d2QpGu/bOyaSrPgIFHhM7NmlMliMkESiAmE0OkpvrpLnR5gg63ubbrVNvi3QReRz7V ZnJ8Gu0NO1aK3eYCaUEwb+TyLEKaQZ7ZgjfeB6US/cEqXOBnFI5/KEtGl8r/IXQfR6nmJveTM/d6 viqi/NkgqmOsDcAGelGNUOwdcSwgzrrjYigaP5iN/+fEqUGc37kkzGXTT5vr3t+8+koKjHKYqFj9 /bSA/UULLE3cNIQWQJwfDbkqb67kzegTsKNJK2Bnc3I9hYcjYtRuOAEF67Bm+xtZLlPC+qgGCbKh h/ibwsRApKpX3LFih/nUvcFcJGGSwelcPKi0mORLt0YdHCi5xeUp5ivtAvfViFwMXmK+cJfxd9vQ J0rpMamAAebgngfx64Xdjf4eunY74v9wOMz7nXdEXuZzvKbrnq1wZxxkiDX7RnoN3XKm24tCFymx a7CgC/CnX6aQV6P+uygtNl/Rf3WY09z8wq9b671AdnBSYEbrRNITI96OEquyVjnP6pVmgaIgI5km Yv6inyJY1kgx5BoSRJndY38m7l6u0VsxiVbFuNdXS0hdwg3hADJvX342CziYrWfIsDJAUh+20NLO A7tyKhl+asIgiywKI3xuWOGg//ysEJjxxOM6jRP6hQsVau4deQXz/DFoDmPtxyIX1uvR9qzxLONh su/Piw9b4kF4D3DoQORh67WgPDzn41uuxZI+O15q+OSq6QmouOxVte6BMmGv2LVK5Z6wqvHnPV7M GfW9QTRMesK11HwRqLyuOm24TdIhgr5UNRokw+hJgyAHtDzkThYrfOPbRvQtbFTaicpzSnXEYG9Z QJFO2gVG6dexLD6g6TTJiP+BarT/LIqncp/tXr4fUhVWbB3iRP+D2khLFHef7y0t8bxm8VjQifv2 m3w097jHkIJtSc1/j+C1/Fkv/qI1M+n6KMaHQD8VUsCv3D8XTbrcd8k2TjjF2tdHMHEWR8HzGetf afnXJG6Q+8pP1PI29forC6CTMWncGiuBTWGWPGkbbUR6dC4jNJMg2mVKmeipIZXxJ5nde1+9qqYV /tExKBq3ppfE+Yq8Jxsr+sSzpcRFcE7mKBk63Mcoi654ReA1sQrQ8m+cpn/eNLYjVH1zDv30P/OO Vqh9C3W6cLJb8+7vwevh2b7dMrjdNMIo2cpFGzaotNlm6/YuPDw1a8X0tXyixkgjEQob4wtFMM1M nc7aqCMW52gxGDnqPpIjV9x/xq88+gcBWsTDy9/f2LqrWrO1D2nsnLQXpS9d7aQNX15fLcElChua cdKnBK0AQVdzlJWeKQpFBezE05w8/Ju98n7DrK+/G2dTR5cKrCElWHcTKI4DV80DqmbHwRb18IAT GMWiov9MvkTeBfil1iVYbX3I7USCQ+8saK+Y88Hpx+p2bQ53DmUVS3U5bPfTGFNswB81fRKN9LzI 9dTOvNH14zv24oGVDH9NBKtdhG8WhxVepHkXSzardFbWuWwKuauv4rjUf9JwyqVF0aBo3HgB3Mn2 XEhuWPKAIbx8w9IO97OmhLOXCnwlVl0slfAOIUeM37/qtpBeEvt9BMd4pgjnZf0QuEHNc94of8Fi ObuuiguRW9GTmHmWYK7RtZwwPq1ACGBHhwrb209YdHpivXS76h6ILrnhviwhuFgd3P5r4+/xTxKh zbtxrxQKBvPgrSdJMh/BLy6ZzODAAK1dFTuaCz32GyTgF1P78phdGaU6/ip6bm+eSlCMzE1zfxqH 5FTpk5KK5jxRNQL3n0vhYE+gHDfA7O8nWYyQNA6fm5S+YjSHmgPVRIyWdXsurlTPQk6Lx29vGomp UsrelUo2KThT2d3yrzZu83aWSXMvRoXShUnGqrXZS+rIqQMebXVgNc5jAmTs7GqSZS60/q9aQvtY tr02fsD8Jo1GALtsWIQTX/Z7nSRlbX+gqBMVIwV0bngiQ1rs61/eX+NTAS9FtjGnB77NThLoset9 8XTldxaZTp/MzrBefRzwnRqTk83RKNrunsNI8IouiDhA5lVrl5uMtyJTvQjN/OM/JEBbeLE8/RtX AFJJ4XaPTxcIALlikZ5sigK5B3PW3WC+SCEeFIOxVZa49LAA92+coeml/BUHMj+h7+xQ/fz+DebG 3MxawYiiseeX+kQgjbgLd6Wr/I7B7ER1/PZLoKnV2R4kasZYR0SD87XXz0w0zxzLzP/Uy63wXXBx LACGMub0TGJsOCfAUVxcaD0rTdRw1FAv5Zm8dXGDdy7QxKR21sWFePnMWWkQYQq2vzzZZW7UAFBN D6/+Jabs9zRjeqXuC454M0uZksA39Mx1+NeqTlQIRg9+dPx2lNMC4WFCOMr1TI+quO9w3PDy0nr+ KnYMhJSKmiyozQpONYKVBiNtDbcK48J9HRwv/56AWesC7fhMrvXVSucWd0e51F4M3/JcI5rDvxJN rqUNd0DYKQO/eQadweD12t+jQR+8HLPZfLYiH1ytBfZNjhpg/Ef24BNjMzvLe3nBXkfQBRSHjuKt pAIuKdUJ5PnRwpgGb6Hr8ne2Maz4ddMyIEjzAaq7ESYLTjApT8zXLCPhm+5TCp4ys5sMk9fPedpX +qv6Nmr9dSWmCRVerlFGuYOWlNlDLfeN/9wEw5KOaWZ10puiKFngTK9qdJPPj3MhavzSLb0WsK34 zgkWtp7PkTUUSN/VNWCagSw/26FwycuP1pVeb58SROcreCwh9Ff28X4sxGPrLS0zsQgE+1YrfYx7 iKoZOw0r8Lmp0SLywfjBIOrATGbpWHtCFMcdjES3HaBSJOMrphlbpupxh/tybZe4YMUhR8fUhVbl kjKNphAi0jUTli3R4FbY2wAhH7pDj02rUNaIiJ9vMskpmsdAuZc7M7FE7wlSsNjnDzjOXxnRaHUb PQ6FIHp/8JFvfEDHPVv7nHTpPBRxJuez2wzm2w/wDv+6pBJ47YX9tC7s6+Rls6u7d75l7xHMYLBR /Z0A6Uq2VAUN+iCeXeAlB2V4VbvZ40yjCF4p6+R8FuJJf80AjN0WuLajFeK3/wPOR25/7sdhFn++ KDYnpz57SL2EdIioLddQVj9AunPY74Pl3RwcNiV98g+1XgFvyrMNyVVCB8F5t+l5etVW+KanIIL+ AL4LpdeX2YROXtywo3Wnc3zR9pZWE/qh7lxE1OtiWH/5oZRuQtIWvn9eGXpKQ8V57gGU3IXa02r2 3K7a7rVHEHp9sIyNqf1/icZaMbVl9bveZ+C6VmeJDXtjFfJG8A4qozBtCkBa9sneTKTOzI1BQrSd xKVEUHS70uFsrvZ4C7Wu6HZCmstJcQ1hlnSflpcRA9gSIDsO3lbTZKGQZfaGoGxp7IoMRYpPtgNo ReVIjhYdZiyi3m7/qoQS4CiTibBB7VUPrhswuNqtzZbUa99wAO4O8Q2WN596aK3j0ibceXM8GOZL KxHrrOhdZVCJlE+j/zEpdUv6G63yYMpsG8bCNSHmiAg+yAwmCjS4jT4SpqJVkOyhgRz8lSQFHcYs yKtdbTQkCRdQVLbTrHgqhfz4UdFYcU6D6BsIE53UDozGigDwMphFOraPoBEhAMdicelYp5X+93h2 VFX97YKAq+CAE9DCdZmuc+cM6HJhGwzi5NbJycCcXTuIiYqDO/XVIv0cECmZrEA6iMEQEBeA9tkn q80dBNRWlPGKwwewKCvAHNeNwBMzoyr7AofQ61XmNusWM4jbRaaJS4aQgGjCglGoGSGArXZKuwHi kMd5zPDOJM0Gj9R30lC4NX+1OWWtCP/guW/TOL+XxxWg0OqySpEWEkiVAFjVGgZZKbUo33LNkQa3 0TRBSkoGbpu//o5VSCz8vxynbMStS7yc+smKnGAJfs28rA/JNFKa6YQWzxlZCWuAbhOscwvcH8pK 3uJV9SpjXJc6ojAShcwgH1TBQ84XhmfwweWyTHnAJg1gTgcxiepwZLcG2GQP2EzN2D/tuVl86yTM 02EzRCX9TgJmCez4B0AQ0bBXhf3lXFbTcVQXcCq69XboIwhYRXnTZ/ZN2OTKh1XvRDUXgO/8TnCV te8S8lPPCc/xzsvRYUSqQVJDTtNU1ViYSMq61pTtqnlyXtqv06IuB7enPDqRqza4F+yVIXfwLb+G lPHhUGJI/m8vBIeJYQq9v9BL2uHPW5nt7Z1bM1D5+OxJdDgsq8MMtVorVAtAygNu6QMN3PB5uXAX qlklI83Y5C4gm15fxGfEYke4P2E5SXkW/5QNk/q/n1nB8iqgIswPDaQnJWyrRbrfS4bTrmLLzswq 9EXr3+OVo6otnUxbb+J8ejk59/+/KVDr9RcgE1q+R+M27bH5NsWsiK+TEkRvbXOnV0hXSMQL71YD QryZBVQaLXGhMEyZdg8wHWqGS9bdDn5VHO8cyxke82zMvOIrGW2pz6JEgComK2WS1A49ZfpFF3Vu Lvwz+yQ1cnVRDGPYjGSVG29ckZeOW8//NnLyGHH69MoKG8HhBj1DVCa1j2GjD+nxaPz2VyJ59Brr izkKT/52+OKPhjB6W0CP/0qvj1KnBZZmjWLzx1GBSJBh9TJCjxIJDQ3nya/bYN+PChwbQahwmHIf jyipJ3lKpI2Hyhss517jJdO1fzEHe5ytv+1bQhnQlRZLhd7ESlrYB3YC5J0I4dFcadtcr72pEBN7 9usE9d6CMudUeeoFqa5o6p77EWIUJNJz1mFBaC+srdtc6w5IW49sIrPnsjT6RCERh7BCb3FhjTde O9ZxYavl0sbRqqAMCINbRF1FBLpzkH1gb7ZrZPYz6ByxCqoKPib6ZJEs/KW2G7K/J8sjpdwW9v5N z9Hy3dOpkXzrKE7LMcUx5P3i0F0tu3kpfPFZJiBIzJINdpo1D3W5yditPEi85owsnVNwJte3QeZi lYCuv0MDgelcTrRa/kKAa06aVI8/k//Fmn2gduthqNLG1T4UNbwnpHYWbIk5ql2+/PUyrRC35Xao 25xF8D9ylpsVr9kecdU6IvFC/AYvLMPJb6EM/IPj9IIhQEaqmFVmePy8c28FESMC+ix/9+Cvy+Nv Zz7J0I/6WS4qZFXFrovPoDWOvI3wFhjNGmD7HCTLlDvIbvodBqCcDWvEC6kvSNywmN4zqharaa/w OcU/7ThlpdgAnZzCf8nzCJKNNsEdblzgsH7XN2agPo2ziPrKdcv793FbusMIg4YOOmCP3mM59qXe CzneO2HBdYudNQxnFzSeQheZbJb2Lcnz4GZG10NqULgtHa25cDluhqY6jtySdBFWQ/jmWw5amj// atEP5WpORCf5gjW41A99LMiiKyOAYs4vY02YycSbZAgjmj45c95XI9lEHL3koNF6nrrVdfodKKad B2C6/7G7+1fCw4v/yJW8uUd1kHxVVrdtYdGcENaGOIGFSEBiI1qidGWgRhieEiLApl4MTLSpuQ3y dTEpj7rpFnNIiuGuXEDIAqVMo1BcCy5j8xBuZVm0nis3AzIRqbVlK/82BfQTqWOwn3SbAzEVT1Si 6Wmlg5v8h3jPGzPzHK7LQ55UNmH4XVZTJsbWI9CjlygFUCYJFAu6wvolKUMtv30m2gskKY4QtKEg XUuXO+iyHkRMrCmlKZ/bDnyyAr01kPdjmfP3wYxIekho3rEpYbOv9taLbQbU5zipIey2ARzYO0QK 6zlg0SvyqdKK5TZmsuLy/fZOVkblqIoet9L4IuYYiu4tKA0o0JRByvmAYQySzwhddVxltTzynaZ5 feGLuaMV9HuhPNLvJGCIYB3SzOAsVOcHv1kDaSKLLCpq1EFQBXLqwQ3TfrvXmDw6c+Xt+RwYlcMG SbWxaJGanPAxARYk8O8jjd3OTQwxTqffJrtQlsdChLYDQae+8i2dx0ijPu7CmHTYGKqULE1wp9+v TlsBfnkY9qkGxyCgRNTWszNDSGmJnHuGBKdVvoKRkfgO8YGCODNf+6+rZjmvfkjvaIcIqaCtLkQR J6h3ZoHd1F2lHkjHiItgl2lTn5DcyLGOJQZmMmdaY13xmqObBmGwdGGyoYt2cqFJyXZZoCbRShNl mnODU43lEcB6i2jqfzIUT8T8hKHXpV/F2aVBrpRC6Dl1CNygdnAB4CRrF4cY1ZOJaXE5kQD72OWS aMZYxLOby4WHAoCPV4drVwppMi+gYz9hmctGS73RBohnh/NemwcbQK0QSjEx9gBSs2oAi7e1bsaW YFn/7qKRGdo1k68wb9FGxHe1LmgNMU9bXKvIV2594vM4f1eSmNyNE6avUHROMzC09sZogXxO1fh+ FdP+ZsyeNNB8tFnw792ap/eJeTnVUNZa9In6wfSXlTz2R1S94x/x9E2nj4d9qdL2fk2aJXs0TtPM 8XDHBHy5zKvbYlymsLCzyDf0Ld22jGwZZHYid/PWm2MzrjF7mGnr7Pi8GRJf6+tyDtm/NPRPA+rf 4orJMHhA7CODY+ncmgnzXlZYbvbf8XtY570zy+hyDNSpPOjgzV15JYmCzQ7FB/qMDZANQAbjF6c1 0ESC1/PqmEiEy4sIxeYgdc/Gcbw3kJ+gveXSB8VFz2YRZ4qTIDnFo4lKY+snJIsxmWyzh7WTaihW I445JO0VLeJfEnFaDRS8IjHTRC09sQp5naJ8yXHlp80U12h9ZX+cT2PsvWpqEQzQ5sd9Ti3yl8aK W7AavnLe1/pysO+4Mrmp8JqcvMSiuXUqNoouCZ5MqmW+7827A02uhaLNj4pMGa/dBl9Qn+xwFc3M Bf49giN7+yOR4PDhlC52MCEIZ9efRVv5hC0BymVgCW34BvOGry1CVMvYfO56V2DDuA6Hp/x8YMLa y8F1AzdMIQVDRSBFINId+XPtNM3A82sTwBnOgYUseJfiYQfNcZWJbDT3Oqp1gtvrc11CR5HoUinC 7ALQuPAZwjQvVB8hFpXNpZNfx+3IikstZXBi9ZPyxCMZZzOVNsmkkB7H+Y1GWep+joWWVNF5tYEo Bq37wsIkwTS+KeBQF+4lGoN6xyNXrxaAz6uzBj5Dp6z+NjFaTAXl5D0P6yiP8v0JgvPnqzAfXFBR W10FQTUSvSI6qb9iprhnO0asJf4XIiB39Gwt5SGI0KUYicgA3yWrNSJme3+QvIHmsmMiJzxUMFd8 y3dSE52mu8u0MxhIdNiKw5c/M7slQTId/BUibrE31TMKRIHjr4o+XTeu9k8WSA2Dbz3DPLsTEVN+ tdq0SwEZgzNhXf7fajb8cxkDJg0ZLJfrnayLWpq83gVicoPjkBEO1w400NdFX9ANPVjOIfhASEZX lq0mZpGaeb1CLWEHkxsXq/2/yz72NZ3/QB3lAZjpcrS1pEjB/zcwaQLLIQNPxvGq7VYeSJC5FSK8 PZCNCimAGS2GQXWPcq6St/OT5UbS0aCsg/mcpvllUC1xyp7pOpBtnljmST2TUl7P409E/uY1LJ2C DFwRukkB+uKsbQ+oG7wBeBFXv/CMhE50Sadq6yAeJhB+ukgLPsDWyQIdQy8lJQ+c0alNcT/NAPfm 21VWAmHxvvjyJMDIbo5udpB40W0WwE0R1gPfoUzbuiVQT6Gv1e9G6D7h9itbPsqTSm5B5MtU1SiX Xs5JpreuA1C5E7ScnBmLq0vDnMQ/c00E7ysSqIlqMae0sKr/kiXXUWRId9Lz4uLtHfyJ2S41VFln iQQLkqsTM0O7OYJ5Zvu6p+OSKA7q+FcpC4wcYtluQGi2MzR/sgYaPk1Xc8KZduxYsNM1ctmVfo/I smq1lnJLqNRO/Y3dTkgIh0wBqndIubRwR7ud+LgTFS/76KjWRelN6USWMjwK5qpQvtg2rILaFohl QXNtEQOGYnmhN9ZLe5vgl7jj/3FxkWtbCEdnmiyv0Qu8mHqzl4kepAGbpqkU6/Nqp40QVWVfZYlD nNwPaDnBW9zIYO09Yuy4KAKALuP4d9HM5sYU4j5e1mIGf5k5K32wGNgwYdTTO5pHKNl0opczg/61 Aq/wIUwtrV4bQY2qqw7HMFtGU0vB83f/Q3SpEIcg0ObVX89vZT8C1qBcBBWRh+OE0Ak4uOfVyYy6 m2vZ6ShLauSJw/qtVY95sReLY/AO26AQ9L9jM9xTYpQ7InpRcUt2Bn1/yA0spmfclWwi5VHh1DkT e72ben/TJCK2L9XL05mYJob1xw/4gGnlN9FVWir4b9vC/f0zUP2KCavgBs3cMx/WHnypHp1k7vwn tG4xyMNK8VumycShQU+cqe6vTPBnqQgA39EjHqmmnaPi/jZ+S8/VGL9YSXbxK03DNzyDU2PJs2vx ZAoPh94bT53LwqR/zbzpWMn1orFoNpv/GBHHPAhnO7S4tVLASYxjZub0ac/n3WtD4kxIuHhIG/Un 8Jr2bJYWXK6W0GtvOxdZ7LLR3igE/vyFRICioI7z6Lk76nDysQfkuK/uB1qSdAtOHauk7nH4RkbG BeqAmNvQFQkrnhL5E/ISErcM+c0RGLI7UNh6ZCNr4wF+bYqbzm6NAemyo06UF3/04Q5i30lpndxn rN3U5AT/Zwe0zlr8F7Nn/QkI/LgnexMbJjICui3XB8e+nIAnUQvD73KJH3oC6mDlXihd3MoQLpQ0 6Kbj/n1rnszsbWCG41baQ7dEbfDO+n5paA5t2JzLA6tiLIruepKIS6r+EWfacl3pn+nsnWz1bzEP fVLDOmYyRuNoYovEv6oQhRQJ+IKRljCmt4rdSIcWfQ4fAwMEk6uSTDqOtxDt9PyzD8tLXo9WZL1N /ysuTWeJ68njnLxBVXIOmi0OQKX2jnbd9Z06juyQ6wgpYc08q5+9MseSv8w4w7SO4rE7lwEburst m4+fUL6lVYOdd7qrNQAfrXJ2nax9AvDCVvfFds2zTuWGuw0v+mUB5+i7Wmj0T36r3UPghoiHQVha 7PHjVIoXctamAW9vv1baHicMegWh2EoPHg+uPmifIY7WMTAPHFOgvSLkzODTdikmaShbhFlMBk8I 6ZeI87TlhA0LMwnqIvz6m0uLLFeVuW3ftTOWFOnJOUeR3c44sFLJmCgMXXeq64Lks7r5oAuuB0qO Il4wk4et7GBVZDBi24aI+uWL/N6AFP0/elVajisIqSi8/09riEnpj1g0FeezMT1xUvDVUqqO9PRh Ooczz1DqkTF70xB9H0tXfo89ARse6hKsHZTi5iaa1q991zSt/sXvu1ldWB9pzT6K82IgacLOSPo/ B+qAMf7NvkjD0vSBA+bOzjPqVv3oXEJw+IGxCFcyipb7qqviUaYb0gf1t894mnKsLE6xqswq0Pm2 97McPWtXYhfWXojcnUMETIEXjvvfCjkiec3hKI4GCkP2DPduZTP5dRMM80tjpgAJuL5T+BogLJRq t6OQkmFY9xltEJ9LWCbr59eOpi1bla9j1bmNqjU7A+Q5CxoeJ8weRiou3/Sm9bkaHRKUBPBuaz0I AmM9WuuUJtUylE7bhWt5Qpeo3iJMvzPQ9hi4Tt59IIGzHsWwSb0zts+drYz8O+G+S370m6K89aVu TQTFkp6vP+Mf5C7uvsB+ce4AY0Qntj8ve2AUuR5Grib7DbrDJyymGHzMbE1rFe3VvQnvXDgGRO++ kVNbRkVXa617W/zCL7lsCBikiQnscdXUAG5vFT7PUHlrwJIhFrEg4GKbyn0eNhAIb24D0poChaTn Qdrv+No2N3nPlLs1BIrwcUs6LptN5n91Jm0hW86uza3FAQGbHMxD6djlaxacWBoV1zmPzrhhjXnd fCAMz5Wm91LfVAcz1YHBtdLKwg7Z9ycMMhTRWkBm7GjIh3oqmqg/Uet4CKCnwNZmfg6PXutnGgQK cUEbOJwWaySWhpS4DHFc85EUz2X4mvsypeH3cGFdh31UVhVMyugSjNi2YdU3184iPzwdhgfvKZa2 8x4Tu1vMKqNcwN2e1soty40yFS5kAx2o0hPyixfuck8tpWbNQ4+Zb5ezrxgWxg2AL6fnH99AhCds T2QiwKad7MrV/a/B2lv2sztT7yyelK4VKbi5h2pcbDQkg0FVVRt7aicekYSGqWyqjac3ZGo5dL1w SLPrsE938Ya6MbyGTqbX/lq3IBn4zP0idEroHt2u6REcjyZ2cASpj6IiDGDh6tHDfHUo1I9MBeTA Yg0c9w7cD1Xj002cAxiEdPeGkQhrskK4DwNIpfDc87eu8hEJqrKyy9WgeHYo0g3pfiT4HO/VbAiR qNws6r/Mv4Tg5zl66C3yjqIAtwj6p6SGpn01lKbKBciHPMmgGjhocfGWNdmqspnakYh2CR1NVlid KLeqjYHh9o9chnMXvX/xhCCVwtbQt2XjUAH03KnBpJN2OK+dZ4loypHOR6rE/islXt1zAhCbzGyd khQyM3aGd2HrS8EN4dAqNrDkfibEzVomhiXW4tUm9Wkv+tWwfiPwlrDWd4WfhpWBqK3rHaKiH/k6 vAx4R+ov6TLTxCwF6TsYCZkCpowSeJc7/fSCJM1/W1HOaGUqTui/pHpdIltMezmMdEQWRaHHgiUQ RoohhhJE+eurMwfHdIlwfoSv481AVaoctpPGLr1IpOiSV8BAPBtGQG6OgvtlfNyxLmG8SQtbz7S+ vvTRixkjxMD2fWQWHhdMAp/y8cxDqXeaj+R78nxhk9ra6j7A0AfenDsiO1zTz3seH8E0UvAdJGdK yTZ/iVrHLZA9Z6Jy/YVv/2lzaSy6QCWDqG+66smVAQgkh/XBlaWcHZGUwNyu631e0kD1P23npKSE h+qCFR/TC0sdqKip+DZXh7j31q70p7REOK4ohutJInl5bY8ncbhsPKLa00yWw4qZ2/ZWZ8LlwspN dQfInCjxyVMzn1r7Zcv8OC5hl4iNlwZ5GYyYygTOoCGoK4+Z9k0Hfk/8YXaaBdwgvcTEBx5JkzlZ r/K2lR5/4Kwe3MSoyZeclJGX7/w1xk/lLtLRWB6LkIP+tGfGZxrQe/f2RS9UTFdoekoOD0eu6yyf 3JNjqsp3ljDhcXHRb0EFZ0jMRMoE3YZE+JPdc6aDE5G3UjnR0AtHdsPxTbDS1eJ+wExku7522Wqm aR5h0+A2f8fNVaemKTZ4l28TFSAW333QH2jANPxMn7Jel0OzgA5A5DJ6gqYtSHbXoRRiSa/mENu/ uNReWatO5jzOfCiQ9mFZvRxNzq8lfiHwqZyhrSurqBtYkR4Zp/p3tq+x+/BhO3AB5eNmQoDMAfoM vn3BPW91RoirmEsxCES8zmdis8RKce9oauxDIZqrkZ5U+w/Up6f7tdfOu6YIk5dxyiQqOzyOCt8M /vUskMi/GK5GhfBY2gPCxXmCv5zwxc0Na00L5owXd3siEzZgi2rmJaqBb61fbG7Vs36UEZDsr2t8 U8Avg/u/9mlt8BMFDn1hDJQu8G9B9BXWz5I5NIcueobrvYMQGc8reb63CQlgq5ztaBih0rZbkGwJ 6o22Fv/WN0C2sRDL1Sh6RksTZZmmTE9F8zq2bZoua1JOtAdphsQHI9wFhD2jMGiLpu8LjvsRe6+m +f015eHSQwSGcbxgvKo6VdjlHtHXtRXrp8M9XomUNK2hjXn7vaMAuqo5MUR0CHmGq6RYcnAF6GRC w1M8eMoiFyYeW8bthIeHO6dYf1TLsV4NLUvimeeXZHGTMtXmeAZwtJ+0flkHzPQUpfBVUAqoFQdl 61iKdGW09NPGzYgdhURtiGeZ3FsBJg7jx9ZADOxDZ7MIwm8upgIF1fVbz70hElaxz0F6GFk/89FB nvE1QY1GVVvnvIf7UsbyYjKEoY0nPtkM4phzMRbvZJH5xBSbHHrOSV1af0r1cZv+b0zss1YOB6Tz aimLxkvO3poSIXz8y9mShykNPxcCrF3z1jymDZShQ3B6wOUWn3/E04g6VkUiAMc0hWo6govbWtRW DiXTJO5hgHDuDdxokY4xrjcQ4womDxratE2KKu2t9ypcSzNRwzwJnOKbIFKWOM9xgYxl3JlD1EZP ZsZaybQnn870WDibmtDlfSE7SFqf+GaHnAE8S5cUIvl0lw3IZTWP1i/UYntl5SUyVEWd40RaYFSw D1kKsmZEUcP92dmDK//VgOhvzpCAFGqbAD5H70c2HxvKqQPR15RE5yBlzJoGYnpFyppxskLgi6iG icrO0CS+PtT/zi2stV3Lkxqm9NycsZ3JIcG+0SH9WBHAHufYYkXv3cfoabL06DC8qTYaSVMdYh+f K96eJfM/lquE4yFiFzPEMyEmEHw85U2P/WRdotBaXO+RyHlCK8NtCU7Fc0hsN8spSoDmnYaKqdMe Uqb7JUjTGQ6b+//zpp7C1rlWKxPlAA9699vQUA1tXhebEqkM0a/cu7RltbyuYRo7C3zLsQj7WCBh 7tgQr/l9rnldAwW6gVvOsod3zmEz51K+D4m6tL3cy42eCu0dm/iHeN3oBh4cZmfJ/M+rg35i1RNU voOhqnUwLYaZm/yzzuJM7GWRGjDbbkyaUW+4Cj6o9FcgSaUnXqCaBS8IDrpZOyk4zaRxgu3jLKGX 9bG9xdnHM3s5V0uZLXzmVMLYBUFnNs5eGLyhedEmrZMMQTVnonkq+Agpu/3DhQKHleKs0CcS8gG8 lXrYXspAOvZ7gzqMoY5nzvNeONqoc/VvcnEoLkISiDlFfOxqzefyHgkt/uZ4BsglGt85LOODQ98O aLzqUPn4OsSxxSIBky+fA3fATLRO57M3y1S1lmxEW+OVFIej43qVk5cD6DRRgUPscMpo18N03+IB j5xX3zKLxGrn40UnkuZgJ1tGqnpNGQO6vPdZCAb4QDMaCU/WfAkg6/jiDimulHh6Krr8CfY8soCw QGL/J9Jjoa5uS7kkNbkgYA9SVhDvdkfz/fYX2bJ1nFCO2j98de91p1tNSwPuHxvZeTDIoGH9bxgk i0tKrfF1mTRs4l8Hs7puOrFdjyNWMyIlherZy5oPAQgOrLyz83z0ql+qo3H7sVaWFNZrsTzm8kAd h8+4kCZz43uaL1PW9u+WjBIgGhMAtHPjqb6vzZZuCDcTiaq7ycQQT6SGBypSjxxVkSa24yp/sfie jPandAdJp8tKGUcratQ8a7uqRIgbBXniBo2+Ya5NnFY2NdqTxiAVIYatxadIuuG6i0PytQiUScMY QPN/85txMZB/j+z5xGPLbNa+bI+J/2Y8s9syAWoHciq0la7FDtGso/ZXDYTjrfRm130g89pRCoa1 FH8NAdvV8L6hRRM1/imm3z1ALgQwkWzhAR0IktWFHrOPFDT2+mDt43cxbEGER0d4WAeoE4xKYIQN u+3tz/aTIW+nJv5v0t4Dzr+Rlv3Eq2ASAnd6h1UxuQN8JtQU4o2z3FkaNFB4O4du2QKoqvE4DtZI 2aSuSHrDmL60WlpsSUni8JuH00Lh7jROyNChL/exRNmJEXVmmp8Oh/PCWjw62aNWu9Brx+UB+Gdu 0MtdQdwKCosll8Pz/FFy3BivrhDFAlL3C3cycF+SFPJkoTqkK5KQKID09YT6PvLYfczZH/Xm8TAT kaI9iHIfIUYSxm2crKqWAjkynNi8CRubC2Uk97mscQYJI1/PPxq2JIgcz1Pw/hWdc90FmhEAjIcI +gcuMnYWMzHHz4H8SLrcD2ejQhmGobePzbCti5lvX/7r8+9881uxifnDSLoueAwYx3qdVCPPWPUI 8brJ2lZ5ee9iPhJmyNeYNqbBGsRBHC23LfpELO7yK8S+AJiFVfdkE+p+ObILy3jntQrREtqym0nz ZF689s46zPnnjEa97UP3kRl+e9SvM11H2osm4Z6t+KsFIqK+F+8pbrWKJPfNc9TXTVhOkqsO6oQz 4Fj3R560VpvYdxFLVxNTT6jIJcz/M3v8ZJ13qYHGJ+/eEGST79o8wjFSNtxu5883CgsuHniIh4bW cVcxIbSUNLBo9WxODiVEaeYN8I6nzZMIMJmVrKwm7Yi3DAm9sYafHn8pieQpvdxrbNmWAHiieJtu bqOe2gUqE9iPgts0Vfu8kal0Cw+gotiaMjcnRW87seVi2cnJ/D5j93AhJIlkfZC6c0yjRiQSlzEO 7EyxAHJujS98U4OAzT0MMSOIGCQm5JN0SOJ+0lig/XxMXfr59tbcvp0DP1fGeCjR5FvLZToysh9o F5zYyuRoOmXS2iwfREjPC3lzu9+T04xSs37NJILRKFSBj77I/fv4JfFzT5LPH40HoqiFsYQXzbXg Sw7V1fpCqxdyKfTmFGP0r7BNYu4wgoad9mPsa7tEDBct+xfL1uE4RgZM83RTWmnFZrgntPJwBlSv 5cggcIm7ZDbpRr/0mmlNKRJMN5ZflvhLsmMxNn0GyuU60jUMN+itCZaoWIBtQJ+479zDayyFnyU4 4vIt5e+l2XwNRNUNZVjIBSjL3NELSjvJOQGsqHuoQktH+6wiOk7ylpLV6PQFYellU/o864N1XVTF 5hXfl4fa2a18LpoOyzYl04KRRpZ81y4Zf9TXwKnTLfhHxYYIV33gdjRQ3RCfXH/Em1zeNguDBB6b i5094dv+t5Mz/btnytm83sjNMn3lIU2JYYm58gSzFROcwuzUHuhjzy9cAvd/N7ag56fxGNgdSsxk s/wvvsCcfSRjdva1my3NFc8AsR2cFtw7vdKS1tEIEJhwgp2cRJLs/XwpOO3Br3iWb1pFodxaeIK/ Rw/9EWhs+BORcaFol5crHitczkRe5AkKxWf4OC2YR7IQqOygi+8Kc1+wlNYb6yE3dTx4OfgR8nSJ fITZ3/wA2cOu37bWp3PNDSLpjSy4DyV4oEttEiwdmuudc+e+xWYDlsqRNUXjJmyteBOwrQxK6qAx rzbw/OeBfYQ0zda3UKgP8l/2DhLCynRsqJk/gXasEGFcFW2w6a93zLIzgdLzNyBQopej/voZto+B onzRAK+fg2tpvEUHI9heINd52CImVFhDY0RTcLjyEhrjwE8UHjD2/Rym7vnLCYapLylUQNr3+mce 4USqjvibkbvx2KpWQj41ab57uOCpzGvf+eW77Ko+UxJFceFDF020fR6gGA0TwTF8SH7F84BPGg5Y sxd9Bk0YCs3oC/m7DCEuZ4s54TKtHKh0YrJBt7gbXsr9Bql/4Mys7BHqYHKW/wmoFKzOlD1VQORF rStXjLjyJ9UCR3GadN+eOWasWt9bXxARkwPqgM4bg7wMLqkH285y6/d3ErVJEAtKb1iV5FqqPGhg 7WuRrOhMzTOh2XJFS7JiUwHmGfzjU5aQBnmCCmNzR8YFyxTkC32DsUyR98Q2LmxX1CNYFLkyX1LO ITtbH/NnbBieWWBUPKtiF7kXZzLbggvouQq90tMsW/8MmkhIO2/sIWMoneQs5SZisWjAfGfPiejW LX8CWCDvfZz75oGMyJ3ooePDuRR6x8z/mr4GULjXB9mrYuKa2Np9K9tG5Pr3v5L7Q5zKh9+JrXvP UMbkJQMW+Zq2snMwoRjQf8XLkUHdhvu+4aIpE1+RcZ+zHAf0jykzrK65ePHgvz040k1zEk8eSLpb yy6Srnq64MSYoPt378X4uwwp0eMqDanVHzncYRLeQ1856tYgjLjgO9KTWW8tPmn4EU0SVCcNQ9is ej9Y635qzqNT/pgtk06oVgU51mLvIPbARhOC70Q9E5Y0PyCjL0U6wrb4yfugW3VybNBnyZa93Oyz DADbhtxvLmfHWIm0/9F/nEAMJ+usFGlHtOnKqmHZpsAIdFgpzpASvUXG2muX6mKPDMMITFy65tpq jOdMCApAUsdu31R3JMGR2XuShAPjeDrsWPxiD94OJLMR/Ihwcpueh8yMxXVuWf48sObLa+suFo1G 1oYALYikWseudE4ze5YnltNCYEuC227SpMESQw7k4GlylmtM82VqmqblGPhGxOonZu5kukwvNCsw b7s6+cHPBD7fBT07uZkbm/7XvfvHX9edJ3f6ftj8hATwxIbOZJZoNyXsYGv3pTOZUcS4/f/gFijI BuCTnoAr9E1Atwgmw5qq9u1+x/x45AMjmzAMgjYUrvh0tpqLLitHj3W7WZLDCcLyJSihCNDpGNAb hdBh0UyxfNg9pdhnODkQ38wMtzF+e4rC6XwYlrhaIDWrtTKn4oxDYlk9kb6mnKpYDmzxpIXZfwFw B2jejimbx3WYyTJda6R7SHiSvfTrZJVhGQwRftfJ7kXM0Fht2zXk3Pt6urHnZ1lM8676p/GvFpei AP0Bo+xHRDPDta3hrIXKzx6yWXRngJZdS5DsMYxwyRfRbuFRo2hnkufAiuvCqOdWULTOSANMpXnJ Ptlph3sy4aPf1MYFILrqxWos/pQb8K5z1SyRik/rOlR20jRWkXGnxWhC5Gr1jza/diq1D/V45tCD sfyEqitFRyetyPenTL6iW2TfiqEdfuqyMqAchC8rB2BtsgUsUv2mxd01098z7MYCqVcn0yFMsC4r 0+RfYc3JO0Mv0kmJCxuNesrZVBf2eyXOrKdsmNa0K/9wJZCZGWJSvtHVaNy5JzNf0h6nLEZJUpXK YG7oVWz6tOlSPuNbPLD4QZJrzkoGQfyv8egabbeXX0F0L+BYVXQA14cCL2nJDR3DTI1wL3fOzTG5 iCsbmhBppaUc6zV+4xhSTt13QxV81mKunHQzVZLvGzB6efsY7+V9mHICmnUhSXuanaIHIlafmn3i 4uHdAdrr5K1xc3LnAcDZj1Ikj2oQ1INPHPc8jzcrahnWXRboxhn8ktt0F+yhswMPnD+1D5mqVpcH j0TiOElMic+H/p+FS9spzvauNkWWAkiAgJsEjwYw6TL4OIto/FGydeCpZZ4kqIvQOtA5AqskIbcY nhUAADCP4xLXa21RXRk5B6zExOLw1LxvKT4rERbYNlG7JhA2jfI61vvRCSt47Nh6Tx2RwuX6HgxY pDn2BIhpNiwpYTALBpqK3QDqgzGXtucoTS6YSatUqQVUHxmz9l1ztgCazA89LNPmyFTACuiBb2J3 AM42HxwXFVtW8q1Nj+qEuxsUf/lkvSl8HP0nonft4S4EiEm+9c3mu1uzx08G2a88tjIZJeXu7MQG 8KCmOZcDl+oFpEMzG6wlEBmrZIyk28lYYgqGaIQPbSuofjM896z25WXLLyQJERv1qDGfgW0vMO7V Myb40tjMDDWEvGOvTUQaNVm3AHAzB6MYIFbnJ55Tmae3hq27s6BYj2r+nU1D4kTN7KppQKNYRIrh /JHXVlyAF4NR5y+RKPOk9iGDsixOkomQYl2t/brS1ohjLT/J8RQC5F9OyraqTTRt1z70tR0J5eSH WdZbYfvbmgAAX6uURWdNppurZ2kSZNHOf3EQf5tKH3is8BECnSApgKHcrzJEbnqm1M2mP2vzLjXp CI8kBPjsdmqEmJ5P2rXlFJkJ38VhDBVHSj5tff2UQBuhNBO9itLnT5LkaUPJoRosHf7D9sn7DeVS 669I1VnRIuXK/R0qZ5/nmb5uOvzMhbSYa51c0u+5IrzNsyoXR93DAN4T4mH2ob7iWxX+c8C+AUiS ayfUpk+oqqODIDGgwWpBKpbDlRdkQxT57zKCWTEl91nHsHnFHCqNgXROvG03lSJjt42ZBlqRtAle FF4Kf8AqvkKfOsi6eaBi74FRMvW9KR/zSHSN4HfvcuuXvSJ3MMmQdAGsK1XsvbpWcyQEqAOazxMx bkfW8zqSQLE8Von86P0NeA3Bs98wGCmA0rlbDOXH4JbPqCLdY2mjKKQC2op+f5Z0v6B2chRvC17p yCnxgI70MrRJlVg4neIGqIwSIbMwQ5rco0aT5dyuQsd2ExC1+/yi99tPiTLFaQFr6OAiNutkQdt3 +xFLc4f6SORVHRUNJlvTTxdo1XP/WdQijvFURMUHT2KdOIpmG6LzT1fUDZYbrcbQp2yxG8cR7vHd 33+pgLrFnDaHay84A+KzmQmJDvXJ1/0F+kh6YhGomaKq8iikP8Juf+wiq+zT7y3vRilwHsajXehk IdWHuH0aaVzc72gGqMhvE1bk//luHVNYZEGCcdCNEGbTTPAGIXdN0hj/+H4ZwKDjvFSlvwrgd742 ZeAijyItqjZuhGIpaRR/R8hSvrL0JsvJ1+bhWoAWZVHw5koBGbMse36DbV5SiiKDuQpiblmBbsJr Et0XyxSzXH3qtGhg35UknlCjLbuSyKB4A+aKTpZTmRnzAI83lWEAljwD6qFg7fOBIvNg/+qR3XbG KFRY7oXYmsSe95IpWgk4zOBonOQ01zAVP3I+2S9w6MJtospUbDZU7Ghtm0doMgW9jOvvxm7xuu9L rDrn5BmpE/yFCvR37Nc1bvHF3QToWL2UUqlrwD+EoZil86T4zNBDMDokVh2qB0m9fQJJXd7qfyl/ zw8kyfAE/Ydve5r1pD2cXWVcbj5vN9vuexhHEA61JGBIqfcwnYT/BpapYNHjtdx3l42jIzdboreW gSuQccn64QkcWSCB+KICfEhAPgcf7Ee3GdbHneMvdkmyWl7Jl1CCSta+1d/IC8b8BQM3V0JFBmXv VU8HGS1efxiwpqIwQZGxqVkch2kuONteSxpn3FsFWFiIvJ2/u3HvVkYkHfqjbcF6ArKXadB0PYd1 DaLHs/mP6ZmCkFpSaUDbenLOusV8g+IOls1I6Zr7z3C+QjIwHhPNw+HGJfKDIw6KgSytac2cpsbU bRFRvN7uGNyvrBDn06rbBzKozDqNKRA6oZpcxSL4/loLfhyx3ha8bENcyrZNcI0cZ3WkmzAS5ZPn UzOuZMZ3+MTvfNPfonowHjTnYzf1gpkwCy+e0o6fy3SDs1UMBwV/UCUO0jKdl6W0xLv70uMhW7yF 0Dw92WmekGN0nfA73CF87TWPrCd8hbxdeAZrBryt9OIisjxe6yY0jPgPNKhmpshamV3QMJhgCTiz +RD+FS/Fj9PBJqXxpmjE5auF+Yv0FRStUbsN3yEgkkvxDLKYlfDcxGwComcWK0M0dwb0Z+LclKg+ nHGTj4jUPjEbPEBsPfsbP5b7oWqaCQapNMSvGPNEe4fk4fraM3ZhooKYuonUzr3c+wunF7OBGxoJ 38ZPdPL4QcBFMkrhDuWRsg4UCya/34C/4rCiTY9WM9ESNXN/+Ve22b7S+9A5A3mxUdCfcVBCON/V bdu91H+N0rULAJhPu4filUPvd0XcuW8slHGk3fY7okpZfAGklwwXiRMwYaaWxL/quTVuTCDFW8oZ KjuZu+Gzz+DRnxVAF1tp2uJG7Y0N5/x5sEnM8QxZ1FS/C+cS41idhqspmVHKbrwS5tIieQMw2nk/ MV/Z1xW0JZvtAcAgjXArRK/8/Yczhot35M/d32Pv9Ljk/AEDNgtWRewOcaXyqarA7TS+VeZCp+16 QihAdEfgDRCXOMWcI1KrymsrpWbOolcMpHUVbEn09mEk/X8L1ThRJMwxQXBUfG8SbKziA5FuWn2i uKZAgD7EOAs5hv5fV/ivd7s4ARMHVDR2Wb0weoeYKG5ZZAMZRYqOf6Y69ZsHJIH1FDkmu7OB1Fzb a/rpjPHm2fCSYWZ4RGEvLxwdfn0L74/OkVwhcKCerodKT1FGZP2zqCSRSbebTnIVHyPrRi0nNy54 drZUYiNl/DCe2Yu4LBfFgFVVvj/MR7FbrOSBVtHegp5IKwp3q0s4KtP+TDSHeIfTBMuevssOZhUh FqBmURMCuW/fJuZeNir+mCySe8LPPrkCnVPfWexssZ4NDHTbiv48dPgvmCvdgNoQMn+GSMIuJDji yin+Z4RP7gQtX/WB3NDbNj8g1ez1jjZOTZTNGo8hPwMIx7n1W+7MAbkkynAD3PbXEf7t7dg0pWf+ +xUswKlRHLiGmkGpZrtGmM9UT13BL7tIOR2cWAz8o47XUbmaxmAroyM2ET8vkRc5r1Jo5CnoH4UL /5C/sUOndb/JN2up32p1G8H8FVj9oqMXNFPpABSqfr6V6yi8FTNOb02TBJg9Uik+HW2cbbrvoIuy 2O7GrZ0IYyjBSjakl+3sYP8tRAYmcFSy4cd26Qm94d9INinLO0Ol2E3l4wrSJTntiTWgJ/0deQAB r+MKzghW51+TFuTYqBLSpqZf1uygG72FR+Jr0m9gvd9yqv5VxDUKaBQ4Jsk0KAGs4HDar62LuMm2 pKux7p9Mdst8QScmRru/EC7PPGOxpEgG2PZEKJJ9nqnCbZMrNBRwMYHPjTZodVanAK5wanITvdHF sLbwAvhbuhXPu6Ex+8W1ybbkUqDgs7C2ODceHji4LSx0CRtAawIOxpQ40k7GO0Zylk7k4d8MQlV/ 20VjUOt5Myq5rF6zuhPceSfUAGP5MLgS8DgWaTp+jURJxftlPByRcLSpeN93d3D1pVh5EiwXmpon kRhuNROSjSVT66TLluPo7PKgK7hFSn4Ar3JuO1Ars3kvJGgDqzUak2gFRBaxiG0DSlvFJUlzvZkR mBd3uOK/NCLNXoKg+mO5doJplUnfs/h13q5XrUdYVelBzQFfyBH3VyZPXgtXCMRF3x0fpBcRyL5L LbZ10iheo89OaNAghKw0xZLHftQFrbAaMJY+ov8Lnfu9TZ0JfjkWTnpdtGH8Hy72Muo/TF2NDvYp pgmyLaT07UD593fsovpYycCgRXRAhyZ7XNY6TgOK59jA42hbQIxpSMxb6IioYNR+Ufr4R/+F0lrj Rv1QCSkCAULUyukP/gs9gTGtEqPMPrlsD3EV/jKtyXWPB8JdkmKzCVbmcjQ7ojaxrfb8HcxUWWWl NW1XtYgvzSCF5IfOts4aQYf9yB5B3y8bu8ZEzZutToAUY2aI/m1uIIiNchthxcOwSArKbeIcURrQ bU2oD8LYjAdSwQ+7aRFnl89PSiwO7qkAFDn+2YDGDvCpCgIPFIB7nDXuc5nvOhsFPf+Fl4aNmz28 bmRpYZr0eYapnFF4kBMIyylNJrHw2JnXV17CGPHYbV5v4NxlyeXDOCiL6f83P+3WEzw5LFMJZPUa NDGuwJdwnytfGjt59QOd+Q8ZHzwUkTAi0LLi+fl8XQ9AiDptmBTAftIBgEQC2G1034x1/wiLZSDh mRFyxgwuPPTISAbmp7QSFvTshCFF4z13awpXMR7dWYi92nXAtaehmCtwXuYAhFtkJrV87QXlHBgC xwMJ8Fh+uCk5ZusZQYz1rkrEdzfGxgtsrTlBPe3k2BzOrWQdTOEcRgFxbh+wCZW2SgS+/nGWc/qu tp8JWBouBYZ8I7EMUZRxHFMupTqUZiZ4vh9AZcGRypetu7HMFmB9xCvXyGxq9YkcgaNLNsO9DHrP IkbYqgKgmTe57/Q/eu/YeKwLMZRn44svM7cDGpxqQ2ev75DZoLn9iIxYoZODsxMAtC1Uu5x8O8Vc 5AULv6oe9Ta6WH1p7/PcapH73CmDsqUNGMTynqjJeAvLT/UqDJWavfcGB06mPmgLTmAF0qzMT+di xBPHdfhdph1B7rVzUD4b51E+R85HAvUzQ98nme5nF+Oi/VtcBObqntRPF1cOInziEZPDzxp/6asv xXvClFY2fhTpiLRTuQDTJTlkhLtuuxIc6riooJ9yjvIlRQvMChx/0YnuV9ErgWPzW2rpqrI55bc7 ZJWPqXMP3UcJ/+1wdD4/rNmx+S1+5izMKmHsjmx1JZcOQm7grjUJnz5vevWp4e0tISrxBabj7FsS a3UNxxfBU+xliMziQ2vdsApMHt/zMTX8JR6GBWca9ARHonCJ/gD6ZHZ6InqQKOsXfMvwiKhj4hl9 0ds5nScPqj5EdvtkZmFRSjxSkSWlAT3ondz6KzV56zA1PDQau6Ms5qabQqULno1TvP2zRAFjMDDH +OeeAz0oHFMgEt4VuILG81V0TJsEDu3zQAPfgG+OkDxyMwwTBvngjJUZ4dJkt37oQ/r2EzwHyOTC xl4X7tkrNKtfFL0oV1M54qfiSkv67rflhHHurcedm0YTehxXb1Y0Ra9JL+Jca1G75VTFYUKvxugm BewyBlYWpGX5wl5ropE1JwyQCLi8Egw+iIuGlvD19pVN2UNLHCq3qaDGl9SA7muLLtGoZqvIkh/x 2OnArSCjPJj6mt9GfXpEjTZtugLBLecx4uw4wRoSHKmwcbQKCpD9o82gIqK2ZmD7WVSVav4m/mDz AyX+FnOAtNmjWi7rSlFFXUnUsPJ8B8iyBUzg/2FswH4laRgJhj6TTG4WPvkWp4b9EC5z2bzNNNYQ V0QpznO49TfkRKUtmViv/spUFvt2Dqa47nr2fXaA/dTpMrW+xiyXPgG89l9GmiPf6kMZozL/q5WQ PvaJS/HKROXO1FpneAdiiGHlzRCC9kMisUFOMuNgm/TczHBviu2C3D4FpkkVeAnou9AXNlEXC9Rv 1Q2/2cJQzBQzQSDg5l5Xc/IrKqSH3eMiKfXxAq7up6TmS+wUoeCSlYLvJ9pOBRYQq579Nxq3a9c2 5q9AXZNyscPcKnUgM1eoziArmp49kOlsJbUXgTH/h/lQn98BDt0fF3yK0bWXEe5P4iC51oA4X0cA WbGbq5KYo8pl+BPt8xJTT4LpLUrmsZkta9f2NOYXJq56TBm7RNCxWhsuc6MwnyK5BynKszg8C7zm wt5H3zRxA/TyWcQBwxVg6fKii8hY7mGl10dSiQD2Tm+b2iPoDAcAQBwoTH8b7bWKwNavuzd0n9rM nfoXJ8ipYdpYRrXcDu+rjaJ26OXvNuc0BA7GljaLBB6c8iL11f2gOTyDHtgakksJJS+Ghd4xeLH9 NyvlTNLO94Upu2+V9y5fK0NpQ3dy4ZV4vHk/WPBzm/g6IZMOSO2VLTny49LWxYFbQctgdKO1hB0S ZdrnK9hdJIhnCryfBM+rsftn5+hJ0DwqcXxAAKvGwZ0kc9PCHdLOF/lEGc+iykzl5lKIhtWnXBGl Z/ipofwLa1lf2tavwqBje2s3HbkGa08+vHHltengRS26uSvxPw3/nfu9NqmbrMHwS8SmWAbt81wA 9j+3NjezKk+/j+bKOOLMk50h2mfll21eaL8spbLR+Yy8ln4+PfFuu2keYJrly3RyM0qZ3ClifMqH Y9BYs/45t/XCKPvyRJWP0mTMB2klZEtfZELmBSxvu0F8ibDX8AkRUlXxBHVSIdFjaeRCRzZOUL8V g4oWVsg2y6kFP3XkYeqxdqospMXDC0JGplbtVHymO7E83f0LsV03xVCMSvn4PWIcykY+BNNVWFMO CKBRTr2bNob0Gc9WWlPxPUemJ2fJwrHzlpaKyz2abcc6lBtocwq9KNcOx/D3BLyFdFKc7I5aL9Yg pUCYjTszlgskGdVmTcN8I9YFx+sDe9+0gInldA/HLqIush+VNDeTc+WgbVVyMkFd9PYL3UU8t+tw GSw4boDlA0qYKGVdLVfYRT1YOjnrZKh3ZXtUUHvjtxUrEt35ZTSkld8jo+airgEczrHYQKJAPSE2 TRrEWS92PH3IEavUkPPWBB9cDgqIPNPcRESFk/sMJDo2NhJ0rqfEcLR067BEgY+n+vJzolgC1tMt MTK/67yPZVwfQ/bZ4+xXVcTMmkK/AJBDqSPKLJlaGJdBK6s/Rqjm5b3O9GdZO1XntJe2U6up3dsT +C/f0z/+akTp0dq94cGawqJj3m7EsEK2Dp9mDTKj2rDozaqunq5iCeolM+Jjoev7MGYC2KQAKnbO cSSlTPmQLhnRca0wbQVRWNlUu7HMoKRHWFp1vulg25bZyNjjbuWsXMYCho2kb36W80hkf6DSsQlc at/WhZrhPQH+kVRBhpY9IcEjdKhDUcAC0iBZDq3hBKWRQw/M1lTvOmBa2qYUYV8sPqpwkQ+2K+EG M+HS03qUgyetQoKlCfYR2aFeTgl0bC4smEIhCsQ3mxe4OTQV4EOJsVF5Q5DyZMkCLA2+xHjKeLqg NrUybfeVRe78Z3LkTjugZwpfBlZB7GlTeEaRjhvD8a+a3CHulWY5mCnLufoWctL7w7lBc+c36ZPp 3vEwm11wKFtzXGHE4ciMdvr9kAV/QVEsFp/i5jYH5fBAHivqewMVlzL29ZdvHEOx41RPGaRaKgwy Q2PFtfRRSG2Rs59xvSDc1DZeG38PnTEZPIaXCPXbR+S0Y9Bt5vyO3Bh0FeBzHMZlpoETDwNNd+Xv 0njiLN0g+v4JYIavky4lPUZg/PsrWcPna9JcMACPiJiVLdQlymcIJc97rH/eKI8ehObxKf5VVZCS FGT5hzNtrpSAsgGCdbtgnsNUJ0Arovq8dbnrO7PZyUqXO6lj6m3+d0oZposyG+i459fLzN52BFLO h8BycV3BVhgG5swFaC4LKDofHMp3dscseAqSpfXsKCMaLGnRgxYJjV//yg2KVPguSw7gQYV7riig 1TvCL8MZjYRsGqXDs4CTEGE/YEXqqWFKyYlZcsVxw+0KMRbBg/loB/AM44GAoaAUFG6YZSvcVA// Asltq73B1bTZhg/0E2wrTpPN9S2PMhT/7XVKTlsMVNWRldTQTbSdB8H9DcHv2gxwErwUHg9I8mBM NJ1WOfk2LnKA/r59gqNDZ9LKvEHpNqFrnCIxvip2pKjWzqQzpu4evm4S/MkYJiAs7HzJWF+Wsk1a YKtpmZw3Csis9iB6gbaRxKH4lRXqU4zHF4DOkMZ4bAawPc4RrexXBcwq2AWRDU4BixSPkVD/BeD4 l/0tTz5InA7AGot8cgWZCpp6kueom6A+lvHr9ZSW/COcJgb5BIRp6NqsKNUZPqP10mm1UOXWNA31 EEZStXywvJ3if27rYpv8Va4UyG4/LY0XW8sV1hZpj/FxijpIvrFS+UPn2LT/ZJ0en/sfMxULO27Q wKjTPng1A3r45oWGF5d2zEOBGnavAa5ck2yCC5H4JqhekTRUtiOpeYczIqUavGZOp9Y6taPVodBP M+fHAs3xrYliL16k/aeI9oAb69oamv9gl8Yiy5gM8zL8G7J1J/YeCiBIv+siEOdMFbkZ0R0ecwA/ 7ButbN+Fej4XvjAQCEcFLc1nA+RMVTrd9jLEn9kBe+MJVq6M+tnCsuz2U0RQaKOW1N+/L39vyT5q Z13MRVTa2DMI4TVc+IV1JZup4ZgATq4KzUel1RP6GxGckcys6YCmuaZXFz3YPcxaRMHlU1C5tWLt BeKT6ZgPasQmcIMVfvPPrwfM9eoeasmvjoqvjxz7VSx445UnJGyfcOg2kSt0o8lrUfVdfOlinv4x I874dipMeScn6WE9J0j/0n/6WsS9uufMK995VCV0A2QB3dB7+e20QAYUWwWBs4aJuIkcoEhEHx8t iHq6q4cjs5jhCuNBSu0sMG5qGs7pQsec86Ybas4FXd8uHN9M42UVC4WrpUUsasqYPSeGy4BC2Y2j 1TKvs2qqAcH6JqskkJZOULjvm2A9NlZLGqK0oJooLFi64LlgEBkUpCT41PO6h6WQkDdvJGh/lEOM q8G8MIeceV1GaP9eW86IL6QXRRtUzWylo17sWAhTOodDNqD82u6ti8dIJBgRYZ1AtxBgKk36qWxG 5g3eVG4PkNsit58KbNE7opJNtqWHMPHadbbHC3sB5j0h9ae8q2Nn6iNPDEIMEtmbfBOFyIoahLzT USv5xqJWUhb2QhIy8epSRVHo9eeo+gfl2qqXv19k/B3Xtx4IZvOjbN+IwWI4JG3NCf/pNDrFzWvS zHvoH2dnwpnUP52A+yu6pYydzx6LrftlymVIZpzMucPM0RQ1mqVHZanJTGu+3jXCzumnmWsIbJZO z1WkvRImDZ3uenZGpL6055+ZgJBvwOXalmMRy6bsBg4QQmsnxQRpIY4yQmmLjuA/2KnJBFsGF7q+ Kvu/sW8GeVc3+GvxvIRoItQSwBW7+eUqQSEPkwsQmRoksM3vIeIDMdV94UcoPxsXHJcd180jmvZ3 up4AEi3XwQlgT7AaiGXQJc0oxjJw2v4In3tOgR4G5txcGugq4T5OPiDTBz3GlLXTpyvwjeQC6QNT KlVfKbUUgVcLjIw7C9LP6f1IjxzVlzw/UtldxU09Nzh9J7zTm5ywXOP5B95FgBdyJhLwbYT1ufSy S/1wXK8yB3f6WTIf80surkIcnSPcUD6QpCr7bhRmwuzf6vvAZ2PmO0MfxhK3tnK1Iu+iKvCBj1YD eUrl/Ki2XavQvPQpFGsW1AcW8WxtElOpw9pjzLDRos03yXoh2V45mLWe/dD4CLNnfeK+DN6pnN0l 6K2ECTYMp13Ud71tQTu7Hw+bfOglVVc27/KaS1jLTSRLPuqIMiPtx/x2e8PXwAjYqX3vTjZOUu9q VKirOl12jzuHvmIVLnNDHkNtafY81GttimboKrNYvzmwtMn2FdqijSLWVwZTWLuetTaszQGWAaXh cyb3FeFHk3Coe41eHM9/eWZcooZjB0+t3UsynWZnJBzkgMbIKm/4/X8cQ8OgqaEXWGzLj7O4EJb2 2QiyyR3zqe6DswZxt08GCYE35hRN4z7e9hQUQn8AnXACogRBXQoiOecweM9AOn5ePLLVXqeb5Xyg XkOd5JF8jvUFVU/vbJZXBjYl52sRD5REiXMW/wIz0DUAoIB4R1EUmRqjjzE9jB4daixvmqF8XSMd BsSOmwPCN+WRDy7iPdosRep28PSribPqH/QbTjxjRF36LWV8BwRg0aKWw616Wr+8nC6IcZxHG8+n wwP+jHXxVJhx6CWl5pfi/k5d8a8+4u4LM7hq2rxQ4MjAro6qDZtBKr+pXnGgIrCp4F8mXY3Xdu6k 9mCpSwwbx+oq0DvvFFTQZQOttHPEIPrLJhghRs4fM7pAlOlPCXaKg77v4YhwCUcwW68AoUv4GffE GOq7cnWQmABfm+eYFJ+jzmAxJs63SKAZQ468jXK75WvHf6u6K/wfOZFYIlUH4NcpdzPdm8Em30dZ f3qVtcpiaCoCmLlJCyA9ZwPiE1m8deqRoowmSvt0tAh8CUhw6zeU5bQnwmTMySCM8ff6VXR/Uv7h hGiH8xM/Ar8jU49YN7Zz8jxTSnMk/8N0So1UaKz+HdriQOHiRISDDF2h9D1+rRgOHKQex4z6SerM 1N57f1G80RbwqQF+b0jO2Rlriqz/sgot+LdI22DZDHyQUIGgAfjK2V3y4rX5Ml8GuB4lMvFtOSiR bUCo2D/LKQ7DImAehng904Lcq32Q42uMRB2qsAqPJWtMo0gsNpjJYqjMSq1SjSIRNt1I20y9ZrDA gtmbvj278evTdj/5alkoDYgxBVpGeQKdtzhCqyZNo9LA+HJsCdOD9ddcWro9Xhje9inmoFtZGq8N tYckfhjZR3+TusaPOQAAhWHpPUQtYABm6zaAD3/AD23w7iuor/zf2FhoW3OvLSu3Huhfe0pe5CL3 yVD0UvOHek9Nj0LUbCU1qsV3lxotbrn4ia/eokFbWqwgG7GYb2IrzDpKaF77p+jweHi6HcWAtHmE mbuvBgemSf0WqG7c5NsxhDtBYyIW7u0uRz8c++yD72wsGbAW9/SYH69xB+lO9tIB1wl7o15Qe8fS HOAFmpP9kshMJvDLhiwKqS4LaDiRWVbU8aYGtkfHzlJnjm/LCwIokM/HaB1Eb0LKyTQ3Ya4k5+F4 F2BLuPUVKmoobfkYdloBYPYHft1vmVCA/s50O0azr8WifVfkf/rI86fjh1JipHP6O5sdd9Eaanmd /xwSLUqxISdQ3Bc9Cj6A8XF0jNyxmRkYVQtvVhj3QDkvPov7x5VSz6/qi1ZuaAyo8S9ha3oQcsOw ZGnRzoTEb0naZcgIRZFZFBszlCGZvUWtZ2KsI/s+P+0iJJXSNx4z7P73/HY2wf4RSn9hg3lfMFV+ VxdPW0pD/AAGLlf7dm0YGxT0DuSp2zLwgjr7JUyXLM14D7DSn8jA0OvN2BBHzd/tcDodB0TTkDOS ktgi+6TkQI259BPpqTVQcBOl414La5B6ju6mXLct2JB41/z51qKdQBN4+zfBIv3MmsEAjghFT2f5 YBmXO6qgt7elnZJkB/77f4cj7tsRpw4cSi5cIafWXdzM+4UsNs74chDjDNxJ02FblA+nwACqle3N j7lGKAo8aQOhmO1UCqUBMYn5oVyBBwtr0IQQOg1t0f6qlg5Ct8bWLjF777UTn+kQxeIlVBMzexAK V8rsxTMkiRqZCUdowgidz19IRYhZAnWDZ682fKnHK5nfeL+3r4aMdQg4nJ1vMTS0X1rKAa3fVVQF afwK9iJJd5p9hYEy7VAjAqZpkdj+Ece9Qv+urnmR49zAYeuNR3zaIhjiqVRj3EyZNAUtx/2600Jx aHHMNfTPGtZOJHVYqCGLXyPJRt35lTio14TKX5nrOpWEC9ZfO27FC58MdxTnsqzeorY+RbbZ1LBh x+Iyy29Wk2aUArjOzElPcXyv47Rqu98tF+c80D7g7Yi3v+KzCucptzcQwQ87XeUpNwnvrL56VUkf pjTBzrc/3ndDHpggvSsJkSxdEmHBqYyXi5z/82uEPncpcmNoSzWHKSb65f3pmUA3RuS1v/wb5vvx QQl2DXVy4IhiRISEK1QEUHjFt8CxrITVtZEGGGcIE/44jmpBpWaLk0Ggqe9ZYoxNRXEv33BsF2tr an+88OZ96bmOuiL2NABECAauCOhSqdgl1Apum0b10MClT9qTWXkTM7CplVccUP6j2MJgf2Vjcjlq bZE2WwA2yeWd30ogtNv4IiDgMzoWtH0RV4emPjpItq/mzLpx1daG7xRpQpkwXMWM42bsPQBJm0GD /7astccHPvaxS5D8PL72/Nk/J0K4ay8UIw+8pqjAha9fuHppEhfm2j04ORJbko/WnN2pOXnZuJVS ZsDzzw3WCreZ12jY+omcJwbT5Oh3fYVWojmomayIUWEuBkr84oSJkRsdGAUoWj1f/veTZBevzVO4 v/GcIh3XF/IN7a6j3Ne0JsnDQ2HbdgvXzhHHSZkCFhSlIZxKcc8e2vYilduHe2/6acWd1VcAC3Dv v0y3cm3JccKYDTWh0o6DhTwSsnQn5P32Lmw6EgtDCWw3o0ajIzR/6Hy2v+zGJw0ijVEzIvla5XoG y58Z5t1/cCKTA6kZIcyEZPANBA3WLOOpiQF2k3GJfflnb0o8PzrerTX3S9/jyIytvycYF21cYdqh hNkBMsFDlQW3uHMOtKiVUFCymI0ylW6xBbZ2WEyA9NMba/jDjiaiZ3Ig4eqgWHn3GzASYUbJaOMX 0+2lDHY10/pnWcBFwiEftfJNGFpSQNfe8woNXobIGC79TI9XBES/YHe2k0VB4Jky8Yo52wojxvht kfwANnV0VasX2O73eOwU3CILdVf60zYMdch/2sYUme3st+J71jfk2umCPs3fv6+XBsv30t2sCiNM hiBB1gTUtzsn9K36TwXQHNw3O1v1mNrZSQ7MQGZ0nkit0z4nCVSpNl5yeeGA/0ZiScHKidnDglqi KNJdXiBg3oBh6c+Tmtd7b4KzVHacOy1GqpBtYHR7uecUcgzkHNCadx8ohDNGw8NJHPuCjFO3kaH0 AgvqQOiTwNQa5gaHVTvs1cnxu2eII8ECQDwULZCPfAB7mQkEiS0nB5v7ZmF1DaZQ7wY/tZ2icNp1 9HcS+p/I/mmOihkG0BvuNbEcm1mwm7hVoYa8V98e+1/NK0jLY90F7PCZSH8/vK4uvkd01X4MRuQ9 oMtHLxIjGs8E/W4U3waKrPR5v1RuQW2J7gEjYaD1gGoqfhbqFA1aEUmYXhtSkWcEti+tp8AeUslF 8TttI5a4vWXEGxmZF7iJ1YIMOcjMEEg7SvImg/08hr1Z36U8sbjpvmzjolOF9OFSUB0AYPIvlQdT gPVTjX37UWdTC8hsR5lQvG29PMU+mzJUGPGBY7mrS9eiAE9lHNLJrqT7sR3q5cZ8XGss9cMcbe8+ rJhxvMuo9mACJ16nNj5exiC/YUce0an4mAynvi0xcO+nh5nXoc5MCttpQZbFThsFouyEN4xSlHoY uNn4zyljJv5IQO1Eh+tD1CEbaOI6pzSfj5QNqvy7hukQ8adldpcsPfzvCJh7X9IeF/SAQ6zhFAeJ uAoj6i+GphtswQmW/wd3Wn9I1KAN0gtYIi75IAIRi317NvTAWHpku8Vviktu9Zjx4qfjBs2TFpwZ OE3141K4LnaUHmlTO44P06HK0vIp8Rx0hWVI6LHRzbziCp+/ennYpSsOEEg2QMG9nsmt6V1TQ9Yn QeVMilgwmYomvrJtRQ03cu3L6ULxoYVnVy6w/Lq5IXcBo5kvJ29ldcryJvCYbBBbRBPTjDcVGtDk mJIKr8oilrjFV2F/QOOUmP0DcUGW1Re+BP25OtmaIHHfPwTFixdqIZHQ1GPV95WLeLIKdI26Vv16 nyAHesZczBzFpbyrIJs34xEFkLTJTtYhzvSEFCKe8ifuXm5sqVCYI6H/Ctd6n3CX12HqRDeD4VO6 LNDCrXv4y9sTgVIQOSgv0CmyQZlhM1dwpxBtfcs= `protect end_protected
gpl-2.0
028ae909193795d935c6c7c9cb0521e7
0.953904
1.811849
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/fix_to_flt_conv/fix_to_flt_conv.vhd
3
24,634
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block kMVQtO40WFFWwORqlrzHTh9jiT7vIuTxrisJkQCigS0RmImuWR4uL7ruq3Pcd4oWBXvBaDegREIz CDN3u1VdYg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XcBW2n6WkrqVHKuSKm0k8FVXodvyuUkn/4h71DtxO079yveyFowRmGJJAvA9E3klwlct+mOIaBlx Rl0gRiYT9ci3386lPMo2fzTJiwqmrLncCyuX63xykg8r8DptWrG3HTpGNUrbiF/aMbKfwkDl245e tzjapboWzaaI/Eolkgw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pRJgR36bUbiZ4TJSbWLudf9pl3vHpvdvi5taiF3xEM3ZRgb6HmgNjhssZFvcgNOA9ZtN8qcmNNS3 wIdryjdhnx4O/SYlTrUF+mCWXVe4rye0kpPM0Ypor5M4x2p9ejTCj4GBIlID9Juc4DctX+7MlVXb J7ejoQkgh21q5p75O9slXaM7xm+LKLvE87fY7z6GJt64lkt2HqydeV3eleOwSpA0cLaa8bRNu4VH 06vJI2L5Y6I46Jjo/EOP3ipLbyWsemPg/m10phoRrGRjTSLKkOUe2YcNPLx7hHGQ+obc5ncu1IhJ u2yPxSqD4BhC1xI2Qd7bXOy854xMHAkuAe/44g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4UinzjZfrH8KFllFmZNlujUFJ6JXwKqaqM+vTBPTs7BLruyOm+DV7FTDvQXz9Wfu12XXbAMJjNLF EpaecpifYuPwikapTc48I/8WE17QGNCy4jsouID1rkfOFZbhl40q29+YDIn9Vif1mY+i7iUV20vL AOUqn/t3PZtSzt8pzJE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NIhHUz9KmdaeYt1hgT5ZM6XWOyH774b44B67T5S22/6UouVLl3hW4iZRVbRzFV6rpQIU59zQqbue EyHha6jXEdTgFYsN/j3El1YCLBtiFmtZZJQErvK4EJQ1gp+G2ErcRUcN7mpvuoFpQMPRd+ADZRHy 6gjhZJtwSWg+/eNBKb355DhfPT7RSFe4IVELl+8vPnvKM4vsBlkLnTX1EjlW3J+dZAHQDXoWx59y 66j819Q63IqSw/rwC47m7zdCypmKqb9eG96RCd5Hy9q124ScExuLQjTE3q/257lkgvw4LTwLXL7V sONL28EhwXt8i/Wa1183oZ3Ln58ghMJRZsmjbQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16496) `protect data_block Uwamr3VNdfbdv30AF6flOKGKmP9TXILl7Qs/mSIQ8MqzSXAlnl7paOXcZOTniVRBNuXzGuIHAToy jWwhMWhwbBCbZoYyHJE055rYxACqXiFGVEQq4IwG2W6zGKBStAjdxBS7O3oDVd/rj34qOh6WJ/hO JPnRm7oV2K0fkZbHgfqxWdlaWXTjZluF3qJ10KRfCLw8F6UmLPV5Sl8IlXnb8GrdegBD1UhOfTvQ UYHHkMXTj635iKxcH6kQh/aYi193cXKfzB0xUVWGP43gxaAP2xPY6dDAF9t+IeDRsdDCckuw0HsE nVmobVG2lSpQrWTY9wZb90h79IDPVMciFMeRRGgLCJKz+hN7HNatKDrD1XmQAhe49KYaEBp7knTR MtrxriThIwORmliBdeo4HZetzXB/GjOljxzrdoRbwFSuECPAxOqmFuJLcrzKHHWj+4g8FeV9/h9N SClmoUts8WHb19sKMM5ZSt1+C3upEnyEg+ER+y6P5u9x8aETtR6hHWsXoqYof/GSQ/MKYOk65k1w iAWgLEz5W1mKX4U4oyRt20dR5YWRepc6/NYUqgy0B79sTw8QNQL05phzh7dRBw9Jm4wfZkzgqfi6 Ads+krh7UDqgvLCmW5qg0Ah35jz7kWQUr8PahiH9VQ4VKJToeVeTiNHCiMrEi5EtdFlV08zNABEK pUgDu83qUH6TBiUBXxmR1q2NKSzkx07TMXvTZdKKPR7Pl9wa7w4m1UHKjjFsmMJScm5i8+IYKunI uKKKMT7zEgmQXz+yTg4uPNfovrW0RermDWGftjfsvbOBAhvhkISOmIfEX73ostC5Xx7PrnJfzLhZ U45+NqI4Pmt7fP+ud5owOBB4e0Szy3emoMWj1UCdgqxKXgp1AkyN9ip/FhJbiuenT6sw4PqLPob/ RTBeWI+ai7HFrz7/1f+ytn8TAOilSyZJURPcYv/UUINGC/nrJW+Mlq8mQbz0ufhgChWrR/SVJmJP rFnTU21yFoVNmhgRgdGN3jRW37fYJSZRQQ7lG+zT1Xm2w5Evci99F76UFTiMOm/RUnD/l2bbG/dm b7dAEncDwWEvXwCn5xS18E0vFrrFHwbk43ZN6FKs21bDBZxmHQhLXBrZ0Xp4b1dM37bVj+t5sghs NM/tiiydwwN7yVj5q8JsYXahVxzZiqfrVIfhv9xm5jjz7Lsi9BNZ87SBefjOkSuAtUWBq6/C45rA t/UkcpcmJs5T9v6N+mVcaOBwJ7hN01+yeQoYCgvc+9q8s5QVPAS3/wgHQXY9ywrAZM44tmsfjnWm j9+ldWathwK92QmtGrue5sC6bl359bN4n73DmYPovxhz8n59YSV89p/iMwynCGpzzbDbnN4Z7omP NAoghH2m5oix3zI4D52K0SO2B3Ggh97v8Spx8C/LIvSGIn8WuZ0mdbvEyp74qVLwbqcKQ9ACEyVK 70bbELxcUco2xcBAYqF4nUmYowfhyjhLUr0qEyi+lThkb6yttwb4IJDBdcF+Xj2HgtNf62EQG7oD QEd3IZAOqZxnP23XFGxoI5Q649pAaFHtKvUzcSq+E/TBKoQs5EoryaLdqN1srB5DBg3M0fP30gPq WYAMeiqC2t18O3dOOpIdFH6YkV8JTrdkECg3pIg85g6Nl8RWCIgq2PJ8EdWbdotXHQhcokAXdgo0 nBqRo0t5gNXv8Su7WySNcvwDjpNpOOiAuVanzydSnOdVMaXb9hAPjXuo9J0ar8SpU4qOCVXfQNEd Fq579m+AomtD6GJFBGItOlos9FwyTbVSaBQSdYbfoaJFvNPUdz6fScnw52Gi5SqV4IeCS836r89M Rc2qVBatgl+nMoymMeojb8nHThoXO71paYJ3jPjSBBqEpv0r0P1VKAThdYYKIL6GVGa3Z45y9CfA LbYH/TuDaQRv+GDXMxF2Tikh85Vu+iIVhcFS7RzBB0ZL/0arWHhZ9SpbpbRwvp7aO4HVCLhJw6hV /5avQJKmF19pcr04wU3H1VcmV8akoKCujqF7AxjZr7v0YTH0KZYdNiie7qhdIZypviHh4tEW6gVC 1MsFm3r3eS5trhznLVa5rCEVBDwt/hUD122WiDTL0yU+mx24nJR/tnZfieqXIPHYbnzgc4ZXmR8r OxsCKs16Nt1LvdVnHIhf3LtvpnHAhoHC0ddoQX8fm9QwGwtk4ghir+M2CNR0pwN8lnmGW+dG0h1I dyFMUDsSuj6RrtOEU81NZwTnFE7OuIuxHRmjArXYWB5hSTxTAbyAZOijaFIF7CIRt6VFJJB+DKAe +7d/2dfMmbUd/CneX8huA5eeXOuDgDPa3aLAXp6L3rKLHiRvOtJw1ovtjkgHe3U9k1WMC6qloZWm 8Fu5c4mrqf10GfxT5BE1dPpqd/2XLQ+ctAhvZ3Nn04cI0GJ/0SYmISjASEwtu+ADPz5EdyYCFhh4 lee0M/EaDAEhWM8RaHRWLMlhFbKB2jjH3+QT/mdDLlOy0Mjf+iy9W31K7GVTaXUXm2iN0IEjs3aa i1xeUnPJ/qmYc3xEvN+Ng+TbvOnMwwaANelfau05S363nNano3fXCKVjxWcyjCLjamKSl0TKZXAi ya7YTgM+6Y1Ykf1GBLTSwC/AWOhYpIpTwWGqlmfSz5xpDYq0/fUm3Cr7iV6WsndE3d1Iou56oVTa 4kS8uDUm5K0ZII2/wi7rXrn5t7/DiwoAoliXMcsqlyCPDH/GBQjsogmulUabSPjuLxae6yJFuzPU 2gWKaqyXuXGUIHKD9yf7n6mlWirgj0upiEfnDCUWMxUylEKNJXUwA7ZRP9Qzqb3HE7P/x4aeo/gO KoEap9VtAWq8XB1D5D/EbU50qZSGi5hPqXDQiyQjhgklHj8tJ/jXEBl3gUzA7uwJ3dN+IyZCvs8K d1/d2UMlHlYIurOp9z51uUYe0d+m0yXiUo3QVDeR3ez/FEuJuTwvYwrin9R26VwYwI7kt1NF8XNM 67TsFRopWBJrwe4kG//aOuWl7BhDDpxa3IyrjrwodUakgChhIi3gstt3UtPz2vqVcrQld7iuvnsb eUhAbdKbtsTfuK82py3xHqQMnJclnOvdxfP8EYe8lbA5nVeCt9YaDFzg5BzGqXcSeJYRLDtV3oYA Tkx5s5/eXD1T6R/lCIEhIlxN0+lNg/5Wf1MVPJ/rzPMN/1ZyqOHZJ6XSBIXlWTnmvY+XwNhJzi9V ulByEy/PNQ6W4HT1P4qUYU96G1gzZWOUFo9IpY00FdDlGz2r514NGOQhQgayxQYdQmNCX9uB6s9R gbUWGAIhhbIqfxirM9EgUy02aZCRzeMGdJPIh9tBGUFEeX5D/sUOZaxEZ5OqDeZbo+0/FAPlkdAy iQ8R84c3Oz4V1m4y7Szr/u9GgjKlLtjnIX2L4yDMxTiLpOgspgk7B2607uOk30wJeIBbUJf0qkRd f7+E42hMsktZTJKgPrUitSF2pf/0GG3mvQxaWufvgKYKjpz0P2o+FMKN+6yj2k4Ocm4nNoOa7aam UJ6wWXrk0Q0Opax5T726IAkwQROeRh7EOVhq8CujTRP9f9JajCMA+dXCk6qcq8vHQuq/mm8cCiof NH7gW8ObDkXBSJHmhft5PIAvBR16NzCDf7qn77tMcp6KQ02n5A34pmbB7kk5T0tlcZaT8fuimY/b +js5nAXlbTZtj2vXEKw3eJ86QS/4f8SawdXqBQCLtWc1GqLRRObxTZpKc4Aq12g3aONbSy40MjPb 98tOFXM+g1z/uvV/11+oqvvCOEDqlH53iB0LMzlF/sZm5g4mbP0NzzPNRJECom0paRc96ZH3kdEr ZrcA07D4DnAm1i8NYGWZOfte0Hc/Sn6FZYBqVc625DQx+kX6oL5ZoGa3XZp6wsQInUoh0Gvns5P2 ZqXd4J8me4c/gH9XUPmXunayZI3qd2j2baoz8RUhc4WdVf+TVt8I/73jt7/ltcOJ1J0tK0S4gKHK PUK/pJGT7FdaB8bAp3VbJzmAtfGSV+r9EDuZVj27jg3894hFXMJjchtyBYzsmlgJDSlLzjtDCoHV QT6XuKkv9kFdbcbFTF1AfCE6UmMY6ejed7gXFGLVK3NuiOS0XYBCaFKeIpc54IktPxUETX3r8ebf GulLXga0Itsw56g7hveFdvyZWjj2Adn0lC5nXB/hp8bUfEsc3nFcomkhzZkkQ74HrssWKeThxB0B 4up7GpEXGMNE24b29NQAhPV2VGz9H7DhVx9oghYe7Je4i3WiDhUpSFs4MStM3SlzLUERI3/O+5dt iHwij4xFL6QzWEfPf6MOvhOi04otFvL8EvvZQ1+mYztdLUcp+YRqdJu8ytcFhLtSVgQKIqClfKXA xuTtr9hFrhUbtiSBBxx03upl7f54dppkVZk0DKtpxCsn+OYbIhJdxwxA1FN2/Hv62CRUq39CCFWB kOIqG2qV9VVzWcftbPlweS9nyUdoC/UDUQM+Ei7Zq5o+XuINNV8qryxt5ip1MMUv14wVfmZOA1I1 RVjmwIbuVYVHspbipaZ5CY7wm64EiRIF5vGCxrX/i3QqRgQdvoxcojpD+uO8I0nla2RCN2QTsK4o NvSJqYbWqYRJxeCa1xA8vYevakA6KlT569am5OTUYTFJsbazk3Q5/lu0dpGuP/mHoyZJBBAWSLS2 dbX/BAafGOa/N5G2d4DPOgmZBAmz4jaxtu64HzmH7ity0OqMXWImqHm9q1VvMr51CuEj6rBXPX8o yC8jVvG0hiNKamRhTT/Lle+pRrdtPCaIi6Dslt3HBXB8PCvjAStAyjAJ8+8SV+eH30QaoYd7AhWB uICoGPd1d8awHcNDR9OppW1bsl1HcbAeveKFlyJMNLXyStWr/JlIvEiEzi9ayLzrU0uYyk0ZMHf+ c6kuEWXzSHiNwAosCXKFPtcnRoR6V2osMEs/RRNwTOaJJwwcv7uz0cusHiHd+lLOppnNlnsvuSp3 ZXpkLnNYDK838PC/L7OdfOhnVVp86MkDZTlP43Tl/fpMDTI4XtPDvpcEbJxfcRVRnDnzmuljdFz6 VbzttvYHWqmDj+Ji6Ku7dLmJZ7h9H7mcPpAkpa55BRDO4cYnxcaLL1VnSfdKUPAs9sy66UkytR2t pXZz/AdH/ThwHodnu7Uedwd5DvMA7Go9lJ0DhN/+55agdkcUKaN9iKQBtiNUy/Z1NuUgqFuREGNU 7cTnNBpuRWT5mwVYKEjwYm3OTX2YK1jxHzlf+zkcV1a/hCSvaUAZGZnrGjcJ9IPt1EHIvpMDHQ+J lLEqDcC9+ue0S2WdP7hEKOeOZSoTDlfMxs5MomAedM9v8qTVYwoScHApz77v+zw9kSoTCq9+Nnje vRk8Nvv0RoaWFT1G3tkiGbsJpDzjPnCtuWoVO72Oys9HTgZ1sI01fg0dT7GAuP6wFaNOUVBbOPN+ QPN8/8BrUekwPG3WUUYnHm6A2+4gn3tBxXkUl1kBZxp3z2gzm7ddvAHsbi/5tLsiw6brCsNe5CZa +N9uaNqFoHVFMajtI4D5Yc5RCBOKwxdgQ9FJ6mGXZWgkP2zgbgSJWBXJDbapB0ktrggpOt+oD7Gz ekT3BEhC8OTD11YSRgonAWrLVtvB8iQ/CDrI7EknzR8cguD7g+8I4dNz6+qmNv+EcW2LUWsC0gVE gQOcwhH6+hdE6JKIabhtBqhwmrkmPtS2fhHmWXEyctBjuoRd3/5iZkq1OoQ3aRT17LduFrFMyokC z11fzUgtcTaOJJe1B3ij2ovYMY5iAUP1NGSncPOOvAqt1wMUtrgsA46Q5V/IKoRixkd73F01yLwT pN8j4VtRIoH/cOmDyqoAmWC2lV0m20iluREASAVFYjsZ3NWFhNWvgj8hRMk3KKY3D+F8c1Hn1+kW 4xVPeLRFY02NO+6zel+wObwGFzSiua9vUKtlOgyNMm0EIzUmOuke2UEyO0dpKAgirdshrg8GTx8G W3ROn0oDZ9dh6lM3nH2M2K0BoyFW4DXzOcOUBk/EdsrYFaLodeF2Ozdu3hMi/AvTkMvovyl2//zJ RPJxg7RbGnK7bH+GWUsxDPd6iajQzhgARS+GahtaFWbod1VdopfvMYEenuaaA9CDgy/1u2TZl6WW 3jX96m0LcAEIKms4WfmZ6XZ3TbGQtw34qK1rKqfg9BPJAOoZI6TqNVLnLLOZiI0dijQ8zlm89n88 mdqJNG/BVqG6OTFWDYX08j8NIEu55Pt384mJr874EOaqv1wv+oHJSKOXeZgc0nUganEmqBscpdNR vW0CxXbHQ9tjnaY9znddDD5WodVdyiu9LQydHk+pn9v6OUPuFJinHmiSGlOrSFGSm/04rN+5WCFC 57WWozdu3KXw8gbYJuvMDf0gqH9SZLuoRqTxNEaVwIfu6SZup1MbJnJrGufE6L5r4f4zWlOFWl7p K4pv96NrcTYDN80jilv+cfvzpf01LHbh0ND+zAI6jP4+IWm5ZQkAEvg0cNCwBMwERo3uINYxph5r RqC/DUE0Q4CUDRHQo46xIk1CJbbMf0PmU/o0NI2sncFI19LpjLOfkujevCliu8BC71nGL+i5nD2o Y2YBahNAy+GVuKUDYQqq0XaNe6i0ksgZCLLYOVW6Xf7NSQ+IF0rkbuVOxY1Jlf8uyK80pYzgVBE1 ccj5KgqQicRgJUuCAANPDD7fYNb3p5F5EfY2fi+UIl4h91eclVrFyHzJjifMbtB7JfLobenqRQAk YLmqrdrhoGuJRzN3nyR4Qv62GzY1/a2qbjV1YXaqWdSzw/4uYIR0Qfjo7TJeji0c/5wYmO4Xboo2 RoiUPiAovUXuxiceFsbQnyjtUWNCTneio3SkAxYtopkiJ3EzTpCjRsauQHB6bNL94e/feITDkMEF k5U3gnBe7UcdXCXfGvMH/cShkBr7lfbnOa4SCq3KL9jMB5YCM1AfkC/nbYkQwHn3+210aPmFCOVi xrqGhX6aYm1EoRHoa2uJT2SsImZEIphmeJe59pAD9USETXKt+ht/ENO3RFfH+JySFA5DAhJi2SKN eDkfAHE9cEfWsHxS4RBf5c3wbY1+pXv1xNhWAYA2prcbFcfI/yWQEr/3IVPe0LrlSk9n/psdsdvk 0ekV1zpP71shaUrZIes2IKFkauJMcbMucgYs59VCEtTah7M/GObUaHtHoxQ9Ke3QOzoF07PisA8j /gry7+Kbc0LrpzZITFhq8hsqzw58IWWUE0zHiyq9pP594jGOjyohN2Uv8rHVXrnXKa32Nh323cNB y3Rrs/5mQkBhDfY/uufHB+Sb3LqCWa8GboG1SnLIPvZZNg3vhUo5tJ2Hy5frkPlcNlRSgYJWtgMO JHNhJxMYDA9umRUUzimnAlfx0QdSk9v639R/94cC8reX0firYXz093mUJdYwfR6fRd5xr0W61230 5RwEQx/kk354RKUaujcRwTMHn4W+DSoVtspQ8vfd4Yzmpk5/eGK6ClYEtIt16QoWeFB6o18zy/Zc KErh7Ip1tvK5JNmpkI0tFYyvyF7QWvyUZYi5Dw/PfXHggeeiLJNjL2sfp9fNl4hiFP9d17diozbI iSEbhxCVgVw49bXmvSm6GrbnPtsPhGc96Yd1jHcY99o21kCwFqbOtTEh87kdBjKoysh9P7hBLnuT yJPAUgvz4t1xJNfJie4WlQqPgrVeizgj774Gvio2HjR/sG5RDUtx03Ap3ZdlQXSizqXWZ/MZSZ0q xxtcdMdq/CMlLWOuKq8l0rSPhrw2osOheWa5DU9aZZ4yYmhNCXK/bs+G/5AIBJXkEpOFBKGOgMgX wVrC/iJgZ7Fmwju71iBN9B+PIiQz8CRNgP/J1Yzmec+XAfMRSGdXsYLZaHrtpHLfm7JcsxC0rjQE Snzf1mfvX4MUjmoD9/uukH6669iftNS6PmlL5Dtrq3cQYUOeL5dqETZev8XkQ+XKgNK7v5zgwg0T 5w6QCshxSFKbMwqBp/3HJmTdKnE0Z5Kx7/XfpH12Oz9CVV6Wkhmm8xrzsfduH2l5yKB6hRGn8AAC g4FPt4crdJRwwJR5Z9xhRTMnPmirtvkx3o9LPBt1hoFaWlt2pkaUbWdaVlgp6pmW6s1jBqMo4DAk NwMqry/TUm+8m8/Zdphwbn7IEb0C5Ej6kZ5IN+pczXqp94wAI1fmicmKje1IPQIqAufBXO6M9p5Z 6zgUBfzb0BqH3IAaMx+wklQkbqkeHQ8FDO3WY+/iLZCT5k1DPMtGYrHkdIGgboST9nSFFMt+DRee DC3PY/mzdghJO9KcA0VuFdsNrmuInq/m/rKzaGWnHhPaCbaEakNYcClDvCH0F4PYm80yyCW7z9rs 5iJ9dTdwERZn0u28RjDH/YcTy5jJ4YHXfj1bNB4NbbdinLvJAszrQI8upmsxv+e/6p+oxa2xuDR+ o98I03nofaDXyLBVfAb1QTE/tlocdu5Fpsryuamf26WO9F03fk2+S3AqpX0mJKUU+gMakAKMgobZ TNZcry7dsVN9o0EZ+Y3631225u7VG2NWJGe52D7HKdwN4U0IUBdrbyTaFisavhHv6cJh7BItzvLL lJB0KwyAMNBOfVYkt3cFm1u1v/fY6UWDizVODbJx3ZW8NdVTsOhG3Vnk/xwGokqJMz2UYWY6rEDL uDQPsxnJNZ5fKz2DgIVxz9sT0WXjpEMdWXw67bR9TxptQeoiJviGBlQP2wcEdeRMdHXGYbjSs2wD QHR65OJejyuprC4sjeWigwKfUZQjd+W5ALpXHoOvKE7lFtB7O2fopuRgymVcjP3n7/LCSBB5hBJQ WqvqLP905S3CvtZZiF58TLMB7opbDwbeb5I9eiKT2IvNSvoie5A1pMhiYXNqQJlH0yk5hh3CJCZ4 rR0msgEnsnOsEfkJKD6xDPCzMe3QShCWgDG+K0DsTz3Dvh2fPJmF2Lmgup/XWQW8zhnIrDDAkldO X/QUSElveb6c799AbgL8MdtYvRwfiCAWTs/e8kKd0P9dbisqgcXoyvpfU7RNgnd3ZK1Vp7d/zegO VIzCJ5efrfFWgWka1myLetWYCZH5zu5KvjIG/s2Q6yU9c8ZATNxhc9/n7J6A2WtHKTnLdAmkJtZX WXVc9EO3LcinGuHjJnMq8/Yj2OPvH3mnLoeZTAVsjmMGbNIUcRNxdILECk1TGQHafPK5UAqMXRtv xTs2GbqaJPNWMWS39yyyRTvIFI2UEVB/Ohqrte++nGVvXLCKWzgGHiOdI2AtseqyaZXURwEHnvy4 NPllcp8fJZeuPpFM/WVjzUpWm5X7nUCMjFChJ39Q+EYa9omHddb3Uw4oY/1HLv3mmPdE6AUK7y1v OATnQMQmzSaksYi7/UNfcmP0IgLiqoCceCU5T9hsjmWo84/allXasjeYv1p7H23VpuE6cMGLTBxY 4x+DcjN1h750G+RbnozJQ+6mMbEoYZlfsdJ9UmaK8jGpNQuxIc6zFcNSAifDkvW6Y2IB9WPZmSKa IUqFNjWXjyoo2jCn940D2glCQu37e8IPYI61N0sEdBcF6ZWxBXYU29Xz+0ZL5kZqW3nPa6Y5SE0v FA4A8vWfiRWWMOQ1fKDNDYY4tOxwroPzvdzPryQ2ZIK+1RJ7YxcOISALk1WDZTrKfzNAjyNTLgo+ aD0QdGxBeLhQX0la7yiKapWB04yCBC7iSTk8+Hcz6OFgfiIWnMMf6EVs56TE3GwOP1Iy3h3uW+0c /Zp0t94Ms0MvI4ycbfzm+cSD06j6ftMtFZu3hzph7MYKbJXq+oPxCIBVdBAyIzITfdKV5tC9NIwF FdlDeJkpTIo1XswgSd8b26r9IfxU4h4YFJAXf6cRSUihAIO1Szw8pdOuEQYshhE8CU0AwwEZC4IS ER/xCQjzjCpyJi6bzCRfcrLL/TpCAulv5AeHqqJVDADkOp+kcz2YyLkDIjHIw6G2fLr5AS9IM3S0 Fd9YzcMmtMtmv5N6nAss+LKmHchbuFVP5SZ4CeK2lJmlbW1j1r5jBRTLs6hyrQRCnSmHaAoYF2Xo 8yx9HMIFhijX+M9NtMX1zmz8kHx8WN8c3c13zyjHM9ZOdMncXtHcsJ3MNTxJdikeser8sfSB0iCd 27fPl3BDe2SAuUJcslAb7M/9X57egeJRI6fCevRe6ZlsQbwxzadL61AVTt9Bs+soI52OWVCtcpni ME8dTOJqDjcjVWI/RKBQBk/eylD91aL/Jw+3Yyxc5guMFz/PuiMF9IUmygKrjoyRJ3V4ryymXJ22 +PcdLGEAJtzkmuK/7cWFcz+2v30YYkJ3vIW+P1uf/m93rE93SDuN01byFnT4B9pFaR0aVRbPJmAK TjXuiSLxWDkOSX0PFEJEwFSUSlKN/mit9ExO8jyBntaYIg+xTYcSuobzFftZ2PxBhNLd/9kQbX5d cZyzt4e5wLTi64LrqKkc/3pDx4E6YpKTnjQeRgVNKk73woHw7cey0rjhPVNOUJucz+/5xq0LX5xF K84gOSNfdo+lWpj3lLJmMR0F5Jo2cTYsYVtSkFPIjb1BSALDU1bJitPjqFw36sdcXAIPWknVSEHm RlrvONZ+0PCs0yGN4hFpdK5QMRd9WU9njq8qa993WTule5nwuxBh7YJa/ggS++CDQbh3b3G7y8+v aFIl1hFZo0I0fIIcls7XaxcKIjhWaw0wNe5q2JBVBcy7zSl3M2YOLyWzWV+if04lEt33T3Y9SqJF +Zyy9/3ytagraddgV/jX9qs/Zk4v8LdYExPPZ2eZ6HnW2Us6jvhFhhn0EOoiMc1HYlVgWHdcLIpP AM37D4iEHcEahesDNiXyDIg055cezJfppbG9g5ysRAiL0oDpvd5uA4sIfV4Vs3uv3aiqsfVysPjO 8eMzp8uaOYxSuznO2+TTQYhgJtbvkx7qldtXcH/i8Yd6JJ9QiWlTpqBZZMceOE1dLMDrsgedGjFl Rq2+atMZ9KTlLqrt5tF++K+AJTwEGu2ABGCqTYCKjfc0f2JMII5G664SCce8HfJV5uR4FSwN9DDp Z8S6VDLA1Fv4N2r8RbB/ZgTnvZKclkTwD4lbdlFILTRrw9swK/5PdhiL9lLkzcHCGxvr+7trUiI3 26CvOzseCdfKLTQVZznP7cyU4NB2OMP8sdbKqi79XTtOlmnzS4+FfnnDphHDE+XR1BQHAO8BMxDI ZwIX/XRuTudgJrhIKbGdd9xNmL/AnrYmJsm5Sm9Hpw8Jl1AlZUCsSu+q6G5fpB5jkXuBaGCfZYRw Mgn/BZKXJFrIuIgiBpEYNysaHYdDXt/zmVfIhWZg+9zXy09vx6SieH7rlsv/lGQchT56HjFGZeOu 5S1aApHuuhlfceLzfdTZZztQiEn+FGTxM8bi57ryOmUlSQlg3GkJ9ZQ8wH3LKtIek6lckaGhKJve sShvF3+RglUSi3//ikhFWW/dCHtDV2ZveE2jX0Pb6yXS9MpMu8Dbvf1WM7GdYK5VMzLTVCYpdqPw VCOJfTjHcWEz9+UeH0JMoDfLn4JrhBznQGTLRn+x2ocWcvSZZtAhln87u/1cGsF3Yz9N5kdsZDJi jt2wl1t229eUm0oja0j5eiM58uUnBHkwMupw0NBy5VpLz59hsCFRn/yo2GZC5G1sNLo/LzE+YPve TM6b1V3Fn27gBahNC5W3Tqalrj3FIM9MVroir4Efgok3zAfN64rLJsYjOfJeZPsMn3NY6XoFQoN8 WHc+oP820aNppXkfbqKTdMMiaNaDTJQtixcUZ8Wtv2cIYOdaGHZv1jZ3EeyxpSyG2rJ6WrAtSzt8 FQnjCXqS3niWCQ1eKieXJ2QV9kTxiQHKvfqhskV/zXYFhrJZzxE6+KlwJ/+b0e1RadU3/krChs8S VnOiSTTHN+wfealiZFWxTW92Rad8f9jCMe+UA/l9/oAQmY/89eKqkc4d/NQpuO1vy1D5aNAo3oUA YDOqqZmBZxOe01gukoREMGTlLhESh8DmGgKD5nhQXZBYt1GGJ72qTVOJX6Ppr9xlRvhlthSYtlYR vM0arcIn2gz8stn4m4F1YqKLZzSzfklCLKXdKDSoYLkPWDDf/xbFmv9p32OqSBS+029piFNe6Qc6 CfApTLULW8Wd4kV2ZVpzWTGpmhRGs9pOkRMsIM0q1YgPYREUQ1b2tTEPs1leN2P1yFtxiXDO8CMn 103sxgabMBaSHwTSeT+rROutTM3SgAbzK6K2RZEYvqx5kOx4Iiolr0bOlMdhqVeYC8xkmqKesGV9 Z1rNCxAxgMaQPCdAhK7+W8yWg2uxAObFhETdRg1RgCbsjxdQr1LaPBxdsSi1ujrWW0ozKn66t2N7 osF5nqJyXq7+0jkNYTHSZRmYtwNMUDXx6DZrDjkCCfpgoJtGyJ8VhGoM8MYJvItq3PNSrrEPzDp2 iHrhdRMx1YvRIxZzJoBG53CziWnj2VGP+6ui4AxHdA0T+CV8YeStnvCfre6KQNBJ3/lCw7sFKglm yVvMZAQ8dmSbG0bhFdwLQslHf4kiA9+Zt+0usDBoTGkoLtg7GVpa/3THf1+TDcQK4x2HACMt/kfe MHPZd4j0kiVz2gqK4M+ADj5gL1U8Zuj8HrQdk2uN3b/sv0sk2xTp0NlrH4gYbcS/4ttRiCI5EB7C dA2LWF2n6N1c3KqWdMVytQ7AgxLjmtSyT7OF0wZ8DNJlOzWwDdWlYwdvx/eugbSXPugqZrERRta0 xvL329XTWxAkBWLF5gbfOZv7us2ipeKUw2qUrDTszl2AdpbIjnWMufwwUZCTOOlR274CRqjfJle4 aQg43l/zszWSqOXN1ACPe6ISsXoGWbCMVRo1WEJ2SJkaWsBMFUWyTFdNirAvPdbATRhOxv9J65GL I9StoCQxahu8zzNv0N2gr9enhceU2dbtfLCMRoDsvgD5wSCxB+iulZ284n4vE69DnRX0dmdzn8YV T6QrgN6rdCZjVILW4apqipR33XELm1qCHRJxEybwBs04tnFYiwsMjwGH4xJugyEe2zfbBSyTVw2b GAc/jdQcdlz3NVGmZsOP9U7a310H0ewfB+mdX2VPg4qZn42H4jqwjwAEJc7xGHbKuJyOGOsHf1hr rdEwc0jRnZ5kUAAIQhbflC7e4HGC7wx0f+deK7xgsd/hGcR+V3qiI6nWIzSK0DzWTJSNZvmJY5tl ABaRFdSjPRuE/nlS8bqik4mxmfRaIccVfEIG8b4955oLhgypwBfOFqexju/xU5osvM78s4l8vt+Z i42WWBgugh4F51D/NhIVTysmPbS7D1z4Vf89FKeWtZ7EmamEv8tD1g60f8Jkx9XQ4UlTe4lk+Z2Z nr2rWaCvAMapPgeMl6TRbA3jwr2PvG8D4L0pQo84NCWN4Ne8YobwJRa3MxYJgG8qYeRyPjkyP+hs S29GOMqVp0CvLkwZKMVnSCR93xNbjCdd3HtvnbW0WrGicEFLBDqjIu6LHI/dqMx3x6tHMGj7cC2N TJfiqbXB8zQtcF4Ea32/0VT9IIvjts3ERzRPm34hKTjS2BtaxaR2zarrDVw5Dv0b0AKxn9mZ5RXD FuywHtUovjNQbRk96GrqVzP3FYFBUrG4xMW4pN3M6FZ6gVrW8mfT78q9sV5WlO8qIxO9b5Xt+77m skWRYk6dbKhKW9W/KTvVuqWu/MCh4vG5mI79jspu3OR6SCi87BU/arw9phipsiaKtt/UIAN1VosJ /22cxwYLjOj9MVtusxDagJ+KXA4O0C63kfrJgTz5YAM++ZJZVlkSLhBK/B8YjXvn32M1NgkWjtCy Wtps8Y9pqjH/Utwy4Ib6hNP04EL51ztDK5eSz/ZE6j6ZCvmcq8GnNE3AFI9i8v4tgmzqerFVNiFu 4gsbP7TN9LKKXwqhrHtX/Xb96HiZyTrxH3Q9yYvf7jcWEZGbIoATPATmDp7ZarqT1MC5vcvgmD7H vFETM4Wqe/oFPVFpVLUb4oHTKpuR4z76dqhCJc21oDYNeY01QVZNQwbh3R74+ZP4gQgYe4mAGjxA XXgDxvGD6ZQ6vxjv94LycTS6BZgCkNWqNgbGEMS2E4gqgKNVoIAhCeRy/q3nBbARJXf1t4O0pJmq gqftvrsqkeowmKxaa40Xgul+BS6BS3DejiBvjqyu2O8D1ILnftrOG3KIbjoxOtUFoz3lsXLMn1QG k34OEtYk+ACzgG8l0Xh3wt7GZ3dtZKyuFGiztJb7OYW0bBjqml7JK4qCEHq13EcGzMusN2xDVhoV RuXdRAseLWQffzXGglFbYoFI0DvWGeDhYfxX6sDI6slA46mVCXfg6Zo/2zQDRht/GVLjjwvJrN67 +/9tc7X4fJ/7A5BF5F4fhahSt+BeRTA3cA7L61OsZhxjQFiAzMpj4z6icqsplDzJkigJBbrvwNak BTsvKEcJNQ5sLZc9cx3cD/88BAQplEQlpZrJm9kWRFznrK3Z2JeMmL/sLDs3/01KWm0qbE1OR4PE Y9jC/lZK/1+cSt0Duj863nYYvb2NsqYxEXQk5sEN/gBRB18dcF5wdUpmQEkja3mVNAWAd4zpAF26 LowQAw1BMrMRv6o3eEfOWvBUUUEc0/cFU4+V/e6359aGeMvS57ud+hCVtWu97nS03w921D++VCIV TW2SZkOYxxH96twMHMg8cZFe8y12fSV6kZgU6yOy322mv41j7HCuYu9fwkyiycIQk/gqY4nsD0U8 5jPMY+8LKEkxVHshlImkZNShf/JI3v/ybGiW8BUnXFnpXccs4krtceFjEQRqIl9cyry8xCWJ6qzO sv1fTKnPMpnH4MKUhwS+dQElrUtLDn/wekQpueOf1HThhYxMgCG1BBpza47ouP3U0esM+iOLOuEb 2QR+RnZEz8dwNFq6n/48bh4Wr3783bcDgRsdA07vEg0sCYWJ4x1CUoDjA6/q9DD2/Bw9MnzOvkE6 kvWPVuUSe/dTX/E/sWxaDzxLD1SCpoOn2X4NgWy6hkwc7CbM2c5BY9XBlrzOcOTI5RLOknEz+iTt xFNI6godbQmh4hFjItuUW65xh5NyTblkTi+QwN446Ke/RG0hrCBkiz5tMqbFaoNBQAXR2jDOhMdD 5KEnH1tXqahOYSQis116XK0MAXzeht1Zmh7evJ54XjlsBvRZqmHXOOgNb7HMKIctrgwIpy1PZo5C egvYqmzDy3ttNDsQjgkG0UMyAi5wOf3Tio+h51Ldj29EyccjWZhuY5PIeTnKB8uiHAiASCR9jPDS ukhdYF5ibT7ws7W36aG0C6b+zEj4I0mCCJh1BBJmVhjzZnWM/UJVGUdsLGcc5lnAYHNnN2OdVrxg /FzQG+mByIp28F13wse6sCpI2tN9pgftJ/XCjXh7wpW5dn7aGUakwVwauG82lD2YYhT1APpgNZRt 0IZqOp9CHj1nDsmwPemyDCXfaPmvBmb2DgzSIueDNYEfVkXG/uAN11x13p91L4fAtsSL5n2HOQEt g0zsut7Mg1Ms0ho+8RrCABOH32+lrd2yUqJKfQNI9g4lgWmw2mOGjXHcwNgLgzHwKBitoyeRuQuY 1JjYQzk4aJWQ7tpPkQ6t+eTDnestiMFWdOuRwB8LzM/0m4/LeBOqimHa8VXBgc5jTC1uEbvv6OMb vbwrAVTUWIiA+IFrL7I7hS/Au1T9P3E8YvzSPVDRWaQiyRfIN+GPVksQKbrAyOFuG9rkhSAlXcXv hrlCvUvKop+gqThFRfNMjkxUEt2LLxvQqCyF5iofg8oEzMcZHDHKUZDpciFOKmpnS7P+mS9HsG3K DAnHncxVOT4yQZ3idFUgFKa7QZBc5FpuFTTrW14CxJN7Dgwnfj9GjFWxsOmY8SZu7ekW2E9r3xHb L0t33PE5zo/PoBMgca4MLA+jNpJghdOnn8sn5yHVru/qewMZHQ6S4Abx511OmNRvWP1bYgln4BuC 1femvyRncKI10ZkllhopaaDm0PcrBZoDjpDj7/ZtGywHlMLgxpk4Y62duyEnQfOFlMpbqITClQ2V PjA0ifvk2CoV2bYQYO80qr2e1inMV8Q9A4tBlnIEoBezViLexHYiUHEtbU8a63hgMcmvdTEKINgF izQbPmLh/7YssCeRA0FMAHKwJPy2QBoCrzZuA/RGfbn/FAvbDBkwUoPvW8Z79j32Hp1KZ3RTh9+M 1bkfEj/LS8DXbrJYS0Ts6O450cAXt5vZqSmtLSke0l/0gD8p496iBo2b/DIQqfI60qWldEv4rcYV GQKrmOOG+fHi959fLwMKddG9zs6PnCrCe7H155DtX/qfVOgSOKAEpLcjz0dQezqksSD9GwQJrSYE lbjvxqF0DPwtylBB9Bob08Tsl+wkewQ11YTKetDR1+el0hOE+3jL73rUiSDNQHP3xTOp/fx1xWUD fPRCr+DScjenRr4odUjDGzSu9Xc/eeZ3/vTPaeOYc9/y7sBz3ww5MDYdI9g0dgziM4byHpdQUm03 EpOwSSqLIdK8QSVhyTnbWGeTbAIvTYBGXZ2QjGXlr6KqBeZIH4LgzP8toXs4Lr7UxdT0EpOcFKzG OpzIGnMzPteXWJy3N2P5PEKhkzE9X4cVJIkcHGStRmLncJqF5j/FT3RTLVP0epy+MjZFzTKemk/d rkHHBQ0+nieYtiDgheZCbfYsb3iKLcLIdykO0VUqMa7fNRx1lwQBf3YOrITbiX5+QNJci+s01DaS vZVrak1dTFgUSVSFflaZzCiVbK1bc01GEz4YNLPkGdFOF4sSuOOz0ZfjBJffeopxPLIjdEfFNjeR 2EROmCt2UgudIc91Y+LEOPZ1m4O1OznrG4rDemHLjcRZ7b/6VSJN5veOHB/MqzLntNo7L84vOHvJ 6ZHn2V00wSTwt5uNVbdQI8Nj+8cwf6LcwqOAQgioN1Vy2ShdyI+lmyFtxmC4EV4XVxCi1fkfQcb+ 8UZj72ZIXqllDHG58nMltVp808c9Tt4WA1Ss82QDqAbT/cO5EFeMJmM3UeBmhFYosZnZCbesWwrd kM617P8b2QmdwGicKHvE5LXhY85v9l7Uakk2UovMfKpEnoJnBBSMX5OX9sC2SakYrg57/J0ktD+b B2lTBNVKVn7L+DF/fFxUJbYGzCkt4iVGVBygQBoUEYUaDcLSD8+6hETZYl71FZDtUugzNQuiVPaN qIgk9BJORc6VZPJrWDqR5yIAvmGSzrp0dBvDN9pL4Yq6vl+BVJLszrSSWo6VJRBkFVO0o15yiRLD 3yqNtYVZOAmECthYk8doPFozBlCgznHrb8bTcUJTkXRKW94t7vedMzgkVZvlDvetAZ0Nkmc5tdhM IgJu0aw/ci2hUYVAoS+R4Y1YOkufVLMzchd727r3mYAS7Y+shIlys+gH5yQ1w9neD6S4/5XoQYMk zr7lwBvSJy4VYGJQYEgl3hRu4fG4rkMXaLNj05HCfhtY4uePkjSOyFbY0bGLdgpWR0+YI3ANgW1e PXZBlK4m3kp6jVLY2uBku/F6bY2ftlvOKEF/WuNQWbrak/VTGrtmbzE8sS/Jcz1tMOLr97DrG9mI m0LlCG/isGZEJzPVv2wTYpi7060mw+WaDUl0McpypB6RZlBAU30GbQe1WqlUvtB3ZBSeGpxt/yRC srvu4XaEDOVHvoZlFqlj0gjbPhP/6Un5KZxfqbZDIa1iRlUdg1UuQ/MzHUeZAqqBnF+lHFvbMReE IrsIUNRX96YYoOtNzv1d0tnIOWVAuEwIvmZDyVtGCej3LjCGSCfrsgKcNPuY8KG65roG30nj8167 wKMkZ5hPIOnkDE/UsbMmkIeXYguXDuVMxUbBtAMJbzUmE6TU3bQWwLzXKrnam8POMD5eEhwk+s3x KfRFlOlzvu1VeGaog9bdXFD+w7yCgzbevBNb4ziPAgF5ecl6dgbLLVIweKCAWgXKC6zQHvotr26I UErHrT+TSlzSO8ZjkZwUsdv7SnlEOLT5XvGQB/DvhcS4+Y4yI16TuoXYTEtzn3FR4mfRHqAXlGtK HhjoEfZJZdzwTMJb5VRITAsE3SNEtvIJRhxRB6QINIenvd9cMswuShc7gR9D4FMsZ3Y7eXzneisB VI7cTnBirztf+rGtfGgmQ06W75+cgg4D5/VtrBV256XyzDou9qgBKrmNP5SwWh72ZzvlTp5hnbYz HsJUJdmqfYggRBSaZqxThZvqynAYmB1X09e48pAEAcpLZwxwrP8MWzDXxqevLp7qyXAynXMG6vpn vHUpqDb4kaV52Uz7HCikA+r39ByOzB9TYVSc1UYaxKIKzx8yAkgXEC87668kSmuz4+fqdld6PoIO vpF/Ud+H2REg1KjpBpVAJLyvBcc0uHRAnNspCaZPG9uRjv4P450iMwXBgUBQDqs/C4pBpljyhyRN i6xpwN3BBx6L1Aro5zC6gt7fEh8+1nEGKAkPFfNo+oA/Miyui2n6032VeMlgC1F6W38beyDFS3Z4 MbWNDXPsjoEij9PL4mwV6ugf+HZLQ1rkq4WlHGsb6tvYVjSG94Dn7Vjq58AXuvVMynbsnQlBRneq rdIqGhjZ89wtqwOvYCcylGzo8iPhaAAHf+9QHBmlSB9JQw1dNBeZ1ebeHBLmwzgEJVN8fGoKZZwH /kAICP7f7iNNpvcdBgvHfQbB80qlE8nFdopJ48VkAILX4eLb5NZq4kwxVwgGM2yM/c8fGLHD+N8J CmodxC1a+ekeVMCp0t9WeZS6QkM2spewOG+2AfHok1DImgWW4f3bc13pIX2WqXoOdfT51yZRx0jf QgXuuulB/n36RozMED0IiyR8wDWlVONQIBX0+LI7f6ezYt0fS4Cy45+abaP8+wtrl2y9mJASSQKl V2PXDnoWqEcvmI98htDhAXadq4Dy9+xuYeqlpVDVJhsqF+qYRIgy7Lnt5wZJktA0q6Lc9vqH9nSs AcEE+mZ5BZQ5z45MnQxcaKIpaBX6EoJ4a4OL+JzWfM8xRHkPIWGhenb85O2AKLoH9cQiXyDOU3Rx KE+BX04OLvyHNaQM8r8XwOzsdQubWd1ZiCsetHnUlyhNIF42PEvC57aH+KFyuM1CYmt9a6TSsb4i 7Yo138Sj+c3doS5xtJjAcllKsRfdyprjvfIf2Z38srjCGtKFIiwjJiUQG/sjivj3FUl2GBmMyQW3 opsef1fY35OcLqzH3F3ltWaQBAHdWPjmpj5Khm8uJ0hfj6gPNCNbfp+GDuNQ4nzxKJEpWOkDMQ3i 4gcVn+sE5LU4cSkoGDArEZf+6qPxBcqCphUZrICy+XN49D3CD0/3/LwLM5m1iYSV3DN9S9riMNMa f9MkJbuBGT0rNmqFbXUSTR6pFbL3702/bfkhtJbvDDpz+DIGkZpk0hmJ2K4xEkWLYRZKoELWqhva 9NguptFPW/3xmI1SYEh5praaVndlnVg26S/2KrwTIIClj8SHFPcP83q+AXWk2mOdiPIxftrIXgsn zn02gywCr3K6lGeka29iyaFII6E1OY8eBab0i76GBDrsGTQZNy65LLV2KT5tKH7R9lmRMyMolnJ5 6ho8ABO1+3hysWQasp8dpYbhY7GGHBI67KAQbAapSoYUQ83MtuQJDN6c5sqJbpgv55HeNEzRuHNO 5lAS9566R9Pih4jCJFLa92C8ZTzNIdMPtS6v0yNCGiCuXZSPidFXCRi1fMnK4YGLUUIAtCtXrghJ bcyglh7fYvdgzs4X6jvFAaoyKPmvfUYAp19fZ1nIrIIriaRN7ooWT4bw1bElUOWDBpBTjQ07jprU pDrtn5c7DGdQBBwuQEeGUoP3PsO7/iFw9ykk3IK+oBIhF4UZMcGuGMSBmTCmFqZYls22+Ejy9cUm 4pja4JPXdrRceOpXDjQIrmJjJTQtJuGjiuJRtevWwPXGzVVi/fG/XKtujojTl4Rl8SWXE+UzmdbP TUr+fz8rUNLnW/v+tIA46afqTQky+BdnaQvmOIRMh4o9Z1qnD+dVEUocriidbgcgXH+WWB1Rvddt OGCz/KOzCSa22z8kqvOXOYhjn9th7TdJjsePNqRKLsSQK6+jcyghEkK1fKeCIkCQUPVFuXQY9umx cVBKvRA5UhpStNTuWcoLL4MnIIhy09zdtstgudiNDD4x0IBuf0a44LETyMeW3XGgj1T4JUgNbShJ AaF5ZWMI9+/MzATd3/O9RwOPfu8lYld6LSvqVNw0Mqg7kO4m6Klv/ZBM4Ar9lM7McSzooCTuJeLZ y0WoF+k9STZBJ3dGVd8yq1VN5ObzXLK8HbGP1XNJ/dd7ODQ1JYGaYlXFeftosxiCcfHslc11fZzE z8xtkLXV3/K0w6d2IsKykXep2+Xd4+42ZE+KGt3UleRbyM1fPHQw1zkOoYchz6ydHewGnSJZtMxE vv0hcV506KpXUvCcv0Q4RSi98xzay7JGFxT7MjixLyr2y7/bP61MPuOKBRT8+LoNOzUCK6vFFFtF Xu91EA14QpOhoDVU8m7GMGAPX2VuJOz9lD2I9IiwVhV+RKbv+nZk2reYcLMT7ja4PR3P0WH6h4d9 Zj/vMvZSN12ml510s87OzmaqFOs/kLPQBuwEf3wRgKfMmgZiQ4Uic/3P4SxRNrTniI8FI4A/jkkW IPCRrCqScYTLYx0HbQKDEAQavU6KDyFqFpdirMFIJ/RA0yWoO2q9dtNWmhZwO6iI+f7Ost1yjWto VlFR/4Ff0ObCAEhVOPastNv/PQxusyDjwePMz9VhhkvmGIJdhrqn6cTCLeK0ZMp68XqZMu7Fg9nA xARVguJVD2PDX5WKQxgzjjiyaa6hi3Brmn9ErAxGFiBAC8HTCTJoOoTA2WPvJAItB25ApEF3oHPE S537unATMxzp+zAXxkzQZdDZRXpukPH81hPaJgtNJwTfwqbSICfBOtwTfI6zUJSpQ2SAANNKpWPk DKE5c7V9VrPBqqncZZr0cqH2dOI/QyDsamlag7Ofl2YBqqAB2Z61L+XjHyCx+3k8f4YFG+RqUymw JhSFmUvJSZYgDO7cnJTbgB5CPChnEVCDAQpqit5ZlLBmancX8msNKAIRQKhhdX3Xr9wgUOY7515M 319O9HzMWkv0G4Jq/viwG9PS6WrbSZFS6JLRCDhGxECB1+IvQjFhOJ8R6GNH2WyyYCtG3zHotsXW hF4Cf4OX2D0GgbRQKL6hntrLyGnZVHxhekhCH+pDhdwxmURBjd6IxaHA1Od8o1MQ4D2Cb6RrucCo 82vABfFyTMvnrE1eZVLcb0ryN8fCYpvRfIxcHosjeiJTGv5oVc5NIrRlFw36mDoAikCUpXUfCgzG YA6it86OWY5tah5s4W+QS4XItnWneR1LVveQmfPpDkwTOgOJhJbBsusdggnpsa9LlpfkyApYXH5F uTc+oRpZ+TciRUHWTzbTTaCyIeRYrDijTetcHdcdNJyRSGoTKRoL1am83kk2OiiS34wFycecZkUc I1/x5wBElQ9wwfw55mLFz4h0PBfgDT9hfGLfjzZ9kPCbCt9e+e7rdxXNX6uUKIsavvDDn3G2xSpR LhGGdtv/rEem1eY43QXlexOqNhtNMa+WaExdkAoW6jGlzdFaLLUyV6YIjti3uXKxdoRHswrMBUuR l4hSZSSstzPVKMPKdgIzBji03ojqGJobpEARL4aKl3DwlgX/Uc0LUFJFp37ecIlSqxu8rfFFYaXs BRYUbvtrK+TMiL97LNcNK/MpKblPUBvST61A4CbV0Ibts7Nr6dOa2QDVCo4Ck68IUR4oZ+Xu+a+O ai1n+UTSDkVXVBYf2LGN7wuDl6z9tu9mutGqnIChdYyPzK38hc3A6cohwtcmHSgTMe5L8FEJj1zR BFgRiGuCBmWpunFYWf6386bOkvn8/bQLs89+CQT1MVjHz8Y5Ek5IytXkA1JTe8LwxXGPMLd9rPMn YQz74H/iwF+lvaWCOB+bec+hy7YmfPIZhOVdju9J21r8CkSMq6YoV2yvNZ70k9yFwR2AVQ7MM+rL iO3QMMFQjqCqQOYRWDALaIyExDKEZ5pjevfvvNckP2OUdM/6svGoD1ikVLTL+EyxhxKbj+Nt3ayF lk+2GDOE3CuQ/WyL+6Dt8CG86QdPnpPkSwJ+v3/zuyMpL/WKlIE6+0fMsva78k6zsPgto+ueYZnC pPEPfLVEpvvnE067jGJLSDJzKhVHplU= `protect end_protected
gpl-2.0
9653f2ce3dcc85215b98098ed6d1446d
0.945076
1.852738
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/dds/xbip_dsp48_multadd_v3_0/hdl/xbip_dsp48_multadd_v3_0_viv.vhd
4
14,043
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ezlmHfThO2Un8MjJdXv4rT7MuQQcRdPWXb4trZUl5JO8dcJwSW55Zd7q8zUGGxsmm5KKn1EYwB1G UrGONGvBKw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A1B+SR+o6IaBGUrz9igY9CNB0bWJFyCns/53ctROhMnOLoBxAM6Jfprm6SmK8OobXfVqp/RHQ7Ox q6BRZ1iF6/yDLUWGK3odtfWFIpdEvccUOO3pJTN4+zz3MI3eFuIL/gPIpMDiGoYLiEjArg9ldgj1 eT8eK2aJ3isZTcbQkSU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block paSE/LL9LVyhT8P6gPCPVngrSZgpqLCD6j7n9uMAlaphzmiFQsqhvewMk6eTDeMbA6mFWWk1buoK 2Ow5CCszLZb2h3bnU+O1e76p0BmrDFzGt4FtS3blA2dcpT1MjEW2qMQv54d6JwHOOkKXMPcMxxty WUQ8sHPqaTodiTGvxSrTbYOwZ/WjeGXYsYXm5S7FKYrMqsXthAoT4ZhEIbgsBfGmyhNq9tZa1DZI TUQjxrjpsrc810gYJL9h9YAWx8dzrF6lTSKZEbhYuv7HOy4qtu0vgtKG9QFDhG+GHBSnHxm4d/QO PLwTs9yjQNvfsvZ9V/yibeVJcm5amYk1vr9Ehg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Y3mnedL1R+8DFRPX65B2EkmGLolKDP5A6/vOdR2weHwn3zktFHF9ghcwQEeXczb8URNzZrAEuv4N d26o3znf2CQ11s4hi6TDbe/yLHHWah1tuVpDwlLXfzZXN0pqO78mxbmZtSnE21hX+NqUNnlVXiy+ rL8HASsZ875Z2w5FlFM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XbSu6jzyJWr0HQHPrzjx/CKtqiLkA4E5f3RkoiMATCyDadQRsdO6pYt8p/xMwcHP5FsRtLEY8VdI LYsMDp3+8mlrsdKxKzFFiNB350NGihVuYYbFz+FYkhjB9Itil66du4H1PUFECaZ5tipGuOrR2wAn cyR89cs7uv8FU7Xb8mWvXJA4XxvHID1TTd6qtz7xXY2Qj0CGnV6w/qrnhonTcr6GQawctOZzSq/G nLbwZRh/oSnxOtqd5NzGtcAygiABKGmXhXfxfTqlXzuW4fMhm/hd7Ddgc2kRIQB9b+6d5o5lU6WJ fhYimNtbhVHf+qLseh47PCrDdPxdB90yMWKE8Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8656) `protect data_block HrWCnN/ttl44DJHrHMFqrAC1en/UB+J/ELgxCwDzsSsCDt6aKKzOgOU0t5V5ejxlUb5f0/jXHryp Ld0HLlBNoWHBhruMP5aijL4tvhusRtORXZBA4NZ698OvuiOfRm/cyz5b72923mGxPJj5qc6tZ61l BF+M3uc0Rkj6bbmOXRcZgTLl7Ppt54YHOzxJ19x5/Fty54JA1JLSM+4RqOxoG/3PAoFTYWS53eJq ULXd2boAT/JL0iMUB1UAmRbbyu/ShY43ialoZzVzzCYCLrogDwhyubSNNil36LaJdq/MILDbdHiP 9BRfyWwxZn8blpzd5Rd5AcXRazYpI2z3Pq1ywRE5ss27wP0sstdQpICDGindE1aCqrt/BjyDlY9a 0iiP3BimI4ziECbqVWwUCmA3ARZ7yoGhMcwtdfjRNhMhLDl5WNjfamvpspvdxEWMNyzXj6AxshfN eU8J2bRLcEFPPZyszTwpi5iZZofKaehOwMEQEJwgTuUgTA0Fh+fZ9BCbg87+78XpOIxRRXDX1PPG clsLDCPu0x8WEIGtvWSS1OceN2qgM2Bbb5eLG3oh+I5vcjUrc34ZDlVIKGiSFxj9oBoXSWLuZ63c Z23gnWaahfZM+UhRzxCI8FXkGmFLrnUB+W6Ysu0SSslWLGU8HrywnueshqB/rBlDLiEwSrtl2kMO 4Zvuu5AefIZKRbq0cWPbLTSMoh8EeMDXRF0jg8kPj6x294yTijvWVcqkC0xEs2C6j7X48JfLpeIm LDnOJzygl8LxpZjNzrOcBvEaEaaHT8P/WD7ZbpKg9ht5ccc+tm7VaaCWL1QysMvB8lfjYx03AwdW +ai+u239t1BNMAQbQoIDjuffko/2ivTS1XVZo4uVjHHmowPLimgDBvG56NCkoInkewqY6NpF2tGf xDeekBX4nnRtBNvqkun9CpiidCzY1Ipny3jfMcNc5pETuJKwq2pnaEN6JIYQoQIrUXGbxyj8av1B pmhKxBwS4/GID58YywfQv7ndEnud910EgCGwd3+qzB0TacVDAMDCVrkkb2r8Zi2wei/rOtklfzap u05Eb17EqTofxmvvi+iO8uFCFaq2Jjbqin8S1AN9CchrakIHMbxxi6NS+/jbEQ/S2COV6lwiqQaB 0504mGMAVGhyYAsvPWnbD92Sz6M56y6OcsRZOLuFtepFMWOZqp0kx1LpBqjGUMNLkNxDtYat+vjZ osJ+XFI+LWPBPOIDbmXAxDsHH1amBtW4G5GVh7p0M80Hkct5PSbggs2EopR8gtilt6b3CSEEaz4W EcGPloVi3wcnBW/2ZOk42Lp34fUOXe+55H1inGqQP0J7otmLA8BCpsYm8eLoG7BwoMqpf7WhMvSe jHaNi9PTuyqidlJl/JYFbmDXP8nbhNkqnFB9KjF88/ik/jTOm5kzz0gguDtg5cYVNludxWY0JUa7 cOyPW2O+Y0fFToyYOftn/SIPr0wyrSrQZuijIiSG7ssUtiNeZwdPffYb2b5jfH1vYkNkrl84xxdD GTOPCsyZmFoJ8I8mL+rpyfV1N/zrZfDpu0QoPPsKuVEYXJHQov2EcKvyiItm7OBUw154PdX86uRc oamfvKEdTqC6zvTmbPf3YKQQwswNSOqcLkimcF7/bYrr6d1KoVYLIecYukkplMIw9vLPtN5MKiRk ulEs8SDEo2Iv0qoQX2sU1oYOOzv1XTFTsrHD+rMxdOZraQlSUtQ71IRQewAsQK/U73Bc7OEVaQwf uzGpNwhY6Byjf+FSZgFGkhWjjie1VYDYvm5RQm+/BVs28ecK/fKJb6YPDlmpL3r6mqLbry8xJkgL AjMu66wyaeByaveq9H3EqfcT63f8rnFB35CJVFnZVtrdofQoyYO/OFVbIeMBwOlprk5RvFGr5TUI gufpzXPmjmUZJVBLAMEBDKqvbfKKukhbzh/35NSppl/6Y2PM3z3runDFLWMMA5s/gV9nKJJbAEPy ucaPAlJhCRifl9GyBebYvpWi+M/chOJKw2NgVnLG0jfG8g6tW4Sngw7XM6n6UUGzKqj0aVeTMuZ0 cZV9sFJTXW6eLQ5Tn6vUyBMYCMoo2tdfuZj8QI3p0GEDH8dnAmWmScvC/+WgSm98/a2UMLfYKZSJ PrEbPIV1wR73Jq+eqUAfaElxKqA1hZD80m0KPClFnYRbISrZEYnkfFsi0Rbporl+Zbm/YYSYSlpQ rPuek/TCg5SwdftC1WNs8aZBWl7H280+h/yyboPk5BlMmWdnQ+ZwHQiSTm+hspnTw4iNHezCn3f6 IZSAboQ+wst+ojzxrG9MRebpx8kzPiapb6L+mciw79fnGjLXQAPtMkDFLWqMXbzNxD02Kg3iKc8+ X15xyhteMK4du0IVUKr5j3yyM8S5C/DKYanh3ntpvipSCHQ8/CZCvd3tXKP0+6WqsLTPeefF1wsY 0AaHcc6tDYaiWRQD6pJeqhEiLYT60jVbVFTbgadsFM7Ce8cNitQgfHaMZSBZDvudn9pSoqlChL2d 0xtoKgJJT9XeXAvpMNziwDKUZ2JGpeLhPCwwLO2bMNxNwNn/ZCh+2sBCOTmzqByGDNZpbHQKkJlH FvHxMJ5K9Nfrbb21elrFP8dxn/cLh8pJCucnQCiC3btbi/WCCelRYYu6/8drvS0OHA6VvYARdSbf LUhpxcwyjK84l7nSEri/0sD12rd/exBhTUMyvy5UqFCfCWEHQWwukYi0h5VGMFhw4Gz+WE3glLf9 wIzT11taDHDIe+roGQNsCohmzF+YdFOfif8nV6j2i19entD549iCRYLn4M8aQom5ryKh00qNGBG0 QHB+f+egn7TTFyEIZICnOpOz9Que+wPZ9Hzgq+Xo7AtfTRd6M/2RXOmmsQIhzQ90LPNVXD52d0Um KQjWgZb6S22GkJBM9M7sRuRqHkSFtAoa1NBdWH0u/ptSrQOoOhXOUuVx0kKg9SPX3Q4/BLCEAgED 9Q0hLpBO8Xy4008COljwSdMXmj51kJCNvt3Ldo1wTC5uv46A/9raEZWNi8IxLWe9e1aqZNUtGQDU QBbFdcz0zstlhOtlD6NPP/HJ1XHLddXoixYhCufpZ+AOSc69ldXwNKq5xUj2Qs1HQ3VLJ/4uFlh8 ijhS3yvC7ytgUDYZDfs7Kn2T3Y2i7kA74fsXlUptcDM4jMQKIPMR25Xy92ZUum/cgfsZgiLlKB6h se8UoydcQ2DWOFoo56DQdidb0rap66zwNOlVTqlDAI5xEB4sfgCYnLu6eh+7FX9+Qji6H8emdait mFlBFjDQfK2a+nGsJS4PfOBrydIvXqI5zi46dicRWwiI/FMZZ85F5i0X8eVQIjeZszn+C0Uwl+of s1EIdU4j+8R6pfffH2UW97L+Azpl+fNlHLXPn8+Cn8xG4qat7zkHfL8GnSBt/AW4qi1yHJ2qc2BF h5pEUCUmOXKBXxcSIM0/WSxFYpUi321c7P62otZGIR2cm1m+iNKEUEDm1NLFnhGxAaiR/g2+wzXo +wMzRuGOCuDF9UEmrhfY7FCQKsYcf0kVrYENQKULlb1jLvR0HkgA/s+uFaseXZSi/tKjOOdnHnAZ 1vnG50jEqI8nITWQjL2dY4VTgMge9ge/M/3mK0qVu6cG3HLOntYIBf7COoVstP60DUfjI+gkCNf7 iLJ6MqLTOEKn2LAf//3K8gtGSxcEhsUvspwfU6q1mTCoHp6wGnRjZSgJOEx82Gbqm/5jogZy2Bct +JjVQXVIGHu9YyR2VhClP3is5sTzd/Cow/uHNCk0s1OXiTwY+BazAvRoXBZDOBwOD5cn64OOjqkE Jh4x26olFmWqVjY5odAR942pZqMyaVYTbIydzLnBLC+cnr/Pm+wdG3D+Rba2a4DzSIDIUCe/n+HS R/WkAKvMVPRyi0eVZYlSR0XVJ87viprMiY1zU4EzSsa4BGoYEtkERpVHo6AOpJRciodrjPgEGaD5 iqNlUCUR34wKRbae7TljuJT+1uFye4VMFfhn0RyUEjlUfs9b6Vz0zQz1hY9vHHFF/PBDORd2uWbk zn9gyc78s77mF8jgfxYI3SHDQWn8dmG9JyN7ch+hkBNK4oHrtWlK8CteM/qjNiff3J4/vZ34A1qk T8K/ib/hLdfwYY4CTP7tuFwaZZS8ZNJw0Ak4wEFlJOiFgzuN9qJy8sC3a47RB81mdYE5bWUe2tnj Fmq96KZymUHWWNYlMNP13bD5ztnAkYZ6cKdhWJR3vuVNM3sfq6ZfTHjcuetkJ+JH7nPthDQjFn79 0tNoA3R6pwy8QE4n33AxzgGGRQWLt/F3gmHCuqL5z07O7O9iVkfGgAs7D1b/ZuvchuPitUCh/Dgf CPb3vciDp3SnawWnb/iR1HOKb1GtH7jEBfb25ibb4cyIJBY/siPwed7E22ojHNLuta/qdn7Sa0gG XD8/8zJEOOvprkVTJtlXp7eq3RU54cw1UyTD+5YWaTqfDcRvbbNf5KsxxBqjiercaLaj3mZCsGh1 pF3OrAw9POHbr+z2xKGSC7ZHyJ4b3IWwb+ek/ddBZQ74BFl5WK0obt2udRLHlI76zCaFQCSqZ7vq hCX5dfFIe1Obp3M7i7W3K6KYY0pP/SFA1JzbuT8n8gjpzk4ra7qp7yBHLDymftYUck9GDHvuI2nl K8IamZ7Nn+Pp7Ra509OotaaritYT+NBESqRFUaDtw3O71qiYopbuZElX3RbTqOrWeOr7va3Er3Cn ASOBUeG1FO8/HvxYfWECByv+i44+fSgwkXM6B6kUoDGjAsRB1cv4RXQylYlTq8vc4+S6XpvZVHpD RKDJUUwhHQlaMzx1CUpYK37JCHFePdOHZwxc5aHhH05pbnfLOkMtJKVl6UN4ZH+jFxdvl7dWaBZ/ qxqxcOQkzMUiaywJmZlAwNJXOcYCFnM0SrhWwWPbiKgBkXBPNHJ0E5wR/ihMO1/gDXxuAHuHDvsC y/A02CmnHdzvTgtzy/Mkm+E6rR8gH4GIdr/Z0rVvy3ooTcStugrdQkGOYFMOBVdyEa4QoU8prsdD U2EBcZSB1Q9kVml1ohOzu1TIG7BcNb8kpsDESvZevuAjBBBKSlF7oUlggMOQw1Bx9Jgfi3/7gtAh giVmJKnlrn4W02ftKw+hiEn7RDSg1lQ9EodECXeHfL0QaC2yrVpmYOk5hM0VmPa3RvXNkROOIPpW 2kXHnGyPmJmUBKRvcANzaCzVDUlmtBhrhBnRi363o+hA9JuelcI50NVsQofdd0k8COgC+bMohUF6 cowmfdpBNL93+/nt+s67mh6sxHjcCJazvUc+svJZdNKRdxQJUp3iw7YyDEIrrI/eIet1k1GkPpwY A2/+MWqK1mDiXibTw/cyZoAr2x37OxFKrSzt3klKzKRnVsUrb7I4jSpKMivGzovhAzSGw/9s/ulq PdfZ+qCy2ClhG0rm6SM6HEywZd4/XMriO/nIqX2AIOXToZXizTE4aaOXad5iJOHAfSCkM+cKBcQH IiZDTRI/ccnoiD2eFm7e+KLBNk3scXL9eF2toApTqhXvMIUERt1zDwpmd5yTSLYXiqa5mg9VsQfQ c6292v3ssK0Nbt0SdxIPIfVpPAhbKZmwSZhKsVpY6ukzJJ9ULNRDwv828gV502Fy/kWAJFbiToLa w+oIIjMPlJtijus0DnWSrgjJzEELehiEei65+jYjc20HVTss+Ge+a0MYkSwrFVobm+HxvsrqM/rN Lo96QLOwkN7pekXs3goMXSDOlmCqTJRwNQfuRDkc4S4gPcPKdTfPpjNeOy/6FI5Rd+sURzIh3Cxq qcgS7p0XB63jyZfT2zArIC870YEvQUzxclR46hBH0UsbRvlDD6bsUv8lqS0iVZfD9qinK8DuP8b8 LnrI7o4RTg6nc4mk6TLEPUBT1oCk1mPA+sGd4cPKOiDRz1fPiuSM2qe/ZNR3xBBdQ9UVzI5R0GlZ 1qBRkfuinYNEGCF1ObsmwQEbUwQs0OwI6hc+HX2/fVfpEpJmG8W24c7fb8XXe0fWM4HaOkPRxZZ2 GhLZsbaCR5YOBSwQuAWSmrwlExplarJLGxQwtma3ZW7dYk8xOFACNSWQyuYkELqhp3ph58qcbMW4 bwypbhwkzisqPdwLqnNHQPa1EuQq98e71Cq7Wv0KTEzbC26/I1Vo4mR9wBWmcIm07pWeYswn+zUR zF9eD5csIsLB+cRoetYemoX4N20bMWNdUV/vGsbiZn4KOWVWfytnhG1Z85pYbKvDut8O34Tbzc2L L2AwGY40BAY+xa+Vv1d4gF81jmaGBtIrxPy/o3cWK5IWV5A3Qi0n87zKuSgbHO1b03rxnmkJQw9l Ys8WyEBSu3s7SZGQqw2FdR4gK2yb+mavgUsaBuHxSZdMi9Xg98zxpYEmeBvLfUwYkT4YRG9ONYTt qQ5LgtVU0Dpoi6QqXzBsyKSrD9A43FKtw36pBenntjdSiInSlU57B7+kKgxIQjalLX6F/zXRSsKz HKb+crycIVtBt62vbF+i4rQ7DpOanx7YvpVlqp60ozSTlAgg5zXzF/OIdKut80oYB8ZHMHwC1aD0 P3From6w7RmhBArKrwMHu+YKBezNFI5U8s5OFsu2o5TyJowbFRCgko5F7mpd0iIyKdGWCc0E/Nlb dt4AglroR076xZ3kN1uvv8S5o80JpVp5XztpiX9r3ZSBMxv/tl353seEj0xpYfZf9wTSPcAjNm22 6nKyEwQW4+DKoaO2yzCjPWRyOr75QKbpaSbxDehrmvaI9WVbSZGLKdMAcuIjn8QosQg+38QmSM5u fw38c40/QsuYQz685Jq6AzTAGC+JIqwUKj2kS3s3TGXttXtHJNXdQe0oQVhj6vu9UzLPGZzxve66 rwPO3mbFwE/jzyJC8CYyw7cnULpHA6Nlz2qqwC9efUyvW5c5hoG7eyU0ffXZY3zxGytucnqRuFZu PENaMX0nJIZ8lH/lvLb4HyGz4fDn4+NzWi96lXZjmGCE5u7mvfmuhIkXs6FTp47PI8CZnGZ1OslS 8DHg8jPITrWtw/CJ8IzVgRk6V1iT22NVvhj64CGdIUdVk7KXC5Gkeen0JC3CGMgSY64glYpfq87j 948lrowwAoQ/f/T8u/L7m+ehiv+DFSkh2gQayIuVLL0wot1ZsYkVaGw4qoDSpt+pJV6o8P3PA3Gj i3Svxcsovh/9AISp0pAssXK1RCQlXwsvY5fHzTb6Xboo3/QtLP9/id+j8+q7Y/8PYi0iK1Uzl2jq V5q2nDbPQc1J7EsaiK2g4NJxTNcrf7ja+9ZQ/5GMxS5lkOOAlCYeXnKSsmUFC5EJweCKXLKbQho+ qWKbPiWc/ruGVy02TU29kqKG11Fi1FYXdxNqaGFKff67lU8yw1vp16H1IUbmg9t4sqWtRv6rbL4n xsmvKzoTvx+Q3i/VVNOv1umbyGc4+jRDkQZeTkQvJldFtPCNVy4fFjqhvGqpTimizOgEIGKMXUFX xWlKK2K6ZYqFY27/F0+wtwJPCtiImWhcq91ObfAkB1oLwkS/BBTGw3v4FjxNYl+I07K/kcW+udx6 kqbUlv9VsXhtlixjywBuLm9Rur9QFQYgmSi01R81Sxx0Q0CAimnhh5nDwgiaqJQ7LnyrLF2jJy2r 3OzQrUvqBCHlxWr83lb21sxslmB7RW6p41lKVAuMZqx+BnYJagVW8g6AM3RiYrmow7XfrDYsYbl/ GDGOJ4sPr82IJK7/Z+LLgXrjQfePCXCmDZSQJ9vePptQ8/HQWbqlWug/SE6eKfQSWjNPOUwWD288 9IVrr2YRmEs0lFwyb54Cvr+FFHMLyCbZ1eofF1UY+AKk9Wr6uvM8D0WelziROnvPdaDLBWNuPUZP Hxc95bt6pNuoOWlw+kJFnLqVHjcNBF/SfRFNnT+A4JnmzktY8WYQeqvPZ4/0+6PHK/iz1KE1U/0J 5Ytq8y4cM7OsTrU7qCcF0m08Gg+CnB8xrV7SdyW7zdpKiiRR3EXC0h7530NO4gTkxTnnhQgQPSQu XKwuOyAtcJshpKWu1RSK1ExQoj0dE0zgkeXTK87+UZxyjA8z1KDuVKe+p1aSdm/zWADvLGAS33dy ftpB+008SF5a02GK6EFyp+mXKGCYzaivxOR++avBo3Kh3cnfQVihEIZ2mM7/OvPSshIpvcBAFB6r ndoOFmH+FNXQGsAQSGfWZjEeqV8fqz8Oj3bgUEpa/8BlDGcVSzVKk96BTWQXKuFT8pUE3y3i/gVn 6rD1nRzb1p+AeDpt2O1tjlFVSd1RehwQruIbvKRP0afUX9sPhsv40h9OyxfFfLxImbSLgRnJyKmR 7+K+oD1yJQSGjxPo8yfYIKno9ark4Ua2m2OyBCqSEgygXCYi70TpfNQK7mv8T0AMd/v46tM6Cgdr OVc3v09B4srhl/2SClbImXLbfXHEvxq2qjFKXUaewek4BofjK7tdD2BBXRxJOd5pGgBys1Z8J7cH Xa/e98r3DSwVR1g6yZOHCWUKOUTOv1J9e0V+0u5EKG1LGGisvoU1XA4o7alxqRxj6ehIU7K5+Mta DrRV+RssGrMYme1nsX6djwynLmyqj71Etutszoj6yC4O10Bt9ZRcvRSLlTbG2628LO13zjMdneWq b3RJIDrZnx4ImhBSgFhD05DcmGn2cOex5TztJr+3jlH33IIHTDd3ZWPvbi4uICrdLmWOJo7xTCHU n7j6N1rMe+4F1RKiGPaTDA2vepooRUmMHoOCXwCKIDb+4gNwLy1pEFpZ8CfNLq9POk1C53wLlVgL 1b+eAlU4GnXFNl9sjMAet72b7orgwMplVu1STo0ywObVSMwIY6IXu+2RW6ESF+3KcHXuqVCDfzhZ g7X4sezB9MI1W0uxE52SJThoSxlpZFqCUD+l+HR+AvWpFnB/OlTheVlh0DHMacKeGo5akGwOsEjX iuD2TBz+XbGyfb+xagtQhNPYY74CkWtPUqmT+4iC7NuKhYb46k7SGECfcFn4TcXTuQMTSCt67yPG vEuyn9nWLQVzliyh/eMPHpe9ULWbtHFw4NuaMU+QccMCOsZPmKsrzEj0hC3VGDbR0aUjGrq/YJtR iCX/1eEeOUMklqOOU1kfxuviv7n/a1LqQ/RR5NhpnSSblyng4KXA23Xi4oc0muUw+8ySelZUiwSp ls2N3OtLnsgK4dXtPteXPz2FTvnBGcNzGwGrbT7CFsutRxkFBZ4J5x4dRIvwTkTpcvuV+fPZLQTM OaCNvcoiwjBYNW8MmpQcBT+9byCfdbfXFyvZzQkdoT6/YsOV0w3kkO0hVlrHLQ8B9k2hv5fJIe++ DqgdvXYpua6ldJVSCMkd4+RvNk+mOy94sGokY7rw97gndE+IM7mC05e11N0C0mjy8bFocxp+s8n4 0EcO6Y5wppQOOBwPoCprqFf7tkuhV+ZxW25Xt/2yiX8lYrFDEAJ6wMtAn/wYauPlO5hIO7uDI5Go N4yL3b9k0RNea97c5NukXD8f/zkfLTjZXTujZSEqnIBFd3UMqyiJpgQfOx35bwiJWMqodlYiD1Aj 1nHQedXGBYUPYynxIfmjmIWctDDXVsl7tmmPD8dmP62gLARot9B8xYLBo/YVH21f++Llb6P/7iXi 7SwuCxYle1mIvc1p87uaGGXOKnFmwlUfXFGT74llpBJibEbsDqXmHVTw1oPQ0HRMEDaiFpDlcIv2 jVBPaVEjcaCIwUx6Bp9ca7rlXbT/yroKr4126NytfDsaPHAznY/X0i5vvS8/MN3yWTMKkD65aUDa 35T1teyDRRW4YSMgJawLElWy8sNGLflLC3rLsb3//PYjE0L+/pzS5cBuZll8nnp9j9ps7noZBxdx Hl2LRx+vCCYW8B25VhjdocYLHbZgveGmuJO2TUInoYGVHRAZfnK1qiRYeRzK+HjbYoU2QUTUwe6f LntTu1z4HI+aKb3TOaNVPuibgccvK/7u/fxYlzDSlrsQ98c1/67pCbAsDuaDcBTKFj7/NdkY1adJ jqsmZ8tQB//PMjmOrMH46Y8k4a1CocCTPyPKXcdopQYyUHD+G2jnYQkkah76+qNSUxegBPx6j4i5 ZAMCLz4Z7wLq7l8WvxgZdxjniCZzN9BcjDacDTzwiNBiVVGTcF4ffPXFrQd0haxQQjrM05u9rcs4 4aEAWBZsOLf9OS/GAw3tjHwaEN6lWm7dTiC8ZAooo0WY2KrEaoasp/57D+Nt0Erj9eH/GbNxvUDA BIEykq3zy5eUjOcNmN2s3o5f3vy8AByTfDwRIydUVTm69MiSBA2KwGjBnP1bZGpIIDgBFRRJQXgT z79bVRZw19cemBuSBBaCOvk7EquEeLSMWhJw6sfDguJrspsjIeyH0fUpp+wDUy7Bqt4fI3dI9SVn AYwth+QK2wjqey9aIrI1RS96wpDzvzQ0W7FUEV1YkBqAfqm5W1de2t3PQDs7XO5A9sj0ZbXuJaBg LThfmA7kWrQfYVbHiLTBBYjSK0TFaBZL1rZ2Zes3PNoDisBwGyM+QU3ygvBf8NDobVMiM7AsQs0Z uwURtrhoNcrNpb9AgFZ5N3CANbUlVPWHZmkD7XOld4GAXKe+PyOR0a2dpbS3ZTpJNwA5nb8rB4jZ LukoQmotedJwdxigciVkKI27IlV7qj0NWEVv8hdq4cbRqUFXp4MwEOV4N77Qkr13k26cEQA+gJ4n 37ahFgmlgFoF63vF8botKhKU8Nk00FVu1/QSm4AhoQUSHTxDMdCkc0itP4/Fr9JFLgaGCCyjDt+5 qveTdvE4qlQBjWEBGS37MVjVqi0bEDBtlvlNpAtzLN6eogFqEfYKWsSd7HKvd4GBU3twiri3anui 6OdvoewfpO6F1eXMnu9lWgm5gNoqg2WG8EHMSlHaopdhVOtvEWFuMIJmTcl7BCdnNQmBCRBEDaxk dHF4LXQq6Z8HdWpQ7ULFlx3gazL8mWyXxNFtweReWR4QTCCdDWkAbzsbO2auz06m9Sk3h6I98E2G 2goe3NJ+oQYf1d5NhGxryoA+YXLLTZ7d6QGEy3MCWtgr4b9I2uzPV48OY8cpM7ler/czuh+aYLQr cGi4p+muuJSozPgbiOHGwSXTB2VEIGDg2rQqAcDPdrBs7TvwoINf74glENwmRjxFSIZDTouxvGQU mR0AHLhyU70rCwGec3YhssrfqPmbC1kCtrInB38E2hKEPwYtx/4UK9l8hDvrISH5ubNZqoUEM0nm DX631aDJuVm4wWHN0SyN4ro+3kPZvQGOMlKuotb6veWeEPEFZJ6rHeb/8lLTktSMN8GlHsMkiZOa fn/ThRsguJaN03ZLPkvuLObWVrThbnoSa1dkka3CWorNCYMWMgt97D54mKTjDSI4WdsJAtrdbx+8 hu+VXtDFH2K0VqfsA2ahc6W5ry3UyEWy1I0NEIBd9S/Y+Ynaw6/fSxr79YnqIzETLELYoolYIOFu fsAFD5iKKG/pnOVfjRa4/7VWBCLBzKjCNHgaJ7psA0zV88iGpcFcKMMuGSAVF9ncXbepYmhhcnre RFV6+0tIrw4/hAUfQ6+zTX7iJRt3RRtn/H7W6U11f4aIM+TtfxAVxUw9VJNTxbx/Jw== `protect end_protected
gpl-2.0
a280ff99196083c31322081b823b4307
0.933205
1.874149
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Reg_No_VGA/Shadow_EX_NoVGA/SH_EX_tb.vhd
1
2,693
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:22:56 04/14/2016 -- Design Name: -- Module Name: /home/tj/Desktop/UMD_RISC-16G5/ProjectLab2/Shadow_Register/Lab04/SH_EX_tb.vhd -- Project Name: Lab04 -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: ProjLab01 -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY SH_EX_tb IS END SH_EX_tb; ARCHITECTURE behavior OF SH_EX_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT ProjLab01 PORT( CLK : IN std_logic; RST : IN std_logic; ALU_OUT : OUT std_logic_vector(15 downto 0); DST_ADR : OUT std_logic_vector(15 downto 0); STORE_DATA : OUT std_logic_vector(15 downto 0); CCR : OUT std_logic_vector(3 downto 0) ); END COMPONENT; --Inputs signal CLK : std_logic := '0'; signal RST : std_logic := '0'; --Outputs signal ALU_OUT : std_logic_vector(15 downto 0); signal DST_ADR : std_logic_vector(15 downto 0); signal STORE_DATA : std_logic_vector(15 downto 0); signal CCR : std_logic_vector(3 downto 0); -- Clock period definitions constant CLK_period : time := 1 ms; BEGIN -- Instantiate the Unit Under Test (UUT) uut: ProjLab01 PORT MAP ( CLK => CLK, RST => RST, ALU_OUT => ALU_OUT, DST_ADR => DST_ADR, STORE_DATA => STORE_DATA, CCR => CCR ); -- Clock process definitions CLK_process :process begin CLK <= '0'; wait for CLK_period/2; CLK <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; RST <= '1'; wait for CLK_period*2; wait for CLK_period/2; RST <= '0'; wait for CLK_period*10; -- insert stimulus here wait; end process; END;
gpl-3.0
d7583c4a7c53b0f419724760d0f9c102
0.585964
3.704264
false
true
false
false
UVVM/UVVM_All
bitvis_irqc/tb/irqc_demo_tb.vhd
1
19,229
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- VHDL unit : Bitvis IRQC Library : irqc_demo_tb -- -- Description : See dedicated powerpoint presentation and README-file(s) ------------------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use std.env.all; library uvvm_util; context uvvm_util.uvvm_util_context; library bitvis_vip_sbi; use bitvis_vip_sbi.sbi_bfm_pkg.all; use work.irqc_pif_pkg.all; --hdlunit:tb -- Test case entity entity irqc_demo_tb is end entity irqc_demo_tb; -- Test case architecture architecture func of irqc_demo_tb is -- DSP interface and general control signals signal clk : std_logic := '0'; signal arst : std_logic := '0'; -- CPU interface signal sbi_if : t_sbi_if(addr(2 downto 0), wdata(7 downto 0), rdata(7 downto 0)) := init_sbi_if_signals(3, 8); -- Interrupt related signals signal irq_source : std_logic_vector(C_NUM_SOURCES-1 downto 0) := (others => '0'); signal irq2cpu : std_logic := '0'; signal irq2cpu_ack : std_logic := '0'; signal clock_ena : boolean := false; constant C_CLK_PERIOD : time := 10 ns; subtype t_irq_source is std_logic_vector(C_NUM_SOURCES-1 downto 0); -- Trim (cut) a given vector to fit the number of irq sources (i.e. pot. reduce width) function trim( constant source : std_logic_vector; constant num_bits : positive := C_NUM_SOURCES) return t_irq_source is variable v_result : std_logic_vector(source'length-1 downto 0) := source; begin return v_result(num_bits-1 downto 0); end; -- Fit a given vector to the number of irq sources by masking with zeros above irq width function fit( constant source : std_logic_vector; constant num_bits : positive := C_NUM_SOURCES) return std_logic_vector is variable v_result : std_logic_vector(source'length-1 downto 0) := (others => '0'); variable v_source : std_logic_vector(source'length-1 downto 0) := source; begin v_result(num_bits-1 downto 0) := v_source(num_bits-1 downto 0); return v_result; end; begin ----------------------------------------------------------------------------- -- Instantiate DUT ----------------------------------------------------------------------------- i_irqc: entity work.irqc port map ( -- DSP interface and general control signals clk => clk, arst => arst, -- CPU interface cs => sbi_if.cs, addr => sbi_if.addr, wr => sbi_if.wena, rd => sbi_if.rena, din => sbi_if.wdata, dout => sbi_if.rdata, -- Interrupt related signals irq_source => irq_source, irq2cpu => irq2cpu, irq2cpu_ack => irq2cpu_ack ); sbi_if.ready <= '1'; -- always ready in the same clock cycle. ----------------------------------------------------------------------------- -- Clock Generator ----------------------------------------------------------------------------- clock_generator(clk, clock_ena, C_CLK_PERIOD, "IRQC TB clock"); ------------------------------------------------ -- PROCESS: p_main ------------------------------------------------ p_main: process constant C_SCOPE : string := C_TB_SCOPE_DEFAULT; -- Overloads for PIF BFMs for SBI (Simple Bus Interface) procedure write( constant addr_value : in natural; constant data_value : in std_logic_vector; constant msg : in string) is begin sbi_write(to_unsigned(addr_value, sbi_if.addr'length), data_value, msg, clk, sbi_if, C_SCOPE); end; procedure check( constant addr_value : in natural; constant data_exp : in std_logic_vector; constant alert_level : in t_alert_level; constant msg : in string) is begin sbi_check(to_unsigned(addr_value, sbi_if.addr'length), data_exp, msg, clk, sbi_if, alert_level, C_SCOPE); end; procedure set_inputs_passive( dummy : t_void) is begin sbi_if.cs <= '0'; sbi_if.addr <= (others => '0'); sbi_if.wena <= '0'; sbi_if.rena <= '0'; sbi_if.wdata <= (others => '0'); irq_source <= (others => '0'); irq2cpu_ack <= '0'; log(ID_SEQUENCER_SUB, "All inputs set passive", C_SCOPE); end; variable v_time_stamp : time := 0 ns; variable v_irq_mask : std_logic_vector(7 downto 0); variable v_irq_mask_inv : std_logic_vector(7 downto 0); begin -- Print the configuration to the log report_global_ctrl(VOID); report_msg_id_panel(VOID); enable_log_msg(ALL_MESSAGES); --disable_log_msg(ALL_MESSAGES); --enable_log_msg(ID_LOG_HDR); log(ID_LOG_HDR, "Start Simulation of TB for IRQC", C_SCOPE); ------------------------------------------------------------ set_inputs_passive(VOID); clock_ena <= true; -- to start clock generator gen_pulse(arst, 10 * C_CLK_PERIOD, "Pulsed reset-signal - active for 10T"); v_time_stamp := now; -- time from which irq2cpu should be stable off until triggered check_value(C_NUM_SOURCES > 0, FAILURE, "Must be at least 1 interrupt source", C_SCOPE); check_value(C_NUM_SOURCES <= 8, TB_WARNING, "This TB is only checking IRQC with up to 8 interrupt sources", C_SCOPE); log(ID_LOG_HDR, "Check defaults on output ports", C_SCOPE); ------------------------------------------------------------ check_value(irq2cpu, '0', ERROR, "Interrupt to CPU must be default inactive", C_SCOPE); check_value(sbi_if.rdata, x"00", ERROR, "Register data bus output must be default passive"); log(ID_LOG_HDR, "Check register defaults and access (write + read)", C_SCOPE); ------------------------------------------------------------ log("\nChecking Register defaults"); check(C_ADDR_IRR, x"00", ERROR, "IRR default"); check(C_ADDR_IER, x"00", ERROR, "IER default"); check(C_ADDR_IPR, x"00", ERROR, "IPR default"); check(C_ADDR_IRQ2CPU_ALLOWED, x"00", ERROR, "IRQ2CPU_ALLOWED default"); log("\nChecking Register Write/Read"); write(C_ADDR_IER, fit(x"55"), "IER"); check(C_ADDR_IER, fit(x"55"), ERROR, "IER pure readback"); write(C_ADDR_IER, fit(x"AA"), "IER"); check(C_ADDR_IER, fit(x"AA"), ERROR, "IER pure readback"); write(C_ADDR_IER, fit(x"00"), "IER"); check(C_ADDR_IER, fit(x"00"), ERROR, "IER pure readback"); log(ID_LOG_HDR, "Check register trigger/clear mechanism", C_SCOPE); ------------------------------------------------------------ write(C_ADDR_ITR, fit(x"AA"), "ITR : Set interrupts"); check(C_ADDR_IRR, fit(x"AA"), ERROR, "IRR"); write(C_ADDR_ITR, fit(x"55"), "ITR : Set more interrupts"); check(C_ADDR_IRR, fit(x"FF"), ERROR, "IRR"); write(C_ADDR_ICR, fit(x"71"), "ICR : Clear interrupts"); check(C_ADDR_IRR, fit(x"8E"), ERROR, "IRR"); write(C_ADDR_ICR, fit(x"85"), "ICR : Clear interrupts"); check(C_ADDR_IRR, fit(x"0A"), ERROR, "IRR"); write(C_ADDR_ITR, fit(x"55"), "ITR : Set more interrupts"); check(C_ADDR_IRR, fit(x"5F"), ERROR, "IRR"); write(C_ADDR_ICR, fit(x"5F"), "ICR : Clear interrupts"); check(C_ADDR_IRR, fit(x"00"), ERROR, "IRR"); log(ID_LOG_HDR, "Check interrupt sources, IER, IPR and irq2cpu", C_SCOPE); ------------------------------------------------------------ log("\nChecking interrupts and IRR"); write(C_ADDR_ICR, fit(x"FF"), "ICR : Clear all interrupts"); gen_pulse(irq_source, trim(x"AA"), clk, 1, "Pulse irq_source 1T"); check(C_ADDR_IRR, fit(x"AA"), ERROR, "IRR after irq pulses"); gen_pulse(irq_source, trim(x"01"), clk, 1, "Add more interrupts"); check(C_ADDR_IRR, fit(x"AB"), ERROR, "IRR after irq pulses"); gen_pulse(irq_source, trim(x"A1"), clk, 1, "Repeat same interrupts"); check(C_ADDR_IRR, fit(x"AB"), ERROR, "IRR after irq pulses"); gen_pulse(irq_source, trim(x"54"), clk, 1, "Add remaining interrupts"); check(C_ADDR_IRR, fit(x"FF"), ERROR, "IRR after irq pulses"); write(C_ADDR_ICR, fit(x"AA"), "ICR : Clear half the interrupts"); gen_pulse(irq_source, trim(x"A0"), clk, 1, "Add more interrupts"); check(C_ADDR_IRR, fit(x"F5"), ERROR, "IRR after irq pulses"); write(C_ADDR_ICR, fit(x"FF"), "ICR : Clear all interrupts"); check(C_ADDR_IRR, fit(x"00"), ERROR, "IRR after clearing all"); log("\nChecking IER, IPR and irq2cpu"); write(C_ADDR_ICR, fit(x"FF"), "ICR : Clear all interrupts"); write(C_ADDR_IER, fit(x"55"), "IER : Enable some interrupts"); write(C_ADDR_ITR, fit(x"AA"), "ITR : Trigger non-enable interrupts"); check(C_ADDR_IPR, fit(x"00"), ERROR, "IPR should not be active"); check(C_ADDR_IRQ2CPU_ALLOWED, x"00", ERROR, "IRQ2CPU_ALLOWED should not be active"); write(C_ADDR_IRQ2CPU_ENA, x"01", "IRQ2CPU_ENA : Enable main interrupt to CPU"); check(C_ADDR_IRQ2CPU_ALLOWED, x"01", ERROR, "IRQ2CPU_ALLOWED should now be active"); check_value(irq2cpu, '0', ERROR, "Interrupt to CPU must still be inactive", C_SCOPE); check_stable(irq2cpu, (now - v_time_stamp), ERROR, "No spikes allowed on irq2cpu", C_SCOPE); gen_pulse(irq_source, trim(x"01"), clk, 1, "Add a single enabled interrupt"); await_value(irq2cpu, '1', 0 ns, C_CLK_PERIOD, ERROR, "Interrupt expected immediately", C_SCOPE); v_time_stamp := now; -- from time of stable active irq2cpu check(C_ADDR_IRR, fit(x"AB"), ERROR, "IRR should now be active"); check(C_ADDR_IPR, fit(x"01"), ERROR, "IPR should now be active"); log("\nMore details checked in the autonomy section below"); check_value(irq2cpu, '1', ERROR, "Interrupt to CPU must still be active", C_SCOPE); check_stable(irq2cpu, (now - v_time_stamp), ERROR, "No spikes allowed on irq2cpu", C_SCOPE); log(ID_LOG_HDR, "Check autonomy for all interrupts", C_SCOPE); ------------------------------------------------------------ write(C_ADDR_ICR, fit(x"FF"), "ICR : Clear all interrupts"); write(C_ADDR_IER, fit(x"FF"), "IER : Disable all interrupts"); write(C_ADDR_IRQ2CPU_ENA, x"01", "IRQ2CPU_ENA : Allow interrupt to CPU"); for i in 0 to C_NUM_SOURCES-1 loop log(" "); log("- Checking irq_source(" & to_string(i) & ") and all corresponding functionality"); log("- - Check interrupt activation not affected by non related interrupts or registers"); v_time_stamp := now; -- from time of stable inactive irq2cpu v_irq_mask := (others => '0'); v_irq_mask(i) := '1'; v_irq_mask_inv := (others => '1'); v_irq_mask_inv(i) := '0'; write(C_ADDR_IER, v_irq_mask, "IER : Enable selected interrupt"); gen_pulse(irq_source, trim(v_irq_mask_inv), clk, 1, "Pulse all non-enabled interrupts"); write(C_ADDR_ITR, v_irq_mask_inv, "ITR : Trigger all non-enabled interrupts"); check(C_ADDR_IRR, fit(v_irq_mask_inv), ERROR, "IRR not yet triggered"); check(C_ADDR_IPR, x"00", ERROR, "IPR not yet triggered"); check_value(irq2cpu, '0', ERROR, "Interrupt to CPU must still be inactive", C_SCOPE); check_stable(irq2cpu, (now - v_time_stamp), ERROR, "No spikes allowed on irq2cpu", C_SCOPE); gen_pulse(irq_source, trim(v_irq_mask), clk, 1, "Pulse the enabled interrupt"); await_value(irq2cpu, '1', 0 ns, C_CLK_PERIOD, ERROR, "Interrupt expected immediately", C_SCOPE); check(C_ADDR_IRR, fit(x"FF"), ERROR, "All IRR triggered"); check(C_ADDR_IPR, v_irq_mask, ERROR, "IPR triggered for selected"); log("\n- - Check interrupt deactivation not affected by non related interrupts or registers"); v_time_stamp := now; -- from time of stable active irq2cpu write(C_ADDR_ICR, v_irq_mask_inv, "ICR : Clear all non-enabled interrupts"); write(C_ADDR_IER, fit(x"FF"), "IER : Enable all interrupts"); write(C_ADDR_IER, v_irq_mask, "IER : Disable non-selected interrupts"); gen_pulse(irq_source, trim(x"FF"), clk, 1, "Pulse all interrupts"); write(C_ADDR_ITR, x"FF", "ITR : Trigger all interrupts"); check_stable(irq2cpu, (now - v_time_stamp), ERROR, "No spikes allowed on irq2cpu (='1')", C_SCOPE); write(C_ADDR_IER, v_irq_mask_inv, "IER : Enable all interrupts but disable selected"); check_value(irq2cpu, '1', ERROR, "Interrupt to CPU still active", C_SCOPE); check(C_ADDR_IRR, fit(x"FF"), ERROR, "IRR still active for all"); write(C_ADDR_ICR, v_irq_mask_inv, "ICR : Clear all non-enabled interrupts"); await_value(irq2cpu, '0', 0 ns, C_CLK_PERIOD, ERROR, "Interrupt deactivation expected immediately", C_SCOPE); write(C_ADDR_IER, v_irq_mask, "IER : Re-enable selected interrupt"); await_value(irq2cpu, '1', 0 ns, C_CLK_PERIOD, ERROR, "Interrupt reactivation expected immediately", C_SCOPE); check(C_ADDR_IPR, v_irq_mask, ERROR, "IPR still active for selected"); write(C_ADDR_ICR, v_irq_mask, "ICR : Clear selected interrupt"); check_value(irq2cpu, '0', ERROR, "Interrupt to CPU must go inactive", C_SCOPE); check(C_ADDR_IRR, x"00", ERROR, "IRR all inactive"); check(C_ADDR_IPR, x"00", ERROR, "IPR all inactive"); write(C_ADDR_IER, x"00", "IER : Disable all interrupts"); end loop; report_alert_counters(INTERMEDIATE); -- Report intermediate counters log(ID_LOG_HDR, "Check irq acknowledge and re-enable", C_SCOPE); ------------------------------------------------------------ log("- Activate interrupt"); write(C_ADDR_ITR, v_irq_mask, "ICR : Set single upper interrupt"); write(C_ADDR_IER, v_irq_mask, "IER : Enable single upper interrupts"); write(C_ADDR_IRQ2CPU_ENA, x"01", "IRQ2CPU_ENA : Allow interrupt to CPU"); await_value(irq2cpu, '1', 0 ns, C_CLK_PERIOD, ERROR, "Interrupt activation expected", C_SCOPE); v_time_stamp := now; -- from time of stable active irq2cpu log("\n- Try potential malfunction"); write(C_ADDR_IRQ2CPU_ENA, x"01", "IRQ2CPU_ENA : Allow interrupt to CPU again - should not affect anything"); write(C_ADDR_IRQ2CPU_ENA, x"00", "IRQ2CPU_ENA : Set to 0 - should not affect anything"); write(C_ADDR_IRQ2CPU_DISABLE, x"00", "IRQ2CPU_DISABLE : Set to 0 - should not affect anything"); check_stable(irq2cpu, (now - v_time_stamp), ERROR, "No spikes allowed on irq2cpu (='1')", C_SCOPE); log("\n- Acknowledge and deactivate interrupt"); gen_pulse(irq2cpu_ack, clk, 1, "Pulse irq2cpu_ack"); await_value(irq2cpu, '0', 0 ns, C_CLK_PERIOD, ERROR, "Interrupt deactivation expected", C_SCOPE); v_time_stamp := now; -- from time of stable inactive irq2cpu log("\n- Test for potential malfunction"); write(C_ADDR_IRQ2CPU_DISABLE, x"01", "IRQ2CPU_DISABLE : Disable interrupt to CPU again - should not affect anything"); write(C_ADDR_IRQ2CPU_DISABLE, x"00", "IRQ2CPU_DISABLE : Set to 0 - should not affect anything"); write(C_ADDR_IRQ2CPU_ENA, x"00", "IRQ2CPU_ENA : Set to 0 - should not affect anything"); write(C_ADDR_ITR, x"FF", "ICR : Trigger all interrupts"); write(C_ADDR_IER, x"FF", "IER : Enable all interrupts"); gen_pulse(irq_source, trim(x"FF"), clk, 1, "Pulse all interrupts"); gen_pulse(irq2cpu_ack, clk, 1, "Pulse irq2cpu_ack"); check_stable(irq2cpu, (now - v_time_stamp), ERROR, "No spikes allowed on irq2cpu (='0')", C_SCOPE); log("\n- Re-/de-activation"); write(C_ADDR_IRQ2CPU_ENA, x"01", "IRQ2CPU_ENA : Reactivate interrupt to CPU"); await_value(irq2cpu, '1', 0 ns, C_CLK_PERIOD, ERROR, "Interrupt reactivation expected", C_SCOPE); write(C_ADDR_IRQ2CPU_DISABLE, x"01", "IRQ2CPU_DISABLE : Deactivate interrupt to CPU"); await_value(irq2cpu, '0', 0 ns, C_CLK_PERIOD, ERROR, "Interrupt deactivation expected", C_SCOPE); write(C_ADDR_IRQ2CPU_ENA, x"01", "IRQ2CPU_ENA : Reactivate interrupt to CPU"); await_value(irq2cpu, '1', 0 ns, C_CLK_PERIOD, ERROR, "Interrupt reactivation expected", C_SCOPE); log(ID_LOG_HDR, "Check Reset", C_SCOPE); ------------------------------------------------------------ log("- Activate all interrupts"); write(C_ADDR_ITR, x"FF", "ICR : Set all interrupts"); write(C_ADDR_IER, x"FF", "IER : Enable all interrupts"); write(C_ADDR_IRQ2CPU_ENA, x"01", "IRQ2CPU_ENA : Allow interrupt to CPU"); await_value(irq2cpu, '1', 0 ns, C_CLK_PERIOD, ERROR, "Interrupt activation expected", C_SCOPE); gen_pulse(arst, clk, 1, "Pulse reset"); await_value(irq2cpu, '0', 0 ns, C_CLK_PERIOD, ERROR, "Interrupt deactivation", C_SCOPE); check(C_ADDR_IER, x"00", ERROR, "IER all inactive"); check(C_ADDR_IRR, x"00", ERROR, "IRR all inactive"); check(C_ADDR_IPR, x"00", ERROR, "IPR all inactive"); --================================================================================================== -- Ending the simulation -------------------------------------------------------------------------------------- wait for 1000 ns; -- to allow some time for completion report_alert_counters(FINAL); -- Report final counters and print conclusion for simulation (Success/Fail) log(ID_LOG_HDR, "SIMULATION COMPLETED", C_SCOPE); -- Finish the simulation std.env.stop; wait; -- to stop completely end process p_main; end func;
mit
a31313dd9a51e155c5ead3fad8d44620
0.562796
3.678783
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/tw_gen_p2.vhd
2
38,834
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block J7XgtcNh9xSlXJatqdGkfHo41s+aoE78xbN0elPFe3Fu4aSbZ17uuJDkaz+k5FepABO2w2gHY9I3 GpR6zxpqpg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ecbMstJLOh+mWZsioQpcEP0vIFxkYq+yjo+uXDATtzGVRW+9tGt02dyeS/2p32v+LbrPN9hIaLNE zrwkcMq0M75U4fpTmir+cGx8Tz6knlvwVVPb+pzjy94d/msRorzLEjQ7pXAO15FbZhMgSnCAPYNx TyBIAo8oAnSyex6yiO4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block PbJ3Jn4V9wXryccN1fDEM/ucUW1vXGNVOYPrCu9ffwMXXCYbLZwZ66iMUw35aiOeZwNiMh3eVSEO 8bLwtuMiklXNZm+NwztlSNABlqa63LZ3pu9ew/aSVrkVvQJ17RTM8yRti4kZ71ww6XXZubdrnFq6 qEVAJZTdfF586J7a4s4w33vktQ9BG/1MmJwBcowrxbBPJzZlLJs3cUG3D8WS9LuWeAY6POWA0L7x Y7lXH35T2XMHLXLIkjWOmigxSIetW92l53N+EvpJas379HdaUCTv+GU7uHj9nm5ULEysJjFOQJ9v jy0QKnZtq/YMfA7AnJcm4dK0U+k+Gi41FzcAfg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CoWEYN4USN1e0W+ZFLGzI581USihnIytMh7LIa85ajoRo7zisJGtB9pvboQDq7VawpP5rXfCG7k7 SFXrwZQKgc6fWk6bGCwehpDXrkBpfr01gIKqTXscBMALRLVNVkxK5UUyWphy6rTB2Qc3Ntcn+vkC 9bKS4dSfTYTW2yEsLpk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XfwCMrZi2S8ihh1Jg3N8qZBTFslKnI1Qt8QSwQxc+jXRDVWn5h300sYGrnCJSOw1B8M9fbCBA09O UCn+8RVYgBSJ7SWprR3FoD2C+ZJp1PaPydTLpfKovJ1YbPTNaRTz6fnlxp2JFej5S2ajLDnAHJ0T +cISJk+nuxI1aFiWdVTHMhfXv4bu4yaTy+O1voih4gau8tKV9tp554L+/Th6yc3S5ykZZZG0W5ZH zx0LOp3VBcs7j7iz9wrshtgSWB0yALppgVNmU40gMq7ljyqTfCx3rAzq7rlDTp9zf+/zAuzr4lp1 KsuylSx52wrUxFfhi+bRrJRQME68hzj0ijWqCA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 27008) `protect data_block a9rPD4J7YJ6apWx0N6KMpb2sXN/sz4VzREAkLpa/a+raxWElt0dm+Br6Llhk6qRREy524JqaEVYn 0LjL6KlruofdyTfBve9TbpZsD/uhGADXJKqDX0FHzvBq/C/I6oA41DDMsKLaQoq71aNEUKhOlIZ3 HKgcEpqCHwmiwX+yq4nb++3K5JdCtNBGH2WeWbm1g0xl3+sDD4g75dSBP5cotviodutNdMIUWytF YP+OyZtnRU4vz9VOdea9XhNBh4ZsV9q+1AD4SnnxANEiwQfWT5EMTJdceQLtaCNsiaxs+91DvMTx 19Ro+121lml4e1j4MNuW1vOZ5tAcBvoLcLz0xE+/5nN7SSo5dQl56qOhA1oIsDHXWrF4118WdBRU SvbfFiUiL6TmrlGaE7MH3frxF14N0FGsy49zZcA0/eoXht3YbN4pR3F9SHa5O73B8r9zhU+TQoa/ bRVpDV4zPcfX3c1S1OfZ0AWGNT78VMP9LSOLXz6Sz/drRIwcnkiCcjbCBq2a2VLrkvtx5I60yZCu /1E8NfjpJJz5KPEDwwYSpBtmB97YGWLVMAm4I/euXSOK813aASH3NekOU3W53HJDmy8C+ehWm+Zl UZHzcZJw3Vp/lJUmxfYBIwilcJqiG2Q6+honSRoc8BQCA6McWVa178JwyLvTbuGYbdaYgIpKzhUb yR1IDxEjJ+9b/ZeQrirbJgocMfq4t1vnzeKhSLMyM+DTUHNRK2ix01P2TN58YujPInt6Ul/Vy2tX SyuV0VY1Gnw63R06Y0UppYm8wmsAqJuUIHxqMOBQvHZ5Uqvj1/F+FHVaheoFnD46Pi/3q4NR8tT9 oaIyDL6MdcnlGVgaOfgKuGExqNYDqCa2cUgylRLO3RgVEpThqmZOkW6oIqoBap/IXHSpKTF59SQj Ie9ut7msTrJaGN4I+XhxHr+cTh+ryiMDMoWSAqc2jHkTX5gUqvA1zrViJ1SuyxtYmQ7Lv71isJc/ ulfZ2PvVchatwvcLbc3B1C6QIGyC6dPJL7ETwJM8YiRs7BE2dFG0SDkNtPoTRRmTchdlW8KV7EST 8Y6KmxRuV4U0z0oAUvnicbtBHdKmqZKCikvFLdowrvK0kJ4Vz/RDcZE1qqtwGMGldErUd3o43xrE PogMbg7HdEAr0S9uWZDTyM4IL2wSGHfN3fTYc3JwalqbXO9JFeiPlcUsQ6OmVm/M9muhX6jRZHbq 4XlWtRKbMF5UWlA4HgIFj4M0BOzF0iHYhUrVqr5MA4AzLG9ObVBtQ9BPMR8SP2ln1kRFR8NzxIMa jEvE/+0FgzJgaKneFlnIAZYVQymJfvoKbJDNQurheY8BBLukQYI/fCz/jDOcudffr+ym5KaP5TDz isvrUxTXMwM0/TpiJ/8rzQcJrU7jJFfVF940PoE2pvjuyChV/cT2taP0KRU6HRZCCAexzGSVDthu Pa/GvEDzlyhT/sqeXi6DsRHZDfgUJjCHfxSffuk+BeWOsyB9IEWHAq/o4uUKVLHHAOEsLIpyOk/o vgDKyq7qEQmHM1utgfLHJz5A/oTPV73iCbz04EXXfa4Wb/Y9Ju2taKyf8QYaq28Dqn0Cb2kmcdFI TWwyUWUuudJEx7Ok3HLcFj99XSxcZATHgf+DF3LbuU6kn1O0ieG9AxaVGfRd8QVp1qU0bO2zokfs Qb467mHO/hCs7FsNfGBnElTN7bRYqZfJUIzq3J4VcelrDilkFFUZ7K++3entdWG1rxnxIqyT1GTe tuYkFULH6S35if7+Zt5IWP5u5oi2pLljrw1Njpd1aUfa4lZ9m4pJTzOYp3msNChSvg3smUVvwkzg uWg3WhvOi/LlW7speMuJeiomJV7gZ/AGHNiI5QUXqIF19FaVE8WhpfgZKsUdTiZswluhdfsnAf/X xhGcpfI5kuAXb+J36qRb1aDqIaN0luXL4gWyB5mBVJind4WGJoWzSqa2o/ASUIQ5POVYGG4PcwIy r1ZGD4pgtGAWWedrOt0KRmhmq4mqQ4V7Y9B62k7uORdAybD6CgS5/XsLbKDizXH7OTIUKxSLVClE sB5fXOf4pbvrqkJcemOSJf3nySWVDMwRt7N7QGMqu7G5ZVOv4MmfFqZR3lpiInlgP8peHYn2S3Qf +9iMvsFviYkX4yFtygP30nfxhN9wIjMfc8bJVBRwavIjG3OI3Eg+GRJSANftd9jes+u9pMoUCiF6 +VyrFZeII2QOCJwOxlJaOpBxIMW2i1aMdkXFQPvtURb3G1icxyN5k2sdDLCxgTYX/rhzCzQzOk0a QIJQllk9AOoTCk00/Fzd4djWWOlApseSWq8kib9JoZ/erAGRxPmaEVUXxzcTGcFHTI7n/7qzkhAD Mw2AboAgkq7Qlp4UqQoPGD1wGuZzsh8ditJ+1pcsL9tf/DsoX4aq9hUS3/eNfSi3WtAREJ7wnu68 cq/dl9D+G7rMdshEUfkuZK22Q6kaI6bApklg3P+H4bkiK4ucyvv7diyr62HhEV9WGYDwVEk2GnCN l3l+gtxeFUaoRmBOTxFLNRxpgx3LVkEVMwNz1XcJiNh/a+hmwx/b2kXLPGUg9qKa8qljVW0wwxiL MWDw8qPcnZrgv5I/saDw/PH7AGGcrYhGhSvuq6q31T8JtgnGMcIcdfvV0IY2e3btmJ6zbRWfZ/Jk rRoYVL+rSkNEQwNjtAiq/1JqP5x0E/ki+hkHUudhhVAypN0fhuIdEmniwNlYjwVy49/SzD5AupH/ 1cn92F5qzua9Zpq9wyCePwPhtERe9HIzOZSk1jnq4KjAjqpWdJ5aZYirUuAbxuj/Xrs5O7I7zhyH JZmtNPeTle8IRgbiAOuQh3nKjhwumQSpkJZJTVmSQ92I3ocsCaFyhikhes7L94UPYkSNHjb9CptI qUBgYPHZpYzrCcC9y4943B5jdsaeXuxvTnZVb+vwX66v14sD7+TGlq+K1pWV4/RtAGmOHu2FNIwM u5Ey5jLTy+qDy7jL4WtNhCUn2Nc+GXg+FVge8rYiAzZHgYb4AdfFwnLNcsjziBzIGFK+bG1K+PcZ 34egdV1sRUj7l6pympan0HlDU3GKp1aP5TI0wCWSJP9ATCOND2JWvFxSYRWW1gHpeUobXxiMD9/Z hL43UsSkGm5jpa71woq6VSwNmjhR11py04uzGQynAJl9YIarXU0MvEKxOYsoYn6T9bjAnAIxseEh cQ9wNKRuTrOpf2PWWipiKj6Nd0cnZjCV5AHZMxn8SZXNpf95qUaQ1iQKI/O0mMyO2nWXQk6t0XXk O5W0KfnfgrrxfOr+YMHp77sFucK/vhwjagSti/gHnkcwOyFnu0eRiWBIdrrt16afOlFb/gexA8so 4NZmN4X9EvDvjd9w6MUvTkLzTQVrn4bp5dfMF5+8VPHTlfkH0c73XPKyrPPgj50rqgF81EMaINk6 YYnULJDsdM5/8bi1r8Yf2Uh8QmNNJLC6AILCa9rJSdtnLfdIciWX0uu5HKwYqXflq74HIgpKJFv3 gVug9X14Kh6bclEyEr++fkl/2PrnWb0A9KJQin5tsHywecwo19huNLmeKIyQKrPVb1LKd9qp7k4W GHerw/uvfE5SuGwIpnMLQTwqMhrFLJOrBSiMbQ4z5kImUHWUvqALuhg+/ilsH7+TZGA45a9GzjoF Bqas+L0CD+vUskepdAe/AQq6MgMNekP4nZMlELZSHxjYnwxf1DxbtqR9Si1xJYNASv/9siqhgY2m ZT30jktrB1PO03d5c4/ayHGkM5C8fOKfIynKARdtBgpGAbRx31DJo8ROInfmAW3fkW5m9Lna9jvl t1dYCTUXEgQcIAgb+Pw/WIwq+Cw+TECBRIYSNcwQA0E7a+TQvVeTT5P81MBLVGHM6cChKqNQrTqR EIlaSF5Ru1jxtt4mkByeOkkehcGK5KIPSC9KxfpJ+Vu4VpcTH8vFv29aFNEJTMg5vt7RCHRNxOpH iv1u4fHMSvka5MgmMH7+6U8LNywPTrkisiq5ZeBNFRX2FG2j5cg2Bqu3G9sWK+JIsfQTD2/IqnHH pJQf5ytf3/xIyc0Fxi2lWEeSTC7rBJBwFsM9Ia2p/eTf/YHO5RN7aFJslEW2vR4m7syMf7Px8Rmy 38YTjlespuFiL3qxTMoHIviG+/rjNji7Czw5autxkWx/Ms9y306nCIZvj75ZRZf2FpYVfLZU9dGq wO6HIl0eHPxXrgpArjSylVQ85PM1KaH1lekSPoF0/PqxUTVhdQeXxBQfCO4s5oDsOZh+PkgI8CK3 s6cgEeRzKyxSxxgMnbCavUpui9quKUWVaYlnC3QId1HzY7X+wRvL8AMs4jVTMb5mE17eP5oz2G35 +gZkseLEovN4bPklW+PhgzAngwllpPEaZ/6sBurpOt6roNzZyEFUjeOHZ5MEi17u/BC6wvGb2G6M EowdP0yBOPJRB06pT2FoDQyq64iHV9Gb9Hk0XfzDzqTr+QcV1eknts5f5neyX4uBCrrX7qf9Rrox VoSyeyJx+qZ2rDcQNOcA1YkKfgjUjsuOdGpRhNjk7asY25u75T75gfmkuM1rfO+BLs1RfpFGkigJ kbLndLJ7fUM8v6xJA4uddiWy9H9MmBwyELtD3MMA9bVQaScnoNr4BnQtN6dpRsSV5oufyhG6cZaN GK83GZo/u0SZCKYqdFyG2oCq0mOtWiTADnu4xMjQKI0UFTPnqT/ovKBAO1gsyof/FDksmOwGYrer FSbuSj8llU8jJsRiO8jmrCLhTG0ouW6ALNMOTuEDjYoHMx3HuY2cjgicnXjSCGnduXN8ooPRb9LI MVBD2HZUHHP03Glggpo6Y3gNiim4TCRTknwHOvCYYU881oByj9gmmvqKXUEI46YIc7x0Yl2j17RD VH7VqHI5HimUZp30WA7azbtvqzGeHTTy8sVCC/midG4LLOH2KsV3pkzGJkp3WWH/X/Jr+5JpZdrF W/Yb3qTgInPGIJCsj/VcKCGm2Wms6SqTSnMlkNlHMJyiWQLMBs7D7Ci7hO09BproNN/BfqO1Ji1b WCljgOUDeXp7qBDQ0prngkcjGhoYuAjR8Sh9AUwn/yG2/TFpv+uq2UebgB2IDQSj17XBpiLRUMhr 2d3/h2zy5rnbKRfWCC2SARq/oCbzAVzTy+j2Sy47QaBdKQcWM17EIaKwcZYgThg4I/et97hWsbkK 6QJm69yupO6kG0OWVqQCZli+dnUxNGanFg3tmcP04aYpV0I6gfdCOJaq0OvCydndmVkVmvYfC4nb IE2DlisI2mLj7sC6EeNWNebrAu2wR9Yb+WTF5KuPwQ3zxyhcG+CyARs85vBvW184vCqxnl0Y5U/J xfCiNUvv7WzWkuI8+VrzecE9j/RNZ0qN9A+rpPVTEngwNl5zgLtikSOiUxyFsHbLW36n/gTrr2HB AbLmHCUPiJrVG0NzWSN2Z6TUsXCnD1j7jX3hOiW7FvsL2XW5SzuoQUwNXS3ECzLxsbZcOZwLiQ1W fripW8p+bitSdkCHj5fBEPvuEI4OXfyaUlKLW6PQ+IEZkRuTVhXl1P3dlGN13puvIreuwcGF+CbD EzxojXBApJGUHI0A+elmElUQ5hf96KjF82l4D0r4RhoTC/nffoEXJUWs1KVClOsQN6gwgIXqFXiv yB9mMg6mLOajRwGOB1GNRkEe+Jxn3/roI1l88TEVUjWnNeQI2iK+Bft0hJwLjpccGbrw/nejFSMZ UzKrcgXOc4ZnYuo+1Gb7+q9KHcCCjSCsdNdXXvaLcY0BgEne4wRpdB2f8cgOxJzq+q2tjTa38BLR WSlVgNehpjbxG0ecvjJ1Ew81IAb0f3b0Z2gct0t/jP4GHzDXBVRv4/RZPrXNLD79PGx6+7PYcBAZ 3JpHnzh2z7nY/N6z6ZBXYijqdZIgUOQ7T1KsN+DQI7iBorOlR0yPxih8cpXLm4GQp5gvDF1fm17r DRGXFFLp8zSOimLqK6nqkR4u9SYI9OXMQPc6vvCYqA7kZ/g6+nFem23b0k5mnDTzRAEGnLJQ2+Tq 8jif57qhLn7OEJrlsJTiZUbcvjJw50T9kJW29jFwRL+nzsecU1IHkRTq6d/jVEAEyApUys2oiZro RX3kTNJqkxAx9I1x0TQ7ebZyVhfmnzz8LdsdGFSaupRKLnZeQ/CZ2HBuO9SXeXoKO0k0vKXSpZXF OgTjfpjYYoOmafZLoIIuaq9H/bBcLpnV7MOM/Upt5xnKHYwuWP9L0rnPPIT+iFb1QvZyScm5woqd Pxt+ygZHN5k6v/O+tBmg+ON5vnUnBFEieKlw4YBFEHnP2TznFbKBAaoRZ79nP7DzmymN7RVoNjLG yPOPo4aRTZenIAr04xxA4hst2ABjMJDIzTebNnKLsHvNF2fBNYsJPhfNXSUznB+epMtsBXm74R49 vz8J5hEgq2EF6WLBoQmYsBVq1sPBeVyA/adBHTAf82hgIKjW1OLH80fUhDneNMXSqiCNO/3NFXB2 d9AliuY7BhqB3wlm1bUDLny89cuWIMWlzj2AbIfzpx0k31Ad98rLWyQ7QQZHv/gp6B+gGjiTd1fU 0wmQrim4A6ibsnXS03gP55i0nRNwNI0bIcVA/5GXzkPmyuCUGb02WtmsgIRYX6lKA6qM4BvvK5CQ RSddo56O7lojrh3vMrOCsVUUvhM9pucg242WEmYNcpGxRSDOIdoZ/Gph41XC4jxwCHTSDvSMvpR2 aXkYORLThcNwpQoxhgZ12Kncc9EeBJFn765AqBmZpVig88wAbAkc4Jqg1zcdmCQwsmlDUC8d4hlu a6AogPd8CiDI+WC5T8DC2qDeBYeGPz/zeIliMe4MW0/WJR6lzVyUcfG9MfIuqW9zoC9Y96d0PmcD mlxid/KWvwq3j32Gj04TrgiBaA5vPxmy1r2luxMz38QzAl24lQ1ubapCpwE5bWS0+jxHo4jqKpm6 ddviJgK48LtPqAt40yrcQGXg1uIXvQtaCF1+0okZuJE/3WORuvIRFSnpH4eou5xc2HdGdKmN4Zoj B3hBZPJ5XpEA33AWWni6Vkf+Fte4rNj2HmVRV6BrgmVkn//DrMnez7c9rWy1WAwFaUiqe5+kBSaD W0kLgsTM0GiwJgXsNTytEd1jgUcbM1pwrTc50pgZ0l5XG/LhjIFenPzzLPrNncA0hqZk0huzezZd yoOdzS7CCpzObyEDzwk8VswFEjXPrWOHcrRo5kGjfAEjp5p/F9wiwyA6m47ybC3+f9h5sTups+P/ aYoKqWCpVeieFFRPv/GzPN89vtEBLOwVmkAOYYoaUIKloqKwau3GdLTvNSrw9Fo7hv6WPJQBmuIa 8kkRY/xQF1ttCm3GHgYqBZc4/0/fHIu4mu369FOr4qEqxowlRb54RvS1n2SJNPPrHS+jzeGW5Fsw w0sBn6HvbdG/10PE6ZqBJ/biRVb+Hm8WpCNaocNtJYPsICpwHSuBkpM9xbZ4HkRUjZekiY9uJIzY vkqFbPNe1UJej3esAvkcqqF4eNKwJy7QkJz/1pvkQOt0TyTWaUwPPkzkP4yybiAn8FNEzHkucbqx e7koLjiYXE42wXQz1VeYwgo17ef4jy4kqTJbDBqI5K1tb3J8FIW1SGKN9K6PPh+Sagree9seR8Gf E6EoN8XzaSUvZ7EE5Rrz41T7OJWwLWjfHtOHqLpRrNoT7JdK7GQMCUgjHYKmlcVrki+ZfzeBW1NQ J2ZH3sA7F/SEGRsrp6YGgZE1IfwcRoYOSFODVOFQpoiTdFduH4/3dNNOvJc3H80ATwuSk6tF1lN3 7bkj/lBba25xO6O1TdcRtdXamiGy9jYPfUoOXSnuau3tttuSwdCmvJwiyl5Wkq7UhfUMZGN/piFB wthnDFQSmqvkscOgqW4n2FiXaAxdp3+itE8cLQEeYo83IPohgPanw9zTfUf4pAtaovUNY4HvwQej kd0J69m/xsGZ9jgton6PRxhcBcLt/ph4kj07m0NVJMOiBAnJiqkVXupH7oss+K0ka5WTE+GC7rr2 WaVq33Prd003W7lc1w8MhQPNmhsEcE0Th2TSMsBJBRjz48oeKes+sFyCrveiB/mf3xdhOLP8rhxl LTByWFpywwVNj/yk9WydPO20KZcVP2v7ekAPmzy12iavIU3Fy35wa74rjdNsQ79MMzO/HwaonN30 teXIvOFMUNJb7me1eJ5dYM0uOPcexhWMRIgLMeHPZH8t5VfPo2FMijkFA/ljjgOgPm7K3qOVOBC/ XGWjr2DUJIruM4GnC2OXOxf02gtfTFahk2r2eUMV/nWwv4QMAbuwL+1MwzFr7WJX83oul48rYkIh Pzh0SK/2nN1mS+PqrP26swAY8WXhq+CEyt9//BI1n2blxS9/rxHeQz5EbXDLP+Yavj3+6S7JGnmN O+1hzah3GqNqznYm+yAM8lBWhJeA1LPCAiPsFo0cgUKFpC5V6WNIhdKdHQNY1NpFuSXCjHbJzD6X y+NCPqw5UbFje8jIW1Fm+gzBcE6tOcjsYYE6pAOv5+8Q9vkMYe9MWTF7WXxBiq5N2iQliHKIVxcc ZT7UXjfAdbdfv0MjEWhzMcFbMT3AQhHF26hZ7+ompgiMEkQj3jksXeE6+/Lr3z+SnghvHtL1OkZz 7dLnSEClzQtCm9pX1nFXWU/QcRzuaWV9W2rj/kHxhGwjlExj8RlqgZDWEUE5hRxqzTsxry1TgOXZ LbbV4b9ymyl/JoASVpSIEuwDKe9LASsCivRS5wzRe6RFu0b0BxQx6U/WAzwfLIC5l5dfZUtdOzAW NMn6VtOIykr2TGaP8thnzShygrMdCxs0zzBezCDToHVtRovjFhTG0DqFzU2zdy0250MEny3sGdQu tbVy7M0WwQfEpd6+Ofzt9XOsscycd6us2/JOOp/qWNEYqj76jCTL0JHHsXjW6SZnWpRHExme/2JR GHhVF5d8bZTAWAEzPdzkYJ5sTfRkN1k6Ks92ZAoDBM/cDYY+wCV5qFdPgd9nQkufupZ80eDt7C3/ UkJJTfw1trPgYQs7YP87v2zqYlp11r58R66wgS8gYvxJ1k9I25qaCry5CHaheFWju3f1dNST42yq /kV5/ReLuBAzgDOFgUSOIuOXF7QhHJjl9/chgDOUjV49uFQuf1rLqfV25YMFfHPJnO7ibmEBOUAz zTEPq0MJLCQOcUrTi2WXenvvFLw285XNGwtgaW5a9AL2YOKzkMYhYupr7A8+M3hRvzFgFALRaSk/ SWgA7twxtItw4jmGQVBQxRY1bQ2CSYGdcz36gY64H6/g2yRAcKsxN8c25//K1m7JWyHO6NnLiWaD DN6wSC9oz/GmPjSP9wNQ/WBzFD5SHBFl7thJqQX9xczC2Vb//QO90kmFpmXCn7lwQKDdCVNqqeCu xSeJ6LVJTXNeC0BsLUuW8fBGvSwJQ5i+AVruHCGV8JH5SFNzTaLMkGYCZ5I+0vd5jSuitIMsGao/ t0iYoSUQLtFIRR4jUazdaTvcxhizlAj6rYLduLda0o7+l99PpgyObIdAMvDMiabD0YiUmWcf9BI3 2hzk7QcTdZtmYwJ6nsxPfmqGT3RUkSRRH3FZ9j02iurVxZ4rHVZE7S6pyb4Xuqyb8e0AqlVq4YwC 0rwrss61WbD59LaL+b0c3ExfntaZZ1j5c0bcQ/DM73f7ROaw/KhFqopnbElyXm5q/KEAsks49VXF W1kuMJEe+fHdldpJWspl1BO6R/URA1tg49BaURLAV91GYj548edjzPuy14VCMeMC8O2rBUMJp2Ha G0ubtjD/I+UEz3C0QDCzsE9w3uv9JRYsc1W+QQfhaGwltOgdtoMybQbVZjlOiSnMtFAY6V1OhSR4 EWpTJt4e4LephgtFASRsJq7VO7/oekEChFjcEwg1EdItf4QCG4rdRxFnY+kNu1DK67YQaRTChS2e 5ObrttwPKWEseXN6WZNyqe2WMVMQQDBKDj+IZPlO8bxsDD8X6hL+zPu3HxTknmkVYbhf++ZQ5f5H Jcner0qG8f89QMlJfusYk8gXODVDb8ug7xx7VPiNK4+8kjJbu0jSBoIwg0HWlCMveuwKh5oYLY9D ykjD2LCVg4M4MvjnLKANni/lMzqhVWvKubvnIIDv/wl0UJDAZGIku1ZGWT00thbBUsydevTWer8B eTqDt8SaucW76zH3+tF9KCdrqeE9zRkKjPDS1AEplUvqRYFiniP2OivYzjdwOqAOHpHrgqtI/5aH xHVbKLM3dls0e4bmX3AVnKrmegb7LX3/R8Rp0C3bOmEC/xVYPmbdr5e7VNSxcr23mHLIkDZH56mk OiYNEh4wB0n0ZKfvMVeMmxCSzqWYpNi7M2jH69dAMUJxV23LIOsLaa1DRByAOEiiFHaXh2bflu8O ejnVMiHdhFq99uIpYncEF4Zj00mUsvuYZtv6u+OiIqAWmKXSB8363fR4VdfMQWF4MvV4nGQ11mhf yNFREMxFsFNrk3DbtwE+Cw2XvGg9iCNo8Rqh5j4bbY+kuPygFeVWCQQywnUh1P+EY1lu8VzWUXKm eqTIh2SmneaKVoMUHm9ldYphIhMTT1TCcKP2OoZumd30FxCJ3J0uIQa06xTkgevNK00zJnwYnUku 8U0SnX8jBYB0u/HzCMe3TTAa0EsHz1hl1GsCMM8te78kETcStF82Q9n11617W/B+oFKMcBOwrfKO 9eurT+fxzLutjuo0dYpLBjeFqKR6e8REUXzV+xWBiQFhD1rM+mHXNjlXhvt8XK3ToBqiodiuSJfl jzooONgiF3mX/0ilR5jKdX0c5koLHgpqE+obnU0fh5qY6ICZlP+QlE1PFr4E6zj6NE7MUQFp68Qf pPNj+6uKKELG138yqe/sW1qt/W5XgmGcyUHWWu0GQ0w3SdybIOAukVN1SE4BM0hhfSWvI1kP81EZ vKpaGl1ym+T96zkDa87MikXZumOrOOeeuDPx7RjPQdQyNjPfHhqtTw/byuEf74pKBtH2xm55SEZE FGgNRLjFiHBHJ0vBNydMrtq0HwMFW+XiZrgegPgNZbS9dRmOgzck4ue2BxDcDcxBIwg5o0Qv/Qc4 bion64AEr8jERAXRGTQno3We8rNJhz6N5+ZdJiF/lbFEAxpve2iAmpR6UcyLsg9XWbXREq9EcFzV ZRRvRdT0U0v7Ntx3kd+3BUb6vJD60ncZJPOBg5nT3G8af1+fAV6W1hSiUBYwv9cp4sdvrzOJkOT8 qUyDi01mFHwnjfiIWUrckUoZgQxRAzwW+93ILu6ndAN8n7NZAPkkb+H4uZnEmAEjHlV01+KKWYLk gnNwy5wWDDJg5z4oNu4+1FXKyJu5jTsNtS1Wlap9HTt8LUcQ7vg8W5FfozXmxkVRbXxlqBp8VdUB Yavp3mtBULfVdAKUPkwowwnov969K9aqN4jgovP6hGzxXtqLc/94hnOKGbd44NsC1OsY7CAipU7H qncnOeTiCxdswzX7wWF6YW5J6yV/URoTjWPZ5iJ2TtbhxEGdpkfXxUGtfeA2IiyLU1FVMifuvhx5 9ILLUfwqepCPk+WL26WWF3OKNeO4GhzPNmpKUQinu1TYmLnHezqDRlJu9VIvKITkgn7TiTe23Bix abvK89EPeaTdlzopaYcl8dM7ezvZOKmU6mQdF9mJZEddRWGmR+Qt4fbc/LxaHKjHL8hzRIqpwry6 vxDRPjX1JH+LCLGsFw+g1mXwFZ8WNEe1WgRo4fym+jaBtNvuH5qNZgoShHKv7Kt9pdeSEYfEjZqd h+AkDG65g+FQc3vUmf2p67dExceiOebQ8PDUBMOv7WFNN+6juFm7zWusfIXMtdJa8gp84K73UL9a Vo8KUD7J5dhvba3AEYZaTSlmB4dwIUfEibDrwv0EY/lQR2do2SBdNGjVWvJMnj67nMPB9OP1BkkO Z6EPWFQGiqFBhNU/YF254VcsuMch8zy+duRJmjosrrKjjK3y26YUhZnhlxIiiws6VVPPiFxvbsaP 1KKmv3b+ML3fVDhbHWWBecc+SMDGGtLlom2bL4ZBkPnyS6kJxZ9SHX4u+/Sfyc6KE+c1DrUa4Scv fN2/AR+C9U8n+eiBbC1eUnR/l4r7v4HN3JBX5RS7mNi9W3mW84oyFrksO4/T03WSrMM1aXN5kPYg gMCWMNI7IfuUTRR3OnUubxqBOxM1JUDq7yh5cVPBnXghRGK7qq9jhMwCH63oXZHdScgr/wBIuGaz wbkacCjlJzQpXrY4+2gO8bhbq2du7sJ96zCeRASfuv0x4Un+IssX29uedPY2lH9uH3HAhG9UZ8Qe inICDkWlu5/CZxHp0Qc/qrJjjOOKXVd3q12e3Zeqa2IR0vw4OAQEpGwK09g6cnSBCi3uTXs99tli Yi56Jt66f+zscRYGwCTn4VO7PKDua43Z9o8uuvrX0x20EJLQfuZuSzxvcUh7v5LHD8U4ZPJ3MBFq jtmluJctBfBdDzlMFgnboxjerd/Zgov0gnKLzMYd+YPGJD5K1nypcu47JSFQA/OuyT5PfO9wbmyr 5ZpmjwcP3ULiKt7Q4Ben0YyVSkUw9LRhdcsmJ+VNSOwjEPoo4C4reFLhABcN+jKCfh8v8Brusp0P /+mGlzWPrus2cvdr2WPl8vMb1Aku6nY942Cm/fUNYkV8LZ4AN+I682KZEbtTTwtNgJZeT9w088YR MpoG7sZPJnHyJeSCFlA4w2abeNnTe2GUupM3DXHabEkn2o9M4XRXVSK/zs97+6/sP5FsW9uLq9wY 9gPfdptMOH3u7DuFE5t+kAGFCwDt9TOB+uZKMOljuJMVM0vZUolxUwV4DIvf/4enBGr09c9f533I ddqbujAf9Heh9pCk0Z/DOcXXxHJONZcqMPnGW+YmvAvjDiiK5kgzt8c0fwxntC6B/VU3/busV7hj t5MhA+73MGs8TIKH6YkiEWxcWhmA06Th9VaRPnyaU4lk1CEZCIwM/vUyWnMVIvmAnIjOfc+2VCG7 fS6IlpLzLn72PwGpvg1HC0RL6Y7lQmVgVNLuiUbehkef971PhKnwRZzIgfp0nnEab6twDgldcHdH BReqHxK0v/ZowMJ/l7RHq0SreBu0RdbnHxEsqBOp9dnUcCExI0+i/GyjZ232EoXfz3xHKNKELCbO l+Jh7sdpxCaPt1TxDI4vXCJX9/i3zJqgM3dr1Fo1kJK9BitsO8ulIvAhjP8Zp1tv9oUr1Wp32dhm 0VfBvBKSXnC9dG9zkqo699Fe3s1WaL7oy9m1rYeIex7vX36YUr9G1qUJdXPujKW5RNXz45eHeUOH 0OXZii+9DmZ+5TBIyFu5Cg5WrxwgZ4AhulAvf9M3wn/Tcgsa4ufr2CgybN8/mP6w1vjWzJX/FWg3 NEzr0Ht6u6yo7cnB2TIfU8/q+cepo6Yb3/ZYBev82StbnPf82Tlo5hmsaJ5vhn5Qii2t3k5+xMxd w5Vw61kc3vkTJJt6YLToNKdNfwEa2KFmVetngT3G9tTAWCyXnFF/GfFTGqaTXIPQcAZTuQWq2K0u 8F/xBq5HICe44tHRqcg5ihW8x16qAXFh6O8GWuBVErWBaWoT/ewd7c279zoSqkdzykZbTulBTERf 9Y5huMZ6C3CX37y1/0z8wEOty5t+USXZqA09gymFLd6lX9FwfQgqxi7gKL6axEZ/Hr8Easf19W0H dApKJvN1xoE+fwdVW7+/UqEiu7KgI0zK7nR80VSWG63lC+mDk3w/Qqgg5MsM6N1RMEaftvT2Kv4l KLBUdaKKBNbJGRUK3aepDZl4TveoshVLHZTBcasR1XB5KZ4Od3rO9MdVv6CVHLWmAmUxUYVSlAck kIw9x5rNvdkDXRBDl+N44L2pCJRspPpmquEJAa15Qxlvu8Ln1OzuAF2ZMwPrhnWlBK16QuO4EO/3 NWnjyPV0pDA/QyVpkEGRNh0XltxPDPGmj+ntf/Wvk2XSi1iDXYn/5Y/99Aqr6AG3+f1YzJvPdCMk XIsqPg2mqzrNC5d0OqQmrnQFaay0ExsLKkbz1G1zO+cErYvRrJdJ6QGov9oIEHwkclIoO37koktt LMfPfl4kILPXCWgeYziZC4JhRqOUaswfr2c1smKhdI/2kfslFHVidz9EvKbfMq0tdfTLqQRTAiSB lunWqon33/EUKEvpKcO6h53r4MJDPI2KLFMxuU0SR09gUqI7uV50swzCzbjbSpL4fMVZx8hc6v0L 6vm2DxxALU554zfzmddr/xynVeW1480lHhUGXpM7PVNoaMlj0rmHY7pZ351LnRSykopVHxXCFoWk E7YZrrWWQe+vl0up4TRTGRIiVUkwEmibi5z8MxrDCqf67++sB7KdnwcyDu/9aCbOqmUdy3WuRW6J SAJtyDpsmqmjsEbZOfQLYB/HbbEjoUQXMavNpdVtQP6nvI5K5M5iYuvzbInIaM++DnwwuFfHjYNs amjLMcNdarer6d2W5b6gS6sL3f1L+c8GqB1YWlNfxzaBmzCQshLnkHAHXAs55U4RdANxSavjOQiB 7ICkBseHwBzWG2GtBKxbI1RyRfZuUd1vXlSJHBBpv7lMAVWTVxm8LD06y8OyN+g7SJnKqsP6Ojkn 0yuwX8DeL+xGr65IzTBrTTaWKffhegiLaB6aRESp0NSxpKv+6T79OBvYES8Cs2+UY4sgHJfvpNDc X8iD7ioGuuSkwminxQGZt/mgsl3t5ZJtfaV0znyLs8wh/Kh5fNtUG2Fr7xst/fYAoIyZ+gcXx8LI IQ2pJwXEr0fsa4ApR8Ubytf+WJ4rRsqc5fp+5NMxTGocoayENtbDrHAdka3xcVBagBIRTpBjzLd4 Ij2EsBtF+DlvYusyhvo7IH7xYtT0ojZJo/lH+K+vYo+R+RtCsx1VdfSNuAOJ115ggevztBfmebXv 5gOYc1k0Yq5aXtas1qfXpwWZiLQBHtPMNN7zUtIoGL7QncgPuoTUF+SVVOiiF3Rhe91LAHGNTzTE 6lTOORmJ7bpflPJe5muyaz6HV8Vq7SksJQBTUpXiTE5cHVCFEXyqvpWAdT/aFPuaDA1v9uLX+dTd nSN3KnKMPCHVkNpxPPK/mJ56kaO6BuGGxUJgl7pn+f1hMY/QV3EwfTSb3vEdofwO6v404sGpvv2H yDFbhmg8rW/TfOy30a5JXcFMUyJx7h+4D1gR7eYahwlsvGN6VFArqV6Zvt1QkMgmN7fHA69PInpw 1irPCRru4OS5QAdZv1gk8emhyIzpxj7gz0Ky8RFW21ALf+E/sC5XJRjRH1UhDXJBd6xP2P3Pbvf3 QwSexaNBR4YkQz0f/ivcGZ+4ReOT/KETxMKpjIuys5cCia2Sb9iV8BAL8FSQC1kLM7J0PlHeU+LN 4mwPY/eaG4dzE5z8+ou9JS+NGDijBd4W1NI7vskl/MRtEx2hE8UL5jWkcLP2wFK7SUwm4fEeh9Ae 4QiHZvFLg9Cm6wx3e0sf4MAZodvCi6qLtfElVrR1VyAPcjkpgkZMOWC3cZz4LUeg92VCFaiTptqF lkecXyTGQ/ImQ8ac7mnlF7oOKR1klZdTow05Kacd5LPOuWkmHtPkMvOI4QznHfvynG1yIBO62oQO ZemQK1cQti9svpHl2ryje57E5cEsZJOR+zlS60VDpeQFaAxsSZrXSN6gCRziGSbgmM+oz0KkAEkW 53LcUmrFxJBrXwGq416IPj7CXMqSTuM6+DelDjbvtMVtDQQFmZpulApZRoNiv6z2d1D52xJgobfp 82N9y6jzozLo2Ybwhyf9qAuhuNiNQmuP+os+BqiiM2IzyTW8hIeXqXzzyqIir/BZEkhh23gKew6v MlCm5m9FDvtUGZaYZ7nahtFEJrOP2nYj1UX+fQ/adKmsWJZ0pVbnFuhZPHlVG2NTOJLKAusubxTg b3JuGKI/qnDkJmXUelx4D3Key15nbjpvFkqMntwJuYrpKx08WyoW0/P+FOCCQkv/38THKl21IaFN oLvnc0d8KjDh7V/L48AjNoJTkiZQBGy+vlVcT5eN+7h09Bmu93qKL62slVjkNKRp3hl7gpyBNBan M9Hd7elHj3G0jLraVOUkrS4yTRWbSadqtPoVpSgAz1rUu5K2urYCZEd85y0Ibzr6tngDilLKcCUZ BATNkLtEF38CH2pvcnkzQu2uAQtzHrOCJV2e6BgdQr7BJg+T4ZNI09vP9q1MiRi8xNtL9ep11YmI LqIjvPj+PxTLsnk8V3kt3Q9K3Hs/Jv3K1dRUNjYNcX5+3Kv398f9XYxFzzGeozThutf3WC885N6p 6ukV8VeFqUCt4LxeErmmp+xsaCvobQ0BWXadCdYJf/DtFj8Ub1fhnEN+b/EKs+IK5KQckHtk6SaV tSW4Oq6S/XLY6YFI/i2nqaXhu+c0qzY6rE67DEp65ZWIXsPmGVaaFUbHS9/wu6U1oQQ5XDqdAVgU tvx4g9eS4474TomqE6mJv4yTTWmf9JE194zN02RhJKXtRvM1c5FYpYrYk/H0B3SfpWlJZkpVOo9x jI54SFWn6/5u7kkxLV5ABnxMHdGoMNfQ7LP8qDbP9L9rnJuKfGPigYkj+vyaZmjtDdgQKdUxFa4r fBWjHROONJZ8np5M8+LrCSFAqnEREmxT9vNybXhSDGpT2ygUYcbMhmZvnHGBtZN1UjII4cIxBcvn aIru9dCDHhQShEYxIDvO6Jtqdty94arMAvON0Psvhevs4iNHS2HTx4ArkolgS8sa8oTahdjGN7pe JfSpyRTfE3U4D+lkpHLdfYzHXdpviK5npUMOpXrWqiDrPD2imgmlffttNiDf6UhL1KFrT7/Dts/q B6LkuljgHddgIAkqY+GnkTGZ801J+OQfD1BD2jO/B65EFQLtFiTxU04CdAcndIGswd4FqA6tABuL IZSv6ofB+x60NkStrx+Oz/WOXO3XMunTreUKv5KD2AzaM4gowoELm6gx9JC6KYg7a75kQBHPhQc3 8+8zCwSk+eeXIl1U3k6DEvXFcMl/k0o4kRfqhsWOfMyhhyxTUn9ZaGhwDlKvtSpQWwl7qCTPhD+u YruL6o0nt8Pswc3gmHd4GuQ1dk+rIsWG3Xj6Lm6TPIY3QdufIVpQcanhfpUW9PXOkKDzRu+6Resl IKfi/2R/nk6AeIIYb2tuWrp4OiE5symLQgwOp/9syjTMalGT5UNkGDLVbWEm4nZL8Wy3TP4ExbBM /lkenE1YkhLqw59fcarm4MfJAkuVD2LUcvXAxQ3vLzMzM4cdtJJOJ4dekYHMDbgeP0fY4Ggf3ZaR xTtCiDF+wvCmNnDOQYYTE5K/ckFB3/F8y6EVtITAzZxfIxv7YLSAMMNggEYpxTjoLBLezxcdFRhE mAgNJ3b0Su6cBs+MJxE0OfVU+2N5vFQoK2VOWDbDyiHoU70+VkL7AcmOdaafw2DXDUxtbuPTrPmb E/zIqf6bGtS1I+c/+rJ0WnKbfchq0OFFAA5/3CGRIZlt8mp2s4usoI9BHcY93yTrnuyls0+bHWAk Pmk/FU0cRAPpeTyZ+BfQJ0Op7edbe6UK5Jx7ulG6wBpUEmJUTZiSV/C9EhyI6ZrMNJ0J5/ldC7kG PAigAIps3h41b8BxRlRaRPHUdfr19ESi2eHmzqwYq099JUdxnTFA275aPdamelVH2ln8Pc4SbZ0/ dBJzJw4/9oJIwMqdqKykcOqTtDoaaaBl9kPH9ydj88ppyq1m6py/eQfyJh/SKZdIhUqyWO6OIHpM 23Hmt57nWED7GaswXGOL3m0CELSvRrqImvxGfWBM5/bswYOQExKA6wJex2rUzPycxUtdnX4tGUHi 9UVF1j/0HzSCl5HMn2+M1J3ZUq7jdrJwwL85OM4avcH1mhXlMjT4Dp36rEpSxvjRtW06cAIZENub NhfdQ2ZlVvDq6n54K7TtuPuypvpI1t7amxJSE+xirRcME6H4GXcE192AknQb4nDF/M9QfH7H801A aP/MiDFAYpn/CEQb7ba+Kzmjc5jdPChx6srN4nptOLdU861abaulP0gehtgxs/KXl3lUx991jsBh OTIIcpQh8mL8V0XaQ5WebiIfU7oIB7FpTncdRiS17fDn9T43Gr2Y2tccfvAiMQJpb3YQAOQQYl+T ZQWNo0URNkCiwc5xUwi/8qktnFZHnoxY3SesnKvEJSLVuA41tr4QlM8eH3JTas6HZ90xRDjdS45z SrWWN5Fyhu7z+U8F5jvWyPNDNER50tURMuE+3iNwNRUzVtT38Zb9dTCT1ioW7I6rkwRQWbyKw2dF 0jvHu0ILd0eNitA0qGkWpVDiSHIumo7pec2/C+DUdp0DfbbQaLPnJqgtiBELPPT8Qh67sxYMrkNQ 8kMHWYyfFJGUOuIG98911s+ZUkgHihMSg7r6qIVSxQKRlK1UxT/VMnWPRPvzBU93Nth7sh88U4o8 c+oL8OncLsKuo+UQZMxAjcXG+KKVgNlX9NxTTFXLD1Z90jkiIb3WI5+xwhidEQo1So/PPbzA47Xs IgxYnOyW5L2C/L/FasX3MalIrpNy09YyCfJQmx7u2tPXBGUEiO5cWacgosqytrp35EKZbiNbf373 AVHYZBrwLMZuIstuMQDGRIOgn8sXdYCEtREdBAODMqrqoo7DeKFYFvzlLtYxJqq03AJFYAUYGCcO rLxQwxHQSa/hW2WB25qcZlBd+AvMb9Fvut0tfyOppeeVdoNZWdqh074l9DwnsXHLr53z69/KOqYY h0ka4J/Q64OV26lqOL0xX/HtOYePA7NUEU0mipp20hq0HJ3HYutGYxMHWXa5tTIt7y7zFBneA8T7 o96FQRCHymc6pa6XUmozxfpug7zeS88EzimD1EgHIYwNIgrwv+7gmp+of3kb3fqSod/OEXcu293Q wM+W2tPKEq9kB2XUPjDD52vWz5XXApAnU2PoYLx7k+PlXfjAkI7hF4D1locEoFTklQTy6QC5RH0R +B+e6cQSrSORjQEdOCovu9f/xlmpaoo41P2gGe1UwmOu1G6qZuqEjkXZVKx/cONWbsXt2KYR4UA+ 9fEkPyxdkd2W44LdUxD9t8Y5OYW22WsvQ6HF5It5pPhqmHKJ9pMm4t/v4dVC1WsjQ1iWqso8D916 PYlOX8Ex7lmxpzHZ6lhCkRYfdpm4ZQRLMe5RaUq96s32qNKRViPS2x1QEnr66mAKu3je/ldjhiX8 dUdPwI3tenhoOJwyiLX33AzvN2oBwmgSAIkFf4EF6w34o82AD3IhSU0PG/AfRcTB4uSR6oQNxH66 /I1M1XptP4dk3qIAz8te9f3kXnepQCb3hKMblw0iY5LyoUFbMbJoNmHKFGYhYB+tnKDwRCstp+HM vIHOien4jih9BDXsc7P14c56/3msVRyUZ7tz3IrGeK/GEr3RCS9e7xgIS/GrZ2kHj/EYFIHfqKdP S4Umax8+M6LwvY6ZMytRhtgS6N8vUazItL2rKDNDTre1nTRAtwPhFE+PdQQbLq0IdZhqg7PGm/fa e5bqtF2FG9LGMFQ1Unr/RWPJDVZ9Vt0Ba7RnkrzMMrhPn7e8mbnpwkViOxzxfigw78WwhYZY4Fa6 LBIZiNnPTmque4RQtwjgJvPa+S9fiF/IN0oBDIL5PjgbZmiGAXlhLdc7fEWNNlHHfEtNtssQ5+f8 OrrAUYc7Z0l9Hp+woJTAfZUIPTUIqRXOjdK/b2feSuGwEa+9oDIDfTvrgko4oGDqVGbRO6Oeyenw E8C/RIuL24/66mtAPSe4jHtZxhiD7sd/WpDrkGt6uVY6H18xdSwbaQarrN8nwE/izRPs5alsilNA hka/1Objh9lGdhN4cP5WcfRsloCWp849YoYCFgN2O+umjkD3iilw6UAab6pWn7p+vAQnOU110DgD 82VN2Giz4WNWqU36cXt3XoD7QB8TgLKwwZ2XrNsxVWCtqURiY8qErziyIB2I/5aInro9Hj++EcbZ IEzsRbnEal+zrytSThglULZPOW+dck1Pq0+bCRbtCY+frQw0A/RuYBNEwAHi9XuQo7LFWL58AETh I79LW9xepu6+oIJqGN2ugFQS5blm/QAbHsLGNoyZfFlFTTuu0oNkcMW4iSd6oCRV+vFAXYEpe5et UkLuke75jaOYmh956Efp6cIO1UvMU/X6VZVMCWSWCEK9FW52HOqDKICa9RPlmq7xHTGTEoYNnrJu 2UBVoZLnf+0xe+CS/GevyYUx3fT6pIg2VlHd0yH19vbEtMijhna7dSksPVHirB6BPDC9VpXKOGAS 7jT6XXVGSVvfgQL2kUKRVccP4h1Xe9M7jH9UgQKF6OvQ1FAqMnrtnCtoy4npwcSs0qo4WiDrIFRK 6s9Npf5Ri5LVCNbyDSdQ3X3cXcMdYXkejEW476seZSX2NzVZkvQTZ1ceM7R0/tZFSjl1LsMv7F5l qrddE5lFM3KSlLp+hU+Qv6HevP5O1f08+cniwHszrLrTTDRWHO6CpHhzA5sCuQPe8D+Vjn3hFvpI iB+3pseypJ73sxmcc9N6naLUv4QXizpp8V516agYsOxzrJ1kFyl0Bmp+JR0zvh9/n/CrrxIzW28t iljFwSaTK+ctRiMrvNWXk3jYv40dsNwrU8SSpn3lUvvfqR1LFg+k/rgRIC52frBHnahnQBa1fZWJ zC/WDp8LNId7DFLbeOLw1OPBSFmyPeiLdIIH32hc0/fwCceiyokz6mAdagRF6R6orRUCaLnp+GoX kcMqyg1ptkoa4KJc/1XDLYT1nN/1fuDnnsQ8rNJECfYP6kVAocGlM8H5VasB6Q0p2VTFiyEY2rkT IY5UBa9QBmYRX6lqdaYixElwAKaa//gW5SAFiLiBpfHgtTeLKuyw4GPF2CSW16PW5UW6sBW/U+Gs X3l6LaKrxjbRdXkJSPr46/PiQUs/LZfldb2L7fl2VEqkjbOkh1nIfg308QJ58hseX/vLkoTz+tud gwQSi0XFlRTGHpeaXxuUBKSzTkgWccYSzFm17qvJ1wSwHwstRkHNh7p1BG/5DSZ4NSUALrqowjNK AAOeyMOOQ1RKtwX7wUdwgLrgpar/UMimvgLzIG33MzDWQ07o+PYic8j0ZzobvqI9gvRaeRvDfyLe 8kuRyqx74FXNpPIQXfNOJllG0dsVRRKJNybT7vLLnOdqad8NmiZKS8XH7QAIZ5T3WJxnSzfyRHHG bBJnT5OKR/0J05zLhwl2H8jZoepwfn7CGIpZfHGfHXOsRReAdkQWKG1K8fzGXGJmM37x1FZpAGwK p5FZYBMabnPgkTM3GH1d7McyE6Wbakl50d/Iq/xIQBtGIN55DszKSvt5OLbYmQyeaCX/5bA3/SyD uDOFkIAjla/liXg2e9/qAVbILs9s7jReOa58N18iQkZEuuQ3BlTdaWZRdHV2/0qWR4tBOniEAOLT 3VXcXZqkEexKZIaSa6vfTP1gzaqhAf4Yu/3J8xERvyOySIiGl8MjzqwpE/qPh/v+BgPyvr1RgV15 YUy/DoA3E9XylVeWqavS7UecOF2s/ulVdRaZ9Qh/Y2spqKOXbfaqqlr1sMpRGKpAAkA09sAVVC/J lt97vwmbgYN1hKKmNJBVhg9utSZ4l1ZpBXXxDiug337gG40G6PuCfZRMTo2uvPLkNSVmgbZN4odc VYOfYvLvNGABZVcLV6uu4dzRlaZMDKw0E0r3ilnQ5FL7Z43ZIAL4YEv45ZYyW7SvPZMU27m80S7U inRa45x5mhFyqb5TwTHzHEmq0G/UngQzO70IDcrlrv7JFCW9/TqN/hH5vFdsCNYNVLCVfm+CaO10 4pjAIgATDnF30equZLU93xNPZemDzK2kpjgrUeQekNs9NYt/VnnKDm2dAySlsPgLUqxNfmFMfyfn grvjPsGpTC6XthGDqmj1oRHrxGCJlyizCnKNV1rg6fg6XqSUmdARVCkCkFABpQPdliW9CJjYDKDB C0i8JSiVIEfyauYMPrv3Ym1JYOC60JIavEA7DrQcM7kw1zLNsGWCbvs9orhL82LT4pTdyRTpEM77 9SlozPQYZF5zDKHicz5qY6SU9KRW33866vitEWoL/L6hzkhwsMnATP4ukuaZDeM4FvN34bSUX4Un c9ENtQd4Tsqv1eJ8VWGH5y82dU/hSkuduMrRvIVp21YbB3LaVpysAlZtRFGtqYYGW3YOlkO0i5fn iTwg9T9jybVqrCkTUjnzPSv0Hi5UwPx5ZYpV01hq5pFKrB+oN2/ulM48/WTpw4kfQfL3ML2STnMy CprElAgv8T3bBNYswppjVSWyT8C+PmtziIRUiAr10TiZ3bMfP2KETqtQnIJycCPScpLRvPQV6qXs HwZN2oZWf2nU7/+pojWFF+c0RSYsKafk/xB5Usd/+GSYy6wBsTEPuenC+sQLSqPf+V8ou14VV9wb 35gjFAEoAhnckqS8JZ6pkH+3DlYSoa22xOr+ZOcse49Wf69CzxDlh9/I5An7zhDcAXxxeFeqFQuI EUr4iKj3BB6VtaazDcAOyLU3VEzBEBnjCnHeRu60RH2a52HKUEylW8nJyz2ZoBKtWwy+NyVScpuH lG8fUBKCthboZuhvnABczWsihA53xJg/4dp4qVGHfVO0YQt//5f8P4vFMtts+5fSSe9hNnt/73Ah ztlrcAwjv3jEEUwYyQz2ZbPOdSK1TUZiaLlXXwpwDkeLcfN5WMpSOsVa9S7JCLx+POM5BKxHojpz 5E8W9OTSvxRn4A/13p44ZuohRntwLHlBzHyqlkCtPtqXrEJF3BPIApXiG1zIKMOHOmiLiDyjhZvX Mu5q4fa+Q3N04ateBWa+RAHX2xXhU5R+H8hnZGmTcIVjiQ+/uXXDF/KjyCOuG2ly/1DdpK7erj15 Sj8xH2KD2nTDe23ZmVJIFb5zflAHcJqbXLGzQU1nv0n3YkZmKTdT3kaC73/Y1iwzkpFJGkDnADpb ty6ValAW1nudl6z5m1aFaCAqqYZcAR8bXK2n4mvc5lPar1+0X3/iEScYSWJuIJWWXTWYZuboXguy Jhy4G5KZzXk5QAuuABy0sLpDcg3vD8UUyiCLin9u4yh5Gd4N9CA8BOW7uaDHE6yJ7l+g+3x0RC7K o+xSFXcdgwA4dgi3GwUiyEWGmqPAuIBWjFDxD4HNXseLziT1zWDtLi5DpxCnKCjRSCBzZ52ZRve9 ycybNkhFVOovsodknxYs4nwcFOjDpSWJxYGc93Qfj7+iFQHmfJufGe6TpeSImcauvJPFDrU4UAKt fUZwS+hrxQC6x4Jwa/LUB8xSxhsmjMT4BgPWIbzxKDkTeX/w0VvK/E7QVdALr/lRTAFj4Xidjt8m KLqYE8gKh6rYU/xjhmYFSauv/M6kHj99cCGZXwCtNl9c6eG/FwoCcXfbSayWpd7k+W4OW3K/JUuf GQv2EIxONlsNdnPNE8F/mDPOJ/6aKmVsTYiM/7bt11PX0qkvn43EXQSXsglKPYRjNdVGjY/MZvh8 lXvjtJPy1UJ3KlShGCfcFc4j2xI2XmQuz+kLSb5c7Q1IfP/+nzAUhrlFS14gNm+MrFUhLTG27CZz gyym7KkUmrb7SxjzBi0RcoFUq+g+mlDTx7j6a7T/ElN0pTURdyapCmAIsKCiqQsWB613S0dOoBvO WZq6M/O/FlOA73L+mU/vdZgCw2n0Pvd0FLJd6aLsBt5vtrHmtqwqwrbVR3T63Rabf7vog2u7MS1f c/wstMVNjc5v1BiK6wMGD7ANL9B25HqRQpmlTWMOYSzuapS25A26r7gMs8IE4p5axXzh0CO+MTk/ fmk6mq4cFbhVKe2amFno7DntU4u2V1IjNINv5V3olH7g+6bZbS1aKyrAZ5F3w9k8Nc7F82XpzkBP Hircuq0aIIWzzOZzcxvTLO5V7L9uwpgjkcil6b3BfvxvBcaOvBMGw5seIIlL3XP9QlMi5oR4KtvF ywgR2v83tr6zqs/+uXVhNg8Q8MDBb5knhVGxfVOHa2O4w7HToeqBOCN1R88nMIqimYtm0jk/zgum vvabtI0D8L/wVH/q8y3t41BvD2yzJzQt65hvIAnS2WwJ0yn87jBZ0lxRphuIo7mok3jk4o/SmLnv ft44Wvya8cn35RZ6tLVmF2vzthYbcwL19k1tnEaBLEjI5k1nUiHvQnjWtMJswDeNIKw21iC/v/aC ykKObyAjyU6RGDiUuX19aqC8fd4x19FUd6EtR5Kd4jM7TTzr4Vm2nG2bJ6Ehc0wwZ4ZMI+JpEI5U 4ad6ytNGyO6sSB7A68fKbX2I5M79d82gjGr4HkXEl7zbqD7ht7EskR3scSssGlRQ1+3iYsdJRDRr 0liWWZ/11LeUXbmE8NUnsOONbSti8ZRykYJotUExa+cundCe8TQCxJL9k+vEj3plFKxtO1eOn9xG xce5LFdpBF1IUCGoUXXipx7UhDmk2eSOiPCbzWELmh2inml/SfkIQ9LFvkFKoxS6jjz8KgxbSZix GG7DuZ7rvzoErbOgKwiXGdkXU9z9yOKOT7PtCszv7kg10BJnysrilmFhrrAwW5nj4FfpxyHOsgeR jxWp2S0acIw7vYg4/t+tT10X9IVzOydhZrqWM/D3Oz9nY87K2XV0QICDFa0NRHai0uVZmz2wKviZ 7DWavY7QoqBud+R9caieURU8+WKKFpHGGhTt5BD3Js62rNyMPxTc0S+RjvkrVATCzjHAzr42y0Hf pOrxqnJLMSsOZjZTCgbKVwYflkyuc10gxCgauilYGS0qtlcAfQkOVrE10M/p9HPywR6wKhR+e/c+ dGptAJyNiDlfiqNF2EZglmRwjJF98kYKb8lKQtH65JXBbiVXAOxhtHGWdER2IsuotgWk0FABPBSJ GtdJrjdDtI32zoE6Ix/mSbIRkSlhk9zFNw7FX1OLtW/wqYPlpHjKypIhrrKCkWB/0PKBSmmhKzO3 P+FqdUAQzbFYwiofaO0yNoExFCkIiSXTh6EYVI9ngvdZdlSvj0X8FXHQOUwmyHKgspWgOc3KLlTk 6BJYkXIU4yyS9VrTiBoA4LF09cCOhVXDLjs1uZTEO6UdmjfomoHbB+OtCUJJ9+gg3bDT/Ius1XXK zE8nVW8SbuDncFTH/Mb/p51N0S9BRPKlOuj3kU8DcvbtlpB4QQ3RkpPtQSY92VIlUlfncMdzIKND 9aEmCYAHXpUDLbzOXI4SetD5Z4PHe1yFpsdO2S98oPCKn+glVNDC51vUHjeiIRFBCjuqlSqVKJDN i2TbmEAAhJmEHMXJjbW6qGIkfrCarTIY22YrZ5aqeW/K4Y2ECPm2gVIqcW9uT5jR8IINVOapXFIt 0hTQDqieb9B4fXh7K845KRHtTyWBYvLBphjrifuvizcVxhy68O8YfJSdICMtSvBuICvjkG29zdNk 5jP8rS8eKKnrMpAD15NCwtPB4x96kHez9HNOzoJto6uton4ceYphlJFnw4sd3z4GHWB+1XoAfFMx qzDVcM/NrAwzvbnlIpE0ZqoeVOKMsivLX8LH2T+HoNfifZuOiGNhgNR8jEAM5RoNK9SwMxbn8Tjr RVhKm1hGi+9dyzZiQfoQp2MBVxqzMAZdzeyMbQ7+R6NpbA3EJGnO1+ozCuangH2ymZcsQ3QVikGM tOGJK/tF2LXVIH2kLwtGwam/ZrPuIrSRaqn97M67Lbe8OqwxVmUlE3Imk2p//OpfNDsMKNnOPb2/ zFExHBs81SqD6+XeR0TdD8m0WL4Iv/xBFXV+6WKvNQH2+z+KjRgbT8t07L3sSVRmweGDEYKwtuQe OYR4kZazztRIneyXLltjMXSLO+0DrdjG0wPIi2IqLbLorVrkYV1L59NKYCnZRu6r3kDGTry/C1GT OFSsxh922uWaXmB9ohMkaff/J8o2AZWUK/YQovh1MKgsoH4Wxs7/6hFUUq25LDwRN4oEoaY7uN/D zkr4SSTzNYitFlp8xmzk1qwOT9bWEoPkW1eHRXolYIqiu4mjeXWaGQZV6MlKBGYmPy5ijRk6WpUL SB5D9aBtkQL9ZaV/AXVCzBm6VYoZGsl5uFxJu82oeGoUvTn1iWsnnYZ+Qmei7zSO5Xn7yrMP9Ls6 9xRD+MLci7ak0qH0VoIq3fW4XJZfJlO0A7oiKk+Hd7CKgTMl6t+zrXNQ86aquOs3NiQOzC2iyBxW 9GGUaJbKml1uXM6+QRelJ5KxbLvJedkZrcbIrNMe5QDsCJaXXxRiGRS1FVcAzrI6v90S2ddkMzg9 R3EfE8CjTTY05LTOjVaH/kptZOm40DKRBuA6xCB9nkyqTG74tMmfQG7Grw8wXoQ/UxAi4/w5IWa8 lQwyEAGFVxgc3t5YjI4cPKucZXAsjw/XzWgaMvVoDRHFtH1GEG9GsAEF4D/ZadQVVTziM9dL3hwR lVwNprwywQr0txl/YRdUznRqZty8jER+TX4Y2XQiYQiwnkLaD0PbGzvUR25sOTZAbtB6bXT/MtBx Ofl9eFNuw2qrGBhzqRzlyfOg9pSwc7HJEGvtyMOxMw9JvkvssssszzyFEhgMg7tTK83/5XPGgqAr UkUumabHr0KYA7TEwb24oz5/s02zsqR7NEMBpH8Gq1turd4UOFmz7Zptzin98y94pgA1e0c9RawI iYGDgsxOq/IC9Bls5K9ixL2iQhOB7JB5lbqS+DMdaX5RHLCPn9crbkN9N9WkRY43oczF4w/lCfOy CvSJ6hQe7ZNiVRn8DEcbQJeoYZygkQZh+knXqHLRuqxPDmaOOabze93OnYPRQsZSuIqSLrs3iTBt S3iX3r+1IU9ijohUmNjmn32SN7YtvtiMvSWgjGIyL+DCJWfoHZHKYutuG9eIteXK/2MphqPhZImK vx82o/RO6ZBwWIY3myOQfqHkVvI2oRW4e1oT7qJC05HrnmCMmZzyuV3jcgOGvRf2BVN0VtPv8tIW CH6m5wT78VZ3covvWwewYjYtf6YajQpimgD7nzP4YqOZe/s7NwcEKZBJH4z3P5u1kEeQf/aWdagq NiYOLwlfSAD5SnSxG/oVlIMGZUZvDV5Elu9OzjZdxauGCshZsLz/dyCcIyOxxojEsJzSKuI9Zr6q NUmTI9jsbjtEFNOT0FPt+qIQzET206R61LCa3I40sO7NtwmmnaQa8fK1EL5Io85pNTEFz5r4dulU uTImssHYqY0noy1bKiouq0P4LwLp3XvhdhSeIGnMS+YbxwtLL6s70RBe3FnhwHmiRSA1g4ZBPP0h gYPx/b8/Xv2dgT/XOc8vmba9VpH4BLIQeJtQGQ0jpxuTTYgclbtNj04tda4N74dgwHvsMBpDph8+ LcT8mjKGMitYQDzaUxeCM8sKbcU4bK5g4Cy07QtJ7mFUAJjAL8zT74RBekt6z0oDcGEF5xImgwhc 2LbcrsUkCik7h6HGfYKK2YQJPYrHYeNGYzmordGioybpFz3ic92qSOlNwhx5VGpTp/yA9VtTga0q VppgcRjWdXBslEuMptWdluDAjYd21Ty+tNhQ3B14MDqlAZiGDVEa7K/ZnQDW35R0Wu37m4AnOtud 3l52A9Av/1QqDyXdHYN/T32Ubb3UvTxFZSHTSgTuhw5NAEsihA/l0DAzq2PXhoCqRN759ChfaOR7 GYVT6Mifz0AZSxNXOvWzZsIDs1TLU5QzN1oNN4gSl79xdOxLc53K6fhBnNKkyXrH+sDM2b1YblPz njVx8MuqvGs7VzVUklmNME9Ru38fnNWKBdmVhd2uz3KDBdUcy85ImW7N57pScs1K17MOl/Vgmhiz 8LYS+f9Yun2MQIqYowjAX0LQq66Ew0rVNKAozezKpza/GrP2ieGHWYK2oM4EWc93xkmd0ue0VFyD Y7AXBqApb3IJy2lazD6wTtpojYlBXdPVMCr7LpV4Cyvw0Gw8lFVBdYuAeP5YpGnxTM5UdFQgSwSG Q3mrFe1yy/eZ7uaY3gdnWlDtEXNpQDKisM0U8GQy3bEb3dDEWspeh+1qYnuEZXB+P2sg1YkwtJya aifDj+USZqb68R2RaObPat3yOhU9zAn6Z+h3lIApbp3/cg3t6OB3H6jurDhehopQZvRaKhRwKG2s HXMTqGCbcPxaq4N5byoGOlFW9BuwUKDZsNGJz1bfXrWhfYYr57oWM2LEc+opI4hbHehVNjwswAA2 kbgHsF6m1V9G8wguHptbadStGufc3pcTezqQHmzBgcU7rzDKCoo6HJanbOwwRLyfNGKAEII8cF0h 5alf7r8FSxExs/vKSYZJxiicBmMQAlLirmYWIe2Re/y3Nrok8lndIaUwBDQXU4UvxGVZu2C9+wvv YNacJIsE/0WWgZf+u0V3S7BqYf3yjfJRHmvuvVpT090XUcEP8JkqqBOhgyCpvJirr/eGKIS6cGv9 H6+DG9K8VvJYaIbko2HZNTC7uFfB1b+j6Jbl67KxWCnNZdkBMqb5Nw/fEpmHIOf/bmN20EyiyJFs yLXT7xMlXpFjm4PBGKbEmoXph4adRK9goVl0Q+PaZZWRpe35Pf9zqIVrT2Uoh4Hh6tIS6ERPVHoz yBIR2tDnAW5ZrZDuXHyHZYO/8XsuuhULPe0buE/lsX68AkhFIAWolx/BnDdyhMsN4b7lkbslhh03 1L9F0BElRiET34FBuWUSKTnAd6o/CIl30YdN4OZH4Fdxb1h6Jc5HXwDsc6cw/99/jpgBxmdtrCTn 7xn0D3iGQFv0eWs1SOskqj1h5gbjT3Wx37th9Y8WNXzD0Euvq51s5ujwRwiJdiNh+RXaw6CGz9Rn bckWkyoD6vDgPfZDyyWFgz3pXdXqEU3imi1/nAPRQqbWZpZu4HpAf3vwS3667f2GmrmheAAG3VWO 9o451aKS79CkIq1c7/30fH+pBSninExDeH/mctsb2lu8C1sPgNez+0xTt+SOoUKu00Tgf416JR3B mEDQiHQjlPdf0mMouF8UrFH6sDb3IdlQjgaMUYT/KeXv0gt+IwH9kmBVKep9BgIBSm4zK9HNphpf JXGqIYwplUzdf158e+J+sozpZUthWtukYMG18rNWdWBNfs1JQ9CDTarsF3GyZY0aAJPptdtKFHZX GFiFj7y7bS3wWUFZz5BnFmHZ4tE6NEUrDf1URh2fjx4hE5o5qeKGVxEI/3qgA4XYll/aK4v5gT1h LKRt6SUyx24WlT0HnnGjNefsLwNOUd48htDQ2vRNv8X5yQyP58VK2gg6IkFLH0IY3aWtYgkhy2x5 wNcN1U+xoX3pdag+DqaqSyIEViwxtSLS1adVJK8kb0q8LUjsMmGtGynS/kjJDwPu14J6Oipxwtgj Gi9E5sE4KFdMxhs5V6codUhZpf7JJLq+GWklPEy4n6ztTpYZlatZ+BnZzOiITWPNJ4LTQBFaUAZi aGxNpb0NqXPIJXR/dWE4lhYKbAkozn/7N0QWIhLs2bz3h24O73vQR2lJdDZ8upu+ZsVfVNCQzJ8k 3/u6ZMI2mzXZW1zgNsJoWWNC2aB+OZHUqa4u/22qwHw+ZMuq/MuOMHMUH6iETLZsSqAEkusw2Jmq QkGsQ2UsS5nCi71T1ifXgqDJvFz/uPJxMp6tCfjAxd0TFMbnB7tTGurjzivyQMYtvIA7/qb8gUQE N8fHsOwLo42vaO14hKD+ZVSr+AvgHqA9yCpVz8ec2LV0ZDCaaiKTCoKWKbRSYoOjiQn0cDtIdO8X 7VYhfk4mcsb+r7UTeijai0gYnShtBsRPVNGPUVS8iakY1IdZAy+BRWpr/Ui8r7Y6mPvdY5vaNTUf HEVHh7BsuWE2cBjxrXsiC3JAD+jZ7iCfIIwBdMxzYzmefjX984KK3IBqP/8GAW7Mg04ft14ot1I5 qF4rq5JCcGI+WCJoIC3MDwZhcOTjykLdDgdm5vqs8Disr0bnzQMzpUbGizN7GSSWBFRX1bQCaghG qNwLuiRySnTiakqB5MYsdz9PwW5EeZKhPCZXXMT1Kh5CrVDRy3CeS5lFyWLjScomNkcN+Y4hlECa lqVg3x+1VL/rPTTIGTcwFEzyZw8zMGZESer0kfadFcQOj8o+/csmxlmg1Apxzwdkef9j1rKsnWWK oiRi3r+kv/E/PGdELAI7+uohtR8pdVer04snYY5B3AxYdjUS3JagcllPEVugyCC0kPJf/FoMHy6Q a+VwhwbIC/ZepwJPdPxaNksx+xK5uHJElCyq9VZ5FOGuuLupDE7a6QCMDgbydIxGmE0I3X3v7/0Z zBBWOm/Nfvo0QLRLx3nSjCwp9CsIH6Ot58hMm2+KuhtNU/WdO2gFSYGw9/MyY616NK0LTzrgfxXl /BnERzTs7+85eqtEJxWXxfoIRY3hNK7/ycuV7WeWfjnmUAUaXLOuo36gkFdiJYid/xIznX4e4N8g cq8Q0zlu1mRTLW6J9dj8yRZJa6wnTJuTuPMSwGWwI83OIzo56+dPIjolh0CZNI4GdJbiRWinxIb1 oRgfJxGwcWRpuo+h/nOVjg+Q/ZJHYOjkz3xNyV6AcXGzWhOkLCVi+WoafnjQmP9qagSesXet2FVL wETHHcLIc4wg86MjhLD2hSkkS12l3czaieaQstHLHYQqsg6riDrkOAlLeXxxn7TgTKidr6GJwGph HFxAdbG/QGEIVXlxwbzZAjuEN3gD0a8+BxpSuryfNVoZ7ff93WNJPrUXmmgPImboLd9GOavsk/kC NK52fU6HLRNb0TvPY/A7H1XCu9McmQMK9FCLlPvhdo2yxEbHb6qpn4umbLNAkKIVmaR+1QAXa843 ODGgGZg8mBKEVCFshXlMX9T+ijUXmqoNhUGIZC2+q1iMqZPnmkocmSYPKexVsy5wW16o7GlmcMCc ZLwRKtRLG9OcG8Cfjl8e8WeHWw3sT8lJPLYJ4omC/iCtYqg6tq+Zl2HNtt8YZOVC89OxaPGkT+Yd BX9CJQTmMLDg4PgpHPhC0u1TgOeQ8mDRYyUT+o7MUYzJW263zE1XPZp1xcKZQYzPPLp7X8grMGjj bL/Oh5tb3fkPPhOJotaidXkidhbq0sIo8vWeutWo3VefQs3HD85+VG+x2IQeMw0NCqYjGWAqwBXU lK9eOuadGDO/TJ31ZRP0DkHaojV0y4YVOkzoezVcP6YWePiN2R4lWWkr+kMYQRmML0XnMWjLS0ga +ENvr3JiKxhJDQ4t6yjc5yLOJcUs61eF9eDKoGHFBXqX11uQeHE9LlhX9mGCc9DSL3LAysr7znAS h05D9FcTe4pmd0dhuv9xY5EY8+Lwx4qu4hc3VcbIup6bZQpEJg5xLOjVxJHTUv/vH5kB837tGVef 1fbSC0ngdHT9kqcpeJA8syxWesOMA2ViDMEajVGtNPfD0FoGK5XrYPV6aOxD+ghZIO4rSvtwDQjX S/TkOk4RhSfJZdY/gVtXkDzjJnkLJrjwEU2i6Lmgdbz+S1xMq3i+orJ2cSmmWDIC3OOigkE00ZZs kJD0WqGkd3HTahMXKo0tLaq/yOMm4HIPS/KQ4owj10Q457tSTeUZh+JfK8M1pYwej/yVECVzJqlz R4CzHh+7n6YtKGhluQXQ5n3Nj9WUiG8RHkpvNEwbWoUR1mgRVBFZwvg58dhn5rZxWNtaHmEQPCKC 11XpSR+9XmY6cLYPcWN79zOzPgnYbMEIIeHQS2elr1jtAUoUwynuqsa9B7qVx6pqyBhTqMu42jTk 4nOYV5pELo2JQ7+NdJh7X2H0wOqc3BVRENT3wiHIEi7D7K3m8W67L1ogqDAMKMbB3y4R3NObJoCX gcOB7ZRNcV4R8pmpnsSCj3pNqS3a642HjUbCFWDM6TKEt+30ZVol6RFpZRtrv+8ckqAPM7ygFJEM bzMVr3uVPAl/qL0Kw0TymI3f4GEzZtB7q93kYS0iwj0NWbEGhSxdBwafztzVIFcPnej5ZgUQTUp2 H5OTZPOyo0JM9nAp58viPwBiPNQrqAm4RP3YqvYJzCCFpaZbfFuOBycH9IhWwvqUX7sgqh0bB9UK M0LFejn+RoKXdfvU5yF3fcYmi/FMIsqrjXxdeJ6VzVzUPlcuWD6KUxpeNieFtuiV3jqnDfXSm2lo zrCygdrhDmadOwvmScIH9oNsJbWBn3j6yxPg62HZ9b71E1o9vsj23/yVVGQpY1h+VPXCnraYQYLo 7TSvhuN98alV5Vwptqirc8m4KvhuA6RXuOZhEO6V7jJhlcb5qJsmp5SYnYfL3qSLtFs05K+3uayk +7l8blul+ex3k/nN9ovvxA5wwhf8jdpOXMM+Kc1grAgOvCPUW+3ThPxelwASo86GrdKWCi0fsmx0 Nt0pyL039XvjTnznvvSCBFkJtLBcaEbSkWZv5znPVy8Wh8h5T8RZe6gk+18Eon5ss2mU6B5RjcbL wxpsWPme/bPvLGp/hLVqV2c/+rtjiu6d18MX5MOEIm3te/kAOInA+zOSLg+2j5zRqOpnC+O3q8eG 8Gm7geMmMk34P+L+YLEbO311rIFJG0nIMRRi8VBaJqG5a6kmp/tKqaii6Z5obV56H6qd8T5+tuYx GMpJ1Jh1EVAqGEddXf2NR+NIEUbBx8+QDQf+ATFcRLwtb7Wl3hXvzZfquHvEypQWuSrmzV4subHO 2f962uw0dFhLbRfd/vKnTcnCmdjdpPfT6pw6s7S7z0fpQS+dH3hiQtBdNOqau2pVaAbkVLUkJGcJ FAa7T9fJuCgqCfHGltrE/gif2K9M5TUuvBL5LX8QueKpx123A9Z+OEhcE8+E2p1uCp1XnhWdtzRy P2i3SWWJqO6o/grYmnzYYAcgS7oHzx4lO4uv5zXixPpc2JecZ3umUbDR0k4jtMlYx8mxda03f4Fw zeldng+uTvvlaqS7xx30g3XNKvp0RnEeKcRibDFFDKjXuC86RxdwIZeT37kR6VzFVHwOVIhZ950L nqZIC/fxbmBxysmuUYn+oMqSVvmcobXkYJhxGq91RC3FipZqdGMF7k+7la0BN4+sFn1GFHnAqXF6 qEiD7yfAl+xl5Mc8foFBCanabc5xXGyHWYs56bADgh2VF9hW/VRUluFDh319tU+KmoYSAzogoMt0 m8qqrjqEzqnwH+h2etk8a5Ldj/SlrUd58j7tWw8FR1+Kh2iWy/kAIyv/KFa3WKskUmVN4Is2ARto nw4HV/CWK0zO2miZ4RxTeDRCC0nK1E3UwyM24K1S+3W52RMSTWB92nMKQZ3ULSl2zjn2SsxoZwBc cZ5FAJ9HbEyAtm/mdlgJCw3aH1SRAsMiiHbTRfE/2c34RWsqib4g0zheC6+UeLS187c3CWL8++xd WkMV6S0NC4x4EOgGurfc+5J1sIuBl0yMw5gozRe/D0Qw/dXTzyReUglExVZuuKf2ujDLhthdaN+O UASLMO8dCiRtfR8m61jdpLtrgFFGlpu9Z9GPlVFq+4jIN1ZPyHBF+nfpNbyVXxOB/YO+H1hQwHSx L8Wyt4IA6cNkj9Lyv/krfdIVIJlg6NIVNozL3BuAhkE5xH4VWGHlZXvqDLDpYPtQR+rg7L4ujC0H M0edImuHd0PTWLaTW6aoGss4GDMNjOQxROZKDy+yOmaeVD+KbyUHug2oWdDhAMSaYjp91gp6AzWL qZym40t323xa38DeAptbgXQYvyCtf5sPh2egntsA9MwKKgg+pxcx/rKT4G+Ki0XR4v0q9PXSGAUl qQRB6hLLBwRY+gEBj69YXFWukEOiqUj+54WESR2HZeXTxewmPDwSm0C41vXjV4O/3P9KPvtvfLvk 5H66WCGtPo2ZrB8GjO+9rnFyJyAe0TBB36pPewgAE++Hmahvi6bDAk6OjCMs9nTbkwnIvClC48zG 9tYPw+/nUpdQQoqFjj+/nDvs6R3N6NX0fq/OKU3CYJQ20kJyw8qoDUgd1grphPq1CsEGD6IT7AGH R0G2BjjKyIZy4I+T+l1QPHtS1+e4h/huDm4cdV5f7OA+ycLT0edtksllzAtkLFDnrrCmCBwB4R6E qKhWtV27p4gchikwoJhpICbIBgyW2G6gofIK+j418Zx2zkDDqlO37ruvdsIHPhb/+foXR8w3y8nG YzasUgjS4RCKbnAQ2vFTJYC2PNTC3/OtMWy9dC/tgD6IPa6MA2JzlCpVAyqo6v3A3RBPpjvoI18l r8eJRZy3Ww62l8INEaW1L20KS/05ha43W9iP8WQ/jPGZxq2g4WUNmiuidNT642Z0gdK4pSlWSMEU EQjKDF+sZ3wGW85p7xCwu37LbkrZO/z44LCe6EsPhgbMPXgnhfoeO3RXofZDvoQzos8Oo19AiDwg AXvsxaFlrDdHSLhY0uDLnE9IgrKfE4wwCNL74m6hFkTjSYvQavNXHzdihQ4FqU/3gALwWEW5Aa1L R+Y2W8o1ifDax80ukVO+Q9syzURCVENtVfD05DPLsMZJurLOkSRniLQ7UQG5oQQKm3fEKyynDMlB UsIxaIIiUXOUv4bK9IVcFIRcr+3d/0X3gPWJPz6V12dJG8oBufoA9k4DPfwQWg4hAmGfOxS7gnBY 1sfh7kyjWrU1Oes/77G8aDRA05wt9GtLYEXRrS5U4lp8n8Zeg5PemluBxvEAMNYV9P/yHJrPZ7fP clZX7dc9UQ7ieShINxTO3+30nJcshOC8FyjSXnTUpzU0JRjp/vDy8gxqWza6xyi/IdGP7pE6jcmx 1rCYvDv1a1aDFZ0+ehxPX9eUuRbn1JRMu3bbm5vsU8oM8MzpMX2+GJqZwoegxeIoRHpgToSVE6fg E4mh8fNFFim/18rCoZBvh494ugrNaybeBLn1OELIOlJxSDJRj0LyG8CSL+DnXR57WdKU6wWE07Wz W6K/vQdZFqs2gRTT47nDx0GWP6GkWDRWgVvTrZTa0hahQNCwBlZS/IwI6MaTGulWONBzTzlFnrEc x3Q63PrByaBYofGsV6ws1+WnmM2+/NGA10hlZ1stnoni1qhldrzgyjKoHhMfnJgCg30kSWqYTfGa cvy6tUZ8mxPaA7QagdwCEv/8S5S4kChhND4s9aMzTi4J9ShX67MTBsNNPyqRL5cLnygKcYF9Kl2K 28OXXwplhfH9VS34ln102gyKLBx4vccJPqy5c1qDLthGKViDDJKBwRul66vEDCNKXBhuq/EKDudF AN25TdSbu2MEfVbqcMhf5zOwVhgDwuBxi3+9x2pP/FnB02N7sE0SW3B0roWQ5AfexDE4LYQyrN2E NY6WgQ0qnWmhErBDKeLUsBFPj6HmSbWTy3zePvFDNZbh10zx5vSUx24LgixqX/rB271+KZ4/qMOR VOsTuxJcTd/sbt6NYQwnZ2a4PgonXOk0znk5iMACc+mji5Ty1SwZfBwZkwBUGeN6EzqVyXFeMtFw O+ufBvVe3CUUSHIMW98WAmDHhQKBFrZGQjaEpl6SD0svleehnhjrVU5jOYA+8CQvYqm9hZAgt6m0 qcGbWxQX3YNjwaT6a4Gz7ZjwCb0t6O64bA3+PUbiRF0mAnIZ7I/16CQeERWo8fxB33RbVoFK/MEl iWboHabi/eEOhYZJPBRF1r8R0zhjaTP9OyjtubFIIuZgOsAyzDOmlezM/ckibQQGfcu6aLXjRPFs vBakgiNarcU5wEHwVz1z6Jh2VRu/I67ddBDCGcQK25Irm7OppaUAwPCSMDOEqxGjFtZCVV+tLMyx AZXQlH+l/VBRpKDQcZPzEbkS+UhdTHaxq9TTfv47weQaJBnq8YxrdDiv3H0RnssihAOgHsBavHIj FehhvvuV2grp8pQUXRhJtd/3+zqOPwaaQju15/emVZvw/DFgBBsCdslDBHXhI4wBimQ0l9+M/2MS tqY6XkA3uCATpAIStIZxYn1oMh9HcMF90rNZLSJM+dx95A4StvFUvvg5QXIyrpZgHNslkj6OYBwX qvND25o4Wn5hDoZwNpCTv1wt3iwyKfDdE+qlymSDN2PMbVLN7CNia0MmbCvGar6NQ8vAy4jlHGd6 9fuiL4XdwOyP1amnD9dLYi/8LY+n/EdC0UI/dfWyq9G77kZeLu1AGLN1HZwGZgvHUx5SrT6RS7+5 +fsdCpCRMJ0Tt8HOKDmSnwGwYoU6iWzhGqhPWRd5b0B8CiBzRjR701i4LmMbwzOJpQLkhYnG3v7K /bAdqgF2qY7tSYkeNnn91QSvvthWmu2y6TOx75bdmaHX92kddGO2ZDWW2zdDZzsQUa/45Nakaknl Z1zLRUJ8taYmX2y02m5S0bzs0a+ZuaiV3c94EsI3JA438W/1Q1pMnqBczeyEHK8= `protect end_protected
gpl-2.0
29c2f4b30432f95927b6f2908da78b5a
0.948138
1.823278
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/multi_fft/xbip_pipe_v3_0/hdl/xbip_pipe_v3_0_viv_comp.vhd
12
7,405
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block KR2hDYVggE639152eMCgLSSMtTTekjXPZWOzQNYdeIgytaWoFmLQqGBShykbjg0InpCuHtXlC00H UBfNgtEi7A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YaSIXzB9EYuDVZzTYz22LglzuIzmUizH1/qutqnW3RInKTEZWeFioWlV5Bnz+AM89vvTsufs4hbR g11zB641D0Qy0ayYwnxmWy+OATkeTu+hdImJ2up0Jbuc4y35ZVttIP0NrApkX7gQ9gk4t1YdO9lH k3Vwu1OWZOst9sw0KjM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 2M9u9gJ08cKISkgO/6/5OBFK6/lOWflXkzM3CG5/xTD0L77pl2KQKT0sZuPlQNH0igw+BrFW4rSp SZO3xZ6oka57ikqkoxUG3w/fZQxL6KpUPorVhKtYhFPF253FMXcnDgJXLEQoNNo2d378rxTANreh iWydtIA7sQUDvbS0R7XuppqxO2WYhwo8pwGJzmzDSxp1j2cJ0HXEcPKgECpBBIxfhehRwwIf3XRp RNdZ/xe2XRpBLxXbv8gtnQgsmeyEMhe2e6tFwVOQdHdJey6N5WfTjd/5lsCGuxpbALbQeZbUa0jM yxt36eaTDaE+FYcxVRiSGBC0P81d5IoxtQLRGw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block AqXevnlXYyOlpiJW+WiUuFfbiL7odODr71IO/WBRBfUdWAlHiLHWwJNGNXEL6HoCbKY+WjB4+yXK kj3p2HBaARVxRZ5E+V10L0Ja3j69okSNEE3h8F5BgAFzb803E9D0LW852zxQEaq5XT8J9zsI6/W5 RNBhH+inUmnz39+NfBU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block T6ATUzG0mHz5ITsZ+4ZTnfNDuhQOIgqIUwpEoajh/cBESiMACJ2YDvFSTIo0lhnXGbvzOxpwUf3W oud5pPpBmMD2dFaaxMEm0EcFSeiVwyX7yNRlTWyn0sAE7uKYzMySv1t7Jbu+C97OQQfRaUhEFp3f Yqyyp5zoeaTDqW62NGfPL5onjEN1C4rWV6nchMRGbSdu5eGGGYUQ7gGIQ5+XBzAPlL83EDWaS4IA NFILH+mLrn7/QcvvDs2CpJZESojwLlHEeWmB/moAuQ6my37M9s3MKdiHhvZLeQnZlPnRF4SkQ0Is jh16D2R7Hj7Wbio8lFRtNmmMi7Q/M4KkgLl3zQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3744) `protect data_block W8IE7hLMMi1UQ7Jihj3LhjIzgXFs/LKdrUF7/acNnh5slx5i9qZx5nFmnEquLqKFlgy6HYBv7Dhz s2dtRYnc6mteF1goFCducQ5BD0SFQCenIJwKHWwvsezvLDVXylxcEqItsy9UGLdssYu6/Lf61d+g f7hHFV1DSvmUNrXoSeM7ns/f1EjIAYY2nYJAoJRDtjCNaMzpE0xJQb8zNFaidmc11ueXjZG6ynMX eP+kQ3JY4CqDmPGbYOpblOMaU7EwN1Zxl0J5top/TLGIpw3OjiqrKkgAzY3zq80m/owgtmd0w4Ch hauyrxMu7FOyeoSV/CK7e/fdKLpnDZlY5syFqYXgo2fRZ6lZTAJyA32RBm/aC5SXckaBgFb0vrKx crSBtMyEFHlxmhymRDGvZa6pGKdtWjTsdi9sfT/KJ/mfQ4LVWYXxjzVAi/LjjCQ895V258af0uCJ r+c7WEw/lWG29Axg6jYXjtlnVJtEbNvm5RgG4+Qe09ddB0Qk1qyAjI/MJjPUAYRqI7PRwCvsaR1L zBWp3UVY2ZXRe3pUHwT2ntH6omy78S9hn7FfgqQnv9OPT47lkSY9v8lLxMmLPLD14Fe+3Rfo8o7l 58sJ2Ip+vr7J6TuJ4sJhKvX0lxxBA/C+zLcH8qYaXdCdSi9ELDE6RzVMrtAf2CZg9EOqflZEhCPQ veOnJkrm7JSPRaYiHJF643ZtHeLp4sLTCNcKRuynO43Y8nBz7AqBxNR201GMsIqrLG3l23bW1zFF mbhhlbKDDa6LiVkV986baeUKbo9q6TYvFuOhNIGDuYffQMbdpX7KkbHbW1WYg7QUcBwBo+bp6Zrq 8OfaaxQD1H6yZEiiX+/9Hj/boJ4WhXW5qc+hXG5t3psfXbNvFiE2Mq5JbJxvLKnv7eWyjKy+KR+L l1lsCw6lEfU6WC131smgzvp4aHdCRbzIzLjJSqjFDA6V83cGHRa74mmF030uCsekst9i8KITP/Ss d+e/hU9hd0tmoR+HeJTc8daNPWN5gUQde5o8ggwIfAZwd6p/bjkI4mBPX/8WmzX7QA/CmegV4TrM APROUMqnlG/VcCT4tIsSYOmViLWDBzEvNJhR1NMBPzjAxm4HWFi1LAz9dpLR6H2b02xubTlbohMv dC5/2nY7m6PHtltu/9s9+RIsRJLo/bzq/X+RmC6e6t5ELbKyhbBBh1EISvRO7l5fEsGLRUhJLD4d NegwgzJkenysLx6rSvMsNJyiZK3ZMIwLTW25kSzfQH5ZEg2VlUtySCXPQMnnvl32lVnxP6+aqiXl 391PUdpW87Jf6jA0EaRzCkGvdRNzNClkxVkwduroyspmEkbK8DG0uTT1R8R39gSYbT0UcP2g3han BDKPwcRZQJQbVvBz5Zvzjbcd9Kw1NSAFsnWA8p1j3CUT4bpAKNu9Gk5DQVTQTgt1I5v7so9e/For CtOJ1bi1zm4OPTdsTMA6tCqGRwG4IzORVPPE8jS4NU/JHQaCL8Im3EYHroGvFvuW0chptxnc1CCn d3GrxhlSM2vhtlvU0rQgh2nXruwAAmT69nzCLoC6yYFbGKLQwqIMa2K16hfE1TvW2H7MEUm8uxwf X69bJd05IHvg9CS8rxLovRmA9iWv//48ghOdx3xc5CgYXUSXrY4Voc0R3NLOp6pXEFaHBw1f/RVX 76E5bbITidF6ZzKNJWbSfL1myiVChmeMrDR/MquUBx8JrS4r2ZY3sG654Kg/cCoLmkpwhb8SWtun AmVm1jicHXyDWWOhmBi7+BVgYdhua3ZJUbf3SGaHM3hOo1tWEPnuBmOnr1YORL/fVrYY0pt8uohh 1eWk8JFYHGh0NhWG1uSUhrfOtmNyWyuRhmacoFv4yNzfetmhdU22+V1QI0g8inlIL1dz7Lhq/P41 7VjZB6i/WdDFTgatkoDTDptg6MSfY5rlZOh9DixOhF7d5gIXZUTiBPS3M7ZLxHMka8cZWrMdAcPm R1Z6FH7WHT4vVh5Coyouk+6HFsqdBLIQ0kEgvY+6nxujPLOF6cs7n37w2+UP3Ziit4ue6xUIMN1w hqziHLaGPir9KxRZEDRtT/XJCPOq+pOpDAGvJMIw+PTb2vTfAlytIhK4B8JOsyITVXKqAin7wGBR DEZUJNotwsR1BHsiI/VE9t1IuEHloIVfazdY16IYoby60ZC/SuT3PakvGOVuy44FW6lDJMvHwi4a NSK8XOkdue/VvdpCZOI+LLegErPrPfGX2YMVAy8sgTpvrEPZ1jlB1uvPBJp4I4WHgkhoyHUVK3WQ KluE1PQA/MnuDo7KfvD7u0XeXm3OBP68ZZAA1I2+94jgL/pXTD3aa2gmAopE5AjLvdwBAVTIV5ZM eKbZmNOXOwX9wCbHvW2JWFX8GR4ciD8v9JT0NChy+0MgmJIimZAOWbQ1UIiKNnkubfDvoQkz09/V nUnN6f6npR/3au9onc3CGt+ezNR/Qns1jAwBIcO0w35ixpfTbY5eUt81nQE+iTUi1BMLMI/4IAP5 sRUAyzkcCv/nw7T9es4r1t6amKfNW5eKgjuMPsXPc2SJzK1/MEU0RrvsQC/CUxtzzbDKwVqXdzpx if4+++AKmxwcU+eZi0VdfQLo76F/KgKZeYxwgoDwcJJd8Yn2Gmh7KHCwWiJmFvbRPAU269TGqD0o ttebmkPCFdS5BZsOzvtpY2kJMi0sRO8FZ0LIxYeRttxbA5eTPXxucSMtWobS/iLXUvMwGzluMmfe ggLDOhuv4sV4A+0XxF1NBepci4FPw0Ul3W6cPtesUX0+X7u/8YesmCn8xcf557Qt7ev8sKwqpmiB OU60A8C00EKK0Iy8wvsEzxJew0A98zof6QGkR6NP5HwQEx0uPiVR1SdXS1sGcTxDeN4PKf5S7NyP rBkLBj0hsjoDvSSYdJUjlbxuW+OGnZB+jTY+WKZ1DdsB2lPqz1cttkglCs77e4UWCWdrLvogIcYW 5SiPgzKDqRc+z/VdBk8TkK/d2Ho8ECRIJoxYARTc7FYw6b6lc7x8+fpmUqYw7Zb2mWpt3X6kd1kb F45qla7CfQMvS2GL+YgE3shp8DJZseY4ajLKtrzjxalxWJjG6ggvlDHvhH4eatvC3SGqNgT4z2tA 1RFMBbbmJHG2NhPNgi2ZLT/gxd6kFIuccbLryDiTghMA3O5T3hiNbBnqRLEL3s4dZf1C4RIKAaEc A7H05pL1KvqYtqukEYkhsyzrYmFmII2eTXcaPdQzY6ekmn/KsGwL8rmG1xbFRBN4aZCLjKDDwkWE 0sSJO9QJhtJBQd2D11Wm6tibgotnAleOjTAKe2tP8utzvqZQ2D7z3DdSCRnt/F5UvRYuw/Y3trP+ Z8mEFi2oudPKZWgyu4eg5myposVIQsTTmzN0EwXY0DCDtr7LyoeIKQDcEvJlsz1LVQH+bdsIoKGL YEVeXvaC+kjxNblq6rcnaWp6CMA0Fx2oAD0HVutMriZAQ2fEQM2+1rZmZ8RgVfmkfrZm+EMo7U3u PAkbG2pjpb1Mtr5kf4K4L84LcdqGrSZAbD43zjlDbOuJ305y8xWaPQcBldWSv7Pc83ts8E/IGS52 yppPIj/tuVrIXZtae6vkQQjj37NrLqYqQvy9C+szhQGjwMImDiAOFqryCqNZr1pXw2c853NL3Ldu fryUvPJasGDgUZdLoN+kMgRmHxEhdUIphQ7CJ/ATO2dVGXAEhLqCEz0hPlYc1dZk3BEf0O0UWmHm W8r3nepaybL7QGC0ENI83ndQPaRWFhgGv4lrJjDYimHcnG946tY5DUX7JZ4N/1shmOMjA/GSbHSn +y9uwKi1YYlgeZekOnQar3n6gmbZd4vEz6pHoOgr1l4+6dOKUAlhjC9Z5YUnPJrGMv4QcvCnhVoj hHigQIl4N/6IewRL8sfCV7jgoPK62usGGWaXWZKZA1jIE3V+xgnfvv8qMVYsEAlyaMJO5RNyJHJh sP6GjtziFZ9HgWi2Fm1TAnkH2nyqLqcCWn/teux2tREK//50ROY1K2MUGB4vZqkofH++6tq9CA3J iyeN/raso8iD50FPPRNKARZFu5iu/Udhi5vmEglxjqZ1Ozdf3mZBkZalSVu9YGq1h4tO4bSsXRzq wAf6RHA698WBz5FtUXT6G5+3uhRP38vBcPOPhTc6PFNEV1x9mpGRuTL9VrxDeztadLsTaHCjfoxw v1frg97SjWNad9rMcZ199dpA/dzioeyYU8nzZXjfGRrVShBMW/CNVzPxV40RZ6m5VF8ID+ff59Bi aB7yHZNdfP35qZMDQjR2adToDUh1GBLry3TpUcuMyW2jMFKnpFflE/K1/9jF6BdRFgDNt9PwsIyd uIg3/+qQ2/nX5YZZKGhFwzfKWPxoEMHmZbvjKFd9f3H8xRwgM2rLccCtLdSTcX0tCfW0C4k5H1RQ S4Tb90MA2qOqfGXK/L+l8bJctLy2CKf/jLry17Fpb4QnM0tOazk4ywNORi47xrY6cMeMB5VWaEKl inTOHxiIWI17zQNCbpVtvJwp/TIQv9xLfo/gag5iFzfd996aGMXLCN2Nbr/TLF4WCmZD8xbLUEv+ e48v8wEJl1jHlpuGsM5t051+s6TlWZ+pdiyGWdmQPwfh8qgG/8/jIBh37P+Y+UJ66T9RUiIiT1vN atBMUpN9uRnygLJF2glSkP4HbNIrmdtboyn6DYJWWVN8k6GBpzV0u8q7Yqm3qg0DtmwZQbEXx8i/ TYlUfHXJTMdWabfONLTxxjeisNqQQTw+iGdJ8Pa1MBTKy4Ax+Urwt/1EGhzQVvESshUtilvKod+Z 9VLFkfei+RqTD6hINI+Ruf4/i2Vfxoky4J/sc9udFff+tsyTcyBnjQfvjGJIqdpyMXMgE+gpXtlF zo61Gtoj286aen9YrVRvjeOjm/601irKoXF6+HyQ9kjw3bJSrP9r4IPqaMCbcs9FsaBKk0udZmpj InBuLZZicEL4W7XmpBeqxxzpqlLeHaZxAZiNMiqB4c0xr04Xjdqs `protect end_protected
gpl-2.0
e1c8b529bea3c1f6b05c4796042e08bd
0.914247
1.937467
false
false
false
false
keith-epidev/VHDL-lib
top/mono_radio/ip/fir_lp_800kHz/demo_tb/tb_fir_lp_800kHz.vhd
1
10,927
-------------------------------------------------------------------------------- -- (c) Copyright 2011 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Description: -- This is an example testbench for the FIR Compiler IP core. -- The testbench has been generated by Vivado to accompany the IP core -- instance you have generated. -- -- This testbench is for demonstration purposes only. See note below for -- instructions on how to use it with your core. -- -- See the FIR Compiler product guide for further information -- about this core. -- -------------------------------------------------------------------------------- -- Using this testbench -- -- This testbench instantiates your generated FIR Compiler core -- instance named "fir_lp_800kHz". -- -- Use Vivado's Run Simulation flow to run this testbench. See the Vivado -- documentation for details. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity tb_fir_lp_800kHz is end tb_fir_lp_800kHz; architecture tb of tb_fir_lp_800kHz is ----------------------------------------------------------------------- -- Timing constants ----------------------------------------------------------------------- constant CLOCK_PERIOD : time := 100 ns; constant T_HOLD : time := 10 ns; constant T_STROBE : time := CLOCK_PERIOD - (1 ns); ----------------------------------------------------------------------- -- DUT signals ----------------------------------------------------------------------- -- General signals signal aclk : std_logic := '0'; -- the master clock -- Data slave channel signals signal s_axis_data_tvalid : std_logic := '0'; -- payload is valid signal s_axis_data_tready : std_logic := '1'; -- slave is ready signal s_axis_data_tdata : std_logic_vector(31 downto 0) := (others => '0'); -- data payload -- Data master channel signals signal m_axis_data_tvalid : std_logic := '0'; -- payload is valid signal m_axis_data_tdata : std_logic_vector(111 downto 0) := (others => '0'); -- data payload ----------------------------------------------------------------------- -- Aliases for AXI channel TDATA and TUSER fields -- These are a convenience for viewing data in a simulator waveform viewer. -- If using ModelSim or Questa, add "-voptargs=+acc=n" to the vsim command -- to prevent the simulator optimizing away these signals. ----------------------------------------------------------------------- -- Data slave channel alias signals signal s_axis_data_tdata_path0 : std_logic_vector(15 downto 0) := (others => '0'); signal s_axis_data_tdata_path1 : std_logic_vector(15 downto 0) := (others => '0'); -- Data master channel alias signals signal m_axis_data_tdata_path0 : std_logic_vector(49 downto 0) := (others => '0'); signal m_axis_data_tdata_path1 : std_logic_vector(49 downto 0) := (others => '0'); begin ----------------------------------------------------------------------- -- Instantiate the DUT ----------------------------------------------------------------------- dut : entity work.fir_lp_800kHz port map ( aclk => aclk, s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tdata => s_axis_data_tdata, m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tdata => m_axis_data_tdata ); ----------------------------------------------------------------------- -- Generate clock ----------------------------------------------------------------------- clock_gen : process begin aclk <= '0'; wait for CLOCK_PERIOD; loop aclk <= '0'; wait for CLOCK_PERIOD/2; aclk <= '1'; wait for CLOCK_PERIOD/2; end loop; end process clock_gen; ----------------------------------------------------------------------- -- Generate inputs ----------------------------------------------------------------------- stimuli : process -- Procedure to drive a number of input samples with specific data -- data is the data value to drive on the tdata signal -- samples is the number of zero-data input samples to drive procedure drive_data ( data : std_logic_vector(31 downto 0); samples : natural := 1 ) is variable ip_count : integer := 0; begin ip_count := 0; loop s_axis_data_tvalid <= '1'; s_axis_data_tdata <= data; loop wait until rising_edge(aclk); exit when s_axis_data_tready = '1'; end loop; ip_count := ip_count + 1; wait for T_HOLD; exit when ip_count >= samples; end loop; end procedure drive_data; -- Procedure to drive a number of zero-data input samples -- samples is the number of zero-data input samples to drive procedure drive_zeros ( samples : natural := 1 ) is begin drive_data((others => '0'), samples); end procedure drive_zeros; -- Procedure to drive an impulse and let the impulse response emerge on the data master channel -- samples is the number of input samples to drive; default is enough for impulse response output to emerge procedure drive_impulse ( samples : natural := 1073 ) is variable impulse : std_logic_vector(31 downto 0); begin impulse := (others => '0'); -- initialize unused bits to zero impulse(15 downto 0) := "0100000000000000"; drive_data(impulse); if samples > 1 then drive_zeros(samples-1); end if; end procedure drive_impulse; -- Local variables variable data : std_logic_vector(31 downto 0); begin -- Drive inputs T_HOLD time after rising edge of clock wait until rising_edge(aclk); wait for T_HOLD; -- Drive a single impulse and let the impulse response emerge drive_impulse; -- Drive another impulse, during which demonstrate use and effect of AXI handshaking signals drive_impulse(2); -- start of impulse; data is now zero s_axis_data_tvalid <= '0'; wait for CLOCK_PERIOD * 5; -- provide no data for 5 input samples worth drive_zeros(1071); -- back to normal operation -- Drive a set of impulses of different magnitudes on each path -- Path inputs are provided in parallel, in different fields of s_axis_data_tdata data := (others => '0'); -- initialize unused bits to zero data(15 downto 0) := "0100000000000000"; -- path 0: impulse >> 0 data(31 downto 16) := "0010000000000000"; -- path 1: impulse >> 1 drive_data(data); drive_zeros(1072); -- End of test report "Not a real failure. Simulation finished successfully. Test completed successfully" severity failure; wait; end process stimuli; ----------------------------------------------------------------------- -- Check outputs ----------------------------------------------------------------------- check_outputs : process variable check_ok : boolean := true; begin -- Check outputs T_STROBE time after rising edge of clock wait until rising_edge(aclk); wait for T_STROBE; -- Do not check the output payload values, as this requires the behavioral model -- which would make this demonstration testbench unwieldy. -- Instead, check the protocol of the master DATA channel: -- check that the payload is valid (not X) when TVALID is high if m_axis_data_tvalid = '1' then if is_x(m_axis_data_tdata) then report "ERROR: m_axis_data_tdata is invalid when m_axis_data_tvalid is high" severity error; check_ok := false; end if; end if; assert check_ok report "ERROR: terminating test with failures." severity failure; end process check_outputs; ----------------------------------------------------------------------- -- Assign TDATA / TUSER fields to aliases, for easy simulator waveform viewing ----------------------------------------------------------------------- -- Data slave channel alias signals s_axis_data_tdata_path0 <= s_axis_data_tdata(15 downto 0); s_axis_data_tdata_path1 <= s_axis_data_tdata(31 downto 16); -- Data master channel alias signals: update these only when they are valid m_axis_data_tdata_path0 <= m_axis_data_tdata(49 downto 0) when m_axis_data_tvalid = '1'; m_axis_data_tdata_path1 <= m_axis_data_tdata(105 downto 56) when m_axis_data_tvalid = '1'; end tb;
gpl-2.0
8ff51e63791cf6ff3c80f8ce7a1b66d5
0.57692
4.632047
false
false
false
false
keith-epidev/VHDL-lib
top/lab_2/part_1/ip/clk_video/clk_video.vhd
1
4,568
-- file: clk_video.vhd -- -- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- Output Output Phase Duty Cycle Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------ -- CLK_OUT1___192.969______0.000______50.0______237.070____275.507 -- ------------------------------------------------------------------------------ -- Input Clock Freq (MHz) Input Jitter (UI) ------------------------------------------------------------------------------ -- __primary_________100.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_video is port (-- Clock in ports clk_100MHz : in std_logic; -- Clock out ports clk_193MHz : out std_logic; -- Status and control signals locked : out std_logic ); end clk_video; architecture xilinx of clk_video is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_video,clk_wiz_v5_1,{component_name=clk_video,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; component clk_video_clk_wiz port (-- Clock in ports clk_100MHz : in std_logic; -- Clock out ports clk_193MHz : out std_logic; -- Status and control signals locked : out std_logic ); end component; begin U0: clk_video_clk_wiz port map ( -- Clock in ports clk_100MHz => clk_100MHz, -- Clock out ports clk_193MHz => clk_193MHz, -- Status and control signals locked => locked ); end xilinx;
gpl-2.0
59f7a6d938a9e7d6f273153e6de3d8ad
0.634413
4.214022
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/floating_point_v7_0_comp.vhd
2
21,412
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block bxl1nb6EQu3A5KyT6mMHlPw5TBwypMaYonkSRx/XzJLiOkHHCUgNHWDL/Lnth5EKpDLNiSSVbdcq VwwFxLP1PA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YN3rIYl+sFna+YawITKsJH/5KN0KBX2DEWR6ukf+rCZHZo7cnEzlUIr9NJV0RGIfIXONJVaAYePf /CYyvCRuzwg5M26g8LZhuwYfWuNAfgQcnZmPWQytHAdjNOj5qsmQUCV724OARbHYsgpaigvxTDRi F08c2MAiIbQQzTKxNJQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block te4tu8L3l6jJYZsnTJ+Hq/2o/FtqJSbj9rahOlPiOFORqIvsZJPm1topbe8mHu7IGHZc9Hxl69i6 zXyw9+AzzxDq3ged2eFG+FYATEx9r9VUdaR3qUnh0rkG7+FisTct5AOAlMGNSNkHIer7Gg2A+gaZ 7QjDsBIzwFq6c0Exvn9BGBmdeHKGEIvhyEfWPbtV9dESM978BNNAvHzyHQKpzSq+zUH1RhMgXAl9 pcIK8b7cGtatYzRNiAUt4mxlljEIIyc9zjrUqDcmI6ZTaAgFAhZsUo++R+tMiDQTmA4r4SOAODGl S+Yo+6p9zVb0fS/l1m9ENALqFebamysA2J9zEg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QJmrP6ZObb4sRQ+XZVFe6mFOaSp+yak7UYVaNQVaDA2nAsIF6E+rAjEatxVti5UWBgR1su0R9MQq LqkdD5XuEdvhI9mkH8qoqjO5sDjJfpJFeIKoppWEuv4QYTqRj8NwlKfJQJ7QGkO/9gIgvXf16tBi XofQlE/vEhtrz4jPb04= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block I6BsMGxrp6/ilWfAkTDqabT51mJQAT39mjQAiOu+FTX1ZezLiJ4xM0RSU1pAZOzEBs73nBSgmNUm 6I7JKm/yB4QEJzCiBHihQO++l8+tinK0wWWuLsgVXTDGqvJfaOjUNgM3Y07BRsXcQ0I1VffnbyhL aonJHzsrMfgHJVx591oZqeT21kZf6potJDNHo2A2i6GnfZ8T3uxE2eGGoyjIpbgljuSh32rQBwqb T8o4Jor4rgQ5u/w1SD/U8UIwwKCVZJ+8NGSMB+pwhP+v35zyY676VmWunjmSlAlsyvixcZ4Nqk0+ uQdcQdbS/1Y4i9/Utr/3o284QmFuMK9hqcXwew== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14112) `protect data_block /C0QSHYAPiKdRKCGPUdWqsLj5ktCmwEX3F58SkHrXdiN1FppDp7VzmcCaOI2VlJn/A/vuTptHQRn FLpWERglY+x8rt7Zn6uFvZAwvT59SyD1Ggm1RAd3WDPe6oPhqw2l01v8x9KanqXoMVr6fVqd1+Ht BESo/UH5wYuiWfmUbJIelarDNShKcZGnljqJvIR2gWLJP+sEGcujKsXy6R1EFmB0BrC59tIj0Xme 8AxP7ORFDxy52buasnWCW3G6fT4m19kUvkuSU955kIy6JHbyplsI1K3nAifOA35fPVINnUUStWsT 1nHdqCVcIOfRcUUgPq7+l+HDMxkIp2l8Dx4DXaz5MOuMbgzw6poX/A+o4qiwgDmD8ejASd4HGLNl 17Tkk+Im97CSs4u9QBP3lVlg+5fIf6h9s0w+zRI8nvRbjt+qB5/rtxjfG7jFzpedZYSs7+GscKnb RjQZAi2p2OGaEcnGX1OdAQT2kdNrDstUCw0CLNYvfTb5VskltoSPdg44RmOVhBKjbbBpagrj6XBV YB4ju+tpfVAjxUfWIFnO0Wg0V/A2d4QELzTAWGB7V5J8sEOUKodae2nlYvd12OxqBPYG2S+OFp9v QiBW4uVr/MC50LFU3H5Wq3FixUMP1qoCT343WqGQ/eHI/yb9uDBh1RrIfUPgqYMjZR53DLF4uywG eNDtRuBYPJ/gK7tKZeeWHZs3IM77rSVXSRL/ChWdM9Dd9FEnEfRWuUBZLYcD0TH0exB5d1onj3Pe PR6mLkF9jlY6Rez7iMIYaiLcRE4sDroaozlAQFSjhHhnkRPlpBdZ3LWL3aYnpJ0gt9w6BZak0QrT uJesdhZzsxny9iQCG/hoQOSlvVv9cYDrpLfNN+JMG279SIP0ekafOG899hMADHOQek/SnAxNR2/1 yfN7gv5tPFQmi1vEv3wf90FZsy3FG+cblZeVtDCiuH5d0S3n+HAe7mjc/zq16Un48MKI6MOeMBM2 vPVDlqbZ3K0O2O2yOCRwMuXJ4Q50ka7Z+ggpoyvO0PXsgk0qh4Jp+18X8nh3LrNb+dDwrnwgBnTw HSoecHNT7+VeS9SGzAkeMSeyBjWF6y5GT7FI1xn7r7Fzr+v09AopRYiHf9dleArWdegWW4VZR58V sxoWCO2AAqx5w1f5QsDMdViiv8eh6mZ6KYSI/39ew6ub6aWWrsz7A1VL0/xlkcHIucreSs8G4K/B Oazt93nkFJpHqMJ0hYRvoWQUu7thxt/zCc23lbBZbe5vGUljf/3GHLYx8T8kBXaBDU/sj1D/gUCf iOJh0UV6RGgGK5xLSKQTAgu5QWT+/A1zGD3z3oaDghtWJvN4giOwMWd/2vD9PnxkZelXtC4KgPRI iNOeUNYjZeTtNh4B9EM14yJri3D2koiIw+mCCsE7+wsNT5ItOA30QWcaoBWh9gK1XBs6dxR4cXCl LDt1iOECA+5zzUXyL8/GO66y3s1KxUcHZ9k4fMCid4ZpSchkgK6RrJEEfr9Ju8wJFKltNR/ZA87C AabxRROc3FKMUo4rh21I3ChFrdQOr/JbYXPwcjKMdiND86RBL0ViaSSjRMLAAptoVl9bbmC6SVDs s0k2stbqYCl2yjZUhiM0qUIzglxWw7pc8bCpPe3Pe0N2QmbDVz4O6+k6AKttEmKmSK/hC0dpb9Ob G1tM4oH1a74Ovp64V7a2vJ0qF1W0JKqbH/jOdvYDu0A7jLnaGgVvNWmZ6B9NbWxA03OiFs4aYcmV nhIIKU79xcb0+0Jcsre4cGZ7Uw19XVttu18WuK3vWVMsq8a0zqjVg/5oOZaexEmF9YeSuCBQFiaa 4sUb8RigO2hmjk0T+ygjza1/I5aWDsCYUW84nRNff7rSeRc6WKPLgbpKV+H06i+kb0TjPz+qj9+G gcVajlp3bDQlcjG53AqQOpa7B24FGal/wB/mSak1eEigqVwVRtAhcI75yFIYTYYaOAUjZk71Yqfj 7i5TWtYxaJ7wReCYM0tsIHWWSdv1wCAPBoJVo3MEeafG80gwejfYRaynU1xIEJGZhvdcv1hKIorl a9dBpmPDU0qbVGPMOelP2fwhyTj/9GQuzJbqapN/0SXNiOPJSnw1RcfSnt9id8C1R4xtRHSXpZ8s g78jwMVtqHkGwiOVSaaEt3I6mR63Kf+2Zt+SIIwDvrQsEZt4t/Q7/cH7KidzBUPK99b7Cq3kkOq8 Qblef4p4aRK6EXnOngs00qh84+DjuqIzSc7fdVmhWUvj8WYqrWETFkyylVUrpZVYQfP1aukDa2ma WdQDiL0BUeGro++kFDRkTxsb+S9cDaQtcPpWsDmt+hnsQlfnOYxykAVI4Jz5+M+lcn1SUiWsdrcN rtkCElWb2RqYLtjBzF+kmJ3rwlJtf6AV2B6eQtaqe1kzJQsKs67aCDiPS1Xou6Lzw3V2aG+BJTiD zLMY8cGHJ5DjeybVfILgESMhmGIMEbncFslqzAQm94syM75xbk/h64UbkvjmlxKpQQUV4Sj5icNd N1KbCgjlYghY7lzZyGdlscpf3+v+VdAr1TBbZ6n/OcDLBjDxSsZuXM3ZTT52b3uuKAutaqcKjr03 rLGsfNRS3/DoKazZiZJbdB+0r3tJUNp77TuG7UZjWBd1wELEV8owb6sm2WExUrjnNEj1LXY8ztZo FBnHVa/iYkcQKVuTrp0ubblNbFTwxt1Di2BBwwqDFb3HORRYVfad3vDR9xkIxjFwhwe9FwM33oYL OYjtlDuHCGjSusotFDowOcqvSrc59B1y1qLS4Cn8PC+vf+yUJmVP59OqF7JZCHIVdC67h7/nIQik yUfQ5p1mkWytcje3h4oC00Mq7/W3norjzXXFdxXXPerdEHks39nE/DMh67DrhfjMfsQrBFwLG/UR mW5TVmdIORFfgzdoHrj/YOExGJxa6AzDx+0MerPulobJQ9X/Y5dncL7CK5NMtjj3mcn0e98mSS7h Os6YmXnwJ7rdhNMasggDY7LAue4KJF8Uj5bRLx4Po6YMT75UozB9+R0m5Xj7/qLMWfqint5vYT1r 9sO76M4yp7VIVhfBGdSwY8cHKlisWyiqFpAkIv4qc77mwb/N6a4IzTOAcLyyGIRrV4ZB+A3lceq1 RDWZ8nLsQlDQSZUrcruXv4w43djKqYWQuM5ktaaByvDdvitWieiVcfSPzO42sFe1l5burp+G6fPh ER3yZC9Xt8XgbkD6S4okQnxLNTkF7PVM7HH6AZi+X0H/sISWJV0I/FP2pvsUuyenmyYcUsdE4yJB EufUQLVoL5gtF3pPGxqj+2U1Q7S+ec7yu4aygCQRJ9A1sZZf4sFaHIjb5Pc2zO3sqagc9kPoOngm mMq3hxb5uWNpG5b+OfhRvAfz/56a9xRXsXDUgwe4DXHwHmkMiW4rBF8XyRcvJPE3U7zJIuvJL/ZA wiptqVesH5IWHA39SzE3Emk587BwJ6BfeVcMA5KXgCs7q2s3ziFlJefEM58q5eGh1OBa9h3YmfJe zOHGsXEHysPs1OqEUtpC8jt9SWRqw4TUWoxV7FNuUMuo0OqbGUE3IsAhf4Zx06i49Wjss4mGTJs7 6Sb5ouWOA7H5NODga4ud/Lqw1FQ/cGpNSzM8NvirfWT8yOKO9mkZSslFofJjYJfz8iTqxlLyjXgE J5hLbcFXxdHbhrleRXDI6vVkLw6BQZtB/EI9RE0hwJ6oXav3UykcAOtLyOEc6pUNEgqi+okTiu6M 4wF0MmKbG0GIndf7gf17eL+7oJ8iGGeGp0gK8W0GY/ezLLq4W798qP1ghTc2hiLKwzeGAun2H7YS WK9pOB4Hi7SDVhwSU6hL6SHD3ncGLf48a1ik0h6jUicKXCG/Xt7/eXE501RNNweUMcWgwIvZnnGa Q7EbsKvsmkuWAxeLzJ0FI5NhLrrogNkWmKhjul1M39hlNuoAkPqBodjIjTUxHz4whot1UbVbYLG/ 37GpHfnD23BCJ+uJvtE8gDairuF/+OJEJfYwinWdnCsxPDPievxFZshF3Lgw8SP4b/JZS7M1CTek aj+0FLdIuoALGgWqH/geeAGIheqHfLzDfH64QvkuklywBaEpKvXx1+TClnoj+/yUVG8hn98It4lx TpIKT4iquxIzcf5e4/cdvhkr7rI47L2feI+2pIIgKjbroDPJvWxkudk9YxY6YLmKWGHOaIsHKZ/n rYpOdlZPg6vSoXEwSYl+GFBhAyeIi+rVraIEzgy1OYIDuPMgl1h66aQZi5I7Wa/PfcURRnhtLsi/ uOJE/EPPaHx/G64kAtbkZgq18QiRu8GSy0m/szgD0ZKrDYVH+ySD0trg7jc0vlhda5lmGidRlbqU 6DMEbT7ZT80QSCGZ64ots37xMKFINZwiSgiLfp/1Hw/yA6rl2b7x/EUuVatWl+z00bP89YqN5q5Y Yu1TQsEQ1XQsw/NONjHImacojYJcbYhk9WhS1LF7c8KTrG8ZOV1Qb6fE0OsEKDp2dS+X6HYkt6cH sKehkEG/0h37/Jd+1b5ejPEA2hij/SsMgCh6XMSm22DzT7wlaIPx906SXKh1m9Xbet7+OV6LKlvJ gG56fVzTkWCX9PcJHdML4TSDuD52nLTwD0NlqdQvyMotUH1ABzt/wojHuxBLbzRwlzB31ZHqPaW6 CPkriXFRj5A0uVT4j9l5kIGPsaXR+Os/1kGqPBk+/MAUCdTmSMs13wcccnwtllgoIrJ39gSx5fec 3BOya6lkrTbYwYTvfa4+By8IzoS9B2AGKI0PjNaHXfqLXtDQsKlZNgIU91Oelfw0k2rhEl/6z42t 3h+XozeHwHb9uAV6RnO5qhdrYpCZjUStnOy3h0xdi7FenDO7kCKayR728Dwa4ZyH9cMPWLmYoa0h OnTW9xO1MR5opGzU6Q7sXtKyPCPe9vGWxD7ovo/jXZYnXIQJk6CJaQjwrPWjmJjC6IhfNgQWK1qL qB4sJ2dok/XVWU/FnxM0xqWD9LGx9zyNH18UU6a7w3MAEWvxtXhkgRkCF2niv1cjy9AwdotzqEsV ZJYyLj2YNBFvWIV1al+6QFLmrZlk7aV07xM25daej7ayOnFmwaxqmaU+Z/Vt6OqQhZVabe1FvZlo aaFRItpK1PlcGjUt3uiP31uMrRFuXr2moficwvEV+GwAvEI7PxY3vzKxhkLNHL0mu5SxNkbsoFZ6 M1vy67ihP999erTz2ibBQTpKCXxxemT893vAtK/KCttOWblzlWbrZCfuAL2ak8kNBtZdiAHNxKMI zrU3IljvnIRaz5NecgPQKxMLHBTb+6Qd84K+vMyJBXL9QZqhO2DlpZj2VmS5TKJUByy3sjUzI7Nx zdbogmwZJ9QzrJKw5IkybVfzXfqEL2+Fu8xv4efPs0NwW68kBo8xeAsv7n7VPMJ6G0fer2jqdAD/ Rr2bbl9lYaZyVBxR/ou4KfZxroq+Dm3DiQfbeJROerCghhuJEswSUeYPEUZV4XjqJldEo9QxQ8Pz WNFxbp1osIZGO6/6qiReLhX8sXz3ckA4KNTPdrhuMzQDqbpxddkZLGFVKbqsJBh+aoi4kC0w36Nn YqAOBBnlAuzLMyXFcilikbCw/Rpv1833Tg0j6KGTXH7OB7JjBOEaSTWHrR1C4bxxj+mf9fnOyJZO TE2ypArQFJreR78WhmleHMjludvDrjdxbQ1VB3XqPItwWjtLhTl4lO6UvkfidkbwAlU7AETvSaq9 AMt/J181+koJTrLx5D14CuEl6RrJsTQqRQL/iV2vLVo22nW0H0/i5Xu5pOGjP/ztOA59IZEk9qYX q5r1VBuMzhTfwlG3FCbcmSe4XtOY83El4aetg6jh1gs1+gCrjRCXqi3nhxHv0w8JJwFM5G8IvLEd Ur4ciJN/MzC+vYsDCN6cF9eJmAR3WMJdV30J26vfq+vcesfDyi54XL9XXVnN07O6snyD0ou5vjdM bBeoVTQsZ7Dco1D3UROr0SvurfLeX1zqN6kZT6T3Oxbb8ICOE8NcvcPz2sVY8G1d3R/Qru67/ZGE RYY0WdaQPE4kA1Df2z9ZX93KckRtsO6ad2aW8IfAIKh97h2IRAwL4JYw0OKM1BOiM04Nn1VACz4j kE16LaYxrDHgnMHIEXR/hzS1b89JG4LkQ1K8pDsBFtGLVHnn25Kfg0yR78ykuPqBVMk8O0QAp1yz vpvoUTsKtiCgFlIFpCY0rNLeInm+LkbGZs3gp8Ok2SdXXFZ4P/SyVN2TUgTlPRvCMGDI6DE89mrM VBpG1kv0dF97ocQkSuG6MdS2R2K330Z2qAnBGV9OzvAbDp0WlwYpaTZOrO5dXJEjT4vem8+q51iZ E/JuejdefvqHLDVBWhNMg1Kg8AfoeHoz0tPtgfsKR1XC2ubWWNwbRJtTaJlkyADihc+P4tlqtCal pwmYWOLn96u/ITG/YhWJCVqL07eHVefVpU6gJKl1wUjHkUwWP3ZSPBlzQ0r7CneRMFhE4jAW4V3Z CZYRB8mhx7q+GnKX/fevbB8O1vhVKqMAh6rx/6XJVbs9U0UyTvLJ1kuN8jd5uQNSfP/pu57KEB8s Qix7EdqKsD1llUQ/vUHG4XCIawYut3ixbReI4HeWj0XDLMQ1jtJ4Zr5ew+RPiabcvbeoACBs9tCc deKnk9YsKjOOe7wDHaUlQBSKJEebKkggS/AB1zS/LP2pXrxQbZJ2wjj4oOemKAa6T4DWm1dsYik1 nExoDvZj+M7WaMFLaYFHT7saQCa6tPHwizgP0HigKLtis/aZDnZYn3AtxmNGztt/CVuJNIoT6l1g ccLCAlGVLTnMIPD27+Lp02Yp+8f1rub6VDpoDrCXiPzYGRQCZ5wxUelK0icrh/+92VEsmQmNEk01 QEIHDhDZTjJJ5ptjlfHg/AXeLD7SgNmGpcriKGykSiD6bKAvnfEr+AteiaPpn3CpzxFCy5o+ijSO qhQnSD5qfzGiHxZswPFgol+DrVnS6vVWUJc4qk2qHz6qxfbVIUJIiLM9zkh4l1g1hN5mkGQ2zL8D dt8Bkk4ZI01ug9yxwqO9VO1cl7KTD95sOwBsyBVugdAKDn7ghh0lQAKQAUPJY6/IH0lOXnPzCBgz HMdP2EBMf7YRa/IORvCX62l3Ha4E1bwNtowNM6kTzyd+tSyBELOB3I9BDc0ss4BIdtASLZS+RXdx PjkKlQsj5gGNekMKyhzOggtIQlGFqt59uAgO3dhvGKcmGmSdWN/JGc02dTGKJ0gtJRuHMoNz5guj zWNz3hxxXXix0Lj/HRgH5+dUPbAKXVINRibluh+oZBOMgvxo6d8uJ+8CVdsbMlJu5mHnQBf0uLl/ j+ZKnxEQWGxNTedflsO9UcICS4U6ZcL2p1GTcGyA9s9d+UKzsqslV/eu03Ma6Wh/pTUeB+yEW2Xc jYPMUIZpkbakj0EjN6zwEYb8xce1V/1Ls3w2Z2xrtXhl1URNMIQdluyNenlnWsIDsu3YudH74/zz fl+Yy7eFeEujHA5GDwrRcIsljw5Oy9yb2vlvBG/t8BdjDTZri0B9Tow/IIOvKJ18PnknzdYfP+1x XLHQaew0T+V9pnUVCqJIjbKcwgfDtlyyNKONJYjnfHJMo0xQyA9NR1a1XRwtQhGCv/Oj9DNxfkjq oeolpnLdXeqW/eRxhI7UIC9lkjdwAg7owFRDnoOzewNeMTE00YubcTAba+SK6SpObp9bbxG6DAmZ oa1IRo52xZtT5wAXVaUAOUMoQzGEEmnfyevNU8YJtd+Lni8EKu3eQdB/K5Psi9mEGvQLMHC5J0Iv VoHXRsjLnwcBP7r3BKNQJWu9+lzJ3m2gzcuJQ+qoS+j3bwtaAa6EFgxyKQnnyvAlo6NXfssiXJKc Hn+Nx/v5+Uofn3LVs9UStjueJU+xegc421XZy1gdvO92ZXh0Y6V60XDAfSm5CYw6PfpQUIyAIoYF a0VYtPZDJns7B5GKNhQtvvEGbNyUM/7g3yvSCIrTTe1jpgQmAesuxyxDlJIBR0kwIyl0HATWNYMX ugo1gGphyMuk6Hk8xS3IBwiF83pBuXhB5lMbPmDN8sca4DMB02WaS9t7cHppZdltJEvuWbOHMwis JaN2umRyLsyhIWLVZq9kzd4tT8/257Aqb5W9VYTUc2++2ZEmA98ux5OrgLoIzs9LXg5f1PstyzYl Ve46fFju941rY5J1wGnkgdDx7MTLA6y2KOkwkqVrwE3oKWWk9JUh1JQxfQAggeAVyTBTqY47i4pK GraRG65ry2tKHZcTWj8rUzteJL0jTMY+syszpCn9ph5vOH7LJN81zHHDO6MWGk0AmBUCT67fSC9c a+rZN8H6NIfblBXgZlQNLXibJm1HxwixCtfot5fqYY33/zw/QUQgsCS4JcWQPh+cpojbdBKpfDRJ FY+Ncqa7GmILavpT/jCxPkpsmpPM3JkmA5nzoIAS/TfKKkK4caTPQLKODZYIU5X7sTMng5jffEYc Jh2wHbhfLRhtXis3Tf3XQ/PAEgyNuJw503wLM2s2GNW4Gc436lI1RU+v0NT4PzH+/GjZH9FB/I3P 8CJfxYsTrMCYBkZuMK5EZtpiyqJBJn9nR9gR9TQtfZ5veNFXwAljJd4bVnkl2ebXpV0zpWB8RmI+ ta2+hpK6TaDZqwxEFL1tQvICDZKFmh3oty5/lX2fnXeIQBob+Bzlxl+9x4oUUG/Gi9sg9g6UctgN vfWVxpIbvOsHzdf1oPUdiK0iVYFOMPfwYWjZZFKQdH+XWqbb8Qv/LXSb1FsjeWJHK4Ju3EzbX3dS Ivs9EU6JL/LO0uopw2BnOfSl9gvhlax0OcQxTNnG16c6WcG12w6n2WF5T9zAfqdt3xk1jVsWSfrC YSjbA+HbaP63CY4ULUZ4Av1UsilFqE9MAP0ZrbyZKkTP7E89Pi8RKd2VbEAKB9K9D/HTgv93ZyP7 2GRY/9Mu+T+WUKcWqKtozNqKEFho16V5yUnVm8yuk1Ne+P0+CmJ3wLwEMfGdlUE+kLve5ehEUY8v 6E8Kl3/SSofbm31cN5Z7OyU7Xega2aY3MfB7BUL4wdXQHS70quF4O/Ld8v48RpUIfwp99QB12dUF 4G6Df8gqLOruoasK2e+atYddI6fplQcbDVYsqnJUAkGZYX8m2tjK4Np+iR7CPn5f55sOjtA7e9/h AogBCWQNC384neRAvNwA85IscYpWumnrZb9BA6t3EX2rK+SfLdWJFxC6N+Gu6a32d6QYrecWtizP g2h1vLHYlJ+YADjqDt5QNxzoqq4uv9N9zZ6TGEHjnqnS9wGHWDwaH/K3CPtWzEnUfiaA7hnZG8id CB4+vZNt1KfqKXzB+Cmbb+f+fsNIJ03ATvoddBBfKJCGxjb5ZB/nzlDjQlEeDWmCsLswoGWPkq6D Ph7TK4Oo0qJ4JS0PXyQPLyDg3a+q6AbOmd6iPlBGe2r9MfPhf4ureqbbLGqebkvOgFl1z1xB2DjN u3S+J+6QSCRr9H09LF+gihupuVmvaCiJgyxBCJ1/mqPX9VwRfgGIEcmGTBA9yI9usFbPdeTYaGI+ cjLImHAQ8nmbKY4vYIaG43p4LMGJM4xaKlPH83Dud6KwF1d/l91DyKQMQLVKeHdyqOnvN5N5D5AD eFTQqVqWQ/5q/meDgJB0NIbJv4UrhD45o+ovrwMpvjkSLUibh8COi4WLMFYisu4HnXkzI7MWuRRT TaopUuTWbjcZpmqiadj9tLLGSz7qhVSNx3QKQt0mA0Q1yvUEg1xdvK2zpwP7S/NoxLUAUeSKz3nh 32zH+5LMWQkp6tYW/7Qdv0z39XIE/4x5IM5Di4eNj/q2uKRr4IrAQ+0f1bZNF0i5rlTckSdgg7bk +MKGwQuPuZgERz3U2fCzAxk1nu43kZ6uz13f8Da8N6X/MDXEAL5dH2f5ZOoapESy3xtYoHfzrl5a +qfRFQS4uUAa+5cpp8vvhabN8YkVLbJX7VzD4LOdATtWMgyWAckEBO3qMy649f5EjIZlDDKcOKhn wHH+CT4/lhzCt898u9HRhDXszPpIQ6YNnXVaq6C1LZGrE8Z3SY4RGwOf/MIsDLVcWRZGWixr1EbZ +FbO+ZjgzYwTGc4keL2IP5+WDBzgBhy4Wo+C/KrwIfe+NQiWkpX5Pizs/kilrbUsZ7TGpKjXZohW 6MDGpP38qmUw8xaIa9wKpmOqpOHjaHYr/lSfi/MQx1QmPDOnP4zDVKk/7R2q2Mdvnbbu8McHOitf 6c4ntkoZr37kDR7fHbgIFiBMaXeRnFfOE/qqCWFIud8S7WU4mzVi4xh6lFIGCx2e8DflJh7MUUdL bZCLegLN7sTW/KcaMRh4rsvzAIgloyRQ0K/o1H5l5U5bmHhD4GiN1jBOx/L7aUQPMDgmggZjpAs+ 2PQ7tex6glbw7VH3p7BnVlUmCsYJ+qbmLDZYjB9Qv+MclOKvckZ/BzdKaQNEQjSB519AGPrr3NNI YmW2WzUmvHeKaqkt2lypOXO2dZDMyvOUtNZCMMYy1Un+RG5Wrh3YQckYhzRlspboVCOX2GzBvyeZ 8Ga1KLvdFUah3DF4/fuCp/P6rG4HJgw2J7Tphj8lLSlaGwymtCA69qO8MsWnqsJrRt80gnb61Fjo e7GK85KDaXWnX/y3iueSCLza6ZIGnJxmfbOZCL81rpkL1bXl/hrTrsYOvia3R2vxxPshQy2Jo4UB COR8/IBwRWb+/uoLMiLHmA4eeDf6NOVCdZsWpnx+vjo5VM7EMbNKwOGqU6TrIB5eBNqVqNBS2ODD q7GJ2Xr4VbJgWq/TL8TNLgVZqokd9mSr+CA0h3WDuXLIGX8w0v4A7nLCD47iEMVbsBma6ZQM60TU HlEyejf+ExHePIXuccp7/n7R+IXf/l2AsBHtu+ieelpyZepLGlvq3xOtYLczP5ytswRp6gUx6RfT M9GUJ3DQHNNYORqmdiQkQq+1qTvJd8pD25Oe4LJs4pnvnr714dvL24mpNMRIxNZS88O8+Nbs3b7w Rf5vepHW81277d2VnXV+wTbNyJUKjIvPnLl4HcwzsqIJ5p9W2Dgu1KQL+Cqc5KUrgNnVyYXwoSYb Ol6LkTjBJlnMEJ77XVKRVvTmdK778795OAajm8kPk3FsTfYr5WcblyFwfySlmMskxDur64LFo77j T4xeJL+r+Gejx2+dki14q+Ui3MBRN9a52uKe0q2gyqCfZPBkf4yiZwtAOXy5zew9Sh2zN7CVOrCT jFJOE/+JzABaBiEac7m0VjxefGrVQBWZdOBgW3NWmhiRAACEM6nuEmMKuY/7UL8i1gzddW/kgmwG /ckDSH1NgGZPq8NnvIa5hn3Zqw7FU+SHmL5ftlwbAO1T+3T7608hEfOmm//dDSoVbXI33k3p/9+3 JphORx+Xt/GvB2szbwv45zQdEQnN2atzCN+5d4gdfJHQs042GyxDtG90rsB20ZL3joiYqYeOgGY5 GRxOLRYB2lNC8UHVDi9J3LlAmaUB+LqZHCKZhLNZLPQ8QZ4PWKUcPBMZpR+YJmWMKsIGLC/4eSoZ ehvTMt9FWuzfruOYZe8vnLsxPMrNEgJ8R8Axxs6lZ2p63I5sntuVL3Cemw3klxiXGsjeH9ub9f9j N63uo3VZr8zkG1xv35KrrLks916B4qj3+MjWoGOkPfWxBsWRWFXiSmYC5HMLIfidleOGwyRcZLUN LnT/TenpiF3eNCpRsom96aP65/i2zGIFf1xPqUe4SO7aBq/6/bjoyVlwhgmRFJflxj7aeMmde9pn SS9d1Q+GJJuL0SE1KCSYwk5y/R/+wtLSn0Toa+9I5iCU4HPZGiFpLGfNSEUQZzRSUFTv4oDjwPL0 Hady6y3ezLBS59a5huF0CKoW58joYWWGie/X6z1Twfz8lIJQQntnj6JCfWut6mUiunif2pvPt13y 6QOISLCV4Klfq5LYftVIUUv6mpWNJPunh8U4W4+KD5DLmSXC8HE6RteFQLXR2dU4C/BJVCOzQA9s ysRbph+wsj5A1LpDwFqT0zKD/5XevPOREgkTncypwjTgGChOTXLyEkSwLJ9oj/nkwEiwEF7hdZg5 zgQw2lGUZkmjGgULhG3w0bd2Nep7EBK1E7pglV+ls3CJYnQWPe/K2+3zeo/X30XpeJqS+6q99sg5 uTQKU9XELHfcGo4TEt9PxgsaL3eq5+DrK5PIUt9IWFu3g197luCeMSgdzjGsj87nBZh1P6tCCknV asftRizTyCqN+6pFzu7dk/IDHESm6feGmWGPx3HuZkK5P5zOQ/hMb5AzBsalyzhyN5O81dL0WMu6 9fDnVzwBHMnU6LZMekcdQ5WX5duvpNW2xeuVIf/GWzu/VrTHN2BzUqDQHnVpJauzfMs1bbDty0X9 wZ7r6mabLKlrI6B/MYl1UasXXBxLeyZRq+tJwzpKeIMDk9+KnE/WWgCg/+fieJnzru3+WhGrWmyz 5RBbYeGi+C9AI5+byW/K1TQVh9kQEu9Bgwl4cGziXZNiJa2QjzwlpB2BxyQ8BPvEADW+91Xz3B7G OB4onAOD3+L6swVeMYZmIQBjPYFJhNYNB5oZJVifd7yGoxaTPMgb1oQ5gfoyG3UYZQpm7b2ctExr WVT6hKozGe+CQzPKiZip7INwINc/vfoAeCChaFAI8rMiU80y60oJ6E4CuozJkSbv59EndCbWNfsn 9wwkGZYa9q2Xcy2qpLwQHqIQgVoJe/e6CpI754jrO5H2a+3/yxwNbnW5sarX4s4HDS+/plCy7gPu QiFYwJqjPDFQ5PFhWLaFaEYw62ebMgunkf9b5jkp5+5lht4HUXxaQBuI7g7bSWwL5B8LUMqxt6I7 fj79HGJSLVYBQwVkq8J+wOpW73n9ZwMdXugCQAfvXcAUzNRqi8HcA/qwN30TM41N4SOFQiQzjOJj Nm2+HOErYoT5tT1wD9mFzu8GmrVMNyMDoFLyF5kGJTN18M0CTP4hFKVXW5si1Vf0TZxxpdqyxWhb 6RXQNfByPHnHlRjpUK594eN3JnjLj0TSUTDYq2ytfmnF43MEteU3IWiH6Fqv6ZzhHIbG7MVuaxW4 OEccb3wIvCrW1b2Mm9YxtopxaOd6K83ryzge0wwBsJ/Opv8CDSjfV+rqIfDZ58qB1wiJrec0gE8S bUlxoNJmkp0VJL6jbo9b2xjFe44F07FzyohY8OsS9BmHA3rj07g1+YS3vafKRstS0DsTJx8L+/YU QGeb+Ld1psl2GXy+VRXtl+Cpu0NQy8sYHpYcgCZZgoYVqSlaU94ez6jHJSxqyme7Ar3F1rTSvAsn UAVxXtClvZeIwwfkOj0JQALBP2Y0OtzE5cd/mKGxDJjPFHWtgEha51WerMoyuB3S9yfbBNaleb0I /Zr/Jm5O11lZTPOQG5YkUzTFALhepHbmc92Wb8IGKF1vmYX9+LCu2ZEF80AXrYnUSJD4j20Dvq9G gFtwQ7zL6cmluM6ltuSyo2c1mdZeiPOxcMORV1HKAc2K1msEl75N4MoYf8Kum1nmkQvsnjcDur56 KZ9I3lFwQ8OaliL2oEzgyZQ1I6NsAPybVopbaskXQuDM8AWbXo5PsWD+224/+xInpbcK5xoeR4EL 5C0ffCoEyCsiDiI5IPLSKHryh2XaIEn1/pvs2SXlIRRF43//FyhILWYXyz9nu0aSqWt8A+1J2bRa Wvgnq+FXzsRtthNHvANhj+CA8YhomTkktGpIiPM0/Ut//arhs6iMpq27tgwTy4d/W5/+yPTa2GR+ c8LHXofbyOe5ec0JnH9QmI5w1TPBalptXLUgO0iVgk3e7kXEs4OxYaFsi6UinIiL2Yq4yhV1Uw5e Ww8f/98Km67y+EluCFYxG0etdWYPADK1CJrME0e2d8pX04oSwl09REirQOFiR+qmCnwF2lYD7cza 5OQubO+voZdBZNaE29TpHitmUeGsYHSJ3ognt82Nz8ZfyGwR7V2GmYxdz83scs45+JqvrvxQDXud Koju/S8tiaAGaidFus6oPHyKZVK3yCuzfFWXdKDreQi9RDouTPUDYpAP3LAyyFY0D6/gRmCgmEeR 8oTCE+YkWZmp3d7BwUE5rUqItIoIkI/oHMLi8KruO4JY8GODNnJVKMzNxlyz2C0ctN+0KOl2L+US hLbDj4sVoxcybtDtAJj3N9inSg94fPqfTox3xGLCZk5vA80wrBU6AHmTwNPWENC4ubQZa8m07uuI TKZvzEbydZp7B4UXSwK3jsYxZeNCrJttBC72/d1a0Fb3PKjbBey8n3CVDZm2GNnIeE47d4nO83O5 utZ3GBH97kaC+5klO5b5KMx4YADvLx/Sk/U1U9BCBBBUapHr2WEqcW/0eRMPpO+CMf9ovTK1OIp9 xX1EJ2sAV8DTuNcmvArjzUZFZoHbZ+hIyoRo6bFr65RkX0VcJYi5MF1D+wy7jq5LTDPtHUokEtER H9kmU/ladkWPNC7qjev5FeO1QTKxgwBCM80f0aGhoYMqlgZcdO9GFW5P3GpKHkJRueqWuHuTCf8j ZrL2jJcKaUVgYvaUSxLPXvJmZGW2Z2NOHImbyDnbnkCQ6eO/3d1t0sPbEk7U1dYX/WwKOPj/xV7/ 0YAiSCo25SmprYQ8yxdcBe6GzBFp8DSI1a249CEg3CNeJLRqfqbHpiYa076ToXSQXRbYdSDAyf45 YPhI52SkE9QlT2YBEMTqFagP/HxJvzr9qtJC4yhq81uxkH/ejzb9wiE1hotu4+SYqNWkNsYWpA6l nrJAYeyl7zhU+z/4AOyJSesosEvvyFFPYIcBfYJNZ8GOFoYdaxYn+Fose6WpVpB8oR8pchPJstRp jGdjWGAhr1ubZYI0hUzbwouSe/ZKQLHd5hDraxUkg5UWZwYYGPbjBpWTslC/epe3xClapVF0j9xW 3zzG/bv8XXn55KF6nESfNAZtY8kW0BKEFZkUHZ86eZxOUGkw1Wdl4rCY3N7eDUu4BtRMn54kkk2e Ay/TPjjFYYmeafqN1Gs3J5QhUWuKCa7kkkWkHH2Uebo8LdbdCplnBELpUQ4IkDuMfRx30nG9t0rv ot7fYRHmXWDSyxbINCOVUBtrnesNi7IvKThCTtOyYSVM+AiWoKx8RnnZMXnAasMaHgpBFhGKpml7 J8Jkc3C/Pnz10JyqrXKtr0HO4p+nnoTYE/G0QJLM1D9QOZxRIA9KCm3BfpJXO+SNLBYhNGQ/TUzI 3RWO/E641zWVg4CU8a2g9uvlbo0LsL7XFLY0W68jhvNL0lZKVh4huaXaGRq63l0s9LcbGFNB1Sjn dzeCTRQ41kvK2Xo80CGt3/mtsG+PpoOxjuAPtDRtra7Dq8uftxWIA23Wbt+8O6Uv77nLqmt1hvVf zy7NwzDYxMF92JshuYL5/afh72ORgXffcvE+/fwwXLUdDdXFQj8K5R4wVZnVgUSNbjaG+OZdfvFs PWdksUMYpR3oytjhwdT9kTso87asm6PmcBfh471cpCGNnixDTT5EFEYuoNdi4Ep6WK4yfFLxJB2D UBidpSZB+b+zNcwVx80+p/oib04FBrPh3yvWdAW8o2fiQxzWRR+GvXe5xqQi9AabRUYvLWGYJKiy XYBmEbBfrjjzMOJFnwce4+E8RCTL9MeVmGFb9VbaXHrrTps21sb1W28++s23AoJ0DOQHRVj4Zguf bshzBKjz4C/g+1KC3lxqXWUofor0L4iWmZ0aSvQF5GyRnjc7yfp7Kre6+U2mlsQWCBCqJG/8O+8T M9Atnq27GQ1L1J+eFGia4oxJLnZGptKmAUCmQmXABd0g1TjD8FvJqwwYF9CfbxxJOOo92gFrotXH r2T79Xp9flsZLHYBkyba2hQ1lDvzvtXIA1mf88ZMjMR4tjFWw+YZjUBaYLfVYV7l4ndsmiPYrowF 6Ryc+mYlk3rWpnsN+JvY9Dc1Ur2Q49COIk3+6Qxb35JA41/ae6xioqg4U5WbS2nMpNE7SrAe4zhu qTPI6Gix0ogOYqKpbpo4gfskR+InBnAWEOgwAqzoH4ULe8GTScew4+wSnPiZ6nWeTUQdAVnxWYhc 6BRWw/JRi6nQKS4D0WzIJnv7O6lh+AH3hwU2pTIUCNmmD/u3813Un1ry9NESyxbqGpGVEOjUBTg2 H5BvQw1U89DWPxOpp+eQ/Mtckc5lw/YD0Jmv92CpwUgloAzHSZJxI+28AHj3Dm3SlaiyOUnl85lo T+b+uBTVplB+o5WugX0q8vOtWkCzYA0nwtsTkBi0CboAh/mG8agdUGkNkpX8SqJsez1o0rZmp3Fh 7KhK6TNrfKPPHo3+alIkVTxoW0Y2Y9yWn4I5aYQkEIBO2hNam7RJ2ksutT+xqPNMXb0YPWUq8HRN 2rzryjKoYUrZQ2o9qPFmdaK4ABlegD+LuUMzibbysGNc5ufgXLfCc8tpQn7WiaTPphvbiTZyF3+x 2PNV/iuBmYTGVSV8uSZQx9GQycPCSXX4JY8oagTMqjON3t9nEeXST+LXuTfvcg8Y0ovkgQyXyiiD mo5yHAbCx6vSx1OKCFMYmUQip97kf8jz2BFv59pkCLv5/8ainnx3NPgGUw0bVFSgejHeoEI8+Ylm reqgmPiO2g38yL9d1xjkO+u6pBMr9udWDOfhHn4iGrr33yI1S22E18wWuem46YBPukH8VEup5CNA qaXNn8MOW5mMTTExs3m1Amdxoq54mxo7rY5M+LKQOy0eSl9o/RNQBYXp29blVDOOt8Enu+rsR+2D u/uAcrZW+BkFOPgcdG+JeFnk4fHZ8G6UyOQ+7sr9sSJXRnvdxIQR3Y1296VpbIk86gtaWOFnRLpR vqysihO0n6bZ9tWlZk3qk9v9JENe+l/QcviDtjPoVAjnJYlZws+SPsEluUyYDs+w5XesiBLVJxTn JbDhWQV15y65P9ph3UYwVW7QZiXBDwnZzXLDSxu8wWtp9H49kDfh95kNOAw/5MYzn02pZcY5+Ycb UGAoX8N1+hPfkisEc9/zPFjp0GXH+yXYO4jyMxQNDmY9ALcQizl55G21JiBS+1aZ8bJc35iaIQFZ ld5QwgUleQaZEQtQTZJHE2B6ItSkgWGzJdqm0tM/M8CMVFURSHgMFWzLMy8WVTpLkwwwehjrXyCk wm+/IARb2Q2E+poLAfauchznn9po4oQ/ZucNt9bjokCemRTCGj7CtZ1saKfVSemSwP8Ls035ExMN O9ZVRp5n0oySX0B+1Yi1tJIcAOenB/TSZKmh5GKtn8URfIEy1hJYDmaujxgOQEdPT+WuZ6F/pZXB HWBcI8lbHRtRSLNjj+3tbR3M+ZgnC6MAsdRnPEywwj1/M5Z3Zi6fh7i1D8OXALSj4e0nH/muqmsj ukg5O3spZ789kh8iZ1T1/QRB4tzTfnDge7b3knd+oow4f1fFQqBDCeRM1QN/owDqtdM4iwbLs8Vm pGhOZoAMDGuYjf36P9yFIARAx9n17lrfSsf1aGGEf8v5O2S//Ia9LSZm66QI3emfkBCPPciSU3Mk g432OZggjGf3sOdSpuSs3GGGVJf9C8SBjXdSjTRJFENsnVGXV4yWrjY9xG4/mpXeU8a41iE0BTgw +WNwcnWB8Hx21TKLSanlo7H8l+gpSaFM+R6I/H370lDAPfpPZkCQzBP800rjB8kPZ9Hn0dmNCUAV 0cSW6xjhSEea4/DWOnV+VokTnJdYhjpkFupL8VqZ8YsjfN887m1PNG7/l0cBMS0IOIhs4wArj2aS OsAYuTv05v+2f/MoJQAAtJ/RwniQsZ3+olHcQWwlPH0bNVLAiShgLY5W4vWR5x3wKir73ldunA4P 4fCT1T8UmQ3JCSmQr/oNinw24TSD3i9hZKQdyYbRnySBwCRskgd78TVtwDipl6a+s72H3fQGqlFB GrXt/KR2v9miLskPXqLIaFvNSLericjepLSuSftQ4bJaj4sQzPCdyfRbxjvOSv8x0DHGr4bDJSUQ m9lhnLd+ApWMxAEU5pt25XEWCdt4p/b5Np/D25fIovivSJxDbDrVPRpDxi4BFefKv/vCLv7Eegg0 T7ol5+6zpHOmfYRbDxsi2ojORMt3PkmbTctPbxSN9wohDtFVVCrbkZQP86c9t0L6oK8Sy0ksPa91 +977Gfd5GmMDR0s5UbyXXQq4YjcHUikyAqDE0y8EVUAmEHcWEVYKI1aZx4kjSPaTgvLORHXPwn3h ZwChwtoyTJEjZ2z7Euh0jxc24I+4jJCxNxCszhR3xHcPCB04mhs+5nxJJqQBGhVb3CNnh8sF8zUD UfNG6nYs4bTjse1mea7ifDX4M1hoc3MGTo4rIPH9ZptIyIKGnQ9kjKsMcrGf/MRQkC7Vf+WRbS8s MlSiwtdHFWBfByARL6zmAJATZawTrtHFCwG1VEfXyI8AygCK79BQr686w8tCTPdSPyIgrSuxNB3U QhU/EoYUGtCOmDckr52LJpfmAedNWfo/c+2qFxnT8leNkgXBeoHjWuSPfq5B5zFmX/eN/RIQX6G5 NKqpbJWjb47HtInis4bdgiFn9rPi9+HOwwJo0/d6Gw9amu8adS8NeonPZr5XLyshezvt4UWy82p6 WcFwXUo5JLYU4XmEEtg/P24XCAp0hKRlSi4ykTodUsOCw1J+y+O/XsPzk8TtjIp1Ylh9o5ZvvZJB 5iLc8Uu3BguiROGdER/ERpJ9a1LJptHvBd3jVglZAA2VeAIUYnHaPdbJpF5Q8pZLF7S41iLz3sND j1I3TUMX9vtH1VhMp/lOS/7FCxHeefTorK+djhqaH6sfc/3/37qDJHnYp97m8NIZSX48ABIxdcOl p99qCjmR1K9J4UhdHz/M9wi9C8+2VM0gFgrxIdc3Ony/gJv8yphjZr6/h0cF1DPImPrr58PmbkLk 9Gy7RYCLjum1esowi+Y20zLNgg+zFJIsdYnODIyEEejh `protect end_protected
gpl-2.0
efcb59d8e0b465c0074979254fa7c985
0.939286
1.835577
false
false
false
false
keith-epidev/VHDL-lib
top/lab_7/part_3/ip/clk_182/clk_182_funcsim.vhdl
1
7,917
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2014.1 (lin64) Build 881834 Fri Apr 4 14:00:25 MDT 2014 -- Date : Fri May 9 13:48:22 2014 -- Host : macbook running 64-bit Arch Linux -- Command : write_vhdl -force -mode funcsim -- /home/keith/Documents/VHDL-lib/top/lab_7/part_3/ip/clk_182/clk_182_funcsim.vhdl -- Design : clk_182 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_182clk_182_clk_wiz is port ( clk_200MHz : in STD_LOGIC; clk_182MHz : out STD_LOGIC; locked : out STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of clk_182clk_182_clk_wiz : entity is "clk_182_clk_wiz"; end clk_182clk_182_clk_wiz; architecture STRUCTURE of clk_182clk_182_clk_wiz is signal clk_182MHz_clk_182 : STD_LOGIC; signal clk_200MHz_clk_182 : STD_LOGIC; signal clkfbout_buf_clk_182 : STD_LOGIC; signal clkfbout_clk_182 : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); attribute box_type : string; attribute box_type of clkf_buf : label is "PRIMITIVE"; attribute CAPACITANCE : string; attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE"; attribute IBUF_DELAY_VALUE : string; attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0"; attribute IFD_DELAY_VALUE : string; attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO"; attribute box_type of clkin1_ibufg : label is "PRIMITIVE"; attribute box_type of clkout1_buf : label is "PRIMITIVE"; attribute box_type of mmcm_adv_inst : label is "PRIMITIVE"; begin clkf_buf: unisim.vcomponents.BUFG port map ( I => clkfbout_clk_182, O => clkfbout_buf_clk_182 ); clkin1_ibufg: unisim.vcomponents.IBUF generic map( IOSTANDARD => "DEFAULT" ) port map ( I => clk_200MHz, O => clk_200MHz_clk_182 ); clkout1_buf: unisim.vcomponents.BUFG port map ( I => clk_182MHz_clk_182, O => clk_182MHz ); mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKFBOUT_MULT_F => 9.125000, CLKFBOUT_PHASE => 0.000000, CLKFBOUT_USE_FINE_PS => false, CLKIN1_PERIOD => 10.000000, CLKIN2_PERIOD => 0.000000, CLKOUT0_DIVIDE_F => 5.000000, CLKOUT0_DUTY_CYCLE => 0.500000, CLKOUT0_PHASE => 0.000000, CLKOUT0_USE_FINE_PS => false, CLKOUT1_DIVIDE => 1, CLKOUT1_DUTY_CYCLE => 0.500000, CLKOUT1_PHASE => 0.000000, CLKOUT1_USE_FINE_PS => false, CLKOUT2_DIVIDE => 1, CLKOUT2_DUTY_CYCLE => 0.500000, CLKOUT2_PHASE => 0.000000, CLKOUT2_USE_FINE_PS => false, CLKOUT3_DIVIDE => 1, CLKOUT3_DUTY_CYCLE => 0.500000, CLKOUT3_PHASE => 0.000000, CLKOUT3_USE_FINE_PS => false, CLKOUT4_CASCADE => false, CLKOUT4_DIVIDE => 1, CLKOUT4_DUTY_CYCLE => 0.500000, CLKOUT4_PHASE => 0.000000, CLKOUT4_USE_FINE_PS => false, CLKOUT5_DIVIDE => 1, CLKOUT5_DUTY_CYCLE => 0.500000, CLKOUT5_PHASE => 0.000000, CLKOUT5_USE_FINE_PS => false, CLKOUT6_DIVIDE => 1, CLKOUT6_DUTY_CYCLE => 0.500000, CLKOUT6_PHASE => 0.000000, CLKOUT6_USE_FINE_PS => false, COMPENSATION => "ZHOLD", DIVCLK_DIVIDE => 1, IS_CLKINSEL_INVERTED => '0', IS_PSEN_INVERTED => '0', IS_PSINCDEC_INVERTED => '0', IS_PWRDWN_INVERTED => '0', IS_RST_INVERTED => '0', REF_JITTER1 => 0.010000, REF_JITTER2 => 0.000000, SS_EN => "FALSE", SS_MODE => "CENTER_HIGH", SS_MOD_PERIOD => 10000, STARTUP_WAIT => false ) port map ( CLKFBIN => clkfbout_buf_clk_182, CLKFBOUT => clkfbout_clk_182, CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED, CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED, CLKIN1 => clk_200MHz_clk_182, CLKIN2 => '0', CLKINSEL => '1', CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED, CLKOUT0 => clk_182MHz_clk_182, CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED, CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED, CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED, CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED, CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED, CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED, CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED, CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED, CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED, CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED, DADDR(6) => '0', DADDR(5) => '0', DADDR(4) => '0', DADDR(3) => '0', DADDR(2) => '0', DADDR(1) => '0', DADDR(0) => '0', DCLK => '0', DEN => '0', DI(15) => '0', DI(14) => '0', DI(13) => '0', DI(12) => '0', DI(11) => '0', DI(10) => '0', DI(9) => '0', DI(8) => '0', DI(7) => '0', DI(6) => '0', DI(5) => '0', DI(4) => '0', DI(3) => '0', DI(2) => '0', DI(1) => '0', DI(0) => '0', DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0), DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED, DWE => '0', LOCKED => locked, PSCLK => '0', PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED, PSEN => '0', PSINCDEC => '0', PWRDWN => '0', RST => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_182 is port ( clk_200MHz : in STD_LOGIC; clk_182MHz : out STD_LOGIC; locked : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of clk_182 : entity is true; attribute core_generation_info : string; attribute core_generation_info of clk_182 : entity is "clk_182,clk_wiz_v5_1,{component_name=clk_182,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; end clk_182; architecture STRUCTURE of clk_182 is begin U0: entity work.clk_182clk_182_clk_wiz port map ( clk_182MHz => clk_182MHz, clk_200MHz => clk_200MHz, locked => locked ); end STRUCTURE;
gpl-2.0
da87af2fe3ffd9e5cdf0df99050998b5
0.625363
3.274194
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/mult_gen_v12_0/hdl/ccm_dp_block_mem.vhd
12
16,723
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NeaiTJLl2TdirJpB/LRMvm/ZOUDEf7GrOKVke62Uo9EY6Pcbn4p5xukWTILqeOPzhgX4A7PEyf2c z0brjxQ5Mg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QljZFRkL5ZiWx+NL/HnRnJKoN10oKQwAcLDSiKlqgmAwOmVSjyfrHOxscv+NJS9cfUi9WZuH/0CX OHbNw+3gPRhswJk7AzH11l8e1/o6sd19maLn/G1w8rn5Z2IpIMQm76LeaeWVENts4lMvQLlMCgVa v7W1vKVUPMofOOkM2/s= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bVA08SnvqxGOwU+GHcL5D6Hp5TG4GMCcn9+QKdBpU86ZC32cUPgSY4Ut95ltN+wxxPf/81GaiEP/ 18i4dkfbmHGs6F3OxglF/mmi9pplKDxUs87cW6cmELcydNwE1zGkxm6cG/s+Ze1IATKT8sW64GGO 0jUWyAjjMAJ4pPICB8GUgpVoLT8niBqCRmhTcdvIhhC2wq5TEivwl7Khm0594rBV3k7dRevjMWi3 jvQHZG+qMGYKHGmbs6wQrpHHwNDro8sDJxkDmBKeHi+DFSBIen/QRCcrgotmCt4h1pE75gZw2+06 xBWe+vPz4g3eOZydbGlPkFQXSB2SPDrcPm1cyg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block U5PBjgOvZ0lIcr4xuyxFjjyclYRt8CijGoTDEvdURx6zZimuPBKD/4XLtWuBL1n36IN2JjyiWo4T ZY7CKk1XflCd9rB0FT8PKTqFxVgejnDzbWYLcaZYK5iXJ9dQU7vBLQU4FIjLjlZSY9XxeoiC1STS bJfp5mHfD5dk0NYzuvk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JlXYqYSf1CJZWXkzppx+sI4CefnseuGvf+ttxjMrEj/s59nNpcnxCNIBS9+faiAv6DpRb/Nb26/T 3uOY2mASn05Jlsv24BWKDEIhTTCepMO5ieMgaC60pe0dDjqdSMZ4GqktdQpMXQI3v3g16mw3Y46B sYdOb3joDyf0PIGpIIEUZmzVlJX7OFZ2ZBNHw5oLqbQLiAFuunwoEfGFwpdKp/tzWkSCw8WMXMU3 PrQh91WUljmhEmHQfu/ARhWGgkDBFUi+ZyyR7tTBWzMEgjTOZEl4v/7fi0H6mjBdOS5tXxPXgaVx YPrACOuzrdQmVNNh5eCYHysM3/cBroQ1Dw/VHw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10640) `protect data_block Oauyc2/EbaJAUmlufK9D8/OuWZMW1Ctn/KLop1+t0bIiyTvvIb2zc8Mqi447SnB84Db+NWRivagi xv46+1cqh9kuvzXn6Az247vX8cmgOkXY+M123fpK80cU/f6udEk5KIkwtXf1KXJA1y/YssCFxypJ sTg3CXxkRhfFiCatYeCOReAn9QUnPrcG6GAmrDHOXZ9MmP3WvbpTF/sOgJyGX/Wy9LjC7rITLpjr ZLber4mn9A1vMeHDeBjt0A4KviGgM5NIPQ+mvT7eE9xnJPUB0o1uuH2N5LZDE7oy7mPlNbEYJxHx kGTv4zLBQ7GJ2EM5HB7U2uIUvf/HE5uHGuF3T8Ms4WVWX+9Ki3PYvC2aGO0LqNn6G2wKdTR+iS3J AlRWidR5MRwGFwvAVbmkEHDe6YjHzrjCjDKNz8ITV1ngkt5+2N0GhFKcdAd3AyD3avFavShCxXIG J/SSPG1MEaaEz9N/ZDDLpzhIVM4sIuuME3jBMbjw+JjJ7pU9sU6iXEL48oHUeq1dRn9uEBgHG7rB ubMSWb4zMqGannCSvIrzL7qwejkFD5q20IDKz8Oro4h/GqIvLPQbyyyylsUy6PWqARSlUOQqdETU EsBJE129URkBZUH3VVEGAWH1LU6/PQZ062ocB7nnSLJaLvfbGvwOOdupAcmy4wiDBQadMK2zNtP2 0YmeylEuNEZO4qXro/kUuSBu1XfQ/x3jUrvCGGAxw/cS44dSst8k3QaOliLxFZQv+r/SPO4qKg7o XYgeTrTN5xccC2lFfkgotaKxrv58GLo884TaK9kAd32SWacnG0rg1FI4dIEA3EyPTnvz60f4n1op mp7CB8WZKxsWiVFTDZm+9IlN2bbg37P1ni2ibWJQY9/pSZiqmoiAJsTe3jaiYG03q0EfC/LtNrlx E8YIyHa1LS4qEwiY7FsGuJaoXR19PBWjevxtJJiknwx2oHm+ulWFe98jeKfrE5DjHL/7l2wT1JKW RrVqN67YGWLX3bIhGrw5pnD4h/EgXy8U7yCLm1K+vsNGFpBq/Q3gqKJfKtJxeBxLiVs4ABUa6F3D KYlc7JAzZxq7IqpYBkGkumWlgc6wrK+vMH7t80wrd2YsXabZ4mBhpFIKJQHa0FuITxD85Qt1AJrV 5aT4RMeYy+6iubGr3gI5ujZcmdND+qrX0Tgd7DehCv8HTYm6adgNf0jDPKiy37BzxrIn6uQ3jc8Z kza4RKyNfU+EgfaQxYexFxwnxxnE7gML3Enik9noe5A/hTqN8QW87ULBVSSPkXK+Pr2h1Q/HILzc 8tzlm/0ossASvrUoy2bFOpjbTulILIEK85wjxjKBzzOKogHT3UdgSPe79LtCOLrDigZHqbbdpRix IbME6A/NxwDGzN7JyIZuuMj0eQm63mSeWJxfiO927odMIJS7riJiy9nMAIRd5HaQOP7Wn7wUOD4L dz+GgzepUNDACLi1KVlKqoiE1ffGlnC/9rQnHw7GSw/7o77XkRqEvY7Sb/5AMp9mc+NwU22cjoW8 v5eajrHPtqHrOvSL7aStHicrrETnEZdh7sSN0eXpEs2bEgUcpss/DFqe4etDgnnAtNkWzP85WBDg rO8C9MvR5X0jDKxDMLrQPzS53cnUtI6rX7idkqUt7ueLYjBenSy2b8lH/WmnTezXozX3rWKt0c3r r+EapztiyxMNQdwPKtvPnaBNw37bHHeX9SjjpSsvdLfI+BQdPW6FUmm+qeOWl9x2iuraKvfwSgff sVPLVkr3evodHtznBJiccWO3QZSsFyeaVQNvdqVjTWFVfxG71T7O/DLuHDYhRkn71Vb5vf1xgNrp VGwAAWU/i7BNmT7IpRDRWbjYef+bus0s61Z05DdoF2YZu04Oy3HMyDG0AF3f9tQRNnNweeBFFT9R XWC4qjB0fBnbUON7Aa9TQP+IGE5uXtpq4bA7Tc9EGhCZp0LNLptLCOtVYk8yr56zpUQpmWMmtyc9 8/kOB6TaVmsUoZKRg1SLAA6IfvfspAGMT7xG4cWZbd5WwuWDBXnfze9j7rXFX7aX0dfcYLC1jmJF lwdEdDJkeIktwRNC6KrboJLK46QNKsu49sQ1zWwQ+C/ePz+dAHj0ZNllp2JAhiJE/hojxigeRvSM oBwFsmCOTYnRqOl8KUJoGs+UvCoJ3regM5sXUE4l3ISH8qj5FdEfPWHoecA90oht+WOoAuICvj1a 2WDsj7EVGvJoPYwUvBNLMyqk6jsiFCxj5mYEyIdIVD1xuYVjoOLnMN0UZcFRDUKCGpc0BIS1VPsz oRw8CPCYhIZmizsBdwHNtozemD0Nmkx701m9vAx+0wlWMBoYLdE4sSvCEBWVS/0UD3x4SWAkNWrC jD1LH1ym5OwY28wp1ZPvpKj8Ubh4Zv1YXfk0THNAPFxTSOPghx1F7NCnVDkDhrEiVhyHo1+AuO3g a1OUVrFlqaA33Iu+Q0tQX4grt92mPF3QE2OalDouFqY0rzU3QinsYQ+w7O+CQHlMuKt4ytMCFIrT uLgWItvFYY4tGfrorXGxzfzqQfoU5bKJkcBmmTCYFEdfoMTPn3E8W1QhEAql0mKnPbLcvR6RhuLH oBFPVQeLkgOpigxhnALPDMsP86u5QJSMYm1kUbc1/Dm2aKwp4gE5zPZkjdsqHDbglEXbNiZLGQAR vEeegj+aPb/qklT/G5uH8HD/1RvBvCg2vt33cPAsLGnk6B4FTbXfrKvfrbUeDEqaU10GHVoFaJm8 0FpYRkfUtcqXOP7JRqt9+fOuUiQhJgwbFJWIf+QU0pV4g8y9b4VzcZGYnr0zfAhMyo5fZhZPijdr 31aL4x2Gm7SSYayEnuSCRql09F+k2L2VMNF9bC9IjKCDqMNhQvacCV6elqy3UaPq3GwblmaeAsxv NskwMXUi/9pU3rY8R7CgipjZhQPQ89qVthrcJtBrmP7bFSlcJz2VXGFWfTPIOWRPKKkxeHIy23S8 oGh3tk5D4nGRipHe14rvPwY/eZl0A5ys0Fx/+3dCoie36stUq7wAN9YWDfaCYuurWMS1zrCKJ48Z qq8Eiv/+ZPjCnuDtz7b8NDgjv6oLnLwmLVGPJxNC6VB3xPNujoiQMPQ3CSK4TWSvgG6CZfeKQEKc 5s8QMBWp4yiGgJbnQKsY0mlb1MzREI2txCcdrEAKp8yaI7qHJ4+3/lX9d6f5JsIO0RLEMvFiVq2W N2c6bHKYTyHHrUcqZvI6C20Yy1nyCW7ioPh2/9KBr4AKsbU0yd5mc7P6838K7T7bi1EcPxIm4wEz Un1MtygTNu2fNziuEZFE1exybcFE6UGR6HMY1B+sraei6BHoloiS/HpDlBqompIgYzKfdJTv0lTn 5vJETwEUl5Jk8ce/Y4UGrG3SCxtvOdTDHdL4UUIKpv3Hk9GgSlc7iQIBrqpNBNhk/TPRAGt0a29H 1JtVo2SyVPr9gu6ukjIRJzghICzkTmrzoD0hWocyDQ9SutTXTibq3V2FXmCeG1q5mxukW1hMLANp vSLbRkoy1v+wkpt0nfvIqBVXHg1x1tnpVknRtHk+X2YbC5qsHJxDnQOlZqDY+MflfyDTH5pPwNYG qUNDVR2Rk2jQ5+sfvpbkJ9ySN8AaleNGNl1At4SzyaTi1+U/dTOpXdUtfvcU3Dv8AEVngWVrapbV NmIiCOi5/zsqlVbjTYX6YgzVkZJ+3+a1zafbKdSyVtWhxt1v8IXl7DXkuJw2QkBe0bYc+DVSUwE1 2CmLas0Fmmf0Aede94rb3kkSnJwCth5FQ8alsTm33UR6Uo8PLiCWdklptEHcae73SM9WmIi4oBd8 xI5Uf3irNEEUkUjflX9q2VO8U0+J1nMDOwH0y36rGV1LQ2KcwLV9gIpd2E6lJqOVvGSrcUHXGQgG k39uDjVkHkd4aEpqjSam+q0OJDoTwPBu8U9KUo6ZZF8IOtVy+BKI8wKo8r9sMF5tR0z/2VZTxw5N 9BowtJssz3fe2c21D1aJ2eVkBdrg7OTkMklHzeBVIKXHUnlWKnEZs9Q3/w3xKOPDMKdY2soeGHVL xqyuIWqAlzQYJdpmYdIsvIyfqpOuuaJcPAzv2J3XrpqtCw5CKMounmr/T2WxDLQ+8nO2545Bynjd QJiEt0GFzqZ58/GInEuV0Sj/9cUkWdhHBFUZdLKT2I5f93ihogQ+U3FY0uWrWNBsjxGbwVPV+5Wq X4wvyQNw3YCEmTCkvxftTbKFxECeqEc9HJxcxk9yTgR8BCCJZS4EYA1f8P/3O6K8mwYvfSamr2N9 NcDYiPg2NjDd7fT0gQCBd59DNOx+NXAQhaKD5RwRmc/L/w8BEjsnwGzvEI7PNv7pGfCqBJ5DZ1rK DWdLqp6L87D6u5AQKJUa0JSdU3tn/kEDF7V/vNpejX0ultX+Bcs/r7Vg+1dj59b0UXnBqLlVfUZC 4ugCrr5LkvSNThvpzrgSjRsomb5TA85lZmPnxdrTeOGh5BuaCSFlXfhfVN9O01bVaFxAxYkT7/hy 0EnRW8N2NNtHQPrM6JUj5B0GFXLpmrfVM/Pw6rVccUCZYBUtOeFYXdZL3wcrRDjmjoZ5CuK7CDrN hNe2N/9VbeMkM8L2E+DM1WuXCiBU+zvtt2gG5dHqPHSUzmesX7o/AYG4JA2hXkvCgrVaT0Dc6hmP HXMfwgWuZwmfJ4TfvArFyZM5wHfiGVEJIJuK9IaFP9Q3A+JwULYiyHyptq+qz5Fp2V3vekf7n6Gs g9+F9qQH4TQnjDLHXBJsmoHZRmbn2L6Zf0sp9Q/ftMRH0ZeKhpLqXOh7QSmp5YKfO9rr0GCXL8mA GKiL+O0RzugkCxiH+dC4xn9NpE3wU9+KlnlkOR0RyiC99mqJ7qt7NacseMJO2+2GUFScx+XxumvG 7AG/HRDZqE6XHGt7qXDFMFz88QA2DiV43zRBpSvCoGfOsZx0hkO/eNY42rGVpsKzn/ZQk4jy8/4d 7Dh5Mw3XDNp0cbK5M3YDCp8UOznrRT0PbHGyPWycTgrm9vFlIb0y5OHvQvv9tHiMnYXxKh7YFXI5 Xi2jpvTDZ/313Tbbr59PgS+Q/h7/MtqHYOSl5VJ28xeETaHcTnqSBTSEOSuKY6aDtLlbe6dxlTyh AKffVekb8f9e8Txn4PWDWSn9qAxf+F6tlH2HT8CCg8fhBKlUBTySPk4PoSGEewMZ7c5oL4J9dH7l XvGAeB0yb+xDpUVh+PnX6pAt1ld/2esYOHZVFmduu2w5qjTrGx4bFoFVZcbysOgJ7Fk3sVPIaL3H Jy4ZVE48LbUfKzfCsciWKNLUznkXkZu3bnLtzSZvkijVCb6Dv9pHh4frqlUNQ2tka6n2Zcr8YSpO GPB+aTDl5zqAKqd4ZDg/HiYstzbI/bTkOji2G8cYngrQd8gtJI/qi425bjUnBstMkQ5xW4/ueKFI 4rh9O7dPegG/hrofu0HKBgwYGgDTwKzxBz8WSEpBIUE5OSBAWQNZlvFJCjxd4FynNwjDoYTAWz2l jyWRYTjElUJnCpO8ydh3Zj3FrfA7ykPA5QHa/fOGHmlgnup7e3qGpH6vX+xPRq7xDVy5FoCyiCOP F2pbVDslptuvUt+hB2Sf2p5wF86kX/blEafbIg20lQ3i7gfvARU7MFa8HtwEZ9L512ue1xsbbJYr n1WkJrTAqyBn8Jkhx2QwPZ9jLu6kgaPKHG2htyDvUZpCg9BqYwHm38NfoqRDfYtBEfIqcTrtXSCi xI9KHifJlkJFjU3zvYoxZKbyHST+AfDrZy74k14sfV61DVVkvS37B6fGpu9FmVqNuueLCWRhbzic GCURh7jKwt2skxxpcDn1KBJjNlCHUyBYWzZ4zvOMcRuW1YbxNIGQkThkBSsGcfFLJmcQfiE6lvFJ DvnM0SjmLDbufCJCKMyBfVGEiiGq6NnEaYcFJkU8NV33suhDqcGdj/QwbdJMgo14p45oeGE6XoK1 JcMWcRphNapaKvO/vEQ/dn9NDs3cAMgOvgWAyoLua3LUToN2CkEyIw3czRMpAjXX2pw1Lf2U73B/ wtmsYQ33hZgYC7vB4p8Vx2DfWWpMPpnGzkKgjwTgk8wlijFF/pbgkruV7B9ictPu0DmQCN6QCacO 6ajBIDY+REoPNPqy8tnPGUE64A+5z1KqCXNuhwbKfjoNiKWReDxMDRxswzchKnYJaHPSy7Y/+9Hi 7GuCW7VzVPJnUyYlStqWBgqPh5bAQ6BmoYnhJOYlDD+tIxi2UN1e01X4ssRLoRLEUEOKPeQDNlQ6 Lt1BIhHO4K4BtTdKwG3uHhEZE1ZisnaHi8Bv6R+UythF4a0gbygpar5XDzcfV9WrOyEwdvociGZ9 0ekiH909qBzgBXK3quePWsilGjOuuRiwUw8XREKQ8IG9FpTOqGphshkpGesCEPt0CFC28RCKHh2g SVj9/eNVDJpDJe3OwDVq8XjvnZMjsKjPpLpfYZ52M2WHwvKWF3YwM9TGGwhaaOL+LNSqVuG3GFpy b61ydGSZWWnHCt9Ocoyc9QXndfrYhvB/9FwfQmKTp4c5aScBVyU/tkS/oVDgKzEbD6usHBH2pz8y 58UMcpjjN99lRU/IohDXwztBxBp+3BVHTttLG2xZ27oX1aRAZD16e1fABACECXFKxMIgxjHCYG8Z lsTsMmbxHG6GAsGqorpN87it9ZG7Nc6ZuYTupJeFTxwoCSRhux6xVNR5V/YzSoQKrwZXHMJwbMxK rTW7mD5vsL/Mtq+G0Ove/mgiRe1y7mxQrNmGE+nEfX6adn8SO6Sh6llMXPmUPRqR0kziTxAeL0mt 25ChfXeAxp4A4y6an7V1AswI3ohzSIg0MRDJjFqPgT/OJTpoJlJ/sUl8J7YsRoplG6Y0+rpRacZ8 Uxz1QrSpluUN5jRQ+2Mzs62xbsPWKO/beEvUgnq/kwa4EZilBnZ7Dq4dJ/gL6U/5J1izhAMOg9/r ZxSoORNVt1p+KYQSY6edivCK+TqU7Xv+7E1Vk+RVWaRV0ebz4T/nOWOpVPfMZ5WkFWHN2Pv9GbRZ ufmCTMnOtOJWI1PJGpIlclGLU8CIGB5QPfHPLne/gS5yCoX19AXtpaMbYwUcdZcqGHFmeMYBR2R1 zff77IdLrWT33GsDJpQqsw02nvljFi24RU9wclcA6LTodjQv0gq7hunM1p5M664SuqtL/bZaU8kn tUw6leKGGdPGtIsxB8YnsxpzRearllu/CpxNw39sryYhT0UfsaPiGtIRYwCyyxzxGqepq1lI6kQF eBGcVjy51FgPNVlEKRO6ag7irTxVoXEQ8oLldX8U/WWIajNlhaJ3IIo6cRWZKnorvBULKomUVnry YsW/0JkuPBT0JBCVytPuhyGKH1j0zPmse9Mj5qDsbyrwfaTXOp8d4v1/PH0Ri0ER884/lju9YWDu SScvY6+pbKzJlSaC+6Mdp8iV4bMgsQaqtEqc6nKLhEyZL7EUpIe2vWcf2Kl6hEXpi1qWh+Bkbe1G QeCvpxp9y2iG0lI2xvNirBKMeMLmLSw8SikXnqSo+mEJvM/7sEnAliwDs+q6NYvgklhhDH8Wm20Y MCwusj3zBCHxxZhq0IlU9pGUI0iMVUC0ioDH+GelxkcWqEOxtTxJViBtsjXAOsScXb5l0xrRzNtC 1mMpU8sLJqvgjpGV9IdELFAEQjDxFtmsBIMFYhJRwXvM/WYIxwYWyqzndQo7dnfMlUE49JrXOPkz HfqU8sASi7gbIPGMw7CpuwYkTuASaQBW/Lgd/O5iWrdyzmCqwnfEz9n8StihW3hG1ebvDbVraNn8 rZUptXywqxaC14hgf9S6kNzcIYTESc/jfQ0oKPWl7Lt0oAHelHJwLNWvzwitdc+EtZh4vNmrUQq5 E1NMqqjD3V319WqTDDo6eQovHVFXM2Q+HwDIhFLK51T4lO9MjDjwTovqFf/3TFM0rGbHDe8uqthc EduTYXP5SjuLDVPHTCHwrdT2pLQFvCHe5CX4l5vnvFqplaGfsCBr4mKDwqrUTqkTb9LHtI6KC4C8 7K5PjfxWUOrIbhD3VBufC+FlIVBF8jyu9hV4PgNCcAMx57Yym9yuNVuR7FdLHX/X1dh8qiokFBf3 OuuJtRaAYzCQ58fK6UV8LmS+FMRNzMcIJlDMdMN2Tw3VfXskRZtAWlUfhg0ekt0JIT7iQX4h8GLR GJBlhTd+E8hHMWcSJSlAKpxAFjzR9OtW2PsLDTFQLWdosqkp183tkgcPrEtoqhaKBUOzkMwb2yHP jMUqUN7yFuovWn8au54vwk5l+eFDmzlhP2wCu8pbyst16+hPl1cFbgsSKD5LXorkRvZrbRkVfA7+ AvE30UsM9zGAHPGJZ4H6iRNKIW54GcrxusTN0lvO8ORxYufL8E+CVgQDSwIui4EDI23MSj8QoEBc 9Wy0JbBvVVW5H3i52WwKFoMUmt/QsCafwNRYbIBBnFUiMr690njBMTutreXdBurJ4lmuxaRUxJdg Ab17XWeFWXrr+chG9VAoUNjJy+kJHq07x/KaqnGb2ESFENQ3h97e43cBw7JIF/MvLYPxBFPV//V9 YkitTrzqd7LnpeKJOVybq7qvsTG6+yk0X+gTIZBNoNk6Y6YYt202W3DuM9ZB+lc+qUkbxcTH3WM1 QghA9jvK6WaY65BBOBfYYr3imo5oz8yU5V17t4orIJ95X1okjLoNYzv3VWVjXmccMEf5Xm79O+6a Qh1GQ6MI8FlZOCkVJGCSym2oQO1IdVrIjEisnJw2bbEv1HEE9LEXzHxcvR118Cd14AifY2vvkcfP ZFux2XESGG05vGb9F5LwiGWitMvSQAQ8vjYpSQ3EZ3Nq+t1BxUpVYHzqc9o4GirCGS/1t8xhtvY7 1XoavNB5OqveaXk6kT8+yeBXO/vgD1B+tLjT9wqAj2DgNeWestoliqdluhQVAjbhm1aLQsPK77YV +S/Tf9YHEexEYTkH6ma52GK4aTG2C2sK36YWKOc2vO194lmUtJIF4QWdlqdIy8oofhWnoM5f+IFq BmNaCVNcXuK6EU1ikMkt6wAoTSCWCFVENOEOsVO99JLFurOz76yddfaY2X8BhleS/B2d5vYjr8fC KBA74cOM5eNa+8ZWQJvOBLShYVSUUJRWk5EBrF85U+4cQvMUnmB/kvEDqV5jTLuADfakOwaq9AX/ sF95/RLhoMrprWbak+MqHFWiUXPJrqzM0U4u3afZXYT+Z5RbG8mRdeZK4IdBMEjoAyUnoo2F1+yz /cAtVRAvbmgsprNxliIBoZmwgYB4EuCqNYQI2FvG3owTYyg0hKQMdhrMTrLWp3BQoAEPAdcq8h0U rvjqnjAKqIfp12pP1uLypDAuQqU1Yu4paNozWAvQQZLiHz0+5qtCnPJhRbWLFX33/gYmVldItmnh M6q8j8cLLwRORopYWldtrkjZsWg+84OkDbE0a3RuN4PvEqFMTSDnEj9zHX67aDEY+US2Rw99413J 6Hyb4kZ5ITtJTAY4HwhE0DRSaD1gRzCul5jM9TBlqgyphM3vcM7BhB5CwRhbRA+nluJ8SjiagZll U9W+CeYxXONX5F3VELH2aY4hkvL5uMEMl9b2GW/e7pEF0dP+XwhXg39DkptcsEs5/ntp3wP4/sKN HPOVKN9sTc4/hBTg4LcZ4ZOwITxIa9SpPyY6JX+nLC9JQgoRuHoGlIzcl7l6MkgqlizxUOeQH0p9 rKKlhThdjOMRYfY7LiSr2vPbA0zLvemIr9o5Oxr5bz1SZUXAFq4ZgT7EGxvK4aIo99EolcU0lH4f 1BoUc6nagkJjP8FQl2DnmGNj+iCTgH8vRkC2RaqsH+IQpArPnsy5KCNUYNSn8/RB+mXjXJCr3vbU /84Hs2lesqGZU75+ZIaP9g0veU9M/PF8uxfUYTZUK+w44nxnA0QydIf+ZSTusBFrf1OODt15bx1J VfFGDAzqxjJuX3WNe3hC1iPiqFad7B1i0lqGfvpRVVmlYgUxtH/XQENRB1hMh+vvQ224BpE84zol yNt3PzaDwmOxQQurYVpBa5fKhJNNy2Sb/5pEpG/3rhhVUjslLYjoHsd2QgM3A7nuKg/FtqSa1R5M CpWo65GGzq4f6N/cyk2+EETiHzSBY/ECqLOjw848JZoqfw5/3ipUW3KArDdbH2RuJvHc3iFwakPe r360brc4y/Aw89ieRvHs1HJ/xdKcmOzzUr9uK1v5idSjQucRIF7jB8vjhmPE8ArK0O1cT3ZuaEqM 9bVSZ51DXY9B7kHPIa4uN60DIQoHhg10bpdOPqLQ6l6zfZFFX2e9xvPCipy5xL/QtElY4TYYY9Ao Cy927chEn19gA1KVaFEQ0KNNCGblO4IUKDuTlP7TPUsIJSbWgPDB3SBXq7ha29NhB/SuwEmaDPGL lij5dNP/lNIBaNZSC9QzmP4dljTxE9fGbcLNAV3EkdBQMTi8YLdwK5hzTydPciPMnLImyCZvuv+G lYvVu9QEzgB4q4ZyRjnhMhCp3huwBsy2+b0zU4vg+kj0i0Wg6WTV/DJgC/lleeKmSlB29bEzO4YO ZA49tKMJm2SaLpHD6DNx4yPrXD5nFG53lf12noQeTZLxaMi/bGW8Sg1BA0LIvehCf8PkmSZexmSl G0O43zR7YipSVNFdEVOq2gni1cCrhHzBdYBSfvK05dOHMw7iiF1tR7lkaD2n2TN6EsGk2h7/fx5U EaPa+ExNlIGlVrRoP0NdVTpi6S4dClu7s2DA3ZS5qE0RfJfO9muQFlvKoW+FatB0tKzIfSKgWuvJ LI+s2LGl+FxXt/zAFum/6dxzB4ygedduDzOD4+SR/3V9vV+4GuL5ooTaJrSw8B+MIOjAJXcWZrAC 6mnEqj16qNfU12fFROCSDwJVhYK3Fyl1ZKgcjsGRMs+XYIu0Cz6qNB3ypWWk91P7v8xjlZobc6I9 SZqWphIeiroXmDB6gLXSqSJYvQDia19rBje36zSpTkRJtOLIPJtkpSUmY1tEWlKivqXqRRTOb2JX Xaqg7Mn2YrCpOCutfEhFqBOVYmSubBU8cL+VrwD81AUvD1iv11z2YmhZc4tR2sG0xOR8U+W0b2Wz nVZWdXw4fvuLGOcLg0FZSMP14Lp2g/tjC8eONDrYc6kzhOIZjDHBT27RmsOMqct8SQdBVxvHI12i 1bcbngBp+mvSYgS9FeWLU+RXJeS4PnQ2cMu74YNasFXMWZCm/1raTqsDe6UAx70MO7dypBFVCSDb wkTlEwWHoG2SP/RoxBcDq7ee/3E9VfVwQnNVb7B4d4irMK++mP2l5DfHqkFP8Y0U6vk1MBABq7Lj 461q6Mcdv0S0GnCE0kkwE+kksGJzn1a45SzupR3ps5NlTBZ+I6kiTGU+XpN/B4gPP0zMFA5re3Wa NUQ1NlK8xMcmlHoS6B8DKVdFXlGtieX/qQTXaxprMgcE34FUnpcJzX8VS9Ik9X9T14+KoI5Rv9eV AMFsl4G2J5Qn+CZ2Tftdd8qIE00JarfLRe8T1VeE+evuy3uhdCboWLjXkPeJO9dSiSg0OZEFI5o6 1+PmQXJAH3g8JR+3hgLyWYfgoE04aZjr+43ryLomBQrd2BJv/FCxD0MB5g12NVWln6ik0DxEXkEZ ts7GHjv+i6hG183QpsoNuRZS0mi5eZNsVvOiD8ue3HLOFnuFOwsB7l7/iVWlYpNy/Mu5UK8zHR33 RKrIIsCYtfd5WK5YyJagKPem43SACeu69pp7yLcp+c1C8CG4vxE0Ck4eoV0TZPb696NhfKtgzZGw rzVlDX6hlsWqX3rWXcrFGsXPhq/aHh99F96MR5N7TSmjAgddZKPS6DBgvXa1Wdwi8PzcR8XGA7zT o5vbfj3VcjDtCq/+gG+HB65XygYbhzrgfBXuvrB5yI3VajW89XoCxsLGbyya4yrqHwchHzyfmpon yeK3bJkGrXHB+furiN0Q33S+y036wTuiNxzQA9AKgBZBQ0an61dsvNT1SrtPTrjbSj81FDZUUR1C G3fcCJ51UmlsNCtiCOrFluDL3yoQXBo5xX2qsBX7Tql0VJ5ouPZvz50rPHNBeZLgSXKjIMnkPaqS UctiASoYIYkNtY8PszZOaNnZ1vtJveyDFFeoMKiCC0AjoaYpD71MSYfYHVEli6wNOo4nOrj835tR M+/f1W+vX+UCC3c2ycyjyiBeCsykz01BQvox6z6IKlX/ASTHrw6nbXRoFRo0jXBWfqAOq1VKfRzA ia8KSWjuE8Iw3Y7hNBCNDWH+wFaRPvTdX7swcMgkmnoH8v+Yg/PAcAyRKFlL+L+os07USWt1oAIS bqUIVEJigW4ysn9TunFhQ47cSwmCyoEwAG48lYcS9YIiJVNSXy1aXtYFwHQ1a667MFck6YVX3LxP NeCsYyCYWFX9OnnOHymv3KLL8CqPLePyTGNFmDJlw1CSXYDLX6MM5M7EB8GYPZEbMJeLKhGOaZm0 YGDv/4mxlhARGoAnk6MBukRrDAcB3ZdqRO/+u5b7TGjuUHqHpPkNauS1FpNqR4hmW4jlThRrcDEy lNIyhHf8Wgv44vdd4SOrSlj2MSvp2WpJAoMoVOCW2ew/5pVROgqWDIJzyVE0l2WutnC0rnmolr2n ORLYXQtYVy7UxQEcCNbj1Mv7Bwhe7VokTTbcKXHiMCtecUBVncEawZfnsTQh5S6LVwbFG3kaWQlR CKtWqngecOXhniTlUZUdlE5jl0Lkfyg2dqdThJ3Y7/Wd/trrDGNBMPc5l50excLtpUj3oZZBtwnS TtFSK039g5P7Ow2/vDn6WGGuWZLM4YIigj4SjRUfSdhGvlG7tYpd0Hmx+osm/3NWGM/4mxBv1Zmy NN68kv0xZQyU+RP3tf+l47EJgs8/u+0arCPAT5JkiRuAa8uIvwggP7awdIeDUitR/eTCBEkYI1d/ BzkiE1ANMGLk4BJLl/TyVOJ2dfL1ozFtASLmqkib6mrr8SokyzcyF9HdYqp9T5R7oB6pr5PUpP80 c89QtRpwS9Hs+ChdDsRd9SnyzHeBPl/KpE9ek8Omvi6KG2Fs3EVWW2N+4gIT0yfp+fdukknAQDq7 0N2cUxfFbPUN/+IyYHwGnKAvcEEtohxU1dexYdPBf3EmjR5+VTe4FoEICNM901UF0QJ6CnofoDrX CQXZr2MkPZsvkJN9BrE1B1cINnOHrAEwevCv7nMJTMiWknMZ9/ViHiQCFEtdr17I7SRdRjd7RiWf s0v56NiFMuykQhnvYi+SvDCiFOV222eW4KV8iSzNDkZqEJ81iJRhCRqBy7i6kOeFqUB8IjfmgzWj WaZJdUBnbrPHb/OHOt9V3UDjho1+a+nl9M2dMHhbyy6hGpEEZVsjSPCN0u+WSUq7tCd8WCWaKdMD UksZxQMEfjLeBaC7emzasw9hi/U+c9sIDFvBdMM/rtJt6MGzvuiclx8swcQRNXJMRHnenhbx56PU GoWo9PmJmg47tlow4A+5utyyWqtDdtsrT7+lUup1GKegt4SrZ4ooBl0aPOGJKEe+mGoYieSkQNUR wL46TNqfJOO1jt+SHbpYaBQhiu5OYSM5G3/bellWCmJ0cfj32BYwN0Xedu2O8zcjJtMoEGrCASoI uAzx5O/MTidP2SkLDH9FgSu8DUDLzJP5/cIXimn3zBHS+zCgrTEYpUAMAjxhYTzcL43Q9t+vcufe 9Aa94fB8X62BYyw7wXBq+MPnVo8+2mI3gM9H6RCJ50gGkn6kuK6qo1NyozrqprZW7dt5f0Ra12n+ EKZnG0sJGZ6yOByCw5jeIIlibwZUXPNiNn0mUYB6BHU9iJ0WjI3hTdghvhXModuWSaUTrKtkDUia 35ESXsXffTDUyCpVBSvEYsc2SxA3Bps5yK1QxTJJl41zmq7lSfyiVkb6U2xTAlStvV+JPpJldaFo wuyJBMhqMk9qSnjDA3L5wnG3Le4vsK4AHV4o7cd6Jx5R6pMGx8HeRfDhFr737pb3NaGRXOn24WoX ycbJrBOLBCkW0BFf+HqIJ7ZWotLUrtwsxfP8c02spaO0j1cc0tOE8RKGOJWdXkow735Hg25p5m4g 4FxTHLPCwpAxv4uYKcZLG7DgDSoAiSQDr2x0iyHhz/GUqONVBpbXDo4PQ8MEy5ltS8jYdFHh3XqJ +47+yG0Seax2sYNMyhnYCU5fELxWorp1fgKlhY2zHzYP31lMqaaZA5JEVuI/hy5SCEsD0QPlT3So 1Ox7HLLvTsi14bhruuAqjLKVuX49ZOI62rxcsHN54M/mlEnQw4s= `protect end_protected
gpl-2.0
f079b334363749467edd1de6f2f481c3
0.939724
1.868074
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_log/flt_log_addsub.vhd
2
28,265
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MEdd9fR3OIUMFsdlGltmlhgwzdCXQTUEDkNE21PIeokDfwN7SFcy/07wqsGrMGKpHYWDD3144wVR LKfBHid17Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FI52u5M/brwD7bpWWGCAWOxuI2RRVdoUl6tuOXYX6XXxPmy9nef7qS/Pw2EylPW3XPsVK1L0AY3i W7WL2/FcFv3YaDMp5KQochJDh8Oh/bw6LWJrDJ2EeyK4AaPslNUtFZSj+WerEi/C+VGgypS38S1G As3JyPxfQqd5kBgR3E0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block olQVacT4nYvdgMDgAz/HeCmKjPBzQGcBQUgz3rZeOood+0lyU01MwIN+lreAjhapmafgY46tro51 BfqpE3nvhKQN1MfjQwm2RBQYnsXEsW4VQ6oyn54fS6rKhRkorT3UCJdi5k4hoB800rdrPM2zl+8e IPpBfJMUXh/GJwvWFBHgXCYma38fQdAPxMKua4oTazjMPZJWk8EZstJIHy7uazsOACX5NS9TJfKD NaEtzO4yudYxoz3vdLzJ4ikUK38kSmvWPTE+i/z4BwyeQgve1Sp0LaJWiUdk1oX9ySSQNc4kekbJ 81w9KMwm0gij0qR8HVv0WzMkgtJflOac8RayYg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block r9l/OSs8oqKPOKinKXY6Al2AdX+dQfGGDUvHqIuUeFs1MXnS3QAR8T8lq210lOGU5guzxh1ZRWdc d3jylf6fUMBxDo3z+GFGRLjOfEp7dFOyvkZam4ZjaJJTxmYR+CWPRsXzBA7qlVLJdY0XPuVV5CLz NOZOobc0Gq6Sw3GhAj4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Pv4xVxgCXbFK5LCMIs5QJ0LWz9PhhZco4sSloEtBGPp83W3tK1LzvMEoFm4N66uGWUISFZkXzx7i R4PGg50Nl0KfAOcRNsVkrI3P+pFKFt+gLernKp1sMKFtGW/1peaatKuIw9CEsTW/8I76/nBeHYZA fxnusF17DajQTW+2uhVqLOKwRgfaCjvrQThxqWCgUBWi+PiEzCOJxKcxum+b99usQXlz1j8uNnoX 2uf34RaQS/iJeYsXAWzN5eH3TuqApCJ5TnnWxBZiCHPB6USN+wwrWfwcJfsiOYPGG6JVIv6xPZ4/ 7XQ4+BrlCY4a3oRMRwnxfdUDbOGvNeWMzuRDMg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19184) `protect data_block qo7ZfD3eKwKyiMljbFTnQPtWTf0r07Z5D6F9beNBoc2g5gKlFgIkymM/zSUReQlyQXggDr59PkF+ a+TSArYwsfhYubuJUBu8Gd1Dn53HfqdCwYW4ZnSh/AcQteKG14WkdOpz0bHLrkFrC2ys0tSkDASH YR/nbhjyzqxWNXmTrvBLT71uGZ/riee9HeaaFVWojoLnSsaPxw3F6vILjiSKv6HYnjHMc996My3p GI6kr91H4FlbEuBKxRZL6UFsPCR/64d02fpJNgZc4s+lP8vOE9BqP2q7MrSicSZVZGHLTJXGp34P zkieYdQ5MDn1KhxGWFxAPVeOXv252M/8XIsFO4bb3ZHhznxw0lQNvIEwqiaWFjNebKcT6s0wRJJf zsouVl9oPm9ZZgZTYkGWrYHlf6fINk14Gs3yPDlJsJWT1GrYPUafQIMsSjadCCJbSkpn0Ln7KJSU j6SJmnxR50FOzcrI8te6Nwf+r7IKlu2T8/g3AsppZnESGW6Fcbx8AmCWQOjWfsuBvaH3BMeJKUTu uiDYT53Jp4vOj8OrqraiDyCy4Or6MHOOZAwGvkbqoGBBODLnSEJGT4tuOVd1zeGOa0Lceib8Wk4y 5Pr9VsepG1FpwcqEBDv8EClx5iqlyfp85d3viU2Z7ANwONIPhozCfgrbkcCq0pm64rf4gqfNZJfi SCsvpvMM4MtyVSAIbU9qbjPpPn0xikN36HB3Y3873FfdGb+qoQNzaRb8kPf/zIVSkCxwzlGI6lXR csdAk2/XPFYPKHV9he/FfAl1sff/q7O4VkSqhLt1fpUG7ojxg9n4PDenJQCe8o61rHy8pDAZzBMj BRSQeNbgHZTXOWDRe+Ue0MIwChMlWsoaCpaW6zJsgYzoX6jHsa+/45RIJKeA1fCPM4kXD+UBNEtV uv8Y3mCz6oQjKhMwxxWHWXrom6uwJJCT7sA6Ilyg2ucvZ1l5IczhxMKmisku08dM0oifb5cp+u4b IBZQADrY4Ze9gQGH8F09wIFHFNQJb/u7w3UfCEG9E8QMtLsLvAcs/CzXg/1AzlMZFmfv/DxlrRsa 8+rEYhDP+Xisol9VeoNNjZtixUa+4G9gNxDzkFJloSj+GzU64dRdrDcYpzqTmGIXnbf9gPf72+tn jpfOf9RnLlkOnRoOMYaZQf1A1AxnIZGm46ZH1x46B4Jzg82jTo0xbLSD7X/OwG7swMgrtVyfnr1V 19rNMPUldRlYoHw9hL6KuwzTm+amjdGczqKUioIRBz0VLaf4Kg/P0JMMxckjI+5lGktIIFool7qk NKPWzNcu3yrGGTAnJder3x/0pB4qdHMfIQO4I4+sJ4lUT8oxN198QiA7EyGLl9CF0XxsIIQkip1w kzsBmtfOfWMEDLOfCuacxHZxFhxaEXxDK4Fj2NGzprDZdXFq/8z2utqa9KYS9xGhY4VD6X+ylWus euSVWcQ04bnR3f7D4GcypNTcg/T0uw0rVdMJz5ShNdtgyfCqACmuextdztLCywJmMlWl4k/bybml UKsRVBl71rY0gca5Tj40IctDtERotSTKRoHlcUS7l8Q3P3YK0aoqzfJGkKm/5FMUXNiO4P3OsNIQ JXs5RNO/Kqj2/kGrHvSCIlnJk3gdWU1W0RnYV9SjS+75UBjK4+BESngEHTMb899NpRjutIBEdDAa SjtQ7cF7bjiJP3+GUQQSbzDqCYdFIFfX6kzgSOeiRGjTMbVoLDnxeD5uFvDarmVsfymDoJRcLRmc f26ff0NgkacEMbM9EBLvgN1tvzdP+k0lkHB9W7nS3517QwNEHXq8OLntUmqZiCttJ2V07U4zyzmS 955mwiWzpiYbJY48M4uvG60zoCLVadWjS7+QR8myp3xb64YQiR6I/A8Ac7JqsYYg+QA9hgugwaWa y1Ti6Z9qA6FZ/MUA/JoKAMhSCFDk7N9C+i0HL+S2/VtsHw6xwehLkeySuK9z11pCz1h0+THLOA7O fnHnqU0K/zUEFYpknIvxWpNmoVcHbYrHtnMEamoqOX+StJgiNhr/Q7H4T7NcaAueXMub5hg64gBI 5iqtXuAj+w5lsVEz/YC0Yqx1O5AJSbtIT0WnZCa5x+9KGTf1CG6yNLKwi55vgdSVYpDpcfLWy3Lc lkKgHZazPTrA9NRWdUTBzD4sxEF3va7mTE84S7/v1Zf4d6JK2YucTIAlPYu6/CG7/CCayPuaEQHT k3OXgha43j1OjzqiTtEWTjMXGtIZkBR6RtVfQGFcVSV5aMz45qWiX1WI4RHpJP/fMw37fUGFv4DF p0rAlDuFu+OWAURQhkP757IYirRGxMVFIbklUbh3t92BSaNpLV4R8eXJ+M8He5fXCIqvX88nqdO+ q4QHbqEqjLCA4DTXzuNv20EkO0qvU0Cc+dz53E98mxMV0cjI4rBORgVXAFt56QYacNfT9qVFG++i hzfChb34zSjiq10Fw2XYVSbQoi7tAX6HdTQV4BTLRyZmGu1+bSHmpVW8KeXSI6BJHotLY2BCaSL8 CuT+ZW62SgeoOAK0vOI+8Ehi1Ta7uy0NWvevAun0oXDTePCF/mw8lEgv/UZaiC1VGHt4ZRY9It01 zkwqGoWwZRDXnFAXXi2hCN9/KRxc5+g7O8eLTMbINCKINkJ+u3RuL1R+dCdTQhU7y38NMERaJqV/ Q7N5cd0duvQKtL/cJzr/shf/LWwo/0zQdNETR+NCkCYhGMonAaLraWqYW4JxqMm4VAaHf5ZFhOtg UvoIwEw5F85IXWOiVzIqRwdtex/FQJz34Jica/E2NKF1cdQo93nIkIQwQMAFFGYeI5pOKW31v6dj zm5Of0l21FijUuHs/pXyEyimTuDpD59u/Jnlo0FaY8ZZ8OzARcBiOlU17JHTr/5yadEM9cBzlSQG 3fk2FraqWI2WGpb8AwKbRPSXM/iLmurFD/oOc2qGjeGnsSs3R8gJwKiRNcwQ2fSu3Q+1E06XMQlx Z7/mM5ZpQmij+ERt1wl7VoSboyvHzrQJhgy9+Q2SttzKP6Nq9THpM6sVty3PDwN2mFQw6ZcUgVlX KroSPrLSP4S1ZESxw5IyEiqRzpzImMO9X55jUZPtQ2k57iMB1JSfVSwPHnsKdscLIRQSB1PhMvUc rhs+7MsE0HT4DTPecgSerWXjMHJis/AyxcbsaU8vyvHxj+ohUng2u65IWbsBxOOdaYPzxX1UY3fG kIXj5RdgqflBwVHJ/L4mdF93R36Z71ACQdjQzKokKev363ZFMxganJEYGYYpAyv3LM0FfX/vRDW3 e40OVHCUWOfzs6rzsI/I9LAimO9hWMwdfhiqjTEQoFeUVGPzZJurpT1SOyW2eVcheUth1VJhVxj/ PsHuquWVrAwAe8pim65RCq19dUxrazyIdVZ04iBzPWLC6TzpoM7zpcMctcU4sccknE1ayIQnSBVm N93cSipadfoHciYLWx/epBA53vA+HNAOMZpUt4D3g9NO7O4z7IC5iQ9P6hq4mMG+StHQoUdJYfEo kaNhqJcUz5A1m1nv2UNVmcBLgCrfjIypZtIaRzswimQUuFcJe1lqqmaJCvxhEoNi1BH2kxqdiN0S hgJLpup9guBuDpQxS4B4LB2VLHLWi52nE8nWQeUPKYsH7mXteqjlat10ctzwAzOY97ZkUhvjfxHM wm7srJMK/8sbYjlFYFgd6ljxrB2eGHj6qCN3pnHwQ2/3/Ny+/Pvbbs4mr7jXIycq4JBuLNK5Uqkf hTXgY1tmcGvmExaPmIN6EjJO8GNg7U+hfVYnEaiVughZV8l8zlleVU+ddvlJmWoP6f1+ahZxZIyo 71KCSClMrQxss28MrTGOAStaX37fyfaDrpS34LTgOCxmjI2WX1w1Xg3LRQWsWsq0rHm6BkI2+XyX kdHnM4dZJkfbfbrpamNLzbHGUJ/eVyMUVXr+rCh9jugRhkXW6tibg87tXpiz69dFRD+nxHLcVwMr PRsy8TN1ebqc7R9wx1KAdz/o29cd1SgkPO7tJ2+egFJO5efgxY1xM4LynuqAmofl9GYwdpocZMA2 RrK2AIZoQEK2vGjKG1swyV9LtVlFjwsm0I1IQbWgf3ONMMD5V4hoMQMGR8OgPv7VDSUzPgDRf9K6 qIt85zYppvbDK5XSJnJb0gQyy72xn5e0sIoC8QrKDegY+VxxkFmhTilfk4aYaF6/lPm/iy5/Xk0h 118oMPMa4PfuXlG2czCn852kZnJQH01HSAtoDaFVZeQtE3l7cPJdtCpzy2P8pG1Lp/yBnb6YNSRS VTcKOS9ODmhzfJ4PxkILyzWsSgbnpaLL40S+CfLmv3X+QW69w+Dy3moiowe32LgRxk4CdNlAOnjx wkT6FYLCEzVjCOVicej/SwbLC6YiVbdL9rs8d3Rd0WrXPvkapVPYuz5P65hQjj0dI+QZUU3ElfCP MGhEWjDJWVyHyxEayq9w5DVtWU2Gvczyp1SWqgg4NyExacHHGK4eN12j3bER6omu3KK2QI+6T02x 1s6xVhwgbmysOT1hHL1ICkhSUpyEfYblwzJsIpdqv3Ln7E7wp9bfn+W3Nq69enINRZUsWffQ5yir pxNhXDHybM4nUwc6RzM+Qc5uKiLQdHYHcjc2Klu6Ilhn5QASCFlsdK+y0hhyjOh2fHY9popARj+h ZRrGW9pS3PfFUABjoElwL53YTxcwSAvPCASyte17jdnZL8SUMMSfGGFwsnq2elaJ/JAyapu9Mc1H WY++q/MVDnGpGHHoGuJ282z5jlfyv+DfpfeHDgYLwPxUn0AafiWLatFJ5nISnFS4XcoJC5YgWjxY JN4PcUZEoXO7lTbRE6x2t+41dz0RsB6ftxDJLmxBXBXfuqtAXv4kgtJ2a++/kMff+8s5+pPwf0b6 NivCPpUUMcRixMoq8lbX02YgMjQzkGi4tYjMHVytkonGWxv1uYsr7h5pK/JchNBbvKabj+L3MiA9 +iQK3BsN6xw2IZF3omXpNW3qom1/CG3ar/gqpy5zx4stZh8ndJ5LZfZYKXPDkKdbV3ZBhmDHzEmE FP/3FuTtTBZSax2Yl/nztqahQ4K0FWQRHA+XXuDRZ3MGxV42UlN6oLggmXwyGdVQoVAe61FLRg7H lY/8J9IGbiSNSM5K5/9ljv+yxaNOxUmj2zyR/sdNn4Qbw4cqk12/woukcLtDri2dY+rXV3Mo4cxS UIgUf9EQD88oFz+zuFSyLNzRLWADSyMGBsrknM3HWb7mJFg7RbCni8AdbdHz0FUOLMDM9FM2rm79 z8o22ZtoL9m1bQX8JfYcgNkBqO+gtaRQjxkD9Kke90PIQWMJra4KV9COtTQia+bSZdT8eKZXMAiu NEQLkQrdLrvOvshs/S2VbBSbfB0evFpSQX0t8C248+iOwSUhM153iMT7XT9eA57ZaoTwJMbKyZ7e MG0X+X6ncPC242DF+xuG5UvXrmgXrdvxOg4NNV2R2zCrBipjVFxyLtoZu9fU21nCH/jJjkBH6xvD YHpfhYcigeMt3cNwKUz3RvR2XpCI9by9VGe4GVQuNndDLvoSdahwA77dtVln0Ir4dRc7A1eJ7xQc 0kDwuXcA7fEqLoLOzcXD84U9cfdoA5jJm5XrbEd5ntvfjUp8rcqoM1x1OhOYcT6No0XyWMDAvgQ+ HH/1thbjNA68mDeTib8jP3bH6m882Rh0orPncW84Js82i8encmresKXhWSMhfgfTjf/HTn5DLPvD sDZGFhqQ6weldKkNuJXA5IqILDlhVatcU8HAel1rWPuR2dzpuRwumOXucCYSJA+sqaAjPD48VLrp g+VAFq2Vr+IRF6v0+f2j6j8nxczpOCnCrixIr537LvcjDbnDeUE2u/YkwcBwrm8g48+Jc6s+U8fF 87nfut4vXaKpVI1/ZTm4Icjk7kYfTw4tlL9bHht6Lze8Hlu93Hy6QhWGqqF0r3m7Xa418Ntstimk G9+99QG23At/cbGllTtPmpYdobAtDGEPohOwRZGoBwfR8KR0gBsDUXJFgHluuYEf/UvKYK8pCBdw BV7Tq6lpusBwlPUNQ/1EEnJwqaCgFWF2zkeoUCcflQme1TYoZFcWMWiJ7zWL7LN/+xRVHKG9uKi+ lmtlYczEELf9AN+mfzwEdjxeYN5FfGMexICcoQbU0RPIqzhdwP7KSBSSRUjt8W2TqakivSUP5xzP M/1dWTaKid2vtBzYm7fcHpH2Zw/immGWJ4U9UoSwItbj84fBMGQdOW8YZrDg8+s8jtsJyrqF4FT1 tfXqH649Jxfg3w1t+jEqq7kZqLgs97OkShIZqMiCTKKqlNZ2JmeIDcVDCFxo+Rhw6ZkdPdWOfDjL BjG1l7wvLU1tpyoKAELnmvJpEf2CWERADSI7z4nfUrTf8A4gOq/BdAbcTqoqFF5O4+Kj0q4l+UmD pE7EvT9f9kL3WYfavkMWJZNfPq9JT+xWJciMMJs91sJm74i3ieJurFSgxNPN3yvA1jaZVwolkvq5 FEkzZJl0FUc84/KZ3AmfJp+XWnxLdSq/JWTyiPQFXO+H5T/85XaFQ24LWPkbMCgQm6cGr08VKOwE NXYY+9R4KuVrMWsN/BOGBi5gLrHFdM0LKjr/halGHzRrAISvjPQBvesdaiR5lkCKA2SAbM5+WllC TAiulWycI+nCdrikeLp/yN1chvBEFeULHpixduDqUWb0n4YMVycHLAKTGcqBXO+KXjuOHz3LL7ry yF4MgCZI6d8Gz5Kn/rGNhO9iscv7SpCbwtIO402NcrP6jI+R0wMgWLCMAc4jULwvEwMVulLbMiNY hT9jc1EeCkJJDYCLtiLYA8AM3vFBF4UR1KTRfAVi3T1i9RJ98r+vh7Sge/sll6BKNZosgDamo5fx XZa8qKyDi1nHSekz1cIZi0rUH1TJUWhYeEZ9jffkgbuRM01uZBGdDrV9zmVBAf0zBD5/LGBSqj6+ bbcH6SjhtJJvxwKpFxJT4zMn4nrijhayjLof6TEpPgNixs964mlSJOB27D64KI5gQ8v/pK4GHEsb MLMpa2cuu/uPcoERu4GK96NHj+9b2ygOb1UaK5qBkNRnSJU2cVm0SimKO+noL3AkEODF2DW63BX8 +NaFLE+Pi962ScoqQVn0WQS24lilaG7sAyHTerletamwMLfgJ2X4wCmlDu7wJM/Y+3ZZ4gD45rfT +nb+aTjPyEZahjfSq1Gqh70itWcFPjWZi2fRb5Xyv26X6rJmEAZ2wYteGpPfVB6L4QXVoKRqYlfU C2Awf7MNJ1KcDpey+RusWoKbwYjVofvwemp+rzAJzfkdoCk+Gj0+aHZP38hyy25kpkAySucqH9xg PiK9pCX6CbQutAE5gUa3CbwbbtaOVYrb2xgEAPKpXjEG38OG+//weO+c5DRzuZebpx/DIAkaKu3r 7WYwkaMPTaGVoNnzdpUe1FMbyqawnPJj35CaRKRYJsK3bU6PjnR22rER5+zva2yMPVImn8OBC5TC Yi7zWwNx0qthF9Jhm/fpMmX7zalsqPefPos0cq8VW4S0fzfkS54asr0tExTl7eY8eOroiaWkvS+E kend0GpUX1j+eIdHXUZLGEN2JIogHZ6o5fF03KyLxD45O/cmwYLucUPy/5TqLDgVkNFXXdLx177R lEwlo3FBSe4tbs8b5f4fABX9Rq2SJq4mixZlkvBH34BvGGKCyxnfnuyq6jCwifUDz2VDcVukrvy6 XB6j8jjzx1w+E3hnmcR9xDXNPlpU2FBSN3H5o/tlbCCdpDo39aODI4y5E3gS/VO84bT5cEmTwOSp WtTCfMvZKgUIDhkLkMdeQRBc1umgL61IuxWxnHE9hpYqvBlOh73cK5ySwbVYCa/h+hs8tZ/u3mvq iuMaz8AqFl/iOA30XIO40Ivo/3huK4fbf5Ifz6Du9vXJQ6gZBGT4CYIDHugUlXW1inW+ZAwEMSX5 7QNWxil9QxSIV2ivY1KpFhKr9O7c/+1pNLIsOjpTdFSt33kx2oQ7vKqTplmGOh7Qqx0nKlrXxCP9 /UmvYQSnjOlQy8RYWbf/cp5Edohr2GAQoMQ2Ln52IHC8aaSpSmQuxmEMa6bDwVOXrEHQjC/XDGSL hzI7k66HJB8euwFtAih2xRsbB80Oxne3bl4bk1T5LaNzZsUWK8GabsYmGomXcIRG40xINg38tFuv KX09z3VE2SrGW4Sz4AH724Z0xwZzq+jnfZ2Ycc0+2ia+haIoDe0vVyE9sKpa6+sBkvBxeIvbHU0g Spmh4gy5HnO92a99S697qm0PyhmgJR0/qtcfyaiXs9SW5rqbuMUIkgDMGHkKo6gEfot4HIvt7CsC 6f3j5ofQ6Ab4Rp2mxM3s4varCssG/oMcArOsY8yWFydnHVieJkxuBfec2Gj2AZcIoEBo3JoYQoIa jF0339og6gkP/T6ur973ENS1jW8IHvSFkAx87Zue2AEFhEpacqt2KS+JU7M1/B6py4pkpG8Q10KK mYMNLtL4cJTDVDOEgY97sXVuOrBYtv8Mmd3U9cw1G23mx7d3Jxh26Ym4WiFEzKOMGCVQUuJXGHHc MLbrZ61GkGQDQZTP2PYzshAveIS/46NVmoxl1k9FNCb1dxbeKhqodcSKlBssBamaM4cyWO+lU1zS W2JAgAzV/MTriEmTH7z6w0wmdYah4TbW6yfLrL9sL2lb7XhItMQ3dvdmi4KzOmANd3BO1NXHafi/ YHpi0NY4xhSjs8QhcoyDFbR++eCQfTZ99bYYd0b5FyCt1MrhlF1qXEB3CShDsqWlX7dJeAsIyKgH zZWFrMM98tqEphh7kbxf8IuZ9g/SIpv0Xwg/ch8cGZM6uLsE5CCG6NO54DZSS35gOnSK1sMnaM4F lX9MhSEuG+BcnaFCUqIF1wFzi0/QOFu9uS65BmWjD262Yiei1+Zwe27zz4g0cmkCsIQbVoZenP+U OZfTxQXfGZPv0ZrulwaFscNnCZk+HKR6Xw2GsDJzEQCODe7QsKBl5mVcQ531nfl4R/dDyxnRg/50 Me7LvkcMbXPKU5nL4qus3853vqse37NKkk7lQPmUVWVcCsHeTFavGuw6mDrAM5X+zSkI7LBQ34e8 Ia7Ct16uBqqycIfnUOX+jYtayOT8fTJ7DI5MCPELZILIhv+NMI+weJEhWIKWAmubV8/YKI8BMaDg xJRT8cyM/eIyTWtqkEZ0d7CfSXd60T+VvWeEKcHxcawZqhF9IdOc3oPS0me9nnjJkoDpJJSx8E8y USCTBvkB1fB6qoaKNWz0byfvVxJfPdEGPSeykUvSyewHGbql9jnMPxzKLcyqA5MHTC+UgPowi5QQ Pp5D41IopT3/XGvGAInE/pL2JpGHV8IK/iT10SN10BlfQa1ZfOkX+0lS/9/KZ2ubqCR4bn1vZMY9 EMHVXPtiNfrvhuVdy8KIrYoTKK3VXliNsOJp74SulIybVoOPkrG/J12eAw8IknKZwIgp4c1y6upk hF7P53spJOqwnfEiGdTRl/k37tjL7tW54dSNLL0B3K7fnsUTT0ZRoVOJN5jBmIxAU4wwkODWsywe DAWQzE8nfNOQC1YJT0PIWDtZNzQO1Qna+1wsPg0J6LnIycaXktBusrgynWqp8xE/83Nayi/A2tVM e5Tkd0gj14xhM90/oC6x4ajxxJbCwpxk4p3bHRdDcW4bKUrMeLDEMAbNGu7n3SnWJMTPPJqYnIIj kQvxBNxBcsXe3tA19UzktHRbGcF9LtMYYN4D/cmjUb6x79qqEZG67i77GB2Zo0oMV+5fwDQnbt2A bKSvKwPVOO08ynn27yXhvUUso87NZLKupd7jCIgqJH8BELQjASCIag/9PPGi80TG1zLlp4aomz5K pA/iUnlP73VDPuEAFqZ/YViCQ3Fd88q2ZmT6hH/lzt01j4qWOtQV6Ix2Lvk0m8XUBWsOnuFvSRdH FGuAMYtfnaWmzQVAc10Hlh4wPySYe/ZijQ9fUrYIATYrRFONMQeZHXtKaxPUrjplHPv7/7ahTmLW IyNir41fgLjoQQCRjIVehtfF0EMo00r8uuY3eD6AqGHYr9W7RUdBardBT7xpTyzp1sb5uQjOYo1l 7lrz6Wm7SFkmeYiLRtPeC7uVTws6eGIfyZYdJLQ+NlojAVIW12tXIqWm28NAKR6z3MDlU3RywbPS jLwEmiSZuywRhZXYnuUudNy1ysVAsR+vegLoUX34ASBc9RKIH5QePRSVMN7C9S7AR4ZKHuvTW8+S qTmpQW+ZqjdFYvMlRjslLT7ajnHSNxmzNzTgdaN6EoSvAaH8KH8RGT5b4d6+rrwCl3woUtvu5YEy jqy18a0xBrO6QkE4fYdUnrC5gLgFN3PfsLSXcLEI8zJLIGXV/Xz/iRbcMQmbhQe8JdYbswHElXDI igkvX2SnprZZR2FZ7l6GZQsdUzp5JwIK/N+pa8nKDNP2jb3ynYOToqxDfaVu4YxqO0e7fgG+bpUe 08YN8TzrzVkYOO1c08UKV05LsTej+g86kb/+89GpFG8IbkLNfrzEZBYmd68ZzUfD3s6mJprOJ9DO cu+3wKFWMUbKTTEQHgro5aOmUYEVVNVXScL05Ist50zLVdflZpfgrfiyAqxlK1gMiEAuuMgvwrp9 6m/MnlA/TXzjfI+sgg9hcFmSl6w2uletGREQmuo1jg22xahNxFzZpVqOgfGy5knfQ6eiJbpkTMXR sYZu+wVY45dDAAPEw1NP5PutAf2CT5JETMXLy81ZM33XLSsxdCWhlBQihVatktHZctvmsLUPrI0Y Z7wOEw5qJeLr+xfzWv8ufRe49GTkSHTsL+aT3SpOxxgafMOtTnF0rpu4V17GfnnwOGlVYqkxN0kz gIiEtEB0120dyXosucu1ggqvOkHYIxtfHxycPfvHOcf5lY3vYsKun+r4mX6dkWHF6Zm+p3KPWZM2 Fi6joqeGCile3AZ0SsdkMlx5Uy+2kKeZqmVUPg6iDq/Tgr30o3JN33ela++oYO4PzYEDJYVzqBgf 8Z2JzJv+4EiAJaOjOjF/E19GN0vfoiNjcP8i0hmX0xGlR/MqN4mNa2zDOdua5/c4JT4a/fplHgu2 S8vJVi8OmRL0lhWNWLHWMLxnS0OO0RTZonA1ZTD253RKRNX/q7uVw+SCLBOt1HnW5YPCxO6r0Fcw 4r8TQuozreNdf0nkuCMg2pMzEx8c0BqPTARDkw9WfiJbR17lExh++SvZabWBFbfiIjQ1ezekIQff Lnlq1zyuIiKxlEv98hRyIXBt8chz5m02WHHgvX+3yDFkuD+fl3+lrPfr91g1wj4H5mYc+NV9NHaF Z9v0Jq5sZPN0FUceNuYcai68Z1wjqyj7o8h+tVH4AjOnVo7I1YmLvIU/qqefweeoNL1H/DXkcaiN zWRO/CYSutYJjBbBXJJgLfj5x0swPIun4kUkxhwDsqL7ZfOjWQskOWuIxQzSaRuZQ1Idnq3PSFcI gUEi6G40GY/iiH8VWj/rPC/fYZXZzZeM4tPki1B7tqjTAJrxri0MBSGn/PXCs5t7gK7EZCI/5Z27 pPWPbJSbfFOLGz+OlMmsW/kMs4mi+GWw26Zbp4zWdPMmMETsSWrDPJ/0VNE9taW15TwnMsIieJvj OQnzORq1k5ufQTNPIKKBeh9Bqw0EMNWV2l6/JsihAQHqouBweQjZee1o16NBYgrY+mm3D1cEAIKs 0ztFsKiFTVK+9XQ1OTbYo6JX8KuxREXhtHgc0WY1XMm2Fpa0hlPz0cGXocV1W9a5iP3VD1xL1zCi rf3GVADsvfPJ5PF4JdDscjbA5poEDck5o3jfyR3fwJCQScozPVT1C7MT4ZVwZkSLhHqR/3102wH1 kW+C5d7KIuKlTzbVbYbyuwY540X4Y5F6/gzwWY1DqP+LcGtLdSZVBa1L9jKf58XfL78I2gcE6Tvb mUWXYdVaFWpbkUoMlugkjYpqM6s+eqi5CP5Nsk+FB8XXi1Gv79DZgLxGmpES292sA0VSQkbBmY4m fsKv8wkDxcBR6Ti62JIUip+DGBrr6wwEFinSCdOrhUyYOetiKo8J2vQ3gpbwBKEHcHcPy49f2Wx0 rMQP0C3BHHjIqDHAezj5DCYMIrZYUY1gJrdvC0c2pIq2Bsnw6s9M15WiZ4mLYZHivbEL4Z796Tr7 PanfKXELOThg5JxSp/HtoFOWt4h7uex7UTK/aFBmjfaG8sUxZ4Pw0ttnbPhYCKmJ5ELlRTJi/SSM njpMj0S1+9pm2g9KYlt4u6AQaGNqMGcX6pppD5XE+4u67ik5d03AWsQQdzpEijuzDQKFfuLHLHrF m71LnPy6M3H962RdsGuwDuRV95a15HgFOFFrYs7VJlgCkF3WlWhg7v+hcQQN7dm7PeNLMIBAFVsJ 61Mudo1oAsKhOZ1xIFaGgExUvi8Qa3OJ+XryUG0hJT+Dbs/B26eRRI/6wMB1HhEm698LtP+rsXfQ zLUU5vuBFqIZUC4sLRgDVoMAz13Qmcz9JT+np3wlaCahvM+zeaaQrZEFqT1grryYeQUnhIzlT7f3 O3vwR7quB5DH7hTJxLqeRSqC7bVIdGtjMXsT4Qx7wFIstlm9dN6zd+B4Fq8cuY844hOLzg+34uwz NZKNIhI9A363Itr0WOTmLiUyqYDOyvMOaKndD1HBm0fxt/RmXERkKdFJI67pohOspkL9gALw116m FWeCssL73aBI7MoGFqMHmKSfawO2N5weMc9j452JDFFIy+4ZvmST48QxaHWsinDqSxed7gXtwppd nlKrBL1CJTX0L0vVLYtMxB0yW2j1ZHbke6o7u60eqq84GsnUrNMVKs1friFMO7sbil5osMy1AWXj aysyR91s/PYZWn+m4eE54TBK/Uxy6UvAFCAyQZNlr175laexpvToRBa/v8Ym/3wH73E58PdAcTnk CFO25VCJoqFAdVdPFAHDaNW7mjWZWkzceBHaXaN+xZk1fWpy9qLzbaoKLeHxSi37fjBS/wpTFc6s iT8JJa3Av6fSgbJMcujZ8yYhHB9R6KU542hyBomws0/Tj7reLBG3/lVXzar0Eu+Bc3/ZW++1a/bI N8xyNImko7cdOvJDfDdE1As9zTKRS7cDbbAnapkhY1TFgDXbVhvovGjUW0nQ7u6esk/ND7y215Ig Z565rDM9qof+vExgp8LMzMC6MMlx91XvZ3mEYoswJKWmoI4SG0MMJa/J7PlkCpk0A55qFNx9jERD /LH8uNuOjnFoi2a4e3CdIkggMm214nV+kj2qGdvhfIknZrZEEh09uyuhbSgczqIIjC6Akpkdme8D UwLm8HXbb/s5bZRyTbWLLyQOUZvLf4AVCO9NzKaf1CMvnqF5Qx3fyq6trZjYKwSDMkBZ5ROuZdiR sDcisc5eDn5226KHJIRtKj3XYktLKg+/cmaqh8RX8rMFYFHDAvo/hobyq/IzkHulJTWayqnKM3Ye r5x8BifvxMRHd9nNNs8zQHa+SIF4Ik712VQamQ0bhvgLqsgs6IBWwePfbqIVJnS6IzTODaZzyYpB hJnFQFh4zGwM45Tg092lCbEIGl3iW8NPT25TsrVrEbsSbZjW5KOEQnoaTIRrEcLfK6AIZkEkZTIf fyxsKvXHrK3WznrZ+GFQKpgFvWr8mVCFp1MX4pG4RV29jHn+uaPSqCzZJbW30nL7v06OoYOH4hB7 r0ppnV5MAi8X36TPvbc+qEfxF/7LupRXQjzzUO6BVJc9JNyWZ1+HnL87IKM9sDrCqMQ8xlTAb/IS fFsFnM1S8AAIH2d2ci2R0za2g3dawK4sfzzC6mqZspt1LMCoQRKpDd5xvAu47+mg+VY+3+swPZLU jdFu1YtBhYyVws+F9MStK7Jdai2qE9aPGuQ7zN930ko9waHrbsaLbzP5cNuMPxdpSzHuuRdqSghH 1htuC2LFB8ZsbtmVJRSshleiFyGNS+w25c++DB5cIgpNFzjvBHwe1KEikBoFYCjn551Yfa0bMT17 PwZ65lAFNhJEwR/qdxUQ9qXNtG222VJYhaldzMwTEuVlImtWfle+HOKXPc+5jfH5uEC4gS6yuJoQ W1Ah0wCyb4IpZTvdrf3hL9LX8qfSYxUT9x4Xk7RIW43ZJ8cIUp9wfocEOpxjv1WHpE9UNyl7SWdh OLf3/LB0nkZcl2Bpbpz54nqACBX7+tYzdg9DoKV6VEMwxjCmXikpZWxFnyIQtPIk6KJqSVJEyP6I gJjtO1SuX5iHhuxjF6FmKnJ+FbiE41Zj73oyL8+j7Oc8D4HaxN8avP0zgBq20ap4ZuZd8ZLSAs6M BlZ6h9oEav9SXPl6SG+32gfa7HGYbUnBDXkCCAqd2pzKIKCGzFHIYS3wEM1GR/3cmQETj9zJv9Il GlFuhDaa5CaXRUfQljtvYoZcZbmRfUOVIX8a/htX5d2N3Anib2lvQOfXa6RcJkUkknZUxco1RArL rkle8g28WdYdM0G6diRQWt5vZDOa3DzRnyE0B3F9mjuR1qpT8mwZu7UXxSeu6EWUcSpmhvMoWgBP h4/qsjKeLxgALrgR0eRe/zRKx1d3/Qyy244bPb+ajpyzYDYjLmbt2O1TNyJTb9AgfgrbopuGCUPQ 2lCe1e44mANyoCyeD+2o2CSOJj8+W9NluBkgI1w29jSCS/M9f9BTvqeBxJD7qRcIsw315S9iJ14H lfTGA+CfNj7JtywyFGqmsAbQvd/1dNowKsBiW/8No3gEjO1Eo1O3Gk+R2C+7POS+Tm8L6nL5/etF lJBbqwNGFMJA9tvpp1oPHaS09szXkwMF2NMViHNraY9rI/tUdcsBpt+AXbG9EUSueCdcCxFxbDhm qpDkjEDwubOHRL3G038eo3imAZmtgriSLRBUN2dr5BAGyjcWKtf5M7QUJnxSCv/8yoWvOrCxIT+2 bStSyfopHslOT7SKk59RtLvGZ3MfMmTolPTjW5vRb3xuY+6rtEH2esfN/xFdynsE3qGv+ddVSJY8 hxysAtSLoGNgzW4/lGQNym+p+diNqzuDhzm4Gbpnj6Is9PBG2WQSb70Lkkp9znLw9DXfyzaftOrP dk7WQpQ9WaRKx/DKSyrSv38DVlqy5zyDbqNViSNVhJ2pL30mNgdKz7Ios0NSdpTWcnEmMDr+nqPE 9rA+MbcWRusACTZ0VnqXGetluXXsdqlhbyMTIkjalEajaeK0xtAMKaX6WSihxb/xpdfmTvjXSfEt 5D2Q+lVnSurvbgbgoPnCwHnJLj+lRItJGNpQXdry3iW9oMtHAdlMmLFXjFWngUcKG8Y4eswUV6Tl Ayfm4kxWIoOTMJIN3VKpTJrA8klSH6BCzDU+v2V1NpW/Hu384R2SY4jNOl7FkYQuKxayckYC7FJu twNrrOKA0R5g/ePm3Uh6JOrv70jsTG+uf9Rk+6SRnjwp7CJHNbf0xSPm/m8ySZMTWVSrFrfzBncU ysN1cFgH/f3XXtBJz7irjQYAawakEh89YFq4UPOjhWWo+n5SlbMk0HkmYTW5i/xHVXrYBWs8VLOh B2TN1tcZHUJLHD/jvnSuGKZOYU4u690ZheSspTZg/98SlOnNP3JSCgCiqKV2+GEENRDCzMALbQLU BHhRbFwHCbkc24IN2DVKdghXs46OMFirXG+LyitXEyxVYvx/r5Jbt8VMXDRR7PNvt+R9F1TmwItD omR39UoVKXNxUlPmA/YvoePw6Sk5IuSL+and8Dh4SNLO/+qz0V5+byvoqz4cwuM4w8rcwShtbX3T ud6ln9+jlVfs1TUuVvzyrzT4N9gntQCV9nNql+4GW+4j/Vs3eS7yHHZxnuVj03DVNUbxaOhtkPYh RZ2Ej9MFXJqquDqYD8UDFxnhXOJTBIN3WRHLA1XTE2kV+O2avmjxwXr7LkvPe39puLQLMUSovE35 WtnIkuKHI3dynpxIcy4u3S/PfEpew3wTIH6yEPAG6/TF9zwv+lcGU9muGHIa4wYGYW8uqWyL8IYP GbqQulbUjH5kiU3jBrHaDcUAPBBykQF2v1rcmopQBA8uQ+QJeVCn8rMzKG91Z4GUHtn7YB+2gwlg dRnDPuDaiYpqciaw+gj9Q5+xoG73hEIsehx6sB6FuSNxGEOubBYJiuAzdtdtZs+COqX0Avz2ilcb n2o2/7IcW6uzLT7egL1Dq0NKfg7yFK/71XAHWbHV78BXvm4NWR7fDhObR6i912lgabcm51X6RQeq QsXqUBgdsP2DEzHjeP+nHa3E1XRb2l9nEpCfgll2xFGQW0NJ3hkW5Xvw5ZNQrJdifzwkGa9LG1sZ S8WVZQ0nFLUvIldlYRbXXJv1amBf4lJcpoyE8vCsh1c3tprNR1vz4ufeEbpNaT+XQ8xhpeGJVdzz D6dbIajkhcsIstr/4WopLAI0hbj9w7/bQHvqqYq8oYfNLcqLCvuNkV5+O9Q0mYFl7z707aes8U7a 8L3ol4hB6BoPFNRIVri3qnVafxFfLmMVWBanFebVybFexAU1NNGVP4jjKtl4y7JmxIh+Tx7n+eF0 O+9q1d1rQNo59N9e2DYOuXmcx/xeENP+yZPp4d62WbTi501l0YEhmx3UJiym2Us8onogHQyN7odh QAUtw2pJ38Hj6OMnPq50kkDdQXBRf7ezczTX9ryG/32K/lcI0hjgvE1/x7IF7UjGFzaF8C9ytvx+ Ze2rVM4nh28N3e2323Il399i674nqcfeMftavC6dQ9HCb/eajT3+UnR1BUCTBgYRpFiaaDu0fqve uZQT202fqnVJcK1d14Nvz7kKYjOGDITtxIJ+F6aTRO1aLdoK9BirQ8GN0kELpGyt9ZcnFYHKSWYO eLLchT3PWFA6M+zFluCSSUfAz22syjPLI6Rhyna7l2mmPCDfDOJnj1DtLy8HwtZy2SbufJ7/1LhM acSawmSWtrdBmh/eRNIETyBcUTy16mro25cth8jDqrcunKkxJDC3/L1vVFOyAIu9CdvY9EobHexQ XCItdjDmlVOPC2LGsP14tLji41VPlaUn9V/wBO9TwdLS6Ss39JI8+Ao5VI3KredkRticyBvahpSM bgMjUE2beoMK3cQZd5UTPUWMp8XvBRgxE4PMA5m6AH60jqBlhh+eSUWSFZF8YDK5uOBOI0CpV+AV oAGXOYk3ZKYFW0WCyGkZvBk29BEPon0QiiwsobXSuF5X9xJz5XQ+hneAWcRDaAs4FF4NliElVjUC f60KXRJK5uiDFR0SHIheD9FAqK4B/kiQe3b8lgxKK5BPr82sgKhanU65ZvSqzMPrvT/qLiqqGAIk 2rSjlqEB7oYn8hYnSyOw7ltGI92k241aHuCjUjIwzU++gUlYa31Wp529+z6IhJWRVNXNlllYj7jh +Xhm7aq54pKEfnRVexWf8QR2p/YdCwHSzzevk1jUKu2tatXmxBPr+qZexvZj3HkxShBqS9fLpivO MQZHu4Z8uCXeZ0lyZT+eM9Tm0sh1kR6oxtW/Lg/tduP5cv+sgDpbKgFX7FFLAQpWCJ/ntHgiHt6G Ra98fPp7tjSSpPho+7vKaOzZP1qg/wI/tTj9iWChkoVd9aXosu+QAk4A1UndeIrkZRZv4E1/yZvm KvPvGf3+p+Y6qgX5sxg8X0++itzcWWbfYg1BsEizZzy6TIIKLof2eVVqZqUhuDtnhmqqsWoiXMlA 9Pp0hx4ZLcpP4A9zdKMnPx2/m7SQgp6vD7IUSUhGk163BhuGGHhrjoHjxLFvLkzeWtm1upWTFtui S/JTdJLBT8p1URWrfICaB95oFhBdOi4DJ8JKKbZqFKSGXVwCxYE4zavAcwMEKA1c72dVcvIVm3To /wZ2s6aAcqjflbx3YJs9U9kcCuWoRhFoRcilqHECYhZwZmyl8440+r0p9LgVnbj2HNSmiHESK9Sl mDUmEZVA9lrDIM1qYXFoaJBpij+faYyNxdQmDVz0lUdM+lWKkwBsARppX2ykiF8m88gNpm7dIPJw neQ4tinWVrZbGukX7k8tIIFLYkCJPUqL93/aMlvzwfn65Xp+4705pIqaaoFhpMMlpRx+KBeaXHs3 P/eHWsv/hdbVaREiI4Q7hJIFVr8mfgFhmOfeQAI1u9tsHuO2yzh4HK7fx1IeAte9moBwmgiebbi3 LUzot5VbtqKhOfaxB/lQB5vZiWdAkZMe7qOk1K1YmQ3EWH7B+rwo2qBysx0htFngY4pQ9UsMrrjw 9sqsWad9eli9btZzggUAKFV9/6DuwLSXi+rzKefAnBcW+3N15AZEMoFWc1wTpfS8UPmCdlcb/BTA U3s1muLrzc3Ofw+s9rICmQWas72bHWQSL7LfRPlRP/OicjN65KeflSSzISEYt9P+CIaNdrytgfFH UAm8Kh7Z0kUlvtXX1YGiCuqq/OtAm6WW3D7pH0DsxwhYCaHCjTuf6nH38AaLtMKTcTC+5Em66aRm ovln/qNl+VS9+AvarGuW9bH9Z3MKWYb77MG5O/GImbJQNJSf8IUwxbKyc/XhzwnzBWdsMtW7DpK5 VsMJmsjUYZIFj92I9e2nYTz+vuKyCeFdhhUNB/Uh92CkDLLz03kwrAoyJh+v5azPmzu8j/nPbLyD rL1EolUdZKLVml44bZcWl1LiPWQer/O/CqNzvVKPWgbLH/Z0xCn/R56E8n2Z2I5GQjgDTFdNwEDm ePX7uaMikVidAWqB4F4bMoUQjilS1PNwX7UBjtQ9grlEip5UQLhPiw1gluZB57ZmsQZGfIH9yYsw GI0HOJG8Z+6mSQlrfF7o+KNCj6ZM4AYDzmimN6w16K6vnyyEQg5NqHjNm0lU0Xdg3EPmIiD5jGfr wsdGxBoldtb1Hs1rQUksLztvkgTB21XFDE11QYqH698Et94ukc6gXoePkQ61oSg+G5huv4LwOSi0 qZ8CoOrUbUHF35sUNSRHc3BndNKeECDrNRu0LpoBwEoOCmWlmhqK4c2qSZeR7zRxHH8Sm6zvmdk2 owq0ah23OtQRJHmSvvl/a9fCi71k6//x4a1zndxd9M1KjkUt1BACHzuxCWV0136lTh5ongrDoUgv u8tIbASpRB4Z+DRPHfUdnkHBArrDFd4IkphB6d9jkRmzpVQwQ43sJnQhROdqt9NLmTIeVH+i/9Hh mH2QTiaYJZBlLGOrY10msRP9vj8LuHT52j4ngbdO1Y6WPgc/qi8Sbz25YvMVP80KWS9LPPtO0I0S q+oXrzmW5XPMsZg3D3o4JQojv+0cDGL86pAjLm//SOfJ6TfoZ3UI69ZFGzEWvogHy7tjVjocFFx9 +nNR0cYkT8G7dPEgi0x1ARHYfzxJS62R/pNAQPf+mFw8Y7oA7hhBFwu1FxYuNjj2wuE1mY8OM6nW M/qH2H1nJLGj2/9QzTMhsuZVinhwhjRrjgj6A4FmaVcbY3IExzq8Nje0vw0Ub1koEzKXUC/UfAKc Nd3IUPatZX3ZQSwM0Ho1IZfXdtR888PCBlT257UGsjcJlbVeqEUMIYtyJsbloBtiDVo/mprUmR+n jczFyJwnURlNJWN+oO2hjLjcfy5QkZ0emb0vUTx7r+18kbrgtRXVMUrOAdGPNlyaFPI6uESYiBQf l+3jJMaMn9OmUyBgGZ3liiyPO9Jm6u1R/82ECQQmC14LHQ/dPR09gZEecxeEaiVoj3ltPXU0BJU5 pWhYoy/b+91YBLU1uqmmbq8jl25nUz640LKVTxRdmk1wwSO38C6lCt9USTSzYNNHWQrO1lYTDnqc k1UUv9mql78fv+mKlR1RbtD0clNwTy/ZtfQAc8YSp3MxKsGyPnmCryipKWV+6H+9Pd7dU0NNAUgG WwOZcCE8Y+FrwkRkLoQ87PDV92xsNdrLOpEa/Z9y35LV4nqp150K17qsUqdLT9Nl7Pl1Qnv+Egv/ esykyvpcbcamUHCabPZYjUCU0YWL7U4f/rSOTktJgj430ba/UERTjsAaaAoOymLrYiHARqIvJ4FQ ulcBU8e/iuvDV5Gh5aYOMUbhPxbDGda+4yHPtwa5yCqCincx5B+KzwhMlQuKhUWnDGDhgu6yJtLM hGmedO23ctrBSL/DNRV0BmMuqlvnAwmXgFsAzcRTZCkc9jdJ2eqPD7LEDUC+2lQ7wYDjBGx+mWik OTMK5HnBTUArYkhd6YBXx36r+LS8hETU/ARaGpCcRY/1A2iewegoJrBi+tN3qdjFJ1ts2HSf62N/ oTFDCRxnzYMDwQOgri4CTTkHvfaMRyu32UMxggS5HXAVsLPXeLX8ppZ44YcK2JlIM34k/lwgz370 SF7pSq5U+0fmSMr1PEkTk7illxMsZgqP5cQqBaf7p5bpRWbGfkZG1bc0TI/nnsvDgV88HE0q2Cja OJXA+eBIKbRoBCZAmLyhj4f9PeR9CQrS908Wi8xxZ81TWu4NSFEpt5hGyV1Fg+l60i4c6opESkzX 3A85a+xoNVn80Ms2vRILwxtPvtXbn7eL8ml8Mfx/qXiojp5oNfR9ibxQWdK6vSMOPBvk8/9+Vm2U vieYlvkErrRoi/SwXK2tEC9cJNxoh315CmvaA7PBPtSjUVpcG4WbTfY3g3Y4AHxSLE6h74mneWg0 uaGrw7nGec4vZWjCtRsW8TsqhlQhHYRp9mQp2RS22h1TOnTqyIVAQYBkpdBd4/7V+1K8T8FVLU5a UtR9KBFE9rdSLN9cwp/BMOtHndMBgu+GjJwKQXGjXYlBDMh3FIRCB3fwWlf0LupYajXTQezQvpsN 8nhf0O9zrTifgcv13Xmsfc/umPllTtBipzONomXSjfqd0tBaR0psjZpA7UXSZZ956jPsZqrPAUn7 yCu5xfCajxo7QFkGU8fo9Q05odUdyzj2DMKA9Uv9E8BCAHOP8pNS89aChaUNx4EGtU4er+giPkiH kDWp8GGPOBx3+d1GbImrNzfOEADB2uVh+r/4URyjZJTI7CFGALZaJH/hj+EHwZ2rV0L97DCv+5OS P8P1xBJkZoxuGugD/e3G2XnAbJVtJ1Ohva3qAmdFTaC+HlKs4r64Dxa4tIRWpGWc0vLA2oAUaWaH gqMra+hHoIc15xbpY5gx6rBam5BcN/Bu7J6+8F+QTiSk2zzsHFa4AYoKfNFE+Gy4MMleSnKQSgZ5 Or/UMqTsIRUC1dU3IF4eC17/CwS/hjfeOIF+lXBzO55l5HKyv+kee+/6DGXlS/Z9MSqmDFMHLC9+ H1/kbHLTnHvmuyHnjIk/DKU/ZrTsc3fC5zsuy7ASRCRDHa0OiOR+6lngJjO/xHQUHH6tTuhLkRXq ftHG/eWHDTFhRieh9JNTJRaiCp+RpIPBZ4WzbiAKdMVeoIdUaWLHE/pu8qkjP5yPqvvdBVIFFJiV KJcP/67PCU2vQRPzCmDsGQ4UX6iztZe90UGDzMz3CoZBBxbIttW6cdxpwmoPCrpdeng0B4hJG6EH Axi1RS/7tWcOPP5Uma2sQOmjufkHABEo2VG0t6etF4eN4iXdIY9UlfGuJRrobmYItmYWSb5hOzmt b+r8TbVnLtsLZ+mTszjabWXVO/F7s3EX8oh3TJLpszlxT1vXpL54Wj915nc215XbXjAQco/b8Pey 3GKCQDaaaBB3ekqQZ4TkfkXTlCmXG1rPvh/K1AXWGfJyXTRskX2YO3ilxwD9pXFXjHvg8cCslaQy 8kK5/M1tPuZM+I2nnVhYbsl820JT470ZDqF+w8GPuTUMuFmls7/GYPf9D5UVG5fDFPwCGAucg73c OAG/uBSc8LNRruIQxffrHgY2XqXEIFL3DKLv+RsoEhs5hII7bGqFtLjyr/TvBu36VHIj4OqhWCLG yX5k3yWSGqt05i+Ig/VlCVUji1AlRMI9pszImfLkPRpUvWy6USeUfG8GKFDSZWT/31SNEc+dxWR+ E0o+f2zXliPqFY8wJpzCctOPgHtGQsLu7PI7Ci7Elw152Ip5rwoOli65370aZs0K9faP00f6shaQ /U47Cmsr7bAzZeOHtXuPZWczVRVLGudaYkdsl1cmP1ivKKq9BY0YNc3sqHdLIZ+m54ys2ew1TO3j OYJEyvW27McbyoIo1T2tvmMbwdVa9mLEs0ysyqbFPKIg01Wa7/k2RosdGQXTlrFdqcTr69KM+ycX lPQhaHiiIL/Ll14Zvkul4wnb0rmbkarBe/Ubbc8AKYlYAHdAprtXweaL8wUoamVvD+gg3OMDB77V qCo0npvNZSNDhg9IwXYIDkJyrvq2d0qdu6YFjHRs3ohu4yt8W6sN7B4TFGJW/Y5eJ8yOHZaJNB// CvQLWEqLRKNApIG50jLIXoSnHuz3z/Ss5X4sZz4A/oryj+bf3T68X2niQT2cFJcmqdP8gwKX2z5L xLEcbO4dl4ZMpc/oe+i9DVsydkIHZuFqrBbgGbqaiwPgoEImlUatgRPvBVR1jGFThJ/PiKVTMYw2 wyq25+eeE9x4rmly2aRBpg9hJFnzSBPR1W6NM5TzVBk8RSACGayoAl1Ex9jO7cTcoi69Q56G9HOL 4fEPZZINvBn7o8/ggQeyutffshOKkAGPPJQPWkXvgvCceGTyGpvS6ZV9uuxZb7C/PsmeXjD2Mhh2 1FcUEWcxWSyDzNY17sFTd95+iS+cc3QrT71TKpU3NrqfakQt8XS1H6M1uIGgR1MbN8j0nceE/PY9 D83TFU/2xNgxkdTmdUow1Tn+iV6GAeIYQjdDPUDu2a01k7C/Kk+vCBC5cLmI3H9s7BdzgDMGvzYJ OT30Vj/ybYC8koS7h5moKa0gxtf4vJwiLNJJOCFRmg7w1obNDLLsnb5NH1RW+WkEITNhduP1rOI0 xJ3gJu+ypVbrvL3atiIzbZMMe3WyHRYl87eZJ7NDMyWKxj5bWJfYE27GW8LcAUkiHMzIlA6f+QYl TciaMAjFxACHAIMoYevMjmZChCKBB1BDD++8rci/Xk3vkbWpCVpU7Ksm7w5TRTnvqC2Llgz7y2H2 6PvT+yOcmA7AWqjoq9GGo21g1ig0CmKrIBC06zudpvkc7ijQzGkGrqed7ZVcIkmitF4+e1r1JXv+ +8p9SvtGE5nA1lloBdQOwF/tUkA+eMs/ZsTdDtWJL9dmaP418m9NeCNXBfc1PwcYtDJOuu9MpztT jr/BmxkQpCUL0debEZJb1cHwPP2E21xMelqSdxP76gw36WforajrDhpg5YI4fgYlgKhDVQcgH9WY NDBtuRCxfXElnF7t2UrOOK5HiHc3IK+mJDGK/uLGDFXUtd3wLHpgT8x3BvO0NNYksZjHCcZWc2Y1 7TsTovuNtUTWFZM+tQepPJyXwuteZf450244P2D/XY9e9pCfjMYVcIHL8NLBU1ERZX7nxjIgnV+o X4WhNV+6W00nb79i11uWhPRal5420LIIDR1BEM1bVFWjLoSSNXB2A2nMtujMsd0soi0EOzvcd7p3 sHoJ6y82gcpVH6rA/iYaHbuGqf3t5YHNbBt4XWv5KwHoaFHiY8emLlUdbGzzGgli2onedTkIhVNv Dw4t/WOMSNpYcYIrHmp4ppPNZH7V2yJ5I6vOLP/AmoiK7FfQI2CzmyaQivrM1G4uraL7nlMxBS4n RZMnt31BJpESjb9D7Gj3FcXSOXooCy39KXTP5LFUc2MwNjESlSliKtM5PR2F6Aq0biu23O3c/+1b FY4X25z0HQlkGsWmlW0ycGmg8hiRiVZuZf2SQIdn1UWBbXSPWEnE8BFuePiHDYHHeahFy2kilYBK D8fbXX7rTkUVVD5MfSL3DEaVHQrTCLTS5vSJQd/ACbdXM9iNrdfSFDrmDwEjrIA3rkVWhA6eyoJV mhn1NQELPZLkBWS2I4/xxVDw2R9H7UcipZ0w9W1VMnPYbqVKIu3VvPH4nUOirfMvsG4eDARIvB7z kWk2Gn9QJhIoFcAw07vUDU6SriyMqWNZJMgFxJQWhloLEzMV0VaPh8sOMX+JoiZLunRtqZxLnrCl rAHoHCgL7alf+XT1o12INgbCt27rYyoAWLBkgA1n9RUfBBK+dXZOuVTdzMDoa3J2lbctAekUs+5K xqSFWcL1yWRfoUAZsHUArpxggdn3S4Qz5IDeVxZ0cJ+nfkXC6QFlAAALGho0NE9KkUZMxnstAUIF twLTv7inQE0eays43/SAQ7/+BkURqsh97vMTeX1AuTC2CUF2O4PUCbcutwD/qOoiNmht9oqGwuqb UvOvAZRywLKKlD2NYZW4aSZEJZ8kMOhVcB3SJHyD5p3MQq3QRij7cn16+Xp/nV5TgD7tx0qFLNNN ajf1lb9TjremoBtHXJhbIaX61Vt+uhinL7WokoBr54sMyJ6SXtr4DCC4a9xvXUZ48eADSYfM+Goz pi+bcORwvMvGDrefxH1caLO8J4Mk1nZXybtURsLXCCebQa8XegOsvkxIEfjBf8dok6720NU6dPzh +vBChOcglGs1kKzmww5Y0DiBybKAr15pIckcIdyYDve5HmYTW3phVGDyT75gCdP5hRdWW0l0MgIb sctImXBukSHP2DHG7nv7TNp2c6S24zohPhkKUtoNau275KLCJefGRn3pEHSdo4lzhqiOLTc4ravs 1dqzuXyB6oUfh1jhuPvXobZyJrTTlY0QEg9Wlw35BORFriHXoxKxC6vSiYw1M1NrbjSpg+ftCsfB l9eTSAyiTU/IAEyRYCZWJ72KDJQz1z6gQt0QVwHq8akug8ET/TWjSMY7+crb7pZoJlV/a3mIVXaO qMj7r5c8Shlg4Ry3kTeXCtzdnkHERtxHfHTrfm8L+XW/VZLdM9qS6cSI7gYlkZ8+DgZfeaRcKMFK lBcZnN36itWqdZiQLxWV7QljBkgyBONBAdj0ZI+Etft3hvGTwg8LiemII4Z4xc/IUkusX04Rwyss 5Y57G8XNtG7O2gmpvcf773aem8+LtWEgcA9RnTXlLUy/W/Kq4BdV1X8ZDF5z9d0EQrOBE8s+3DTj Ugl5Dd/HWq1n2AK5Mk6YUC96uxQerjkHQpWwtbHaTO/Fc2ORcIz0k8CGTpYPKrotLzuKhf4bTTPg Sy2v7RGmtps9cKzn5BrCFNoocOYP+V/KcWr3zgybdE1StsE+0eJkVZJzICuWWB9AkUHMhT/gBp4G v7x/5bixfNB/I22aqsQlarZRLkpNQMYoxixclXrauo/hxq4X5UkXr574Qb80ZfAvkS2MEms0Pj0G fB5CFg4RvhFD0U+i+4j7hhTOYbRhc01Jq2mi+yshSwuOTqYoUC+WLZqVANQD+yYPk408qYlpx20i FhhPpcdXKkctKzMRAO3PTe2tgeNT1T79U9yHVisULdgXyaRaYb7FAxUZ193Z76fB0HL34IHMv2jq rnjfP2shQPg7oaLRiF0oJNBMeIa4Oz0WmZ7yWhs9c/9w03FviJ6Z6nFYofmZ3uFoFHpQWU2BrhVs P0LS+uPk/AXtK8VWG7eQfvYjcgmOGh6lzsHf+ChAOkRbujv44Se85GLLzUZtFszyQ4T4kVnLzuTi p64tzpNDgS2VYAICDepjK/8lPJm/wk29YK+cVdLDyS/1J/uZmcGZuwTK4gvJ6OduhIk8WLRzRMqU jCA9UPGHbVG2JGrHaL1+jPDelYkRrld8wDP3l4N5ZdaPR0+/BXdpwQIfLLHuk9AC0yqYXYhddHcH ojT1h/wbkdylFhATZEKPdZ526tfA6C0wWM53aL/TkXPzXIC8r6jAdpuvDXXE4GfnnJGoNtSe6o4D X8jHQc5b3oGJ/ipLUHLj0wvBBG6BY7q7CEJmN5gQhZE= `protect end_protected
gpl-2.0
9860fda4e068f40c256ebfebaacbc38c
0.944737
1.84365
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/NewCombined/fetch_tb.vhd
2
2,541
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:30:02 04/01/2016 -- Design Name: -- Module Name: /home/robert/UMD_RISC-16G5/ProjectLab1/Poject_Lab01/Project1/fetch_tb.vhd -- Project Name: Project1 -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: Instruction_Memory_TL -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY fetch_tb IS END fetch_tb; ARCHITECTURE behavior OF fetch_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Instruction_Memory_TL PORT( RST : IN std_logic; CLK : IN std_logic; RA : OUT std_logic_vector(3 downto 0); RB : OUT std_logic_vector(3 downto 0); OP : OUT std_logic_vector(3 downto 0); IMM : OUT std_logic_vector(7 downto 0) ); END COMPONENT; --Inputs signal CLK : std_logic := '0'; signal RST : std_logic := '0'; --Outputs signal RA : std_logic_vector(3 downto 0); signal RB : std_logic_vector(3 downto 0); signal OP : std_logic_vector(3 downto 0); signal IMM : std_logic_vector(7 downto 0); -- Clock period definitions constant CLK_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Instruction_Memory_TL PORT MAP ( RST => RST, CLK => CLK, RA => RA, RB => RB, OP => OP, IMM => IMM ); -- Clock process definitions CLK_process :process begin CLK <= '0'; wait for CLK_period/2; CLK <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for CLK_period*10; -- insert stimulus here wait; end process; END;
gpl-3.0
6ded81f384ae6f0022081e6a651e9e19
0.592287
3.826807
false
true
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_output_block.vhd
11
17,242
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block W9ikAzfkNAB9r6UjwYkkLbO7xSa6Pa5uk+WdU1HnuyZEhmVth9jtplxOjM44FNqSQvXccO8yxQi/ NOIWOqyRuQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hEuem1/oUd4/OEXkW2OvYqIxpyUbHGfY7GOC6MYHG11DUK95IJjyjs7VGLCJVTSk7aMQu8m0Up8B V7A2i5Ur1C/MGpffEfJZxWT9TmFVFogk48CVrfRqfUf+EY/RnTok8AxbPM/CybW1sngqZ0CjEdAR WFwF2WmA9kANp7DyS9Y= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YvMHbfeLoNrrdjK8MzZ3wyAsEds/aUUU1qihbPDmGwW2kx85UhHj3XK9rxLVtguq6gNEFC6HhSRq ElvLoh05rPkMnw6WFsbKYG4H4bGxyS47kd8q3QuXnE6sCz6iwiKIv3dpxTb7XlMwEgrVo5qwxGVL s9GGRvYTehzL7krjc0uS4aFXrE0IozDVS75JoLN8e6buKPj0LqKxI7eJDZG7nEfNSuwPJgV9jjsn hBN7sE/TpmRuBxik41OE9HAXgcn8nnK+V1lhlH0VRFNNoFpqAT/MO7xuOSQjqp+eRafuukS3cAC0 2Sj1JyG5X2zzvgGRtR4WAzC70VggYtvYSDr4fA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block odYDbVugJa4zsNoidrU3zfx00EVw1f1F4ZM7PMiUD5vBKIyGujE3/2kpootoEODrHYYL5BLfkUxF BOQX5PSqpPgaDdiSWs2KCidYq7PHZN3L6Rfg3lupSDrgIHrKR+n/0uxrr/QGDaV+/KOkCbB4EmF3 NyOLBbCEbB/cyic67Z4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eIzvt2wVqO3FcBIgfe/d1GrO8xAJyZ1wgW6um6UoZcItt2tjAa8e4PowdMaz78drHioWBIt7t7sB imWtFcP0XMZDfFZ2wKw3JJinSToIdJDnmZ+SigbxdzjvPvdZmXqc/soqccpjzaBwx0DzDM+jpCRD sdcRaQP44+rEYmGdQzUtkX5LMZ/ySPHZt7L2ejRcX1NR7tjsbb6iftGBFtOOKIolJXES4o+D0lFM w4plD0zfXEeIpYzOx/B+7FZQ8lYPkEeG3Q4nhVL4OPIVDrnnmCTdbedEddsMjHf/oddTYPxyD/Ra iW41N9W4EeySOPEdcOEovPgHrZ+ZDykNGAE4tg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11024) `protect data_block wCi1EGWEcepbgW5uOEPN0evgYS9XZMSzJsF/EcLsBFhCvSD1oOzqmP8fJeDLmEdNQF/7YfTTGwOG s/wOi78uIdGAW8EknbP1v8GZ5t6AckJ+EAbHX2Fw5Pv9eiMTrctGH8sk1YlZDCuPnfV55Q2O0zud +0ZgXnZlrc7F/yyZf/0sL5RdhKarwiOYPkVaXJQy9vCiCznzitdbynS2yKkF1aLC3vIZhryEd2L6 6bsXkgm7ee4SOk169T8++p+1X37L+DO43l3b6H/OI1+62kC4OMfxcvL1jRl+vSBIkdl3HUEXQrCw BmWHZcuGnpe/iwZc1V6oVxIxWi4cGY3ejnO6+VJ5a4rzjl4OlufiZr9qpgclLk1fxBa6TbGihHi9 RDMs4KeyGEvpcjzh6tGhCG/gvV3VK3M7wp9uT7YEPNHxQO3EM7PlrsX/DYSZ9PzM4RJjzCocSe/3 XiNKaR345NJXRC+Wex8XcPYAGljr9J9w5GUwlC2iu4yMn3fsLiDyiN15qNEGr0Tl4Xth4CG1sfYc glnF3CpxdEWH4FnY86Et46HYGX+ezVvSVjzWPfQII4x4TuqPCdxM4hq53UY9L8QnDPjkJidKkAYJ A9SVIQVaSdUJb/fJsTc5rdQBFybAaNUc3RWW//Q/aegz6j9UZTB4EY+Fq0UzFmtB/D263K+5m5R2 k1jVDCHI37Mw5Q3mIzezR7HEVX5NJGQP30WUpwf5n0QmslBWheGmAaBdXi1VQAj2oDgSvzK4Roj1 oqpd3mMkX30De+pToc2HoY/rZUV73JMlIMw0KCIskkPCTA7ip+VF1+MO5EsiF0xIZ8p/FkZhkGPo Gi5RbLu1rEg2F05pIat444Nyyr3Pej93fE8aJc67F+/NYr9AVk21O3C5g7oFX+l2Nce1AuajhKwK 0zB93kklzSo7mCDRBC8q7PEMADV7fFxZucBy3aK8WU++rS6aGKvHQYo+2p75y3i4EMMBkH1Rcqoz CBDgAyuUqGwrEfY65q2fL/2jRGPjSuml/rXDYuZlu0e8j0ReNOjghdJSehSVOXHCyHM/xw1qBYIo uu0QnGqCpTsM4q4RdHu9HlYeb7yCMqSkjYeM1+X3xYu8J8+p5KKY2mUE4eWgHtP8CE1KaM/Tb0Ow M2P2+liiXliBjWMtM85usfHCJ7fmmm1r3P8jk9iuggYxmEjXFC63ULsDz6owyUT1VfVXpAIp9/ZJ u7uajzC8LEbqWkwqWgryamL21YBZNwlyNfVdbSiclHupKmo3pNGFhYwOmYlqS352zcow2F7u9+xi hUqZH7C4inw9SAFF63DmJqxhVE1mQjcWzRkw85tY1KWM6B7udsNpoKEM1WTqI7qQ+53iDoEu5xYX 4TWSLv2MSWK+djqLm37+GWvOwVSDkIkJhLGlxOienriYDND0QfWDwNcyTjeD15JdJMZTVEYtmU8y IQISw1STkXPechQz3a1Rh5jWVUyLi0HTzdHo52FenD9MVRpYD0qxoBH+H2Sj536fFfKbTI2ikQPG z8jiimt1UA4pRXwRZ2SdUFdLHp++REwX+6F3gnZbDjjJfhP1j1akVyqDtJiJOsDEaC1xx6PwaQWV 1lFxpoiRpTgQGBwDj4H6zqpUJELMM9xoQdNcVawIlV8H4xSQNp0KFquOdMjw7gk43HAvEoV52Ucx T8G0SOKKXyQmn+d6RoF4TZiPzLsGLI9JQ3fDOnU+ONTmPwIa97TF2/1jt5rEC3BoZlZl5J3iLjym +HwPiDdyxWmDVN8eh8YTbIUbx23sWRkXdO2OxFnLn7wNQKPAtPw2rVHbDty/enJJ05RyJrrLrsDT cucwoxxeBYKjwEAfjRZqkCDGUioTv3uC9+7XJvxjI1GNCyFTtLwCCL5ERtiC25hSec/rtw4U1PyC LFRTHU5FERCf0Uha12OzrTndcISwL0Q9nb5McAttt44W9asyyeSQXJmtjxx8vZhBBv3KDFEJVZYd NLNr3+KqXxd5e5EsUXiXu6JDrjgmjVcg4CY0fyKZ6AzWLIO23g+fLrmTjEjkaqg93g2rcxdibiC2 waVnqlSwtmaBJdGxdDlVJpgmHKE62H9wO9fM9/uDkEfQQtfIlXIA+9k8PDVKueAwexRIhgb3mIFN uptop6MXrrb4kSu4iuxMzbCT6fl+/NgFz1AXVItp8SH1h/Trer8XpdiXcvO778r+66DugCLXYS/s OIRR25AD48R1w2GP0649sZpXLfBz7F0HqCLmdgVn8gMNQ5eKsWdXOnpcQIiP6hX/l1FOLdMdceNP gaatnO4SVC+162i9QswQXO7OlN+epuzGKmPSiTLYygv85pACrYF/KnSZm/x2tlWh+wVZkReOksgQ 2N+D0z0RXeDWwtyHcsOM61s5kuGRbNgEf8K1bhCo2G9Gfv31XQMTLNupQOTuFm3oVLClNt5WhDm/ mrV8YpxXM9JhQP8wV2zVAO45sV6/pqZGkqOOB4nSI4nsbD2ISQn2325dzZ25uZMmUGVvlbQ19cAz LLV+EzYlV9c8Br9KeG8BGUrxR8dFCunXrnTGyVRQeszGYBJaBtJm69UpBBxF3Jh5mGvmr0n0sJFo F7Mgz5IglCO4mIUfOPRMsWmb8JPB6yUX9MaCvjILyawpazflhCDi8aAjE07GCW7VaERKnY1hAVIA Re6UzqWdTIOWz8miNNWoJWor/+ScvfzOwPaGhPVALiP4pZVERb7JIDgUDeR/6EBJ6grJjM8Bu3Ll 9m7bsjxFoSJfwkkrkjkgjKlOL3fl1/FLFoL+aPfk9H87k9cQ6Bv9RFUGNLF/taOw+n8UT90Zlh3a /HmP4OyxGohsCGcc9FDhLDhdwKVIg4otoyF8/ZE0B6O8DE4K+o+CKG6MC8GPyEbnCgcQ8P21P/b1 wvydvR1ISUCwoSZmkgDtXFfnaL3UPnWHm/xsOWX+/MJLNsoXslawTgVjT25e8J8Yyflj5vyKiHNI 5lpNEPTvrBzidpYSoQ9+v62kKOyKwT9V00ne8rXZxXK5/Ei9h0wc0DJAzRVX5C9/CL53uulh/Xvu Q8yU76t3lpZGujaqWf3XCHi+TEqjxkrVubny3pUuBw9GoclaqlYCeV8OAdkce7C535FnNXlfZfn6 vqF1Kbti+iAFI2TGU8NDv1O1+2ns05P9++TRXeyKJUYBVnhUswAg5xZ6nkar8fcORx98ziNa6fwZ 1uuPktpyjrXFSq64UbVTERIA6TwHImc3el1+hKsFQRS+nWfZDvKLqngq31yEjkFttrJBfI+hCaDl JnQNOpuFklfg4bYw5L3P46b97uKq9uNmAHy0ezNU9BSoVI4xvVG8thF+tC/UnN353/LZ/u/2nr7T VFX4x9doWaEtMnpADsz6lxQZNSKjh2DEIA4GztQ9ix6GamPsINzITUnvzGBzpScLm5VseBy2PMQi SSDhcsdX2aymtT8K0SOkIIT0ImnjqK6nuq4qx89cCQqIIXMlmESMbhcJjA+iinWl9iy1f8uF2Z7l dVk3fVcJtcPlnVjOCYj2Rc9cspPF9v+Nt7csYxadnwcAkr2LHGzme4309qjdh4Af847tlVAbuid8 CYV4eMBbTaBkI6WpVeU0vBd53e4j2L4e/i2AwhY/o9BdtONqeLM8QjbYOGN8H+Hhuj3Qm+8Qs9EX emAmIvxoh8nFtlOxwCOVtRNuj7sMWjAZdt3x6+MLm6NOas7DYqopXWOrpT2oOtZvHQ5p/GuEgDSe 6Uw6Jju9Zq3Q7WrP+++YfW/Rg4F+M2f8EPRLBPXQ3ofmID2MNsBOrOTPT9lP0P6/LO0/lVfnTRnf UODpU4GJtAqQ0Zb5Ckwa/7idPRN716prGurD8uY8lxZuoKcXPZ4sRnt21SGZvXSZAneSnLHkrFfP Q2XwfJI/ilsUgxf7cwQF3IJq/xpvVUzYguPYt9UHGukSNgxiECvXWdWxVJS/UHX0s+RPz8Cxrrxm 6GUQQZ2VHelxubUgbVemoZVBSr/50f+GDFmYocgabwl3XFQna4WZVtmx0j2Djr0ZMvlghtlFCDbH 26xgqSUWD0lF4rs2yDcfpJMuK7p6NBC8yTqo7PJ+wi4JaypSPQrUv8AsuqYO2mS2BD5wN3gM55MR uJGpM5PoRnNDYCe5okDEzh+vfVBtd1vmewSCfzqbtKrQvw0AN/2b3iSF2wKsd6elS/JBbvDJeXR0 vcaLurJt73FtjHzMvDVWwBjs2XZVAkRy2g/6ax3EJel+aSsxrCLdVwUg//cF+dNP8O57BZ4taE7y LClTQL0stTDosvi8b4DMpWvMEU/y+/EAf7Gooh55Bth3hcWzU+Z++HciBWGJVnZA3w041qkK7F7k nrcpXABgxCSW05l0Am2jQOHdm9gqrsG0jOHBSWvpIeQZ/6cgotjsCH2T6a0cAFG+D0FyJ1h3pY/w QEc4gvHNhj1vUxt4LHVcEXZ7d9PUdjLY80iZNaT6XAq2OvwGoBkGZ0EIA+jaTFbMwc5M++i5MHxw AtbcGVZ/DvSV2A6ZfjMB0BJ910HgMsHkFPk8gpx09xssqKyoickNmqbOTRzKkApmOtpCKkV4XYxL OjvRP7hQIcHhmu9808MLz4C7gYfHiMl79EhttiWyB3PrPvxavHXmfa1dnEJLVOIGncRBk50GIIGg HrO+kb3YjNpx46IT2NY+/VCTXNJ3mBRkkUGyUMD/LxnONccqZ/D0+eVoVT4wL+cmeCsUTMt3HbLI yLAGCdPejK16Jw9Q1FR4JhYK8pgHUvJyHi8zEkKe1g2ajfMWGBgTLk1I6uuSvnXJLLxbddK2gJfK JDMgtlc8E+h4laKrZA2jBiTH2mweBeZNzsaBtO95Vg8jqXNI4BgwovJzk4O3Zcg0UYiuyq9E+iCM 8MVkTxPuWYPh8tuVMSY3TrwQmGJt50A7CjAKalLx44K8UN3L4zZtpzNJRuOuKU5dg1ssAUaCiVjj zhtIhRJh8MphYXXxi35HSuWRlQ12KRrpsXefBadtqgrfoNpmBtFxwZR8vrBc1uaS9NwPWnbBk63M daE2DGX07+agz5imV+o3DM60yc2/40St3Fp0EuGGYBF/RiHJyhRfENOrQf1Zlk5xNCqyhR+RmXOF EDdWJYA5+eLQICqMCN/ZK+ImITU4xnsdpr2lLhCS1q9I4ivLjGy6VY/8CoVwpScON+33WdsOHqU+ HiQl4sAd2n05aFi/kCKfnGBY9Dwo7+MuVH3/A3SwyPcIYHMEdAngKYbdq7+OETPEAvPnukxPeQo8 WvjZsG+kvu1ngllQBwYYjnEAF5HhiZP0MnadKUS6o0C2t13rOEPB0qaBsYB9ELEcy+KRWX0Y4k0Y CKch2/HMoaSNrgolSVp9Uj78ko+cpf2hO/7Ap4CedHfgv/qo9TNjNBbBicnW6pqgvMZgLmEjzBGg J7WOrMNNDvIrA8gBP3FHAbAXOr8Gs699EQPkQCi4+1P+j3tvsZ7z3gBCyUPHXvkD+StxtnA3BZq5 dlA0EKVU5E3QNPqHTqDFiJgnrGKz1uvgOp/MLkPwbAnfv+k+Cb3P+4Blg0qHdKaLX1okmpODaSa8 JvKQoLJbgl8gGklvGrlORf+OAdO6wEs0mA681HnRhFvkzHCB8CtpZnMkj+XtBexkeEUYZHvOh3pL yOfBF1a6qizc/RNu2+FannoWoR96t1fPF8eklFf+cedAWEfaPK3nWGej8ukaMXjIKbg9fVT6KyDf wLQrQL4LdRFWB6Y/9kBiMxDuWdP0gE397ePL+HOLP6bsGjqN9zWsntcqkBRmqO2CMVVGS3Y8148S gU4iPECb6FwXbwc3yjcu4DxPuJ6YETrlybH/nb+kZsFNms0XKO6ND2xTUixnwzyr1N3vv6F0CWe1 1UacUML6Dk2ndWa+E3zqOBVwFSpRIHGZwb9syoT9PMvJXmNM11cc6WEzzhZpbQJB8SW4rNqe4f0L n1fRwmvHyQefdv1eZKvQr0EL2i4CEGAdh+8pKR5QgI04d57PCoJQJjvXiR2JfYNd89BWMtGK6zd2 +UWaNp9uXCurzNVgXb7Q5XctS1Bl7fqnhGkifP9GBi0sOaLzlYrOWUreezuC/Wm/i80zm3HIxrbh CphcYYEUwf+scO/1cCTYZWeOt64nPlNZC/I+ONWyG1/iUfpT4pLH6NLZysqRDlZRDML3VUQNUxDr XOujCaTRinuglfapVDRf7ZVegPTnWssuG+QXNuur50QuDW3K6WkBWx7bDiKH2h9rvNc7ktkERk38 heGQygaO0qeo+uB4twoVBNfyNKfO2Nd5pwVIaLKHxTmNNb3NvaJZ3dctvoC4viNP4WaaK4Q2cmTD aym+cyLi3OUq7Pd3kQQPWaxZXbAIojzcBRS0HAryuzXScnsbL9493fqEe7di3jS+qWizMjlx9aGD 3+8RQEb50X34FqOjMHFadbS0Smz9vqgi88BXX1tA1m0cBYYdZ97ZIBEj06txiK+MTHDQdxhxNWAg 3orony6RMm+lKifnHDZZWReSVW4x4aHjASJMMCSVAmk6sjjmrzAdL/QO7Bx9+UgElmvLlpmMZPpD m0X1c7KJJ7VqDsT5QmKXCnwd5X8BDxE2155esUsxOsx8q+rDw0MzXZwCf1U2Jb6Dw8xEbwOZ40jz ttetB2fjsJIbDrJZe4ZwWhtTPwIFI5A4w21PmugJtsBDNw6M73CEoy4+PgYYxT+VLBuzc0VSG+gN qYJ4lwrkBzxFmgU5kYhvkkLV5o7UXPHq+Dn2BwVK6J3Kbd2pGu8Dzhc4Cpit5kDLuwWfA3gt4TGD rykl0ClqIG0HYJZynx8FUtv5DfHrwLhaJZ33418ifEQqrJuGR27CkXR5v3zsElLqcpM6y5jglrxN JP4k1npi+7jM6E0i8wEU71eOm95QD6BUd4aZij7R7vjD/02R9l/7L3j/HHpgjPFi78CfpwLhfuyB H5OPlCC1tPAbtWVsNtcXN8Ab0HWNuPsPIiDtLwvIe5F0SzXnX1tjbXzJuKUOZwPqTeCJJWOELJdk wdvUfjCTcB1FcGoLeUfdmuIFE+RKyS7zbt2FqcRIUzgzRzB2bDZ/uL8A1diLwS/IRfEo07STqm5v NFdVn/tcWfWws8udUK+rDuF8r60p+oeUEoj7LsrOpT0dIkIISxjGpuPjh4Pr4RfDnFyIsGK6o1YG GGI7bo0D486P0n1B+zNqE/Y0t54PzRLzgNeDYmLPRSoXWQsVMNkuHQRQrlCEYY5y/FwoHy4yc+/o T/mBxinOwAM+S8jDUnG18R9HmgohJk5o+s8+L5v3GxxKkSkpXFZAmUrVEOfoqnKMwxsiwsiyDE4z ogdndONAvMG7y2pWm/kdTpLY+tYkdoatms8zlAZMPX2N8wWD/GhYzIwd27BaEdmeeFDhzY7bB/I5 LTo5U9yM3sYxUOCqSuUf/VG7yiNIvmRVehgNk/s1G1ewwZCEr8gi9gOLTePLF9aQUhNMJS+VU6AW qrh6xlsbGVUYk2oHtGWBlw40/Wqe1okdkZUKvlMxUUMxkHBMCphMyuCjhF3uwRkdH3nD0cKJI6cd MzAADauO/m9767UuQvlgltNUk9HJbpvnt/67xQA5vu67/GTyZGu60uToCuEMImMsBzZaiUo1NGIS vdpfVnGfM0nAe0EM+kGVQSdP1QLgkqCW/5UYzPtibyMA+A0KAr3T8dEHBnkO1JMntrE8arM8VP6P M9klHAIXIb4Pnzt5IunE5leV7dy6WPepP+F9uyv4O2oPDA1PTL6BWj61uKlUg0xPO8KCtWEW2lAT IRLjFdJJvXslKd84Tne5jvwRQAQMg2WocmHiwk7TYyWihN0odqKNtmSdqYcauD2QDzTT3ihBnBjJ 6ltHjrCfFwe5NeWOnqUMypMPtZXxjH17d1nIPpdm+zqa79/nSB95FS4FG9vAprWvmwr5X8RcJojD 5vkOmoQtpYaKgWYJz28RekldLSfNPb4H8mYjUxOmizCSgbfo45l+6b/hTwFCCgPG1W89qgOhlrYf HECCi9tEPk5XYM/WHVBty3A8vxcms7TF4e5f5u0rxRnM8JnwYuosVCmJpfjo7myfsexQkF/BhyLx ewQbT1k0UWHWV3B6a7yO8G+DLz+kOt71BICmvR3AeQFVwyaX4ldNbsaJeUZu4jOkyx7IpDFpSLa7 z90EvaHOShqu4nlPI95Ke8kgKOaQ3GukwILrFwz4w+0NvWcGNFH37ozx3QxCsQlKpdgt+Ib0iBBg h7iL7B5oYOeHm1Lc0fKIspVm0GvHXamYCK1xaqQKpuC1qd/sHrc33ACYzcDxNDeaVS89wgDfh2vc 3R3sO4PsurtD8zXuIUcptEfgUpJnUTRWgKmD0P7H8pg5PPHlvoq0B0xXOZj2lhAvr0pSwputKXLb Wv4M8F/+aWXf7HL7bonuqT2EHCOe+qWEOdGOr6e7YYOkUULd+c54YnAtaPBkI5pWIWSzy5U3b9nJ /p8+zVgoXXBkUo36PMqQjoppaKHP8xGwMY9IjndFt4XtXwpbAHxExUQCyLRRcvPDJnswjxNGD8Cx c3kJa74PjFdAzbejqNdOFviT/EFkwyO1pLxLs5BoK6dRgiqQbc1ZphImlMG5wiB3DU1d2QQSTMn3 fVkF2YXM4/i9WO7bFT+jDzCPPD2INmiF2wjzr2d1cOGoKU/ROPAxk6ZFyhCJ74czt/CIdy9oUWPm ZIALnrzH/+RdOMKIk7NO1XHj2xU855YLuPUBu7GJgfdCNsSdKuCQgWaeUINi2YLbiXtRKZqSkKB9 o7h463WBwWTKeX2hiYlr0c1BnMW6kgqSbEFx75kLUaTUMm2+UI/+lw+1x2BHBP9TB+jvx112YtyW udDOW7g/7aAlDYIyYZQAqYZUWI2n5SKHrZH+aMUz6oz0jy7yTczjEHocXFu9s1uzEu9/awHX4161 qlL97LWPVkGWhLx2cTevjlDrpoukUEBE+RHXXfm+XP9jkd0QA3+1rUoGGoabbWpmhkUl1yeDWm/2 iUa4y9s931hkHMfw7/DeqyX+H0WjGthxkHOvBLEIuv1bd02/1vXlZcKAtgK+yApXUvn/XqqWEZI1 jGzM9Rugp+rMb4Vca042m2SrTg+k0ip+8NbQGbHFK98uEjPBb2NqWw30qxEIvxYlcirlImi8osGq QKigQVTuoiJz1z4MS9C6nZmj+6bWZUuzZm8b44kJMuyF3YFgXjWRE2VjYUGSWDb4Zlr6830oflJb FvmFbe3ZPaJiXqTQETeXuI6fSSkDZpTw+XJbdMLOD62UHG06clgTHF9NZwR4yyHPB0jWQ5TLPDjf I57V8jTs0lYVDnHL34BguNJJXtkU1a48C5BbuOlwheBO6Ir5E1Jo7HEaShY0wA77rMAgWqg9MV1k doND6yGLaoudGXQll9HmIROFWe4NG//FKhdqGOqv20sm5/Gts9vhjdulxNfOw9mepUUamVYl7olU XpwPjoWjBx7zYiOTrirUqH96iTccpCIb7ickww6IbM6QQjOgbX3Rz5sTqTa9xAVx/IPxBAS7FXOq GuSPD4sXIjXpZIQ98cQLdQnnrGGl/lltEa5B6B1wjrf4JHb+07V+K0kX4qf1rzMaxcY3QIuc+V7B XV4GrgBD/97DWOFOV22elPnURolVFxUr7AV1QVdcAwklf9h9Br4gHxQ9Wf0u05AfKT6EQMpkJwwa P47sjB5PWc4/NWzuMxyFv5X3mGnugwrpGt7nbD59Nbv0r2P2FENy8zjXXZ80KMK87YKyn3LXPmb2 q6dOWAgaWrEZrFR50Qev5aS5Pnf36DgKNvU5E+J0/BwjjShVU8XwgAI9G4Ur3/GRez0Pe8izzQG6 xQV5+nn5WgdnvnxJvKyYvUfcqtqXLv/OZ+EK0dmadT0eKPoCPyQUzywwlI7FNjn319Di4YIcdxXq K7q2IdPuXb76sT2MjAEJArApz1hGIYIYkVwPxAFrwzMCDbyl8EIuG3oIeUqyDjPZTK6+kLxt47ba 8G2R0/udH+CHwRW8JZ7ap15J0LCVLVa/n+gamRuaNCO86/BAObtCNuixubfs8vQImjkefo1SMY5Q B6fB0iVbcdbxEc0bQ72a9RKFnMJzkICcdiNv4Qpty8ShgBcTGEkvuMLDARtmOjBRIoMuKwcPYmLn JvsfGm5Re5EAAJYFC3FviXp+6ps4bdWu2t2NyvWXZ1ajaV4uwXLe9lgSgyTY+MKDY3US3b6+8HM0 BVkvIpSkeaDwua4C9nlm7JoOIEoDL2Ll3V+ABi0ki3BPa3Ye+s0iXZVbMgLPhBrDgQwdTeNWY2iZ 9kydxvCQpPagovce5+KpCINv1RaYyWqzep7grGyfVccfitrc3vC6NPpJnPuLwfudK/4+OM6/J+PG rXkhzDxVq9/NmqvRCR3Bh8Tv3BmxiZmLJKlvADm86eI7sYs0QF0Cq+PG7SI221Z8aWx08vo0RYLJ 5Shm1keaQFbDw+1jS+1Bvamj+L4K407vmquZwMfK2dM0zQrkgCWq4Yfed0lurnkb7mej17T46+vO uSI9luoSWTWZCYymqz+hKcEzOrIQS5yvn9HrXXbveQhUxyROqHtxRpJqnbl0wZ3DJgVYmbXCSS7W k7lDnduuW4kyvzKoL+6/UNTRED/rVGJnqwBB+NMCg1wJTdZ2Xi3GIVucxZRx2hzWZXkQ1YF6TxA9 lymDZjAh9psFClQxxpWOmfL0F7e5viWzjSKFim+aRUwdaPWHIdYSbBSkv4faJEbmC2QToilLjNkM +icgBYlr34gshG/BhzLW00QTvhA+UdvLMRC24SPGPVsokbrMVSWSAM60gjljTdBUFbJDm50wkm6s HMg6t4IHvdsXH8TvyInwyZ8JN2aVyOwab5jx7Byf0mjgQx6ZzKlo4XDCffHTWiSfOhYc58C7e6JK QHxD/QAJGit0pCrdy6LA00ZynR6tfTiUpd2x0OcXYIg82ChjvQ2TbtxSFx8EAd+/yPwnn83fJd5W fvZp8MWz098AMUWTUPo4helY+FA9tYpczfEgTIqCs4ptE+WE4gA/rneEEPwqkoOgWzgMYO43F6lf f4TD4M0AK5uWR/lxD89GTjQYnHILNoG9ci4E1kQsxVtXyGZlIr12gSpdDKWXexuJ42YPaqddbyNi ymODEWI6bxyQCAAsF83994oadmIyxeAg2RjqXpN37mhroY6FHN8SkLIS9FOTZl8VTSgv1fp9vjiA Jx/h0b303s7xNZ7hHMwwOgMwGYxYTHJzxnbAMf8GTXtcirnBEHvH+HGawnsC6quCcVpapJBSwH3Q 1SSFJThAkI5R+eLRK0nstV/nzKLcAqFlH3XujpVZ8+jNTBqlK4GxBRFLt4Aw7S4V7F+6ujg+dZ53 SCMMt2KA1AMK0CRiv/G3phXD0atibwKb0wSjaHVnfGa3idHdIxGI1Fog981FdLEcTBer1rs4zymB Z14gb7YkIFI8aYUBz7CtECXwOcBw+jABdqGmQuMPoNJnGkXV431I14MZRJpuqfcD0b5jbHkp14DN wzbom/0YYjAJPzAwXr/58oQWpPCczxq8ZC09sztQw00hmgMErYIEysWFjpNTqCMRLjuY9tmrceWM PJa/qWDr6yCSOduTZ/gEenngtXm2orzroHdOPGKKzHz490U36HVEKNr3Pt/XQU6AUVvQ3GLs88y5 cTDrZ4SgmiNf0UfEQDYFzwG3wHL4p8Tnl2nmuxrAVRQ0nNSst+3ydz19l/QN7x/fZmoC4YLyB6J4 ndKKDCgwb94G1LR6PCLZYh9olBov2fv3h8H2rtQMBZdBVLPMj6E2a2KHr9NahH/amAQNL/ZBgUqI z7K2myNcHxZ8ABBf9yKc0c/Shb+xrhI+ysMFLE/3qOKFc9qrMOylDYZcvaq5z07Fgl+9WpHpNnGB D49l2Un42iL4EeofMpBuYYEZ7/++bYP6o96ldaxcehGkbb1F+NtMXwVQW/XgYVqFY9J2SF5BujnE g6SuA26MsVpksJuD7vbxOzPtjPicuw1Fm+DD6IL+Bq90tgfrTAZMQW1IxCqcGKH4nSyqVHhKpTjs Ron4T9adljJYR7DsFNH6bjXm3ePk/snq7/D4WcWVkmY6Zsb88h5jZOV4Mp/QRnx2zaO0BoXebgAs HBCw/RqcD65HY8+/uGOqtudNS/tYd6hr/8llyel3dTB/xv5T3PXKIP2IuPLs33xKkJHRN7F4aJs4 Qt/dWv3iRG9cqvX8NyZMArBxAnDbD2ywVTGk6ZwRbzb4riv5frsaSnuO2Cbajiw7677Bxup0pd5V 5Io5QoTAkmIOd5uJ5FbziKKo+bghTpBE+4RXrq46GIC7iEVtAqEaursEsfNIWu0XecFEyHurmnwA T2z3iSMqF2WT00US5fdoE7oBAfCaCEJZqF3M2ywIvWHf3Bw1Ce3H8CZVdIKERaP/zWu+VN8a+D5A S/MfehnY3jfnvS+G2WA2jSHVyeWwjTxo7nCfQWPIbJDucHdJySiyiYQh1+15NhEwi5mRVR+bJ6Y4 eX2ndPdswspVL5y0+H0RsXZkoXmLodbG1mI7b/BL3DdEWg45Kp68QF3yuGw6iwvd1Q2fWce8/+IJ p7473UwrOkEvRWzP6aVC76E/hpJobCuXIASIlPfJIOoIt4R6WwCXNB4ZIIN3N5a5go5YfAANWYky tU5jxCxLyR/J0nF1eG47Krp0cTZ8Xnazpr3n/wbq1Y8hNUuGcdsel1RWleITOy0OWtQVctghqftx 0PFzNp/Vidh+/YHwUYA49cPon79VEjLEfQLS7lTt/jBDESAWnwEaLaK2TzQlknPHK/NiGqQRAVrU v13x33eL4ep0ZLtfQPNipieZ8qNnn3xmBt/+t1i4eVPhqKwTkw9rrU5pI1Q5Gsrz4ruaUvZq8A+f QpLBhoMnB3blMpzxQeqakg8X4WV5XQXT+KjqPEvyIovYcBNg1f970NRiJo7+AB/uMUpi0o0qlSOU Gz4cjwe4WEQ1YVgKDFpZlJimkuroij6Tm+avlzoxDqD3m/gpEhGbfyEddFfDBM5iCJ/3fF2i9BHw PX1ZIibfjGwFDbv62/FZjHBJuyQdEObKiWzY83GOOWy0chAqSfLf0NG0dmaHmKU08Fxf+TetX4O4 /AfLBiALQVWsyDm5ME01cmG/F0wGoIMJh3v8p2FyFLcxbuR/2wKD1Ki0fpHV54xw9yXhwDc1pwve T7mmWQ2uoqmVnCtn0WKsMu2dT2D4ShX4wzxEyg5y1mUQ/jbhUQ8tqpTp0YLW4RBu3pmSG82IpK4E lBrX2yZMFLy+5P1DC/hFvzS4qVLgXxVrqebDA/D3/oLt5nZ/Y4+Y0OtS8TlhFeVHlJyPSpAfbR7u wpPu/uMxl4BhF9CxwSlRsz1Zj3R7CfUvrbKqbnvIx6jRf/VUgEj0jHTKl5c7nZAWCmsQNoqU18V0 lE0hmaqSchE6dfhFV3OEDisMmT2UD3byoy76CavG5LbMjQyfpqFA/zcvCH4jogR3UybCKtXGd3zu JWjOAyCZ2EsISs9uVxqi6H9W4iZhnJS94o4+FkwUt9wtP5H4McFninSONxAz/P+H9EKIqt54ddce W3NxZ2g741cfWMXrTxT96NHVzuPqaCx8o0k5ACUiJEktWcaY3zgySVJYl78EC7UYbUAc6ugBCVdy k1+bImun3EC0iSvy7QJMo2iR0fJTdSNwWoCIlPXyChMZjazGrGdNYs+RBRoScNr1si7zsNNiyowh pTjVDUCHnaR+D0EpynL4gGGQVKovn8zRm5JcVMpJl+9/dNph0Cxr1ESdMpmk2FKrZKRdVYGj6fQ2 nG52LBZWAG2owBc16RlTG4naILE5Tbo6omsQ6u6xuT8nEqlvJctkzNiwbWOAiayZIMKWTFHr123Q hzzevdPgq+PLETWVpuqWVwABs2Zry5Cn8/1bY1ycLn979JIAWPZ+CWFYFNlN25pN+EsX/RHG6R5S KJiTZkoSVqcMgA0DpqLox75PBFPyJ7i1cvjK8YtTujok8e4VVhXsI/lNXWMTC/UbijxZwtH267Ce I4fMGbQQIMESXMd6WE9C3YnZ5MH6x2UpDFIkzWsQJQA7KZolxExV1s5ks4RNysoYkXVDzvo/mCmX k4oR9apqKxMCecmDlaBiNOoZiwzn5hqEyIJKPLKsH9nSDyj+JnoZMyQQvyMZlh+vPrf8rCFqY6hi RkbrD+u1Mq9vMeE7pidNai1Srz9yGef2OGgIq/L53Utc6vbDnICqYFW5f1xEB75dcUvzqG4rdo+T 5CggBverDRcHd0mfv6/cuCDA50UKoXchT7um7hrHO8Bpg+5LcmfN/Ih2pHLF3ljBEhcB0vXfOlcK Iy4D241vvk6g27GzhLpE8ygbJd5gdgAf46O7SwseHXBhsP9j8KWZt5BXtsTED+LI3kePgYlW+5RF uE2dDRAmi02Ick7uqMtVEvW0iPsXfaZBD4uIyTkVxJEl4PuvxkRMzxk9UpXqxzBED4LKQu9Xx0mO TDZWT/WXqCembv4/MjB+VKBed6NZzUIVa5hoa/RHKhjwueQLtiOCFeBXMECrtjcv66lhe7vfb9z6 +5/p/1CVftcsyrdAPnK0cRwNJDf1EAaYh7BPwK6H30ueXZtm0tsVo7VdSz/sYtSzIdG72vXqeyCt kntpF3Ak8hJmnt883z/0Lav6YRbzEKfW90EuPMm4AiewTM/zdWNHdUN23cJOcLrF77qEGEYDe8Sb Ridq1vEgTVRnwCYMu4+7sDFYg6jXRfk= `protect end_protected
gpl-2.0
d9dbf9e280747192aa17b8ee1ba2beb1
0.936608
1.854577
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_log/flt_log.vhd
2
95,032
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block p4R1JWHBywIR2Fi307tEhr9AcCfH9rS9QQ9Gn4BYGXVnDi5tc0U4TddOAMr9gE8yykBWg4pRrgQY K0OXjCbgDQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XpdYEeRKQw9nhEXq47MIVZAJ///qNkxaVka8Z0bcj1dT2+H0QTInHjneUzYzLu8YPqeDmQr24kbO wkgGTZa8j+jdXk0pz4vE9NbRsDC50znZIbuStqJlXvLDnJ/XF6ziNA6WoWAO3cWAa4bsqPLtwXfn po/UeNJy8O7+GL1p4GY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QlEoufHvgQ/PWb44YQWe5IepwmsJFwFNPYfLZcpYZHOlMPEIgEZevKk2sHVQVk+Cudv3UZoX79WL wphYFfx/NXYY76bxEILY6xi8UJgE5OZB/8xho3WMAbxPOxsGqXVFSa4F6+5HKvUeUdbcUl/95i1i taCNTGNc9QouczHLD2HfIK5Avb74SvnSU15xzo8LOLl2seWI7VZKF5Ns4Og8iM6hNW1crWWMg3X6 iXioKAGf757tyFMG9fXDyUmSXOeAXtvTLHdmvz8fM/AcTFTOL99uhrFOflDpodQp53l/B/4nQCYf 5hMPF0Fd+SSegnxrtOt2hyfAAMU8cw0vLo/b7Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jSuNs9ls5g8Squqzlzvp73BJDN7bzKFFmA49HhgojPxPxzYaKRChIZoBvExaRvIm8fkw+Xsaxf4V pXJXDCmRgAtVE+DzE0vtomDejkX5UAtceGhLib8ui1WEt4tsGidgqZVFsHjKpZ2HK+KBVheABTVA uqA0XgTtawsQjOjHr1Y= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block i2CJx9GA/gbChKzzIYauuGaOiMmMW9pUNzmVsTa9q9W4VNxlDN38p60KHDBj7s9mGZAB67hrCMjS wmx4bZXeq6ZMuSJWvmZCCo5IWr8FtWcxCRYtXS2OIJ6O14JglpvAlqlv9DIg+a15jNL/XPABEZW5 8BNJFijY8MLQerMAP36AzPUNo10qiEUdUDDj399zz35Rntdf91olkJWfdhPGs9hn8YxNvESSyc2C T+NTw1o34iOEmEIJenb7Ng5CV4ypuQ+0wZDmV27pYMlwM8aRSWT3CfYedgGBODzTVIO5Xpu79FHl 1dRNS0OeB7uK/ybKhyxOOEKlYeN+BvnecD4p0w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 68608) `protect data_block Ja4qVGj2zyIazsmhoa/FFqvgWGfYJSg/erEY3yo9UlzOc8YrW6XYEZ5J99cgNFa17BoagkgTfnsj FTBfMJ/wM7HthToolP7vgG8wIlzl+1J2yKgLJC3PJXWWomjyo/LqMlxa/3TFKlBVam5eWTqpCVvA Ox+IuZef9C4XMC3hXt0UTwiywmLw0cEaV9YMAOsvgrJJU0A5ruKhHHWtT2yiuZ35kJPfU9Vlt/BI ZMsZ7YwdmBEPSrKAAJaqSSVYB18TpO0p1WXhCHcjMA48a9KDOa3Ppot5MKrytb2EgslP5kKtmhdM ka3agHj9Xw+b8/pfjNqrjR+QexvPTdPh7+FlYVEq5yecHe2D8q5lW49j4XbJqItYHI0zBQtF6MFM iAzNAbVYJw9WDcXhoQSkcWj7iEw/eEWof8ZlCfwBnAqxq0zLSWx9D/Pd0O/VgBX1q2aVZsE/2FFK ftwkcTKipW6UBHX/Ln+Huhmoy6xOZjGfMdcflc+31UQHk/PQ4kzHJvdBOcoaHWPtXReBNHxAJyhG pxAc98K5cG7iVemLCVNonSKLxhiNarbHoh7rWt1jxu9xU5teA1xn2s+Vk/Wy3dItsTafm9CVKOGj H44+EkMGodJleLtc2MMRRyqWQDj3kam8tTyh58F9q76bnIgnGgxMHevipr2RZUF9PG+J/yzkazgK qLdM6OhwTzVsWVByE40wLW0Qc8h6e+qOcEpw8N04PyvsWSgg3KVPOCQRmgc/uPWxqIXrWObEHs4L LWHQJZekKybomkwAs2OQX7E3JLSeG1AaOWRxN7G5u0zi13HyD99oxrCGzgi9x6OJWsWq/bPg1rFI P1f+i1trK3oOV5o2oIEC1okD94lIjLBtmxHLU6U8fgj4V49/IRoleMMcUk4tUJgpGlwL+tgQzJtE S5lji3iSK2+mXB5hdWCvyRqDqSudS1fAl+b5cqpPgBDpu8RK92PTXuJSBNGFy8O0BMQOMQLyIeSI c1oZTEZekxkpxaxk4VU1qX+U6iPWFRXN1wAnQvRGwQqTVDqOL7Jvz6OaX2vZpD7CuxKbM8SnCIDY wdIbT7HXtvjdmvtqrKoKYRqgBKO3VuJPF3aF/GNnI2Iv4BeAw0C5L66ewgdJZ+Q5iwBVISdIE7S0 qU7ocG4XBQJHp+a57vxszU1EKhotw2ifgM3kByG6Mf6GpKV5uaPOa7rDD/8lhUpzYSnxQhFQq856 5kWrl24Gc1RJT+ZRdeAlngMpHSTzT7Uch9Z//r0nQbOBSrXFPQvF7GfqFCcenrID1VSvRyPp3pwP M85fhzf3jA3gr4JKy3PCB8yzY5Z6Iz9LE7ElxwjRxgc6f6QH2nGaMYkinsDPGFeQs10si0MployT zBK8Y57nxlz6Adhy9TFIUdTevAWTAnuB+AenHIG6Yz3YXW81jPEz5iD57dHvbIDOV536Q5ZyrJpZ IJu+73cCMSoqEdtjbEIIGrV1ZrUAoj/wEtBNjKXTBa7WxAPpbp3nPj474YvTkJcBY3vkRMi1ucmC 3QeFsqPX7skJqsxB8oJnm2Q9QwpzqZ3OaMKlnU6zHxo7s0QgRKkGelsHeK7uQ0BYS8i6Ttiry7od iGbB702Tr+E6pob5f713mZZ7fqno5xEl1vxC8sZ6SqrU+VdP3+h5CtatP1+mWYPYzDEc9YIsJk76 wUjhhgKAnhguImRGAW/gb4OAHUM3b+GvSMWZIj29olCn0CcT2ZPGe3x8Blxs3bAWLI5d6kqEBaDW +bCwZVpoC4LNvlh59N9QgsTHBGtC8ynZ2U2O9Kah4dWRXuMyToolT5k1bFKL+bWN0drdeI+o+XEg lmnHMsC7eK6nCOdV+TGXrh2uVTkUOseBHAwn28ae4vg9ED9bBCCfLOuWJ5N67wW2Uq4U6M3OC8QQ vJUkPY3BMTZMVxnwHyPvdSbkbUscaEn29MOhbB012x59FDs5VcwWxL0iLHC4aFF5yRLY+QFiu5fg GBxQp5A6il8L6/hM9+5eP4FOD400Yb7bHbPsLsLik8aHFi4zQ/i8g+Rs285ZdLU0iQCUhGM74yvJ QgEna6XKaBUvuzKvCcdztLuQnO1KuIJforiBkErE2JTGeYQIwK/QqeZSLHmQ3s2LDTfWum/6QGKZ zbWUa/SHnHn+dj0QS0iNs1GFRLumbqSZX4Uz6wgqWIL2YhgVRLJlqlDZVSa1x5H+XCjwetUgouJC g32awYWGxVmyp6quGaFOL1onYGDKYjZo8stdVWHlkQijw2zWgEBixLGvKYLMv7F2897hiw/BcppJ x9S8nk/7Mi8RmGBDeHJ1I/f+cj+L8upfGwlMJphaLCjqzOqWt5vMlKTn4r25atgN49l60MfxTM4h 09waQymCNjjv3oUhvsK29bFbBz8n0JjiQF0+ThNH2opE9nQRGqTIXcGfVgclpXgqWElREn6eXKAq 6Eq3DhbQHDn9ExoISmfom9IMwxEA0WIR2OafZxwVqCYLLeusARnp+k4hqmhTq+YYMcGeMk5boHuN vPKoqy56WNc0TQxEX+2YI3uT6/FQguEiEsCzaBX8OLFOHwt8LOVceYuGPfghviSwPECBRlh9Pt7b Tr8apapHGw6Ne9NxV29AG3wyasc9veHeqALGKqlekeHchhrs+hxPtquaq7cQjtrYTxdpPpGf3fZp PG/mBCF9S9cWHv3+UXM5i3zqYB2yuDQVgq7hIkbjeAxIm4V7vIdmKZH9H2lc9YmYjWFfJc2y8bau mp/IaDcwFFxDVpjGpeFq5GtYbtNXF0Yjt0FFXHQqYp6NK2y0cAs3Mcuz5ADRrPIdz9rYp2jVse27 OuwM9t0YWgJKSiZCTeWRYZyJAZOZF/fQFeXklCdcAnoOzv1PqZcCCxbK6IWuZNn/Rw/HzSZ2/iA/ lvCzZP7STGbllL41fLH1cpwNlWuWDQaobGfZQKc+x4NAwBxChbKKCbXALZA0/F+txAod4V8RmgRK lb91Z64BuB8E4albz5/G8Wtba9FqxiipNFiTJI2OeALN3WDkVkiu/vr2PsjU6sKro7s3x/sZ+rQ9 4B12lV8HaSf5KAwtrTqV2c6oeFah5YGn46Bm6KmCs+tZ7OFLbDkYFAxWDkqKGXmmCHLOqlAy26tW fpULE3xC6wb43BVei5PKI5lHOgyZ7pPCAmtgmuTDA6sgsDaRcOwA3wFxrNWq7ykqp/+xwPPmXJP+ UYDh1liJkiNE6P+Aw9izXR3wqDO1U4OBnIXF8mmpPyajynZlC1R0oAWG/NigU4ncPzLhdLXmYXAz L5PhltlvWEbIEp+LEnxIJ1Ve3yJsJaJK42D+0fE/bilAk7WLC9QVKGBVR3B+Q8BLhrIeOgfjah8f 3hCgjIxQ9F5atms4ZqG4o29B9sbsvtN/ZG69PBsCB0dYHnTTgmPLEu4OHlqm3dfJjqteLsiSzCXw NkR8ShcQMDeNaczM1Orvuxiljw5XC2UPeg/vn+inuUvjJoQzjDZR2CPUxS2rKbe3lRhluz39NJBe n6XEq9inUWA2+Yt2TGjMgnpjr78SJ5WqWSelz0eQAZSGZcaLe/cthe/LwspzqYjeX8VZ3hX3V6e1 u6TAPPZDYD01u24jIojb/SBECtUPEJWCYrtQZx/a0dTSdK/KpjIKDXD0Sd5UFUyIRfIyCeMSO9px KFs8j35smFkWa1Rw9HhmBiANPvnUPu7VaWqVEcbQEMKlmX32NVF4MEij7zpcmuZm6sfxtqRAFRDh WDgg/T5qHIspbdN4rEusLtggHEKt1eIil1BPx/qPu4KGX24e3ZXEi1S/0A8W214u8roG4Y9H5bcV Idrl+tBU1uT02qVblha4eUyqlNBMsljoCjH8aiU/l1dhd0pjDSTQUh49tshOheYN8azwnVaZeDn0 puVAP4ufZM8jUSrkm3Dilrdl8DudJYUVwRp5/wya63/v92IHQ7jMk1lvcy6OOS96H7EHJMCuqxT/ 6oXtAolFh4kKxKMLpWIxZ6Mq6WVfA8lwZq/qjAs+lDF+y1fnRk2ykXwntUonHD2jDO6lgs11K/E7 lnBNAxm1MAQut2KQvcMg1swJSK31pQLgY0N6VUix6LNF1s1ixEuyOPNeQwNcc9nb1yfqYfAhE0bS WSZK8H49mIwJms8e3Nxp7zvEmqTPrRpivck873wu+GA3rr/T6t5BaHNOS5zF0RAjwdr0mYYF9PV6 YQ9fovFVw3QVVsctZ0ewjYJ/7D8gk271Q2ox+uJnkdZqBSDPEGGEve4TgdPSO/0pSnU6RG2dzarC 55QD/B2jUFNPzpvLq9HDt7+UDXa39Cp9xcEe47h8L8Na9by6Mq5fK5OIv2oMvHgDbAvSLRgQq74a zE2pbJHcNRiXBP0JWdSrEQUND9wMc+jM8Oj9LaWMEfwJQPLzAnxDDQbBlwEgTny/yrDoj/NAd8lR fayRZ5lc1Od6A6EJlRpFBw+AaurKgZua3t9TMJ0+yf5x+cSAX6wmbElFqtkaS4LpnKkdRHHhUx6z q0JeNNDjoTwBLI8ffgvf2YaMlnTXEUlru5ZboStpCcevuWHFOIVD4iBt7oEoYuREKVxrnbTQt5yS lz2Nik6vQEEexJnRYF2Y217hlbZ80Ljk2beJKC2IvGxOtBrwf3Y8vix2g8PdcscOfvDCCe19ylk9 BPdNhnOp37er7KWrmIJwJstNAwlGbQquDrg/BhCIzSlwbJ8bRY4jVEVrIP7RndsGS6CVGE4FT7dg 33CIh2KeWgQhrX8hWbU2x+pD1DNOtj6zHzT2TqrHMgsRzYPDEyWo/QDUN5DlafyH09lniG5DvIAG d6D4hJayGM3HnhrbozXo6h3J1fVK9R50uYI3PY0yxVsHSKAA9e51wmMvcxr+zTYHfDstlfhcL5Rl VGinKv/jqY276N8m5V9nKYyCp9bWLN8UzO9KvuLlplyQQegRFNHsFncJbLeubUXfE2nmrgaRld34 13XnW6xBqiTHtTwQn4w+Twc0HKqQlHbt3o/r8UnpAQhKiUa1m/2kieG7DeI2JBk7oIdYzseCLyt7 6Db4Da00IlMjARbXO0XN6Vjgh2SN0PL2e+z4Hv9lBxwcB3XJXUbvMCI4WF0CPZ3vP1OQ35ZL3jyK h/3rqf9W6cT9zuRSlVtTuDcaO4lJ8Xcw7BpW8pVFDrW+gQIWVuZbO+lphDNOuinHw+UybD0EO/AS ich9e+O77iCXkmZo4v1JjHHvqdd999LPy0Mtv+2F8aEWXHzVh7O8TF7mZhjAB9TD9dHIXAnlxFkw 1DgXWeMjkfMt54aol+uVn5gcb4gtn2jrtOshV65WbWHGCqAa4j6fwQr7aHMQTculWTLlDHpWpbDs 2Hk+MQcsHbQ/Ug84Kr7C338VsuQ4m7I5DmfJj65KjwxCS8CrJk1kz833DT6SVnkt9VBOIAEpefBy KASzNQ+Y7DRWxItdaSfB2S7B24x6GzYDJL4G7BuXC3jXplyQASYtIp4d+12QsRkmU5N3StcaO4A7 ZXkCaPdQq5zT2VaRCHBfeqxKIGLbcCNcLH1TqcBSL2CeCy8sFSLe+Exx9he4NOeO8hg6EvxuRnEN aCVPhGzy1QdqIw7oiIu284s2wmvYIurlUVzvcn1nimWynRVOd7yZ1Vgrq2gpJsYzNFWC7yWanatO JworXAoRxVddy1QZ1WSvpkC29pvRFg1HhP7Yvdk5uCTFjzr/2DCgLDOo//6DX+71ILzGFvQ4jCmV qpP2y31nB0Ckc2raMuYVUUiv2RwaoY+XDLmSCs0yAzqo7/aGsY4gByEAspEiWqteK9vrZ/kkGBpU ZN+EzS/M3J71ACZeapVM2X5ot4x2bgHHAGRRhJkVLhu19o4HcisN+fJkzeuCeOoOvjrAhvkiUQsx TtWyOuwhpc9flziRk22+vSvq4RLqpF+RhRwrzFNz+WCmCJAhp6JMzoRO8gTP9avn7g0qg1QSWPT0 udmW7OB3NlpNTIcTt06xo5sxzkxZh80H9RkpUk2v0u8jhCOGCfUvFggb7DOblPPSSnlEpxwofJrL ikw1BxN7TWMs8UbbvzdGg2aEKd1QSSxNwzTitvK0XDNgK5p5icY5/lf4M4ueA0cux+JYZSKg+JGx kQJtSJtif3rEuT6FK3nWNywI4ZyicpH9jJrKYmx+fXNzZrpS7O6r5bfsIpvHcx3Envi8372I7YRw t2IoEhd6gC+35OM4p3ggQNuJfxR5SEhzQghrcoNtAth3qD3XeqPaEMQf8Quk9wG7OtAVi/1TlBtr R2IBSFwJkjqI272Zj7Z6CsqpwOKoBVBECAQZ90ZWp5VqzvisZAlVJsO1qKUudGbZuOwsyZgxz7xt H4Sh5KYrx0hHpEzaVSvxa/3+ZY2kymJlFum0savSMVqLLtr7b6kivaXRR/Z84SkkK/yA3ZP455JS GW/ODEtWtjLceZ3K7XKi1VtjLmsiacIEjCPwqA+jcYCAwzAuDr6S0/MBCHb5DB/nKXK261WPoZka aCjmiknjUpijGTRUbum8bXMNYMfTy8vqP/OnVpokUCMLSIQqlB2Z8vFeWCI3VXGF4+TVY+YsiLtW DYwT7irHiWQFETJAoBlex1fDkjEtbIX3FpAU8yg0OQscUs9zpDXAhKVmLD94L3BRYt98jlU4Z8Fr DMVmSRLzm5PRhcWPzXJpub5XJ2q5l/52God/9HR3li2UYfcNBtZmBeIUpzMtGCkD7/L8e7Hgg0lS bfaOaHaWyhGhKs7g/a0BgMeIkNkYdc0sYpBgMl2+Z9rwUOaXdREloenPQqrmsIL9Y2dy5Mah4zB2 8JenTrc8KCSH8s/bqt4YZJLbXYc2M1te7uzxYYhOMKOklMk4eju4GRZUJUzYiFxeXFpA/jbsYOdd tlLR/es6H6IXfajeaURruz55eaxSvfW1qg1FqIYZp3Ws69sXOU2VNnMrRep9SVIp8fmHacOkL6Fh CS6NU5AH4soqUBSl+vErtvKN9Pm7puE00HC7+geKF9+kvtsUrL+2aDR+r4EWk0RzxyxM8Gm4LX40 FQeFHgPIOiq267UyXKXfzF2AMtjCSYWqGEOxuzdKDV10IoVlBQMcr1lqUAAGB1tTGV3bbiI0zbrX URdmYtRtQAwQC2vxivWtK4ygoMZRLEWPP1YBffQPSYoA4Yv3Dr4IlgqOfXohO/55PvJyg0no5b3s g+w9YB7OfuJsk9LunzT+S1cOfqIB4r6WBRF9akdq+S/dM4Cb0xTW5flTfwNjwkUhvT80s18u+0oo VwMHKDaRBPM61ZdgUQislJXa+8/43PKiRAtc6scFcyk8c4iihO53tANAdhlZVQ4u0nY0TavTNKz0 LMliLqt2LOPbpUcjISwvzwru2Yndmja7kB/eh8G3VOXPgn5W3c3ZvSBBrEGD/iMAxCXGTqJP58si UsCQ065RTPgLbEvFpwqV97URTdAKKpvqu46ia8a6Er3TamCoZFVgwYd0TOkVN6MoU6yeeOe0+B3s MoJCeeaN1HS/5SL55XfcWjXVyCmzgxaOf0k+XHHXTifzotzkb4tS4rJwIUeaLSuV1K+YbjVVsFuE jQcyi+/W5a8p9vFez00/RULClbf4mD80pAf6Hwkop9JGvybaSIVL/TRftPjtsneyoA/LsDVeQyBx 177bctUYoYtNh4RoNFwwf+mwuPJuKWCfKDEbDwU8NrMbaxRBOT9Ag3CqMLH9mCtCqN8ERe+x0Hci +2N970ETmpK5WZN3AmxVgFaNIvY/uhYNPIuGdnrDtZlMrP6zL++gsqZ0riIulX8P8fg6s/Q9qWsO RW3ixsPqMmalIMTHwjfCm8ZLp83BHAaA41gArnFsgwI9xfE/SuK+42rc5cxiTI+OTNB/fFQpt2b3 oCrUDtu9KIJHW+yiM+9Av9bMNuG7q3c4hzHwXb5xHAhB5SterSjFO5C7KcteXtNQpwaXxFvaIqix ouqwrFCFiGlqO8larTGt4F4dL14NeBgBick+lLraAt1kqrAX/1RIeKW3Yj5JA98qds/ih5o9BCNF HAwxccErXxrF2ozmXLYSlgO1f3mH3TIyOiXAKOUtPJ8QI+Ka21zZIgH4BD57CoEwgUFwk81GRvPi 7hSvreP4KhstWhRSbt1eZcK9nCGZx4hcJHLX0320+emtYnatAcg6WbwVXNzf3lCNsqZHTWhfzhSS nAejCXLmOBJ3RkjI0zf4uUxbCQlTZGz5dKRpPdklrY+ePItIg7pMs4ypLY3soBMCvbVBhTM0ABOf i0THRB7hjKW924yzVw+lPP7ZEfJaEFwJDjwQyAC9Byo+wHm7iF3FlDOoG1MjApMURVDDwPpjQsdM rgYvEx7ECe+4pSEEWpvn0cgZIWlbhg3S6Z+zjAnAz9SJ/VZRNF2+o9l2CXZbN1xKHnBc5r82Z1hF O3nifR3aptVmOsVn/aY2LBo4I6sAV+M1v4gHI7q9bfwTgnnGjOZFGsWlOG5+1YM3vEbX1dAkZeMk +Z1bTOMy3kcRv3+7gj9bXw5WMrvMdSfC51XGHS90N61Eg0NMGG1aHD6cNVCnj1xOUWpWV61Wwipr WF5gTeJgMbRRtyTnlqs1rzeakiYIe0Uxts9ERkZtLSmsekMMpL1id3tj2PtPpVmNjHazEqcfhH57 gAzhCPv8bCNVPBsrHQ7B8VXYs9tlR6kn3W+ivepGHobtICgqMRitelflJ8z1U3c7QXwpt7ESWNpv 6r/yIB406tr45m/9uEmISoIqGEBOr/IiyczKs/t515AidfXogf/AK7mv5NhGKh9+DsBZiy41d8r6 0GX6v71fgUrV5bpfPzV9yBrfSNa9l7bsHOLAk8l3jT1sqdYzjzswMjYTaGNHwd3KpHhhAqulU/CT sJ30qivLAObH0PiKupQm/Pr6mZ4rsrcPWivEuS99qK7wUJRCFe7unM+Oq1ohd3Zx81w9S2m8Skyp Jpp0gf1eOIJr20aXxCENTaC4UBbC34+th1VC9dtRS9Slb1VQwbBiOb7/dGyOdgtNj2X1eR2YNfvC dHAgfZ2FmZcXgp7NlHVueB/SkRfAD9Q6479vWqXI9ZXsJXjMmiy3/BxmwcVYOwdYpdyFptHNSa/4 Ax5Cm8MiYYwFdzK+RZE3qDSnPilcjdlDjtQwtMKJZhVyNeOX+wX4zes7M9Uo3FETfdpDFLitLAhe 9QaTZH+TKFtSNWUVAU/vFxlSqBFt8NyRAnYK2FdKV9IHzsCDjLDuQzxzdrkElUJjT9NDBjuypB56 m9Ag7Jn5O/GgzBQiso9VjiMLDdCjqculrSPqFWiAFVSQQHrac9WK+GDCdvz0DVRPW7Ug6DVVJ39O r1cUaAPuFXNNVoHREdCl5VRQ0lCsuaLCVrk2osMJYlAi6jp+iHRoI29Epmqqy6iNtgwE+5hC0BjT YF7uodwRqRze+Bc7H1uIVC2UFZJNuesScEKApdgG5fQwJAwn1NrS+D2x46cumNlbuwnWYdp16nVx C18sWxoBthlot5W3uJea7ujgdTgSugT7ybTbS2oBixcEUjorXKrvtyzBgkPB1uFLFNK64gp5MZQ/ eVGXVkrlePSGsuP1FWVR6W39V9O98jxpkU4F0G0bxh0kfP/ycAq4fKratltlFmOkNVsTQl0bgOR3 bYJMQZLMrAE/cwgHm7FBRm82EHCaIBiQTeYARqWGHmXRT0VjW0lJkJrcnrvUOnp0foJFeizIMcVS ooIK4lTH/hLbkfkd1p2Lm7dZ2PHffHaITdxbPIuwgO8yANdgTKvAqLlXsRrGOW6sQFBGdDLWzGDG Hrcae5+xIRJx54cz8aYU9sJKJEfYoSg3swIBvF3Hj7af0viNPWjv0vyyIR/Mq7gvYYrtajTJ+vWS yEfimEZlC7fJWNI7jSqIdaV7EdTbBmgPI6q9Ejy8rpwAtvFT12r3qEieyd3T9rLV86I7q5O6NH5K UqdamYxpM6+8bQKzbVVJ3Qh6ITV5cj+ILtVswdv9mbuUmtEOGaFeDI8I5aWu6mL+smkpJ4iIUwhD WE8a4V8c20I5ADMgrYRTdLVHq4OiEOYzm+EA9jcKS08ZO4WIBoAEd8zqGVgYmwi6CCEvHll9L813 5Zfu3ZUKQEyztfrKVjDO/VKgHOj+X53pexPOdb768CUk1Wl5+YelBdtirhISU8eCopUFMrlFpxO8 +pt6D47ubvTHPt3S+Uww86B0O2G1lrioAlzWav7tMZ+rAfdge/LmWBOVlb6SdNsH4aeyOFPX5GF2 Z8BmNoZt8OXCChoF2PavWhBrVniLYY2uz5tk+dqPohG2eRfnyJrzVzhqZd6+BazOzYUj23eK0VH7 630LiVYXR2YyaeoSMR798jxlXpmq61XkplXBqUU0EbHEcRHDXac2+akTvyaNAb1RK2AzD7YeOkNg 238qkbP9d8qORTsM3jWKBgs+ruV/vPEUq/eaQa563tgGwTM2Ktd5nVxd3SY8l9VfFivttsbIHOFE pOhAYy0ddxIjVsx3DFgGdAuCwWh5yhp/9W5Wpzm6CfzotkR+fRNEGjnAWIHb6Sav/U41Is0DwYLX LeuJjT6jKUBgkfDuebRw9Pru65Lye32jYzPGltJna9X3dGZQmItyP5QQLBLIknDvHLOF8FdqStoq /P7cgACYdQBVNc9pPZJvSPXFCfsNJKopqV0TZ9b3hRhSiUv7AAEWvQbHL41FVPYtkfYJjle28XAw nkKmQDvZ39/2763KIGgI9tDQgFjf1Bo2dkEX+xU8fx68GzQjy6q+KlKFiVf+50RPxEZLWWv9q5dS SDsEG9/1VZPn8Pc8XJlLBg0cAKPj6khWdH8aGy4CBLCxT75m/FavTklkUkzCp8ESeHp2khYXIN8U khMnnBTApHUNMGOlJ5Nv0YGYaR8h53hlo3a4OAWZKomP4bXlALeNOOh73qZd1MP05zGMcnbx/9bK 5IbusgJF4rs9qY7KritmYf0WVgxqbKxjMrqqUKM5TjkFN5fnl9adFQ3mZBrfuohLIFX6aNQvDNue s0S01tF80o5/zvYTaZVsER/LypgYeod8+Me845/AsbQ+xmIPpeiD8hzA2I4uz9lhSg4V0PdvEPe3 4ml4sf9kL1GbumgG2y8WnGEspQzVdTtPWoo9xKC4d6V9HX2GoNKoH/ftRvfkR23zwYh+ui3M+Q0S xpj8iydIbrzxrh2ClHn1TidzpvJ/NmzPGIYS89Rr31+rqbEbVpyjFm1IsZq0xckzi5DJk2o4WqjY RIXFVpuZS0lXFJ/azLfj0t68RFkgnAmfyZ/Ab9T0SH+TXXjLuO3VLjdP6W9g9GP6cr0L/wVR3V2X F9EU8bZDkRCBFzBVn5JdNm0A77SVHf3yAOv03DOZWVj3dmc4jaD0FZ92mU4bRlQ29GKZtGeVteV7 N9KOWEkAspJoN4dOKqVCUjEHRXHaWl1gr02H2UciLUeGxPLHo3QPOQ2hXE8P99L2z/dG1vb1+MOV HdlV5wamh+D7LGDXet2cA3KCA6fGq8qL8A8T1+l4RFIz3hlxxFqMFzDz4bpD6adsAyVv3728x3Vr KvcvyvPvjL1ygrO6y8NMpMOX6WbdeK/Funh42v2EERY4FpdYyrIHJI4bw7BHpwvEIEdU9Gs8ZwIi +ekxbO/nKdRbs68aBwrJ4SkAfrQwyWyP5TTEcGQuG/OU7d4fOkkNO+jNRlLbuN7lNhGUNkreA5Od gxO+3l3mETNB/DAhVFbxCa1N1/bwg3/pnoGRJYP52LYN3wNReg74yt46KHmHYoCtZiHA2ApMhhGI CdQNfCptz7sE9ygshHKiO5J3pIYJpXDWSN5yfekt90RxAeIey4ggzfiw3hZq8we8m1+4+yYiGIMm +18A0ORXWWTPmFrp0ILgmsoxzilRyKirnifwwhi/9J5Ur5Y7IqSJk2XUfRrcWbtTUvMjUZSP0T6d gcfDRRQMFEB/9+bKAM9EXduNq98XIFuIw9Js6C8KsX//0jnQUCqSWVauh+UmzaV1ONzlZOC7aX7W rwXnipiNOkUJ1udnTLwqMRjrNln5QGz4enNg5g1zD41PGVzNWHe95DpSbU/NKuEL3DKlGjsi8YRG CJDQGu2lFQU/e3NARQWPLXFg5b4aI76SHRfafzhTLej4Bj2Z1cRWWQSXPDiYu7CZ0JSIcglGctpS LGHKQPKRph+5oznURYLKqWtCwi55nfWtOCX2hMjhmPmVSBbUD25C4MpOWjDV9a98u39XPebh2uAz HXLIhEh73dlm4l7GOdZXWyEkCrUxoCUigFfrwOnkjLl0bEHtGFNebvrgy5HZR93xa7ca/1gpYJY4 LmMPhyG2EODKTC/XEBLjKbCJnIwZ/p7HP2uoDs2rhMb4ipR8/NhOamvDTjqSsXnOIoaz5FJXPhje ctxPGpSNpo76hftjcoM4jwp8UDZlUQqcqpedE9whmI97y5YTIODae9hCibm/96qLskFqRxw0gDYV PVAOcIBQLs/3MHu2w16UdeCw2acHyy/h0KnDmrOF7RUiz7Qu7ixWl4eWdjN16DR8hG0wpG5pUX3H C6VUGcKmlYpSgB6o00ezqQI07B8ONlVGcRqf/zioE0ZUUPoFeqq0P6vcxDTOWsRw2IkHVIxVMbm0 oBgHST4iyzSpbyfsHWiYNkbDpVbgh15Z2fbRATtdDVR8o3HQgc/Mky4zU7zMoBd7NcJTyoJ6zeij fjAlXw06Fw7ESBUTudrl8N94L1iVfc2Aarr4vA22lEwyyDFwdf7Nuzs1BNXPjqkrfC3A28/RdKNa vW7vGfBbZLaM8yyEsQVPzwKDNIFJJcZIxDZy4wS5waMLclzp51kCqLtYJJTdM5UOf3Gmy4JuVq70 qXaQHF+Xb358lTovtt71H4zlRtEYP9/6kcmCm61jpeIOlpMDXG9H6hFuf8bLKg5m360i/xhM99Hd Fhx8dkTpy6J1Tq1ZgIAwgs4HEyOG7lgLTmVfFW5X8im3YpsJu0ck8/NuMD3sRcSrXgPAoeRMp0P0 KeiyjdOWbwXh0lKtfivI5/XhthJhVIfeXQzeodHwRaeUWjO2czrSvR5Tj0SgkYT4RN8jUIwOgrsC hSrpwwAp4a0GQHhuVtVg+DyIcLvOVQ47ZuSfgIYKa14I3y5KtmcWkPPud121dez3L66Gsq9HtBQ+ FFW/uirxoP3V+nDgl1YX5csAArNcJKGMkf5E/+6i9QKPQJjSmhD3sgKaUhJNVf2fDxu/KLWAjYmo 0/chgvDdRHQAiY5xqsuNVBEIT80eZ2+ixpdNiO4dNph3tUoihhrQ2fuZvsfRNxy84OZH7oPoV5Lj USx5ukdYTI03n5vlCnp+m9F3lk1DimvSsKIB4Rz0qBaSqJi0jSsKIZ6DQlgZ+L0wSjFW3EjQ+UJP EWbURKVRMZ+/W+627Rf9N0n9RDReodaNfXYHinV7H0FyaVxXpfqu39UnB7HVf3JzdJ7UltN+B+y7 WElVDhbmih1KQ4ERykeG/Yb1nn8PwrJUTAVNmhW+nbqMILWeT3Zeue8Iw1m7Cjf4piqhxZ+qrPf6 OZ/s1aN6fsh7heN4OIa9X0MGiXRIvz86Gyv2dytU7WhIVj2Y4TfVeUReWTcBJswNtgkfOpYbaka9 HWgVx3ta6iui8M1i/xwxEBWHA7jinnrG7w+D74hwrgunh56cGrUrd/9kOc5DiUMFoXPvNQO3SGcW W+hyzTfFdN6f872Gksye8KP9pfZmlslV3/8G4uFfn58uewkj+5nKMgG0MXbTnlk9+dRTKR/6M0MD BiEKURGpMZpjw45sx3yLbBgkoM4QJOZxveKiO85lE7W12NEx73E6EfYSIkOC6Qa1ZeKSVazrppPn ig3xf8Es4heb5fJdfBYXdPSHnI+jD4cJiPrVkwWlDawTemQukykwlLDe23j97ABtnLg3n58ENsgg VHyRcyH7IhUD+fjL1/oL8FeXg9lkL6D3005zkSOWZPrlN8eqT6mF2J6ioLJyODhqHnIElmzcNwb7 s84rgKxdzhXX79l7gabzrfZgOYY3UcMNS/smSAXxKgbbbl6zU8x8V/hRqLPj+NJbLydlc8qYf6kA lHixe0OyUZAlk7ud3RZd2DXUtS55AafYcAuUrWSJ1QZZjdAMd45AuctBoPsR4cbXgRgIxDS7cd4n +W+Ybpuh7csTjMZwskQmInfa5upbBFTed6uXfIL4DBXW2BovJJkhUegNRaljyEHv7I5LY9LLDXj2 pCT+orJuP5NCksrfltDlfvJuqjFCNjcH6urxtgWOxShIAfooczQ3T6mwG+wOeyMdZglYD8PZTk2k CV39HYeHysUyHrSh7on8SbnVf0NHt2ssLENBNLaGkSxiqDXgkDCaT2+FLFG45dbHcVrPMAQ0FHjJ FtcBKzmIeR60yCNG5VAzHJqNZoTs0szSsQUo6wp1I7Xj3+gc8zCGHJCTIRChwk763KXeugKdUOhB JKqDRzonWS/JrZPg48LaVYyZMZ28Bgbm+Nb0Eh7rWONbHgywH6Oy4o6EHwa9KBQ2Z4l5tzH+dgIA MdaHNIfV7UA372/822SqMI2yZqQoQFjPf1l7jG8cLmIMJZ4hkwypTcVgmxKREiG1K/FMORKtAqiv QZvucYCLtY6I6E/qmSJmhHgt9Gk/4mNUtKff9twwBdnV/vO6JNdx7fWxpb2vYflR77a3ui3rBniS 0Zl+5BqLtnYZYNi7qvkQjIEpcXMW6GN2XFPQuaB61OJm1DbRUE3pTQTKc5RNRRgF8d0EFrPdNWLY pyZAj67xO7RQi4HJcKdrnDHGEqfB9UbA3YURkqSN7kagSWqjFbDsOchS4/CsYmu7UKDf+CS024rZ gE7BAC67ZhOA2rURjUnX/rDzzjg5Ilr0msuifnQ11Q/0T8KPBkhsE3jtJZMLJzclxn5kOaZnqfEN 1UsB4vOWmnP8vUkYZOpO7LnFFQIpxzeuJ4V3kUYB/ZieJlEt0cywlOkDWzxCubQjq9zu6g40YFio TTmywtarH2B6YX5Om33Ia2P7QCuYLoJ9RDvCW6ZLk3YWk7FB4RCBU27evRjWhZXhT4LBNuO1nEoh UgObToSEiVrSTc3tfsUoXUzRifMgg1kRbVL2fKcndxgHyLWK3IqdwYxMwW2/vDZsXP3LwMZ74Xb9 l7ULaxmN4uUgZ7eYgU57vvhe2Tt9uzsFwI4ypAjjukaM12PWfAdHyBA8m/aCYBCxyiUisBtEXYK/ wDYOHMaiZlSh1l/5bLbUshBn3oD/oQbYYhb6byVz+PDHDxl3sGi81GbhfhgApIywMqMJEcNP/i5y jm7t+4W7sBYq0xLE6v5Tf4lC0NUB7m02D9VgYshEoJM90XWockjWoN/5D02G8fRa3QkYS4gaiMtm TqfCrhZdW3H+RX+6hfURmw4ZiI62+bkLkLEN5ZCE8/3Yz/iIsVqqd9o0uFLGQuPcxqs/o32z6uQ2 skNqfJaasBDfCC5BlT2hN7K0RswhJWpESyHLv6ECm3CrqR3maAgh/Myd4JpFScgBM1uItQRt1YEO GH6WL3OGGxPj7z9+rsA35K0+hjLaDVp2ykRO16Ydt9rTYCZI81YkegnHqpsc6hznuq9l0cawIBc+ +kpDTry5v3eaPx6j0PxTJxQytgtsFFHnoC51yGpH6Gx3YrinfqWYC5vsE+FxrXCk5eYI+X+Fy59g GGeIm5fZXo9Ed8dZDhnqujbDfFNtKIizZsZY2F2XXeRt1e8fNJAcmH3uPRCnLvZXgoW6+CsEM5yz jK/DFdPIkNb/8rzN8m043yp9Btk4Iic75agA5LMdRjn8CzDo3iPxu36T11abiGiqTp5iU+/OQUUX WStBw6PouNV6VDvFsDolCcfn4gumqhC/pbfQqOoY4p188M/KSptgpQ664TvjFrXB6FYLCDJc+TzI CoC2r5Jaxcejrq/avIUlfOz7e9k0Vw1vXOZnLvJ54mHsqlJbHw/PN1KM6XxqOgAMAi8Xs0aIE4DE 25PLz6tBczoj8z/go+p9TgDAPZMng4hiTwHQ24M6m3p1arYbzo/QCQpk+r5NzCQctZyEEq0DOcU6 p0NMRBMve68n5sxDggXsfYH/WP8mPOLQoptoR73VKK6lTSGQM/xI6+I8s8yQkLeN8SrIARNXveO+ aPiFjGFe5FpNJhi8IC70sK++6ExPxbIu2HNiKro+dMT5329ziz5SDf196ra3DnygIHxHfTdNdjzq FcHWM0nyTQk3Dld5euD3C30Z3SfbmmQ1nyUswQ4uB/aUM8SItdxXRKpAFKdjh8kXIwnzkePnbqtu QufIEzBdrLpVlItdhm8LhHCLyduRSH0qGOesjFilaipx6KhEHGUqFyMqEr0bZY4hVrQJ8m9t777J qmyu8zRCmSGy7aWE00PV61lWEws3UnDSJMFLCOMY807YhmEZvc4nQsaUGtWDtDgrYdyOO5ub6qKV 3wuGE92CQW/Gkg6+I2oOVDhJS6qiaLOnmPo3rirAm/0CPKMHFmYSf5TDH8TgoWv74OvL3CkP3Gsb bI/0+adEnoqOTRx/+z7dii3qUtf3kcsau/XOu3gz2XBkarPOTwgHGkqtzUZCQ/58qKIqvvnalY40 RFPpeiRpzs3Y/KECLrNXHZxMhE9SHXaoSWpe4PiczSPK6k6r0WCKCSOrwSTBb+u/wAXTvUjQY16b 4xFK4lSnlutR7WVYfoVsREKZIqVO4u0PFWl06B68whITcA7PwTuubt+ZBocdfqIEwE9yxbnd0s1k miO6UB90jN57wVTgeRSIB2fLbTv/S7FxZaRmfAbZy1Irg5dPc8ZVMQhVnSohlmGTCkUzbIEg9jD2 EW7W3YVk9h0sWdD+oBm/la/4mFFlAELzLpGPeGxwpcLAu5RLFCOCgGk7VpRIWUZ0B8dA+Ue/zsz0 Nfn6xkpwXv4UeSX73GVJcinMK58k/RlTyR97bSTk8aqK1DE9Sbpd6iik0Ll+02C9GPPS0v84XL6Z 3YGlWxDLv6k0Mbsd1+gOAcULjwd1PAi4CzxDM2jxsoxyPWKFn7DcnYWxW+0IX1I2Sq0dO2l7LaT8 jyxoWRMG1rQvQMoOin220Pdh00QR2BokcKNC0C1Uu2Y8HqZTdJmQ/aNPVb0PJaLaGioPWMIyqpMz K2TJzAfU0AkC6LDKstc9wU4IxZYFVLU319XT3fgHDTOfOv4pQYb7Z3ZOlv+xBWASHVGG48Kxk8rJ RRQ2lc2mXq7S2dw7IzGbVi/HjftUAzMmaMzrieG3+y7R/mYqy0tFwgEHTdgC3cZ8nPjvcBTNWnw/ rJyXEPY5tzvhGDg/NYpt23SXuMvdfqhVNb39OwOl2ZjjHEcBRVn2B2kEjX/MqLu2VWL3IbJGyymA 8Xu+WL6NrC5eB7Uh9qu3wOE3x2pR+JNgWI8GwuWH8N4ikzi+/mqYnvXQ9Z+rPry4PrQMJsHnA94F usP7JSeM2uOH5kbZnvuNc+tNtSvv9lHTK7oafejfyIq7dwQKQbn+TH44sVD5U+fsGEDR/oO3i0kF ulNNNuGGlDgdmrzmcEsf/QOcRrh56eoNGFCn5v4G3esmptQbSK8VSJVKifa8zE13fEM/KAQ06RAM qs1xcCpQ6rPvvKowxEJDQdduPBJF5eJDseE+xvSFy6I6aEWhvZbY8aVnne3uYs5e6pw8Urop7nEJ rb/tEhgLPwG3OlNnUFQXSLq+yRla3px35ZpDDgTTOOQodErhI4xnHEyDwUlwrAfC3tts8QwynCnX hdpl/Qky5V1N7Gx2a+tpqIn9TqmyqZ3zrNFtu0GTlVZiQNm0etmeBjLR6Si1DcVyxjPBZDr8WlWw BWiFUlAnYhP7zE9xiHgyB2CQOU43OLGhRG5PMARh44B+FM/vBsoVqKMtIBjfL3UKfhFRVesanWwC 9RKzHtiltaDuGKvH0EOcoBGzo7SELSDeXD8PQvbQt/vLOkbcJC3TDqAZ7maH4+A1m0dfTgPKw2Rv IgNmOCavJNPLO58w9oToq8v1Q6zN07vqb0Bh74m5Zj3Maal2wCSyKqJpB+JTi/V2MteFA23p+8iR Sls3DkjkPNWBkIeM0QKPsF9PHeEPm7XInNRvd6ixK52sQQ9d+771SYPVrDQLCAbwUFEChPyCGw9X +f2AVRv0GbKG4shleAgt6DvF7k0R4bVhfF/+LV6+L0uqVdtUMcPDZPssZ/1ltxkmWnSYYTB+jTjl 1tBgF0RB8QQlYyqXMiDVAj1GL0fwAJYsgvrCXEO04NIPUEHEILE8NjQ9Thx3jVWXeV4kfXzFNXsV fqm7px2x5Y5Mtv9Lqty41X0l+HE41jABrgax/x9WaxF/PcsPEHvcSXP1Xm5m4g30F+rfiolY26bx 5gXPQnJM9SHGBVBD1gE4f2t+R5OBEYlNMLwj2l5CB/8AqVmJbd2bj/glPYiT7MQ0q8hFNh8uTMBD H62fNwtS4UZgwKbIhNDyAmJVlJ9gpjMwY8uhD7TMV3LE8c+s6dQgqMdh7r5JzRsFNkML3PN7sEjk X7LkBo8UG4bmLNINil3KXChiax2lfyljAVULIG4+FvDa4DpdVg1y5JukHHIQP52tGjt1bjcX1bKR hrdoO8Z/g+Hj0w28nzE3xO1FUgYGF1PdM5Tq7we8gsoZsH+/ybqnLvP5MPjtSb4afj42TiRIA0O9 YsxIEV4N5oyTPLlQjoPtLQAcT0rc+pWAgvu14ckwwmCIGYz6qfbVDbGeRNFPkU6j/UDbK09GSVEp awGlo7n5IWnLKFY0qzXjx7G45tbE+yW0i2olz+KKFbwYpUPr/7oXOOsqW2Fl8vPnKwL9AMKFED9I X/vBOtC0WpDBT2ysdQPgL1IWpzDLUAgTvaYpDiYfgoc03dLEroOOErva5qNK4jMb3LkHIP4gzoxD fPanKWJ0s/fklzVmf5bft9hWdoYs7747+KEmO/ldQhLLAzkXjt6PcBjPOMZsrDOfPP4DJSbQ7PLA lOvJkuP/FUqGvZru3+/YspKu6RNBDQWGPQtvG5ABzNVQ7Ql9D+5AU/WvsxtKW9bpc7tb308mecVh 4xmuQUYd7zF4ZFDk+NDRbrkv+voeWZEexOnzObkWyTnknvMs+k3liOkNLQVBLmHLFbPsRit5sTpM DTTaG9bg6q/E/LlYXVRk0NsD57W43uFqX8L6AYOOcjRfI6+TaMq721b3S8uZzPHoK7D+pTc0I0Y4 V1DNJcLIn7t3O28gAQo8cASY7b3Bfs3oJhIQVwgb8FT1ninO4Ivpgx7jmREDKPwll3kLfbiCgtqJ EduUgDW6ro+YonDMzX2r7W0ohFHSBrIAYWsU6LYpTsJ6D/hldNzTUBa7UTpT4Xazf3KsHkqeHMnm Yyd2u5tp44K2H76ushZ5flGj2j6v4UOhWDmMEi30MmSeIs1Npf4JTlcXoQuHph3xQL3VHiF2G5D9 7fITQzdgqMjz9v02IRQmHMymVim99xghPm4qjkJHj8+Rby+LW/BopY7NiORuQBLgDJKnIiBHYSow C4X4ZbCekNBs36pwwDRI4t9PVscC+E72KWVe7GE921LYQgjCGsGQaiRb2iUgEI875A4FbmCxIlIp MHOupuzeoyW7wq3pfFtbOqWF0SV1ZbJxNKZZmwHpfeFMQwnC1C2h2EGtB5wmHNQpXuS8Hdn+WrKR YzUpR5JHtfdmWIqsVe4ZKVnDOcTPuM3y26DePIPZfDTogxbU3acYBmGqqdKenvTcJx96NlaZyzFK PIYGU3DVU6NwH7K2/4saQTNqn56RBo2jBL2HCO9YVa9I5wwnSVYNXsaps3So5FuDHz5qqk++N/T2 KclA5Y9tY92LxOj6ScktV6gplX5ybE33UKYDA9kBK10hr2Z8o4Uqg/C0bWgjDvod4Nn9Tiw4c2Nd 9e9GV/XUxv1KDLT9bgfPXUUMnZWc/N/BO3rTDi6gxKoEDkraSrGFbF7iaUV5yjr+tNnL75AF5vuY fPDlkJ4342ZEdcRwrymjf1KDzxcqD1+lxU1yyoMaHvKy4mkMsG5dZF9e3sIgccOHkjOcm54ZKGMX JS1m9Ak0NTt4Cr63f5WJqvyL+neJcE9F+vZNBgYi1lyBYP5k7J0vW9J7GCqjyAplM3vxqrnvQkiW /L4f/AEXLAOIjrl30Bb5ocJ6AIdF9kGVUcOGoJvTugO9rVOyJhww7Hyn6zeQHH5nhR+v0h7vAtxM oxnW1uXS7O1PpVWu+jf8ptBPmowUgi77Fw+EKCeunUttG/BK8UyZwNKWJ878w1E0FA669AgVkAl0 1psEwS/7vQAdJGOzEH1ygideY/Ah+nGeGQpePjDDC38OE6eQSlB7yX4RuHxLEeVukSlp7K+ZCKYB FVSFH5kil5X8HarO923F+50WsSfSEYvmc/or0zRmdPviYSez7zPHi5kPb5m8wizxA/rhxH0fUMEF 3zQ3jYRB37Q5kMr6DSy5IjWCM7W9racTclAFDCDxqfKx/mTDxSKhu20PC2ZhuOiEca1o3W4wKxix Bwqt/Gk7a7hGrcqT5pJ3fQ1NoX2E5MBHDumu5Xx0JuXulvpqSFKVBukqL1LLppxmPNdg5v6IjsP0 u4nprDZxV0pEDHJ2cNuLjAVdpWEgdWfNM7i2ngwAxMYnvA6q206FBpyv4HOlbKhHtMeh6ocZkUw/ EvWbhPNOJTuVGI6sslEXKxaPF7D3jppIOgu3Db5nSxEdN0leQNsH5/SGO6XVdncXIw2KjvADoIqI /Q5Uked/IU+aBIhNyLAnRRlFxYO756+gu7YoRbTuC+rumKfBu4iDw8bB0ojmTjQU5hI1RH7ei6tI frgIwopRvNugRLx1k+cLsvzN41xN12N7hphwt894lczvWt0VTfu6+fDrGT8Y+ENdrqn0z45S1eV4 +H3ihwkbkMjKJkQCLb290o7fvEJ3QCtcqyJiLrzRs6reaCw9kgjct+0zxOtzbrL9VzyO59pcp/2S waTPfTehNyBC/YDxygmAYTwnotALfL6RuAYQ0dgSqzurRiar0pEwAlrx9sy6XdDiP1FjDzxQZydN xQadbsj0y2bwf4GOKoeysCMkxyoCeZ/OADt1jQAMTNA28bWh3lJcPH5DU4daETxgFQILE7RXoiob AjjVuN4w3tMjpG1UMXwBGdDA6PGWcOGLFqWzp8tXZvia9Ekhn5VDWSmimxT7KeNRH6hbgbk+8bs5 yOkTRDW7LOwvVzltOm71w+SJjPRdAyRBGHWcbj0LmJGtXdLrjVSN+XS/0jxh03YKabnoqciHSrHs x3US77p4Au5M0n1cgS0hodPHmJYONmmYfDObkwh/hxA4Xdk8kfE6JS4kl7mqUF+tKPtiR6gY6KpD oolTtnlU6YzI+uIU4ow2MkZT42iDOS/25WQZ/8kfe/AUzUuD9lKiJMQu0p+r+cwhpuW+M4Rt36cA 3pYhq1WS6OS/kRcAfGg7CklWS+A+5/KaCw8f0RV/kmtoaxGd958JGBTzDnK1moQ327+ShebS1EqL Q5at+6A4cP3iBmJptIgGt9/pPtdSOi2z51iM686KacSMdHU+7TvqlIl3q552gdCcMdRsR/9HBxoA Bj4aq4kFdW5fQ4DUWctwaas70dfoM3NPD+CP50VphrbwHqVDSVURBW5+sRFFvJIA1BZK3F+JVj+e JL+nd+FFSKA8W2Co4OET5dcdRgsSXkp1+/GmskpAw7jdeYuBLpCjYtS/dMMhrX6Z+ARaz2kqbGnh 0W8xq1Kk/KQGfbuQ8yX+DbeKLIjGIe2sGZweIbpYzu68/ize5mAwFrXUG6M707U4qPqnHTYrv+vJ blBG+T0xXRjfRsV1Qvc974isGh3ttkfZKvwAU/lms/Xk3av86y03Go0Y7/84QdVdbAvXU9Fm/O1T +rJAA/2Q0XQotPo5Jn/7/pn0Hej3UWGOU/teUHSE+5SmVc0zqv53sEYq7sKbwlpb8LzDR6yatMEb BuEHRJHJDRvDKZHVboB1Jl3TP6M6c3CvlO5xEUkqdq7qsUzhrgN9Neyd3vxbE5CH6tzlNMr8kIs7 a+q+Uuu6p239fpQ3S0dl1cZddd8SfK6qjemuiTNeIOfKAsjEfL9OMB0UZw7KfmbduN6IxlgCCTQt H+NxxtVOYwl2QOIzVP1CT3xAI8J+94gfFcqrNqK+GF6VU1M68wIZn2VK2ggBmVOZSrvnjKD5c7R5 tsajqZACTrvoKH6PrsjE6/Y3OizBiDVZrxinCv02a+qz6cSWHWJpoyKDPQ79yGBlpQ/w+q4tvfV/ DpA3+RitNDzyDxisFeH+EGkTQaFn8S9EHG/dmabumiYddHiLzPAugcJo+VJkQgojUhBwXPeOf4ng fkHnL9D2/ymqNy8FB+w1AMaxk8BHtsZYn68hy3gqm0dRf/EZQzXUJNNweO4Z7zEiPbxfXpnAolDh 2AtlEYLfGSrl/Y2/SPlYAdsvvDGSF/r3G9KLc+dOCFsnig7+qEsLFxPKJk0xQiKsmFyo7VLHOXmp G7QWrVWs7c5CbZU25rS56bpVBEqD3L4epz1SYxCv/ZSrrOc6s17hVkUEXgLzy46FcKCzUIhTahdj efgXIzSJMoKc4zCze6TpAytrXwxXASmOvdE8DSl7WSUJXLdGJ9gv0DLzMwvEN8mcz0C3B970Q1Oo p9oqTRdtvWd6Z3gURT0OxNf9qw1W86Hc4K0/0FYj9QSHTaepRVyUCQCO5iPbesoEAEiwuxG5stso SjexgG/ebitn/lXeYlCBpHQo/cXq2GqzW5skc+ZWwoeq2JhHFT07ghIan6JeHspGiZz/hX4layu1 CCZTomIyBPP3nM/8AOJGSBugjiNUNy90Sa8HQgjFO7p568fYlJIOTMhHRndEMLBcPWa3Rg0wQnPg +wyWgQwFRXjUnOTSZiolt1sbmcPt5lHbaneow5m2ed7YDuTKOPJvIsFDMWXc1bK3imWwDTZEGZ2j C1F5F5AdPraiHO/dFg5NNE70KxONhpY+BzohQC0WM488TPcOGKoBIXDQvlPpqWwpbZJZnoLyjuPD jEkkF+QriELna2ojstC9h65Jf3uwWAPVzXruiJIlJ0F7hPvV9aG7uAlLhdQh3aaO46H5U7tllK12 r2hqabs0gFyG+Vr0EE4R8O9xZXcl+0ekjFpg7oaC24IkP5zkVoXL6G4nech4+pyDuO2D8o08G0jO ksbjIFIIggnf28RHD4s6SMgLdqvJY9ebNzhQ0uW9L9LHLBBj0Exh2B7DOFg6Y3KTHkK2+XbsTq0M n37nhByiV/P1zRKT70AqpyBIlibPaeYLZdLM45PlMMR/6AgKtnLhEWCt/cIQc72QYEadbQMsZFeK PM0ZjLShiepzZ19z2GcOHEo+BM5z6RZjXHl1DwIzjNgkGxjnxFIJ/bVdswQl75fXzenGou5/YG/R t0YwRnkrls32zzuHTwwO1GdiHxuGvXtnqyXJdk72biYf76twi2KzL7cbbuWFuRqlFGoMmB4gnoO3 Tot+6rmC3c/7us5NyBvXjSwAIg7jN6o463qgPf5HU6tr704WCckKw7NfZMOrEbr+DY7pCtUERIO4 kZAhDB6hP0mukfIlr0+d4nBs4glzUSrlDw8MnTBtsIdwCGSZfA55M81ZSab6HzN40cnN3tNrYVOy 5CZK9JSTOoHUnDsMn+nHrCiJC/jgFzb8Lb1YZB2ANYC1ba0RLnQ5YjLaflniQPKq0advSJi4NgqG Vrlevyh0ByRtVp6kcwXvEwNekdgsrPZEHoST2ltji82DD/loLAspokY0pAhqBPbmVFcWHm6fzmW1 nO5YCV+18Rob1K2yRfigxnhmefqti1sgpksPnnBuRitfMJ6BFl6bMM74rPwG4hyWicrsXthRLXnz z3muQwzPm+gCGCnwhvsKL1Yo2bWUBXOYEA7vaWazE2Q87rzkl5rcW7+OriLqWFJwSWQXaFdLuwwq FF50lJqHfaZF9oNjgi4GL+elwrDUOt8jLn4rAX5ksE5d98WIlruC7v09BeL1xiu5v8lkP8t7h8fa d2K5sdu8hU9x3mwAet2ZfNJIcAjZpxHwK4JwO1N/KcliSJW6Akge6A+DcGlrlyWUsHbCOqik2xoW 7xiztu2X31SZHLovWy3Hg1PnEYOAI5l1BoDI+LEreiOSb5m7WzMtae60IgRvyCxu/WT5DYe8w62n reD3DlbtmbRz4jZnSwEttHq193wez+cQx2Oy38vzOpKWe+i9YXP+lobD8S+eCHLDRpMH7dXdMmcK AGtuTvByTeNureCGg+1cOw5CVkjVHkNeKR2bpNJ+xy6tzmZW+6HDSlHqnBI+WTWEwt4d35BmZWww M7eT14kSriVPxK2/2DTt/lNP0fqj7oJCkzb0DZzjyiRk2x7xXtxavGGwQkz5ZyP28Qe95JbEXAtb EQFskOzk1/znQxb1KoVdLzZ1z7wgeddzTsYEHfqz6Z5V7BWDiSNf7c7RTwPzOMV9YSZw8UqBFQj1 3QkQCTlQ5hmij4VjcitXp1z4tTboC25PD3Ss0mWYptz5ayH02aNnx8Ru4sOejqBuzhX61ZzxPuWn JucWGkqru6fVsjuTaMZbw6Mc6pt1lr1qtHHoTD0+EqHekDWWH1PbJIpkvW/LxAC+REFAXGO6Nq4V O+/v0N0Dq6sEDSyLWWeS3RPS2BNEzRF4QVV+a1qruP12d6CedD5p2FMh1QwNRdyd7bU1wcexB7Sw i6eZy3lJ42bnj5HuBIaan9vgHpa3Fi4Q9mMgykM/wCd26XAKbODOiEoJpRxwRo2+qgzaWHXHq++u TfzVX/RjFUAkFA1t/k1NFnYjhPctUcJnde6C5m4g7nGnQ005ZwTHkQaxTt0/XDhIbaiEsRRlrTfu reTcow5g5hEf52w9cywP0LrO5VJIlV+mzp+Cz1C9dbDqIGnvW/6pqLe19aTkR8cjih1fMIc/QVEZ cFPI8H1WjBHLAeyWY4EOHRTdhhxTk0/49yE9MtH4nb7jCB5Mg5Go9zhoLDi0fq3D02EZpOCUJVIh VdGmQHEdHaBOEa/U7Bz0BmfbjsVwnockiSiCtEdyFwmktLY2RTP9JCmtfmttq3DqwfFnQcuuTZpE SqzogRO9TCTIw+7Hq7VANkTxbnNdZj2Qga8ambQWAujBYr9AsWNI7VTjElVTL7dxazYQr0x5P6ii MpTgX40qY1oeDOnqBxyZnN9wM6NLMQCFLmJJh4MS5Z53lbs0NbduBi48vP7ZTPDm+MSq4iFrBzWC HSJHGx0BRrh281U3r44jdT+bsXd4O2vaTw1amfx8KtwlQH+nDFG6XBy668TGBXsntcDoH1ABT2Ud FbKtuHUyrsT+j/62I0iDTIygYbv6bnrYPbWD+Rb6ed0ldNfkWK5Jn5YRmeHy/F93t1YcZoUHwa4V VDnM+Di9Dk50sImfT0UI0lXKBP5ZT/j2ENxXROxdQ60gLv7vS+2PnFBA3q5V5ZtrUWtsDbHnTE1I Sex5EVCUbS9o9/gCN3lmiBt6OWEKCoj5km/nByUpQVWP+p3EFcAWiJZl9dZKxU06S4tG7vSdIDxa qzy/DvPdN73P4x8jrwWeOzsgUwYFIc5UgneTSOqQr1BtaAQZgcEe089TGGL9OCLcB/cuvGTqiqcs fCAa9RwFky5LcuSGIpVtw9nCPnp2cQfE33oYmSpGmj+9lDxq+Z3vsML5IcgjAhVGiRw+jhrCnvhu EyK58o9l7UAQ+QRrlXBEX0rs93c9FbLylDW9h/GKVi2maRuHkmgYwgMojmL9gDjvR0TXrO7Nm+v7 M/zdWW9v3buhi2//6oYWNa0/I9MzCKbgdJiLrJ3GBkYnmohdaPBurAP/qBoR2OkIbxTSsSicwA09 6vn7Z0z3GJIyOkRc3yAHy20V6VZO4794HiclLZNCEdrnAtDCriPZSeyW7fqzmHScU8SlAlAk1Ey8 2CgU9rPFWZQ/V1UYqFgpMkZPWMHKGRJkaAP8MudqDl44DLwzCWrSTm50/R88skUsDuXYvZ2HmQPy CbdmATq68GJAEICBTPq4Q2UWWJ0AIsZszp5jP9rG9xlImv/RCwkf5qlybRPC2t16HYb51cMyDHOh rJw0ckYU+fTitQDaoKnkcXzUMuZ12ksk7pwHgLYSRmmWpEzpPAQuO5M81hsa3fG3iftvi1jlCXp9 fzmPOOBm8dElubphjutCv5WLWbD5eG31Qo6oGnqvs94n2cbtZMrDbi1EbW+om+ieQWKVXKHWqR1z AWPUL4nFG7oL3neli7Lj+UNfjZ5r3l5Rk0bFHt2FANT8NNpBvpWmEjv7HMo/b3hfFrffJpehh5WP SJbqS10K3WKxpCOtqq5VvH5tv+UGFRyN4oNcDkJZhQjYRyRq4WpcemYron8bNkNp94jRqHlMdpmn GvBsMsbBG7W6nyLRk0+YbUMW9Kqks0JVn0jbkvTwpl/so1FTcc42HFuqXk6q04fjr/dF6gZvKLwd QnGtZ9+Cs9Ts8zvyBZCXBvLYvh7D1JbjM/jy1rIVP24qRiIG1jTyzUyBgPTEa8XGMoHEmlz/95Nd CWUPvixDC2YX6M8PIaicn4FjGNISATulyXRYg6frlSnH+j3o0yZxX/4PwNaOkT8S2NmpeaOg1DA/ j0P0+41LVftFUg/fZfy5Z6s9JAkEJOOwiNh/PPvInZ5oiUMcp/O38zyfkVMBZNwhnnTS47869tnZ O3s4EPM6R1twQO65ucpxoGJJas35JgzYCXJrNtKaCbHRxLzlAUWlEUJc0EXCZRnjQNYZE3P2W8ur 8M3NuUxG9mziIH/k9Jbq0niXseWscmtXMXOh3gFI77B+mDiD+ukL0q0lWUNK+dr/mZ/hyxZxzjAb mJqkBzIv5HnQ6he7jKVV/4CEU4lc0xh0qc/54ENDaz4jf3xzzUenKTTcAmTG5tF90CBnTuZt59nU zCEDe/Dep24yjPvtvwjsukYSjjR8M9NIKH7vu+kc7tfqBXjBXInHlQaWQ4czd0cle+FpPQyZjdKE 0zJH1P12ENg4BvJBLnJ7sjSEtEQJPmtErEJok0trBNQZJfDR7SXIQstqoh6m7fbns55PrZb6xDWi HbKDSEiJD62u5CL5MbPcIBfpVGUSELGBi+Gw3jb9zoQ82z+mubFZ2tD/FhmQRruVr4qHXfgYoiM7 +cHTiVpBBOdRB/ajD+c7aCSlbQ4F3hBqbNw+vuNXyxdB5VhNeTrPkYFlMkbuIZ6O61B+Srq4Sk3z oiIRJTfK8tqiIwKclP0lcWJ2JT8uUBOvcA4hOKne9YYC0GxXvZklif0fxuqZKQdWdh3jjsivyCk/ jnk9HTPKCQ+UfrGzy1L3SJFTqCwcVbd+0sZkdGFQN5fs12ndfrUgG8zQW9v+G1IhzW5EzwwaFhH8 Iinwjp5Q1w1C8SMCoqHda9xtle0wOMStyAFv2lND4QnnhBIhomc0t3tKEd6XoUn8yXfaGZGUbDtK mMNzGMGenxLQcwvAQeSbkZIH6CLpYaJoPutxDXI+biM7YGNFjCEQ0vdl5tvzWnRBkTx8VatBrWBS 0ekYz/Al6dwpLIy7pwTn/Dsiy5qEBG17SfM6IcuCLagt1IJXKsBY5ZQ2vzNC2maFzivihA/BxOVl qntoQJW+VrW0uHsC4MgjaZZBqrSiWVXfRrI6KoulHKwsWp4FlhJio48nbFFWjvqPeyqprOyF1XHH i/d82K60o4JUA7Syw5TMGZTlZ/ir3gLWPOGIZ4X2mUAMXDePmyAHQUSdWb8zejEUVVllaNEIoQeD +C9mO25FF6WfzuFkbbzhkmAvjMy84uNOVJNfC6n3oA0rTWoliPt/ke8Ouv3YehtEhx4bwuFt6dEe JXvFvlbJL9koMUJ14htlz39yrPfw8dyxRl+lgJIVQh53kXsXgzj1mYqCFrx2BZRfpXvEbvETCVWf BDWwpMekxjADxvNOnLN3cQHNwwH0GTZDKwn0ZE1ms0xCII1y7hEi+6rbxevLFL0dOfZyPCvc4l5U JNwCdwWuru/XlwGrFva/loDsXeqUb9h8Kd5X1LIzXw5UkidYbBmUDI3Ne4C3kDMD6LCPzZ9T2bTI c6GxvQtUg4eCk5XrnHL7WEXCnXXMcyCyba6bHn8NRkYWevtn8DzoTSRW6xdKFWer8Z+30G7jIAaC b0jrqRlsY55KO2LAehOiSWOynCNwcwlZhBHIW3x3i4Z9DsGzWbDvt5DKO2g3xitXHplbWLPQrgDa e/1iJwfp4UhmOTDiYHhwIff39Cbgi3qcpllg3+Z/qVcbfsQfcSIelwab+SCNou7A3ujtQcmFYO7q RT2Qq40MseKQXfeCp8FAZSLWeluWwyKOUyLUS87T/YLkHmSuSRWRjjYPONhTrQ3J0OO0Zi5HtgeK 9HwBax33ngpQq2fHnP3a4rJolxYNsCDxYo4rFge32SHmfnpPOkCy2Cy3CcLFIRwqMUMBHiHeTzvI v+G9acXWiGoemvJU1Nq0S8/nsbeAr19osNjZ2GCqxZjh9A2iV1YadQ3anfh/sP0kjrxXSY6telT/ puw0pYndneBFDk79OtQafI7rOVLexyRj2N9rrghaU45kaUTptzPVmpyuZdfmRwXrxL0XqiXr3L8R w1D499owLDTdVCLNKKq06WmtyAvirWdcRELJupQkVLbZc3oTAF+yEPVN30ZnEvPyRcdVUYY2oUns kWsriyYdmGtVU1GDiTetN7X4dgD9nCFQl1CoHOXlQlT0D6o2Fnuvn3YQTHhPnQm1W3X0BNsxlb3g DNWSZ52hli66py6mmTb+ChS7XS8alf0JFeK1DARo39BK7IttbZTv7yiDJkzPQ7EZWdVaHGAlLwZr fq4i2XEZhWswBIXGdr8MNDq1tCbanIW1N2XTaYOD3XmX2vk8yxB/N1/uOcDqtbp7694N/IiapLaT BwOC/1XYmGPEfQ5awLl68lVqdGl2WBwKUDKuPhUBZa46H+xqo4y6wBlQQvRKn0/uWshlCMwS/2in fk+FypnF7wvug5lYvylNFDNCaw6A5tAbTI3Y9oJbfHC6stfCUYudhcYHGd6LFjgOQOm6DyQIX7mV LeqUcqqGXYrGeeG7ORyKgIdJV4Oi3b6JsQl2HBIZy60Y1IwvS1Td8HBneMkL76LEZBgUp+yEaZfu EEHv5Rm10g2GQTdnI1/pAzseC8/wDZTgcVS5NjK4ceeuT+wGROnscQULUlik+1VXWzeYM7CQJIaH 4eQr8mktvr9TcdEq3ywMcX0zQ2w6hdEjPfSnhqQPOcE5FGkvUUb80TarGVvVU0IpLvOS3kCEAu3N oZzIidTjgshj6DAbOvmfJAUFcYn5P9VbvlDgnPBS1RanOHc9/2KLF5kQzFax1XeefAI0kIqUenGR ZUEXdWsYt8hOCsQdORNf5pLCG2DakSEoAcXVLXoWWIq0//eTpglGvOr/+urQcP7qQy2HpCjYhr63 TaC4+Okec3c2Gn8Nbqb97WYz2lZiGnswCJkvq5i1tjxu/rjaW87jLBPqmAH8tTvr8JhqbAnDAlNF 3/kYRnF09CjBZHg27WRDixopCywpNPuSrY6QzSXrN1mimGe9qyd3UGhaohvaQRMJoIECnULkKmtQ MtqlhKshLm5CnXR9l8MULIpZX27VF67WaSXNTEXqVVYUJBCE9O9jm9DuoETllEDNnmXpvf4eicOG GHisUst2poUFLXCCh4/gm8lCE7qA1q6g/6dnnh0MwkC1d/mdEzyceQmUJ96KOzlJMvLQU81NklP7 zg4w9/u0lbH0hdhJms4FvrHfu0YIF8EsC23wZyHB5RhUfWV1ZzVrcnk4KILRy9ypRSfPyFyIj9MF NPNpZ+Av2xnAyRVw9+GmQ+jygGmFw8lr+Zi1KqB+jrR5kifX5lD/2oYofhXCrHh3N87yPobPnUEV b3hGJa5Oko/tI1v4bCvnhN0im0xhZ5HgfWk/sFBRhTphShCWm4gMLGKJZ6qBqBrjarbEFzU/l61Y oqRk0qxcBbolH3RGxWXYgcvDjGu9pSHDt4zNJPItepg8li3zlKc3st9iglh18fuMJlLPiYIf5/gn Ru6f1VjwgSCfdxToE4D8UMA1y/f3/BYaznxhOi3EiTyebThl+JqXal1jho0gLSH4TdEctqKqdjC4 NKeDyBWLtNvu+lzRf567ciMyT6AXLwApdFgKJeHTT3bgRkAE/3p++Y9MqbDOL1FkZYE8TF/VjYWA kgSTY3tyxEnvt7Jj3l9eYgZm7SJwfQCLJuv/BjYPefTPnm3eSnfd7rgXuckZMxNphPQKaRO6pk96 PJn0M4nKcyI5/mADFMqw3L9VUJ7lizatLhF7ouB0D2Bb9qWP4Rz3aGYOjMrK+6dPCSxPDJexYjGP eismrkqwElJkxU0RtG5eSqKHleFEJ7jf/g6b9mDBCG2r68d4kyhLw2gPrstwwAa2Eq4fDpLxzsgS Xv8eLH21L39gvcIRjtlCNJj6iJzXu4LiBY7BmCTpYtX87qfL/ORHU6yP1J+OCj9+z8sOXbfrVMoA 5odRIZw+Y/9IeQ23WpJFf2w8E32Di4+I4Ln0Aj8LEVMEhJ6LBQTNvfNr8//1zF799HmqClbw2Rx/ iIABB3C7YJQPGEcj/k/VfFkhw/N8rvJgQuovrwrHia2cneB1WlXbyRPQYfujLKVzKQkvfPTaRHXY zh+1F4LJAfZj303k4sZGqvD7MLYKRYV7mXUmr7Uwihjg1wtZtKwdgqehnGhl4nZuV2FRrL66peyf rBPBCoJ0JyU+ZgU5PctkjtGAI+YCffXbOYanxm35TPNs1BL0eHMYWxY2IJihj83PjOa7TCVP+MOl jA1+RkBjf9xnIuagQEQKmAU2pclEEFOPcfhHarpqxaf6aL8dT7FfWImCk5F6BYFV8KFLkcYE3WTT qAha/KLXeZ76iKG7bLuHphLAHPWbVPWTLxHlvALX5PoMr5XKG2jsUTkRZF/t9RaYzIMyualiZwIv 8nS+V161CPdFixCmY7TV7vscg3iePXSJf07V6QjINUiRUvOMaORuNtSwbKXFPuTcE6Ac2iyJCrz0 /TGGcL1G45+E/RtzXhOze3wHxj+e3dnS5SDTFTpTAXZ1OQhrAnL832toIV04sLRh4rEnetrDwaIH IHrMfam3pcDAe9j5Pl1uWyWtk0ufFBmwpCxpw7QF3wLFc66gKvMrd3el3IDKYsWrJU44pPCOfAlm 8TPqF3BcF37bIzmB1+N52WFXMzr4THD7VW9vU3yGv5meCyXVuDBj5cKpIMBDO/f8niytmafNCSnt FUN8Yaa3nhzFBQzeCOiZ/6vkbqyZpYGUrMfSVoVceOnA87atp1e4YQOBXRH9tO753DB4lofQGM2p BR6HlWcDZfSPmqFLbU8+aOAplkimYSbIQ1Ty4XSIU24V/swSrkw6e31PYHu2dGeM5CzL1z0qQMRl C5UNgvAgeISYRXmsz6kIz9yuyaA33Dxvpv0Uz4n8WUmuDFkxZEycwPA4kaQL3OfIZcqot+9W2Pdo 6PGdeHDZOlcTC7arCi0FbtuUekCYgU5G44ZlHZEG+oBhX5NcAzUsj5fbUY0OG0cHsVgHlrDehE+U CfC+hcYKTGhCRgjwivFaOiY/JyjlSeEYRTv2GbAEEVvPNQwepCJV5xvNqMqvarcj/bqtrXoXjIhl N2mdd5Nz09Bv4rRSEwh6WcgzK/z39O/HBtGqR/exy0PovuGXLRkQlrc0GVnPVCQB0o1CFAL3C4EM kfoWuKvX5zeFIHmZTonyutbIyS1xIvspEhKm4n2IHhJ8USTqpkI67FK8RHRcGnTbt51m+ycV4ImM 8csU/Q+YVa/fdIbREWGHWcTq9FLUDemh+yW1sbeYrClkwK4owecqmVYSn4alb+sFilk/E6DoJWlR 71Nb1IePI3+xFXLXnTiHWvhEDsIR7zKLCsJaajtGdw4zy6ZAIy78kQs5sbW146AWVUqMf8BfLhLq aAksbA6lipz67B1JkcW+yULzUhOiDxDpRO61J9HejJ3HPjBTGHD6GuqSma8cP8dD0J1TFXGkd9II djtydF+lvqfOfpNP9kxs1PXys0cE2iIgstPGprG8Px5RzekVo+hb/fNqB4q3hkyBRnUudNoIHxRC 9Q5Y4T7lKKkWh1asCYoJiPuno5PLHtPFtOxh+mEp5MybO/phXDc13Z9U4vL1X2/sjidEtXd1DKjj sXd/vyvibYdhbW9+2DunFsVFDajZyfIg2qdAJXzclHNckQ5Wy1znbf5BVosiq4zODJh76Mrzf6UP 4rg1wHsKfLo8ZjgNN31grb/HvRkVynbKvHpH89mIaDNiyINTAFeNu9o32z4AfQvY5C49nrXFk/Og T1ad4yUgdq7wn+YHybHBdBkk1gCQT0ie0jXGW/U01QaCQ4O8ffN0XyYNED9IVYSJ+v3he/XeyNhN eQXOAnoa6Le8yTq1Q1MJfq8/p/4jwUovpXvEdRjOIiPzY9nxDmN6R3s5rmofFEZEC7bWzQE7YuN8 xG7fuEbEa2fCRYSD5STi6TWLQImgenyOspVxyAufsDEzikY9tpztliGI2Yuly/kbfY7kNrR/7uIE C6miMs0tC3yRJNYN3n15vj2csdpWqpZUmFgD3PGOSJsnf3UJILuI7+tm22CI7kK3tE2oJVD1cI5l Wtj+QTHFJwP0az1hDw4X2+upDWOAGyRDdkmjUxKosTIyjFutgklXiYxB+h/UOBWr79qcTbDHqeMa 0S2GcvTn0jjPV6U3sOtlP//1mqPUYOM9vcX6wgyzX50yZHdYV5HSDsRVDzlOw2HdI1Y6nmOPRt9b dDDDoFWW8jD/pSawLX/9yYtY6nRV5Mj4Jg24ColR4O7jgQPgDfX7gYfR6vsa8QmmigFB1b8OSboc OuSQWtNR4UexTKQVEd6khSu2cPoFj5Oz3vEmECFogyLechsIQLRl41isaSAiL6yTY0D3/tnaDRf2 8dtDUTczGm3AskBEAhWeiU+yudIdwo88gQDbRp9XLURBEB2PKos893kVWzx0rM+Ht/5O0Z8OX9zK a/TG3qh3sE7zTSSZVXG8qd/Y91owgM0f7DqZ38B0f7khvl2SYoUDcGuVCQU7Pf3W0skai18zsG/V XOgr+Ri6HQaPQfGtcAAoeK8oi6fGElwQigQOfKegAfdxxVV8PJJ/6wdAQVYcJvOr0H4K71RyJ5NC 4tosVeZtmuJX/jbBCP1Idxp4FPiS/Vfk1X4diSekl46/+TTKAp6QUp9dCyNaxibu2uG4l1WwT37f G+aVgdSzOdcHgAKzZKAlpZN6rsmYPOcI7TYbpThC2aXaatnIi10F5S1r9lczD3tLb8OJFQvJ7GaG lkOoMAkicyA/jcVwVd9J0MFj38GYkSLOEhNuRKVy37dihNuHhge8XIS5ndcN7Jz33VvB/X1VzYLm FMnGD417fQcs02EjqM3M7OsskYNVKue2dH06G5k3Db1KP2HOwCd3cQGZecMs0APWPT3xYO9oxPmX rLQrOj7ZAMgXHSFAuyAoh8QyPYjVJfcUfHPESfhVdrcZt89n0AP4hSGKtqnbvgvs/ApH6xk89jYk +rzNawSnHILaiy2s4d0i6RwLEzK9X2WS3HHrWwfn6g/fwWtHooulIRB157fg24oqcD5GOqi0U3DF gtvnsQ8YtBuwF9RZvskTC7+rTq9OnIwSx2qwYXJAboN+balJFLuMOi836vs7AT7+Rzcu/LRXqx5N 2F9re3CltIiJYUCoj4tT+Zzuznry2ufsDoFTgevKaBHlDwiszIVHQ+DuxGLejUXsZxH7aEsoeGUV KPz+vkltuGtq28vzFBw6oRMfD+zZ8/1FUBxlHzBUf6n7jRLNCIxjdpL+FGRu9ob/x/U/VrHA49gg DW5iowin7nQxuN/wzyOHPCt16GcLmegqzJ1E0vKg1zhzBXuTLHn2y3dBVtayp06ie9dd13TkeIVC Htxh+pihUFFwhSU+lOBPSidZRLafi5k0i/ZqdnBGXq/I3TMnpoeZncIwKXAVqtWGyMG+eI9X+Oqu qgOdW09c//8ejkg8cP7hMFLtgzMscV0WoofXDU7Jyv/9iUh4rPQAbIangb0tIb0Pe4UIJRWfWC9W QT9VOO1KyFF19tBiZG6WKELQX6aBlQ98rmmv9YD6fNDxrkyDefnV+HUY8Zc732OY73zOXzQFSDAw J4CMB/vfr8c0x2UFonbWiQlCSSv3IG3o/3R2IvTK87ZN+//U9+Y5vF315Wud7dFmbVuTAtDI1hSg 1lZo24iDHoCN5YX7EPdpE4MXKXn48R9a3i2CoFOlPOQ2wpi5JyoiOfa994j4RS4dxSxTdqoiCl9j 2+mwav3PsGRAGiaJ1qbQ5qy7zs1vQILrTkLEvrJA7cGWVRQQJ6o9zh9Z+K1wE/dMG9c46W1m7Rvz Vf/dT7Z9Dtmd+jcm9rRVZw43Wmkl79QAygaaa9KzMe/O0Dg38i+ze2hu7hV2LBF6viwTqAi1+tjB Knx/oy1c+JAjoJczkMMdZYZZ0xmOV5HBrT0sN1XO/XsJugyUbDlbfHn7PpjkpbP1bsedNpYspJYW e3WQjauoJDm5qH+eJKWpMccWSmYxHZbxRslh87xbTjEy8wxn8H1G6Md9fndYnhMM/RnKJwY385CE d4hEIUx7kXrdOgkxiREqrF/U5SjroXF1Ijynxv7s8C8RIJpba/dP3FL2Z40herQG/0sCLz0Iw4Jt LaQtZGtmZD8w+ikI4Q3THqWGQ/VmxXnQUURFY7DWH/MYUWuNl8LkGG5Fm2QmpjW7seZdRUi3oMer MBlaPcZWd394K4TX68WVHIt7IpK1YtMKrdY4uHp4R2OzIdTZ8HqhzocI/V3oH7TrE3rf724f5CE6 mALi0OMjSqDkI6USfhuklu1x7hqHtLaa306T7wg/QTWmfC3N9cQ4JETJ+yP0jxPMMkFD5cV5mDet ZYlR7/Q9mqR8AtKbSW0zG2jr5oDXzSNKFKtLjrF48Am6GpB5quxpVkXIjSTr73jTpInrKSCXFvC2 ZvOvOpktmHj2siuFaigEpTOzckhZBzk7SaYLBch3XUqnFk7/tXvRmNehdFymqIBsKnK1U9c3tRE9 JoHZ6I2VdgjOhYcLddbQLSv/1iLRfkuJf/hlK2xoLsmtVeu5ElzPjtsNM/eUEDh6MbFF7QkPHkFI m5q5mEnhS8qi2AavNksZPH6+nXyhw4jCuWpCIz1oXhc+257t2xm/5Jd2Yk4w+5U4kp/Dd81F5fMH wQFSeV8eQQt/GkO4UF+wn1Gisr7GjcgGDWjiMIWcMR9Jwuwq+gtKZdSSplsVvcZUm0BzVArM9VhM V9B04fGyq1KOJp04/IKwc1e4Gc6obnr5WEdImbtlgsjw+cSM8IW8nEEJaW1xZDXhIkL4Leoa3JBM O+QF2GFATuOcyYqxWqH9oXdB/29HZitTMpXmq+FqI9fqnUzn+VVC3IJ8U2y7bDTlXC8maHn0/PHo YbpEX5nH7+3p2WW6dftphSezjqoGwPIQtl+LOyY0E14m/qr8pTkGmPIGUqehhSORkwS7cds9tcxl bhuA9q6Z8KzPvCoKbn7A1zf5bP9S17ESw9HltQJ77/rKN4MpycPivRokzjwLBpajAE2QpDAKLXA/ nsf/Pzm/xKKbjVyUZ/SQvHPrabtLk1fEzM15AvyTuZfqUCR/5PKxEMPnmYtYfVD5ObPirvVPi5Rx oTVG4fpUf4VYn2N/llFJLEQv3o1VpCo/daHCmj0picfoZYvxBHYTxjYjR9AKQ2xG7RtLfTe7Bpbx XabmaspVu7EL91RjWnngzAoZxfHvZcDvSb087cPp0BDuXZ3XtXSO9O09fYvSsITKfZa+YGOLNVSj wDkG3TVha851xoOqrGE9GjTKFLWQBQZgSTFYnFxMcOcXnwG2LtwLXIEdGjsYEjMrE2RjnFjokrN9 adZFMZmhaehKbo17yCCzUxW+mlCFLfU2pX52baM2sKIycSBe6OAxldgzx9UuvwmMZAUCeJQwG3uy T1rsSmU00Te/pFKBO2XYLaZkncYlw98dgAv4uDBi7saCI+2ES3kd7ZtIgfwni8GvdvxsBJ7p72f1 Pji4vq2Oc1DOsoNJZuRxCrhTrsXBkWkyhd/7RiUvQFvkd3BEzNJmQqvqWKIUjzxX40J0IX3N/dji AiuLZavtJaCILaS9fYDe4GSqmh4Nn3EbtKr9/bmV0b1jkUUxMkAGGG1x0YGO2CpSIpKHlELpjNap IAO4Fwd58Di9oUrYXcwkvtVksjpiKpyM1HZMIhKAnmYZ18WQYoVKquKP6zZ7cyBvoBu1cnlekwKN ZLwrqkEnJNWhl/8Su9hBn4u+2Nk1oNUr6ZHBwu/Qu3EF32hLpeXUmQUytBtB0WmEUE4TdIUTDoFj CMkD6aFVMoYYd4oBW6p868PEAdHleTIaGmI6nnoq/s8qIx5k3C7MCPQNx/qevTfheePVeCQdMMcJ A8/ys1+UOpDEpIAUO4aChBEomcUwKYbPMX5e3wxNzA0eZhJ2ummiH5T+yfHbaMAgfhOPD+Ik3b2E QuRHP35rlEJGbZlJR+qehscH7lPGBArC3d84DDLwzOzMnexzcfY5z4ZMwZi56oPYCPm71IX10fx3 dbfc7yGlkl3ypjA8+xVbmkP8Pe2uvnzHb7ZQPw4xDAI8kuA9y1iJAdZyfFlGoDSj7ziD/isr3c9I MiwrzjSnSP/oI2e2UY2XUtDyUhTVzKSF91A7aR3OwqvQPvVNg0xxcjtIABuhX3b48LkAH7LuL6Lq xqM9vLKuKCdr1Ql+2v8CUVShn4xO872khTC5hfqusLJKMoyOozJQ05S39gs9ZNAHpHfSvyiyERK3 Ffjn5Dz19xdAAdypNipkqQTQEKI7JB/uTCSOpwSzQAVdTI/Mzvfx6YWyWjF8NZ4s8Tx1MLSkwp+X UHxhVlIkAsZeesYgugwfqovg4ilSLpfPz4dDmwAgxXqfAm4yRWDCFKE8o6gbP+vj6/vvs8tzc0dE cFVnjC2L61bnRday7RICdpgKC8gZtpYqatQP7LYJLO20Lg1XW7pBJyxRdQizOesSXQL4ayBfkyKF ysjUCA3mnXcGV5aLcAczbO3BrsUYve/tEafxBqp0CTEq5Q8Bcbh9C4nQ/6l2dPTF4szjECuauxtl WpXkdxh52jZwfr+KMu7LsLQEbvi5pc5/ubNmpuqH5W+qMJcrXNbhMy9rDvMQhSTAqHNI6bxBXiTe YRWPGj5HxOeQezsWSRUme+9yc3dfYkpUeikA0mkvh5Fbqeeuc1zC628GQezbW+BcIWVWxa1xtwVI dF76XFbKvnK5pGloESlgrdM7mRZM4pyVlQD6D2rMPwGSnbXz8H2mL6Ycwrc4ONR+0Qfli2rFkftm 2Ga1vkEkxfKkUpFGxockHL7+cxLdexhWLc3hesSatcM1/BfJE1a0gLiN5atxeMQcFio635YvbMV3 yGFAxTDtBfEZOoLIcdtLcfGF5pomgRnTX45JhJMJZuYQ0o6dWnFls9wnAyFR0VzBziIEoHGljetx n0cbCnNT9V8WE98rPa2CKYp8fopzHhxdRI6tSrNRWW77j51wPN6IdJP+2uG1uVqIKgMRWAXps1k9 iL2Ll+nFsIabV72vPVHLJ+Nc08oSZv1OjJ+5e7HCAU2KWfvrpLL2jmWO/GItS6/vueL5t+WyGytm LesR44mwpggjibJBMC5L/H3t+4BEgEJ1eK+0q7bX8GVnbpT5gf2aPHEGJUA20VTUpaFu3H6Gfd+5 BpDB2xjKmg+ojqTtl3UKy+XekPbePOvK7ksUFR790TmLL3wJ5XY4UxyygxlY5aeBXZu/gfISxanK 3AQ5wJ3pyiiZkekUKGSHfdQVr6qHP5vtJXaGERlZFAmX3RoeQ0gb8Olpbl978JLnHezSuD9L0WOp hiLCctM36tvL8FImWesF9T68i3o+hS7r6sRYfJCEdzRgVq6KZrtKfs7UXvCw+q5ZX6GJiAUw4jpo 0T9UWQn5YoMdZAIpeDbAl7hPmGoQVIA0H5SpjPH4YATHF7GvpHBlFJSJeBv+2AgS30zvTKCTZUIr gdX8fH7h36n542F6VMiOeAxZg9X8JapBNRFQNSNiboryphVfeX8iUk8UiS3TNqJay1GZTypIS5cX +bZ4CWTbSeNnZ05YoMqyLe3ydQrGpo+f4xx5A6CFbWG4po1qaCvlcp6s8oaPl+LHpqPnzKXEmqJr b/oGPHzrGrw4xl9YVJj8LAmdKiSh7b7mPrZJO+Bsr3lWZ5pk3UHn4YkDf9+2Q1CpQa6VUl8ooMxd tbfPDpxXIS/bw2kFIMSmq16Ac/ilgEsM7LY9Yd6M0AI8Jx9EStSCjaGFHGyUqjLM+zz6x8sl0GAY 1/DffeDf1Zw3A7qhBtW50K9AbT6gIN8GUJJh6hCjidmxnYCPh56Yc790Z7DBopxWn6joZkwKhQVe 09LxcfcWIRZd9RG50UFYK+w+amEkh1C5XOlko5hX9BEJaqalbNxhKmhS8N09WWjut2PuuQjICLDT xbNC20NSQIuRDAc6aOLSjf2SNjZ5ej37VmPltNYHpKZTmvr8yDLqTsYyFbLgCM4BOamDWOF/ftRe U6BM6u0nsAL+lVMe7k/zs+bMfU9ngP1evlSYkgsZp8uwRD56CMskfYhvn1uMvc1rwx1W5MaqQT/v 1pfoGq0DtcAKlW6PWryPScz7kHFVatZDxDGeIPXvnsGuVDmeCVrZVNF3hFRxxC8v/uofCP3BA5zj cIGnKS3c1ncxpFA9HPgdN9xlZKk/iEupt+2qRTE8BsjV77EykzW9jeRoUWU1g4NsFAmGrouXQINV Vyqv6li8gTGPU2X+B5KikIkSlaWm+QKZHbmIROpteGXr177WKi1Z2fLepJxMcBTtlXqJ5UGPTUOp gnHf0qSWOvIg27CGGvPcFj6oTNZaKcMFxPxW7GJc+o0Jk+e5W0r1mzkSKBbnHz9JwXklTddKR8Zk SHbLt9GCDL3Q+LpFh03/52vI75VGnx5cjzJp26ur3P7XZae6zrWhInEnC1sTSbiE45Ys5yfzqOkg oBGpsScqmGBSEWDwrUrsW+5bI6DrMKZAy9WI02z+nYVsL7/nwesChlF5L6XoOLizRnzFysOCIqvS bTWP6OjN1TGH6c+UKKC9UZAFjZAu/boYYRCWUNK8bz8XVDL+ODN8IG7CQ6n6PavSLo3grGGMiR5z 8XaPBIVJkcQ6o039yrS0T0MaiSO/ZdF+u9QSyeDqrssMC9OTZfQBATBsRz2FN4jgW4TmY0SV5gVa B6/CzJbJ+Xg4yFi98Vo7QvJFybU/SV7YIhX991RyT7ZAu5Ln4/wosquTkbcM/AOn6j9iS3R/yfl+ kPeJlmHiS+uN44lW5yHMbETlh6sCK702CS3EsmwCgudoTOQInA3J79YvbxfLRZZnjQLFf46qBGNX E2PmA2vvfQdNGjsTIbe5PulbP4Uv44iDsGzATX56sz+m96oYmjaDlCvEajPMezwlo1lEc7r/Y/Vy 4DIqb9YYi0DvToqONAn6ztanIgT2enXccmz9rvDWhWBrjuoEIIXt8K29DultjEHCo2NQSonZJXTy oOIXYQ/Ov0jHNOPAxltRF2ouCbw6uKcr/bJNEw/Hn9xGCoXK0oaQHZV2k9poDPykU8ugkXJZcd/M 0GV3SGNSBNfQEavqwu15lp97iux5lil09zTsWgrRRcmJzmlSS6ZwkpaoKgZ9DfNBSIbcmHTagXfv Z8UE1KM0+PH5EUXxQaVeSh7CfTFz6qarx+doJKTRgMvlO9ckhpBexSsu23rfAa9xSgd1DQLUEnai t9OZnMDOtTch9HTAaKhAupZ61Jdcy6gYrypyffW9YVvQl0P2vh+zo9NJIqK7OJ1pc7ruaCPalWSh TrTlVxw/5BeBpj5Apjj7EAPR7/CmvHRIzzG8rlJPCPG4v47YrOTY0b3uKmW8hJ9IjAvpDdaagIIp uN0ZunJquctWJXrV+gTE78zMmPSLhHPdpfCjz8CEmg/oKMMCXHsel2EduyC3OLkjUBmXNOEs5YYm DtWplsaVE7GqwjqapuAhSZuUhoBY2y3YoEDomVQX7jYGjLGKAvhwqU9xjK+uAYdQk9V47JRS0/Vt xjSXrRMeKrS+j4UktTBgmYUqfxaf4kRagk00UM8YxNDdkq4VeIls3diPHw72x59C27Nz7aRblgmf +LM+z9nRjCGfRMjDgucZ9ZJTynB7FiRlrD87u98HS+HjveoT9f6DYokhnGtUzIMt6tvZNczvgDIY hbu+bQw5yNvWQN3BKk1AZBx94LG6kirjXNuHzrM6UcgYRPCWUQ5Cvm6LimMap+43ebmsS2s7bq1Y 7Om9Ttwo/pSAAucfUIYZiHx4rbf4fMtFm72qdfReQrvhu/dnCokKVAEzHfBFILPpn08LNatxcD6G jpfEWXGb+K+8cio040m5CpeBsJ0+7xBe+9ReL4oJEsfRyyFWOHD1ik4QKg1FSPzTfcvGyRqFpcP1 8O+sG3GevyDwj3INvltr7PX6p9BodEye52WK8yXVOKRnxnseh6LXgc4ZGWSxYLHZViALkqxh4Xng 3U7127OQqR2tdFlkrVjT4MfE5G9olYzjwZdpkJQ+XgWUhD+WOkZSBFQQxtlOtq+v8Oc3WigSmK8G 8phHgd09OT2nh+QnMh5HH90cG3WmDCexVv8M/gsb7qEaHi9cPAE0e1KSCtXBqpKZgMYGvBYSkWT4 A0w1bqzW8LV8qpTJxgYCoWA61kMgOvH+9QxxSqA48qQHLFQpGv2MjecHeq91qwLpi6Ho7lSSHidV hyGHT8Jbi7u/IxIjSdaK0WZb7cbeTvFm5FlH1w76OsIaHYxyqpso64MLRR6Xt9PglcH/1yH2ZRwB irPekZ6QRJr2A0W2IaTpKLFMmDjVuu+m9WLqbyvMGdgNtVav/+IOwTXx2tdAeCnLmaXFiv5K2wYb k7JgGK59IvCTvK482zTQk8MDDkrA+XKakgxHIY1Snpy4t2+2veZ2+n9mk+wX5R7KqN3hWTqd5tTa IH+h/l1TNhtqQQilxGdQOP1ioRmUGLXWKvNLl3HCdIMErBsBw9WWAHG4wHAIcJwVsUFWlnzsY1b0 oJ5VSwMqrfq5pfCOXVbzRPIN4OuZW8uKq8nP/48x1A9dx2DMsJYnBKrYylyv0mhnXigoq5+EDGJw +HpTQJ8Ej+HQjSbTIEJ6UOmPOembT/RcNP1qOR65bBnAT8xuC1y1Nnk6pRlydtAztUWaIQyob8cV 2lyLcscZAv6IZxm9m4v/XI1JtIlOSWI756lkViFk+ghhxkc05+fnslJD+6iXsrZL42zMAEmdbwwn M2Y7qNxLDmWvDKCFq4Ttp6PCxw7Onumw1qDQgAz1NKQScxLaftwbrQxeborOn3GGabJOv1VARlpn jnzi/FnmR/gNOC8EkJ+JunqV5D6pcdJa4OM6JLHwmBtmsM6+9Cuzj3FrdHB8uhmNopaOWfP8W2iX Jv48PfnkqI7rJy+ksI5vCyYTekQqzjJ484CnIBbJrwf92Z6D/x6MQbakNjorFQ1iNMaPAdiQmLkx 3n9D3M1nQZ4rjQyCKMG5nF9bxU3hLOieQuSX4cCoZkaKLq+PeImRLEJJQUCxwY4tqmjYroyXjF2m dCdUqSZPWwhE86FKOkmMgb+P1HJzL2dvStULh/WNKvqKqjBnzpUhwWrTaE0NuW/hLHhtyaOZ3poN IcdSUzWM1pZT++otLsQiQdSUqh8b1pwIJN5kFLAAXOu4kjyKkszRxTkgxqAPOOn6bvrg27U6sEvV 6D4eU8UZqRjJQpGZSxg/AmKU7GxR+UAq8fENPaLupaQqKgBHAHOo/+wrkwAtZsubhfTePEUPfKdV 58wl/S5olcib3VtSMjde7+ovYFD+W6yvXAedXmZ9JnJk2JcvHr3vq07YgOE/VoiyJ8OzOKuW+fBx +/UUa73tE9uyoJPs+HAP8i/NV928/Zm4mf0D/+WFdBfEzHhz6RlW/XZ5xF443jW2ubAcjvHPFtmM 7kROD8aCW0sA+/KQScARYONQ8V9iuhrF/jxPYd3oEu5IFuXA1RHVMoawrU6uRnVXmmpFbsyYjXbk 5MBkZ5vc+cR7+uxaBg+pUsAezXiLbU/t/EjYDeY0FRk1msKsHORGDlGdbXgUht7Vnu3WLijLRBGt f4SQOAUvrtyC24KTvxP3DPo+6kSUIK/XNJ3n2DUE7qfr1+mZbMXPRORn8y2bc+f3QtoTypPvZjYA Nz8ouQX5ElZ8laXVS56iv0jKSmM5krNSaB7x8WGabvBhW+s3sdJ0OcuJfuooj1UP59TFtiP3HS0v ztUphovt+MpO7melSQWoKaU3kyPzWVf+FnSf2a94w/yoisxsKyTWaA7jpQutoa+tRVowEMygZere OKL62WZgHNSmGiD2ugzjFODJ/mjC/fT5A4W2NiH7adAYLzVblGK4qL07+76DCL/d29l5eq9J6rd+ QokdQ+rkFL8OcgDH1EaVOZ7RMW1hasmBnXog7K/1JeUDCcb5hfY/sH72VmA1Cn3Tblc2+S8z9kUd rv/HMTAN/n5YFah36p4g8U5JL795NSLTx43S9Llh7sTVB/e20fZWI9uQ4pfBB36UjFh+itBGyV3p CWsPIGd46zVBr3K/7uN4EvjbA58eJOa4HUsKG1akvlLUjgSuSVZyBNnYaNZ45Bn49Bj05/aP8HDl 4WoqTXZ0b52vhlAOsJYcDMuLk/hmSwpSzuGmUwymMkPJDXbEEXzz4oVuchDs2HYbc0kRTn7IVnke Wz211dZIhCNG2MF1ZJUrP5CvQV4n+MZ2T6QATk/SWNKeEzTtVr0SI+JIvLCAuhvkO2shJ43uAsux SApIPcRH829iwMAMcpRT16NPF4E6DUIrrBbbR39OhVcndE6IZYAF+wWHqrdKQDCS9m03crkANpp0 YJNOj9k/D27AvgYGdGaE5C+Fbv4rMQPg+LnO7uhZq/b+GgvJofvyxqCY8XFmH3F6fBAtpmWqLglG xsjZMqrc+rPgZCux+axkWf2EPJKkvyz72po7kwWEmADCVLlk77pAJapE/3GYJke/+JQHkdK7ElOs wBpEJp55aQcqceLjIIaY/F/mNMP3otfKu5jhp8QAb1Y4X+bYWSGm27oldNOwH1tVA5iCdFiDYm2e pnXXS66UjI+uRKCIVhBW781IqpuC675J2+cuFHGSNArFPZk8IAdUrRW8cubm5nzzk+NIRGny+25f gyhuDP9zZFuypZ2aKOKwpOFRKxs10JElpP8C3FAOWuNPcOnZ3xopOM7Dz0X7BaPdYkDBr17Zxi69 Wri3pX3I5i6XJgqQLU7HSoEJeZ0UvpEocBM8lkqYYwji55YDr6ZAAN+Cup4D9mKH0PCTTvBVBN73 VxSH5wMXheJ4nCqLSaW8QyWSPWNA5vTYZEXpj3h71wDccvTwBmZj/0MVtXdOxgsmK5IL+RSYywYS 0oo/GhDr2QUY5hCjmxCA4z37XlOQLeZTyeQfYsoTndSg3yFHJQ/v2Y657NktNrddljEI50u7m0b4 UJHKItEKsqXq0nBYUtgVsXjhBy8VpRNfj9HOsjwdUyS/h5JUPZwX2popYCAFIAKrg0P09DtPFtvq iq4VFktoIcFCpP948TnZd1lHNBEz4g/bVsWqI/h99iTQubFmgdiC894B+1hM1TswH1MBCpmZwX2O bEqaVeC2GX2gtecVJGXSieykeU3G+vJZQVTqw3WOw/VVQcIACYGg17HQE4eeGCxASMP3Q3ApW0ln c3b8KJ0CIMV8O4nDE4W6qF/SUwsFm9wDhsIPgF3Ra8xIDQvR08U1vH0rBTKAb0wcmKLBb5NoFVVr mYG0RuY4XVf9kxZiGsZbdwfeSwClj2yaj7UOKcVU+nHQkLkDHRiYGHHb/+jnlLVH4YpClE83Cpu0 IpCW1UqicFinxY7E38DX3C2Zzq8fC41L4M8GAMKeliw7EaKbMDD9aTR3BBVORUXyGrbQGjjANBiB jHJ1XGPOOmY/pyezjB88pexWMi3GKBSFbus9yo8szT6feZ55w1ne/MQy1GohXYwY4cyj/tmEJ1JY 7YAP0Bn+RydIgzrxc0+CKGBJcU+aCw7PwDMXANzDZLhmNUBnxagk5JrzUKET5n7Myuh0GEKSjHd8 NMdc5ZyvyaP0z3T0Zh7AxsoHTO1eHIXV1mRVA4DCAaQVj6UKb51NnWzJBr+zqlbZhBbUmkCT+G8W prRc4WPFTpkTRkfzqvZP+yJ30c33zZYr1c8OxwmHoQt1GMrgG4H71Q1pua7Mce/LYJhqm23TAix8 GnVCiwoOVhvtBE7lwqKvzsi3sgy0xdO50fckQyDtRE+bJ1Ihw5XnL1KJe3u4AqwW+87lvbVpi2S5 3ysemJ0L5nKrZagkpuc9TKx8YG/dQJlOePl4CHLTvgPDINIcgSquqGpMHuO+DS4otn3oYwL5Rnvh f7S9Z0STS63s0cfccXQqGnFH214lUr3Rx/xnJ+Y2cewNl5XWYBILk3yGONMk45/r2eK9XzCHq5Ex Rvst5CZHWQhLPM8/G9grrqsRfNkjan/fzRGMtZ7PZSxx+lkhJ16GiQVRhaHqFJEffK4DaKutfM9q LuJrJW8IaRkr17STNx4lE3YyKXziZotkJRUxpMZMRDmh0EZfJkiN+HXiyLMh5qoysY9C5fSZPg+S AcDBIxT0VqYvnqovgfdaCDTkLZ8OelhwioEF46gpWmBaCI9iSqroVpCbhSZTWYVDTY44hnxRn7KD HaBT4rStZ2fl5hXqqjO//aaaGAdA6NYNOCBAm9RHpmw3InNhCR8+WNtKJnf4/uvRmaeq8Oe7w57W mSbf5vdg6P7XCLdGU3OYSb4V6tEUE59GzPdT0VbzV3O/j2072QayOBQB7AocD0b1pjcM7qlvOfw8 4IRzJQiAbWO+zSbu8HTXdpzb/fEEjG+QmZunsAbFbLwmNuE5d0spheUbNJ6R+piY8JCtRIxiLP0H uyyTTHCJWwxAQongcj++0FHCfPTv4nEW2YZdLzM3hF/Bh3NTOMKeHHo3B1w6oEPk0sIWw+TUfzG9 qGemifM0yKaeDKCF/rtwnVHZWAiYWEtZCSdUKjWh+jMkrNrLhkoK57fMfa3yOp1uTis83r/8RlAQ itg5xb4KW7UCdbxN5ABlufwu6FvK6E4qULb2fGkjJCE1AtTAe1nc0UQFGK21vN3mDTByfFPh3awZ rcILnNrw2yQh2TBc4Z7JE/8mLIN4EiWpCSzkj6ttV455oqK6u0wY/8Z9IW35E05eIqXlOrZEWcBU 6lQqUcpInsO/1FhXkoUEKNr6OR4oTjeJ3x/iUBmTU6Nl7/Ztd/0W34lTsY9Bay7WJVLVH0EDoEf/ uVW448grJq19rHSy9DLLI/Phcbtxr6SDZdkt8KRLeC5ylMIuC8HFUU+eDIR9wPbltVzE6cJ0Szkm ISUzMc430qc/nOAdNq4+A1lt4ejGei2jhgn5AKYLN5GFd6pU+AtpW8oBwb2UZhJ4ds5f7pLqPw50 bjr3kRM8B/C/+tfSvdFOoR7ho+71nuBKeHYQ0pf+QDbsy0/TNknuRkiZI1O3STXP5uGt5CEaEUAm X48rmhgZgSAwSwCxE2ZpJkjkQBtOFI55aq6vrD2dAJ3i16j6WQnyMCx73W0yAMSWxOHo8n4ANe/Q LNdppYORlIwugF6Jiy2p7/ZDaMQ+JpeTRsB1AL1KAX1r0rDpYeymCbhBTS8MmGKopII+bKsSNusP 63YBCKA/aHOk87mkIbaSw1nYLpX/VU3MxTrhykQnjkApkBrv/560ko/LKK5IsUletBPYyaBet1Qs vkVa8519E2yRhJqB/o8lqYBqocX6sQVdBgHDeBuaZc42iBbbqUSR3uC0rPiSeXJXdCpmGl0ZYgjk Y1T9mUcB1Zbdp/Glber35yveS9vw85YNjZMsFdYvclRi3chnGK/EMoYwEd55UlbSjYHu/EjFTfWJ B+uv3mVriDqqb8vUZmIXU3UyQdwnzPRH6mjSM9lOtjWlO/0XFAetPbo9FrvlJH9t226nenoxxQSY E/8MULupiylPZxKf680OEvV4vXQXIoY4IlIOP+sIBprN2zjs+bIlwCBKXpWPnaW33Y0hqC/W3c34 6/MODIDXstQOJdcFb9eAhLz6oxl7Br4KoPJzgdEC8sV5P703uphC8XohCVbmWG92zfjmdueU6/IT hRy+tt6VVBNqrZe7sXdoMLQtrXzsgwvuNy2UrQRyjXfoXzqHhS547TU5ASd2GB46NGTKJBQLC0G6 2sNZx0JZg3WqQGAzS7g16ivo63RJIhuGKYSQhIAUYtGB5+WPktoLm48q3KAoUCwgjOf570iA4uwn iGwKbVw4DEmlC/lm3Hk47mjFsTIqKh9ek6RKT2uFc5wIPsQhx/bpUvGBf3Yw5FugSl3JyjONVncT vkJwM3Rn+8QXb1LkMuIvgAThRtBpHFhyYRHBAc57fn20l1EQq8DMlyUXgsY0LN07OgffgwxfeLMA Nvk1iSESgrEm0kZLRPvQBkJ3x/OkEzIe3tqa9px26Bm+2mQ/ebvDuajNLgs29oJn13BuI3V0PbBM NTcA+3ZyBVufKJC6zwhcI12h2MWcKIBtXNb0jSs9efoeMHnT2yOUQFsjItgiKFb8OD5V4D3da975 1yBEvBHzodrUexZN35z2u054FWjjEWq0taCNCMnuOAPrNaaE3kl3YMz2aBCSo8JnucX/jUcWpb5i py7nSBKi1xz/Gap/Kw2K5b78gAjnOi9yvX5oZDGv7fMt3AJzxrDVsukztdtY3t3zR7RwRgZHP1hT OlFchn9oP4mfCH2NccUxTe3wtdrLrekZTcYX0oSIrs3XtlbkaDkhp6LoHDtCP15LK4uEHUepYdYB UoLru0fxCKZkIUn6aJVXgkDrDBpPJBM99EMSaZctRNdxyipRGMUZDvu7LE4Skhxhkym2Mfr5XUOk J/BjrVINNfokxbgtaKcJyIBFqozdS5T1NNu464VGp/CGWdUQshGcjmFVcBtJp5tbPBi0VnYI2qJK RC2G4nCBLPO4Az43EtDEc4Zxr+EzQpyHElUQ9alBe5HRQ9Lpud1c3T/3xccreCmy+IKlGsrNcbJo YZwQnvioW7yLuGLBd/hxzpeLLoVd5igS5PO5mE2sULD1wFXojDBVNcNKFsGGX1j3fZe2C7xXGbcH yEleToB6QWhyLTFfcj9lpUoL2Jner0nL7nrnwIEXo1EsiDQCl1nP6MB1IUr8ZMjGurmDgZsjPvYF aT8PHBwODWuQExpfN6fIwF6+xJ5AZHB3eC+fdevfv/Ci+hXApjwtDiKttlwBtFcPBlXSbdZjcq9n JbRW8gUE8wOF0Rjf9n+6ZoTRj/OkAktwn82hbKnhddSfBZYXqNNemYfcrNLKsT20z+2isLeoauis 9ZcsuzgXGC3pBXOLVND4kyHHGU1AlurC3AlDfTYA4/PNUTOHreAj1f8GSWLrcvw1gKDs0sX2+rf7 J0b4KP04nB7fhYCjssc8HM1EVvVG3uPa94YyQwS/pRwCsaOlDO0F0XgTCjg4waLuXFvRWXqLq19z wqu7v83hfRkLXvr4saYdHkf8XfUM5wFU3yQRZQWOL2kbXRR7eyEGBOVYdsOMaClDAMZN04ky2uvN 96qEAAVbZAPYcLf5pmll8SkFnz1+gbNJiRWjNTPlhc/7no2KTCBi+mPZrxt/3WggG6PtIvpRr7Jl DipkzqbJWEr/LyBmqkAz7EBM5oiFFyxQEdompluSmF0bRakeL06xbRH+ZOt5xyStmVg1InlcMYVD jKsxCMM0YUN9GdVSwMvH0HLwufIQOpFd1dVNvEnRVeNnR+5JH7qQdr/weWi8SGeRkRzxvtfgiK8p ymMNWB1mV5+voGpFVxivsZw1CiWOznUrX8k2Nr/W0U9JKN4rgX6UnhSIrscepFqUD8dc5wtUM3k2 0Hm/ulLqa6hBmpbcksg96Gm5sDJd7khU8uiT8yKOHh+Ua9b/j/jHHMSn6nKYVKnAjuKgQwZYn9DF aB2Epk17jbokDpAAcu72HCoGGHzgWc/A45ed3Q0p4Zs3QVEySg/KySNe24qLvlEMbWfM4mH2PoQ1 Sdv9ljVxsW6YEyKYbki/jjpJjFSqkB0j5M5g2+/niC+9VRd5Q3SkbhUOugLpEtCFkoBVlYtvDYIm m3HGAdWQbV9mz6VeT9XOxMbFZHnYO+6IF3Kwt66dEvNN4Q6qjTIY9nAtMMd3XEFjfsbPmmK89XKk sNXV+LkVs7tEkTZcog5gn2cGSin+V4s10OYBOpizDmI+qPOxYMESkNXwtBHUjHBFaCzZZo/TTz+T hZUXAbx917I6W9L4MBGOoABHe8Qp8tScqhsxfBQp544ThPytmd/NhrPV8wcUP63X8PhkWveSIzEo 2LNypFdVTwTLggOthcMzC6MkYmxlPBEHqfPVf2HrBYMLf/lxQHGgUkAVdQRYm99aiu+iYFsgX/2/ p5xQogEAG15rWqNLUapsSTc/wEsqexPQQce4hB0RORH5AHkURnrkicIrR58T4ZhwTBw45i0qYZlm fmkPr5haHji/yC+AO0+2epb3B/fQ84uDemGNUvMZOwz2blXsKZpgZ/gFeIub83ZtdCk5sSjRhKN8 nK8QGqrr6k85T0/T7hXhjfht53SMCuwzbnXxC/KbbedhXEns730J7obk9JHyq2Ds8qv89x9dcOYW ty/xM29+RBQMwc5m0LKkxXVz8mfEGG3g7lvCu6c+ZoaSSs16U4XCNwTfYGD6yorvTOKkzhD2AqM2 a3aWE3v9j1kHFQLuWnk4ZdfwI+ala6ZTzGSgbMT8Xu6D9v7wgr6UL2zM390dmX6v9go7XeM7KySi o5CScz4bBPYwo/SSblK7kYkhz5Tq/j4vR4KGafkLgOIB2XlTUMbYbkH7FpshlXDe2JyVY3ai2kzQ lE9U+U7SLAI8UpVzxtxr5pnvnFx/PKXInbGyvCQXMDfpI2D+Hj/EmwmwNicmCe6AXZBgbdK9O4Bs FiPef7uHBelK9o2IxtwCLKlb397/H46GBQYU3bsNNBVh1iiExeAiYoltv+C8Cj+MEBxDg1dyD3Ny 1J59rCS4Jb+A2dRhTsiawB6iu3imEA/ucF75Fq1St9E7jy/7/b8EdxaFd4uzMIfCPsrOJlbaj+d0 r9Ri1D+SAY4QPZR6jGQYgL85roPC1LYQrYG3FD2Lw8ZnRImliXz9MaRMMVs2QmKvU5OM8bNEQ8ga DQMo0zAsRA0rU0yHVU6synFIF+3Zc92AFN44skm2esIUayhO6KWuFMejOBn6JNT3zecrLizrepv6 D795FkqZp15naXphyb4M0RysQXW16uwefU6lcFD5+yu6Fob4TbJ8jyQSrzdT2eQbSe1qoQQA3EO4 Qtf04dS3FDjL7jYeNq/9/v7ExQphFZaLoGac66OLE+FLT41cR/itWJNO3WSw1029VjwCe1yIj4CO uDQRd8xSCT4bVTiOxbur3i3aZocUKlssIk8PUHO0q4VwYf33Bvscbwvq9WcFzYGVeERwCxGvIZA1 hbqf+WIlX3X/mVmGp1GZHWIAkwsD6zb7H/RNLO1MJ16w/1yeXsBEjazcAytyngRgErq65MoYP5ez y7zfB7pGNIa/DdsZOygLfRDZ3dMpH+bpLnKjHe0pO3MM49LNjEg7SkpY1xSZdX/zslGUJxmH1/eO wYRzo/JF1yIll+q9M8rkwOXQ0yRX9cRHFL931x7pBNyvWCOVIPlwpISLFapqQf7pn+W7SurVFEYr QzoTD0AL5Y57lnKVl0RECrU9auf2NApWL9xANK2DrVCeoWePpB0vyuKYnzpd2dReDsoyO/NEk2Ga mA2U/luE6jDr3HmTDqY9l2eMIGQrJ5pxAEztjWihrhsKCtI70pIP4Iakt/RApYt65QZ8nEtuUwHs YwYgUgS+DtXmw0elM63XdoKMJOm3t3aiIO5w+i6OTrbQKMyIHHNSLbIPBe+uXo2yEmx+eH5VBxJu IBLzn3QMhq7T3hIDEVuAMOcZOiQ8sJHGw70O8B1nOXtnCnTXeSvCvP7qDL5aCvCCLB0UVr3lHVPZ 8fpMEJikDCdnaWmepWrgAleF7+iZE2Vyx5XShcCSzORE+ZT3+WuhUXlbLNnUSoE71qhWB3wTLZqV f8le0YfUX05J93w+PABcDXqGoT6uZ1/O3wLnsm3kKtiBUIYB+GiXYaacEHU90ADgnq2V0+2XuDCk A94oOtoBKBeTCi20Hm8LhUO8smC1FZrOZRPIRYW5ExG2HkU7XirssrE0kTr+Z7KMbiQ30m0j2EHH EMQb/xqbwCoUSa6HuPMFBL3P8DscwvxLKoBwwIbP3R1lk/GtSYAUw+utc4dHNQYkkDTAT19zhNGb +IrUFQxP5Kfuf6OowGfq1N7eWFlFQu8FKefqbiDib/2c52SZ5/FzdBNHgkIlTlMWfkFAHzN7GFvD VC8gcL8MtmrdhKqAgQdm8YxXBv+vhdqArkjHf3Ir/VcQTqqFZoKxy42LNuovCXTqpWNGpm09Jqqh wuVhp6fyWC+gdkimq8mcS/CwDf7LS/578eiYTi/LmO7D6YKr5lQMxPbFNxBRAG6Emqg10bIh8yxr U/TylPaUDAe/KlrPC3CLkU1MuoXSLP0/hiVKRpPEhzFlyWykTWsnLGvLEnzZf21Tm+zJfnWTde6P OiMs+eA8VyMN1flyX/+mvfF7DoQNT82474/65gMcJlcgDyc5kQhixxW5Kr2wOKNsGR1gzhLcaBZd ia+Lqxjm5ZEMNZXECpy/g4KfucoGfMOiL1+zt+HHBhPNNKHLOf9aVuBaTCvkSdRJ9voV7Gx8YM1e R6xhowKUB8zOqRce6eSa6tW9ofkIj+owfCli/bYzb0cnhKMy/SCoawfxNfK1mW16aGRVePXwhXcD vWCcQtLhFTbehgJzWvcxx+L9qD1+fEwnmglXLg9+zOX1Q3Qj1u9al0EvZV1JYBbAyCrvsS03GTvz t6k8uoVXQZwH7cHMbXGZBKIMP7cBQj9/8PUQLKze5V+RZIO6Aim4yGjJhD/ZaAChiTmISgvX2kS4 2ULyhLZcq+ZgfHHs+TXFdxDbpxPFikbqe8zsZck5hLz3BCtHAi0D3yEh+EXO8gyWaGHdJ+1Co3dW Yp/354OfXzjdXTUrm2NtpIL+Lkk47ExgFXTGgWDHIzZ6jgdjmZZHSa8UKiClX1csZG0bRM4Stbah ZhR+J8GXEJa1dX4+bVuQ9/jumebv+YbmgJNHxxLpd51Mea5kwSA7VObfagzGN494sIN3g0kcuh3q MEc2vdrxIU6dm0dW8af/5b7GdDYPg0mX5YcgN6JZAgbxyMzQElv3TDhxWPypOBsVCGeinlbeHS/g mnQbg1l4zCZ9erK6+JZyHcQ5plMmxYdEQ9SOZ2r8cG9MpSF5wbzRcuSTZajf4wxjxsxupkwWYkwS mLqZsVWgqk71ko6hNHE/+iNKc4nX9WxB7++4x3sGz3pOB7euj2ppse/OLrjiLBIvoHK3QR91JHfv KEgS5nARLQyvv3ciH1hcu+lF5mr3rlBaQdcbVhymWog9h/J93iAkIyqSOfXT73ZiQZWX+NEJdvS7 NuA58j9dLleFHrkJ7vP95tzYw++KV8cs+8EPUKDQc15u1EydJ4YPC0Rk11qrZNw1H7NS0kSOqNrJ CMgcKxF8/bpcPM2aH8sCFJI0z+CdW/4NfPA7ejyjoBiIttE87yNaxBT2XRJzT5AvSY1tHdm9xNBz +k0tDMMg86h8RtaDOV1ojFXmHG8lGmQwKGwYr4PKnVnP/YWfab8LGRcE0ZZR1p5XFqQ51TJnQRqz Mo6U5C+3AHWHfc59vgS2VGVBKmF7IZ/CJmrkaBNhciMTZS7yXpgA7MElkIpaE7O6U8z2wrzxbSdy KKwdYZill3bWWElKXQyNC7No592zkZWpoDA/7jrGv+DyOXxsg4FQCjXmqW7c2HyQ6UxNloVcnah0 JczSHJo8AlkNevJEOLNzUWLtlGdV1lD/VeA6xNen3wFCHIsCK1EVaQmuutqzy8Vw8mhv0ixl1/Ha kCKvgg3pRqfNQD1eJzzwjGEGUUcrOsZSqJ30DMFxc/yfC04W/VKG+VCgCV8WW7DT+5fD0ZdX4SiH u86ZCGkiv6SbBBXnHYM/yfwxTptphs1oC3NdcmxmaRs83Lp+EnWHapmNAPH7e2ZJQJdn/T7euldN kUlrzHjFH69LwSslxwvGlMHobXhSwFlbmSzu099yHHianFcX2Cy3cCW9d1050RBoprtXClRHbqBZ D8wtvsfDOrG4ab0JRMrhoy/7JANSLQUQYKRG6v3GnOldjnRXYAkBEYzAXHComjggLyi8y1+LQxgL UR3PuLCBteonM6yB7Tm/hK9u7QAnLvY5L5exs7GjHw9q4bVeaUZEZyxaeNocD7vzqPP7b6mNPOF4 EP+NhYgJf4fWcYrmTwdx4GYpKZ1LAIiKcGqzT5+Sa4u2fmemvRcFQheQ+Glo8Uvt5e9KWreVsOmd MuD6zpD4UdzXC+tWz0hYIXZqRBcXKrQcKLyQcj2fxfszPpOCaFpuJtn15/SwXKjEAO5isW3MqUgo jlVfKBfNkVGvg83K2oJFR1LcQQH+EsNF+54NwtnVJ5ypCkeYvSac1P6ztQCZ1fvf2wabgdSHici6 70tHMCTfG6bxwDaN3gL1a/g40JHIj2YcOsrKJmhenDSfOKZjAVuil94ay57b53SxrI4bfjaU/125 UQTPK+T8x/os8A8AJEa4fg/L099VJCOnERkklWDTioQOyrZB/PuTR8eKkkspoVOujIQk2qpOmKo4 D1Lx/juDbUc3OCjdKya14wr54iiDZCz6ej7OQPUj6Eo+Qw36MjkyngjT6dpcr/rHZP1SLZPx75n2 PTrGM7RQ6HYITpOMepu3FjJe2EjhqgKnr1bOpIqZ+bKPRvG3J/cp5LBzM3V7h0Ni195WBwzSNAaq beFNU6goRUwwbE6RZAyaw/8jf4MMo4poPEg+NUx/MWTWvZXtYuOBWCxjEmKMATXunBmE8jplUoEF sN5LXazPSiIlvxk2u4P67XBOl3nfBOxbkUPpGz1ZIfKQSYOLh99VpPC+WkEobQOGbQ8BF2r7FuFS 1BOYATw4WEde3n5pbFTPyAH+aupaywqmibpGIzc+1LNDu5WVBekP0vazg7pjBgeWiz7qk2G7znlG DTM/U6TzJe0WhZgF5DS4POCdy5eeEO23dUAUHNPNA2yhzhD5vIGvxA5I4S9hIbPr1Uz7Eqp8KbtF Wx1CN3Jj33l2w2sZG3NUVMwRRi3sBE7krtC3cE/6l8L88A16rpnaObqQFLsdm/uVnVuSdDJNrOSw ltG0BpRTvGAoIBW/dLo9gdYFEmTbgippxDTFj+Rns1WnvPMNc4sp21OD1+whp8wji/gkZhtgJLYO hibKZ037NbmhAALO6CgH+SMRKOXB7qRDnjX3h3t0JxDLaVqnKN7GCF6HuLB+8pZGu0BCGZwYFL/x tEvIZukvHTJP2tIo0NpZuMzBZxt6mNmD/2M1OtjBKeHQlm+CRBrBWQMounVrh2gW6fJXOrL54nSZ BcSLGQBwVy0jTIbWQfe8MkhwqnuFNCwh91NKD465vfC7NiiDmiNyzlIIf6ZOcStwAoa4KWo91Hrv 1nAs6Cmnrxu0s+O0hpZYSxmN436mPAPENOCAKBcJUIUvgaNMYjVyOKAJXYHtSC2XcD2KU/elhXXQ TEDZE85bTUKs50OpEV3JGQbUvvM8pke+4HS95AroomVgeI8TKgz8qPX2Bypd/KxhnHIktdQ+SVcJ Vu/qTtQsulgV0g2vD1VoitL5Fab4yKhwk6yhaT+PoM2s+AUFlpvupobLhjKNA07YaJFLtRQa2RA7 7q4ycoR2RK/N+sP2Mr3QhH/HwHqe4NcTH+uk0cFWO87+jgsXI51F6JWmrxFuH7Wn42ml4aOruPOF SwMDOYikfsRwZQsbEgTUgV0vhNfzYjVAJ5gIdDZVN8UuHd79Vq93Y4mrvSlgPzIrJ+lObgxuhQNP JZOQPo7RTXa1T3jgCPjKo/8YX4Mp02d0HjEcAstoQhd+5TIvvn3LtdMJwntrIDlpcJXWoEuNITe6 +z9fFbDFIZ73T7+SMOIlGCajKw4J1J9fAgbVK59k/NoO8B+KXhK0tt3m9Imyl2QFVyLi/sl4Gh+D dyociXGl73VrGBdd+h3+WE9hSmEgtU0YC1QfULNXAwAVnuUafYsovnlmqRZTedE9H2rbnEM19TPl ncCboh6w4qajb5ozLHvdiXp7aw8pb0l5VecPIX1SJh+0H06civliyIUPlM3XPhX7/ewS470kioo6 O95BwjMW9hl/aa0iC7p/ti8xczPseg2ESUE2wrGpQ4UPNgklwsBRo1gRYJNsG3LKOUbQM1i5wXeZ f+zDbdEbc+svilVQTVDc71yLMtVv9QLvcTtsQV6URInwQO4HVSYe7y54cmmR/ioEwoqyeTR0hHCi 8JmljP2Id87TbM+FnDXAd8xG/OpXt6M1WPkRhrP32a3EPBgKyt0ZGlALjQ5Asas7fDAD+kBAWPEG sejnJo81KIvcr364zRKhnDfgIZko91Xl8BiCt5v3vn6jAru3Ql5ennfjbH+Ai6jPHyZrdeR/Yag2 GIQHaAqs9iE3LlNvQvQ1DDdZuigmLjcbmyBXD+aIk2JSYnKBpRYfJJPcF15gVwp5OlWXbXofpNqt sjZEBfpe/QHF/X3MsLTj7c/7tzfPlqXy7lyecmLIKUzrdrNpV3p/iCT46+TfvOETGJQl83UOEj7y wY5QvuxynorGjtBwCQw8LD3cDCzgFueh+4oJgAQXVWpHLRRqnEczXtHAsTHXO8lBsVCDSZeTI56f LW/UIlvwTHcpmKY2sAvhMMZKjoXU359SNNiwaOhsKIaRzckGXAY5PAP/Vg3+w8aDGWCgiYNugadE y2UPOU2GCLQkbCZjWU0Ruy8iJj546pEb40QCGde4UK6IyA/oSMgA3XM50Kce1VrhuD0lPHXXVwho CIHoesq2w6gCUpRqxBytRY8OtF+vLFtf3ytTj41ALCrJ4o5JXEy4PmYbu77j/eCXAKTCHwrmJSZu fW0nzoXFAmLTp47IjToOELuwkSbB+hYwPH6qNzxjOh0EvYdJ89RjGP0vwCcgeez3t5ar4Wh53VWg TjNTfmqEE+QQX3A3hIIyAqHPhnCtOOwuaMv+ERe7OuFxCoEgM0UWpOFa/TmZkxTrEJEGgX8JFIib TYUZDoJPHzRKC7YznHERD+AIu6W3xfR6wRXapcomQ10wTIQXkCB8YEy+l371+0H+Jrdz5iMktAZa xt8hZ1ZtWX0i7z3Bvm6Utj8Whq/S5qpPlgB6y3OGXIB0AvTgAJlq7K/2GuZ5cRh1VdGZVFGDHmwR O48kWXd3xMKsW3xszIUvwuuiEit0mfYB8kAZtuBDs5JPJHYFbJKY2g20YIrTj1WoRa/CCUKb0nzf jMRG/b0ZIE+gQW3kAXZFL0oNnEVyyCkW8rqtfujmGR+8rjulL2YofGNXpcn3iG0VCneYBPR6uSXd apm4zW232x5DCcX80zHQwHYixfqwparTjzbgBtz/Ai+H+KSNdKSATNwPEqBqF8j5sYUzST8tUpeh OVQdwhTsuh+11H0xwsRi9SRTkcbg62yMbMZWAZJ6iGQJ2g9INo3Yf9TDsQJMF6KzkYUmlINXu1Hu t0DwDP8S8JrDY3IC1Fcv4b31EDW5Hd5PxNaIhS4faPcip8brifdMtEuEDvMPBdF5+tI532GO1vO0 ciMgO2fyCqPNuc0i3aYzJJV9emKyBFDwC5xywTOh3Oxy92YF514Wj1q49yFIUnGomeovYNBnhQ9D Fwr3aABgEF5zsmR1X4WBEUyuGhbIRE5G7286zCUvsHy8Jx8Rl2yyMVZ6/x6oYm6J+L0pzRduczld rNtVhgF8rX8FbEM3WuT2h2XXZdh3TrLZx4pNDHLnkXRzBpMiQLR6FHavTTn9K+gRNbxzvDKDgDjT +8xDIv2jztv1FmnMuCqA0OUU+khdyE+IECmwbDYwVftUED9zMloFpzwezk6Eff+cl5Zd6dLpcA5t Wkbl8HDmZIBAWOF6qYjBvfWb6UZDxkowr1TE6AbbsOgMVcjkmUokaWiJuBLqy5r0r2rl2MYBmxKL VnFdfp0p14bs29G6rwEUamE0Sr+12qoYrweK5Zu0ZH4O3J4zR50SVK+E8Vp3aGa88ht6y1D0ZMl8 Aqo/4cHUEHW1nFlo/Axak6mnomEMjlfj/rV1iHph2fCz5hs8g+dufrPxBFmdOVCAriOmYqfJiRgX uJRCduaiA5iywefmQ5j8e4yR6/Jyxz9AJVVp5Vp0ivPBTqNeJG7KuMsDHweFiAl+iaIqHGIe6vPN 78VaDzGFivmt841i6qR1l92H5CfmCA6etZA0alKdexbb02f2OHhF1QbZbrZMK+Y2x9/rsNU7iOon L+IFB8bzoMx9wodRywWijFFQljaolD/KQ+K1M9w3uCP3VJD8tEuHTOhKxwd2fZsbVPFYtFZNMRRd n2LGKcwTgEmCY/a7w3MZcT/ddTraOvcPjnodvz8hB3Ttf2o8l2ma1ZFeqrP5DnPW6qgF+pZwZ0V8 Xg2WuCZJc53ujGrGfpYyoe2HgKj6qciIeAa2uSDQV6Q02VrHV0RaLxPCQgiv0Pl+k+bzExifWXd5 H8jIFeDbxrtMyKgQ50QSa7msBpFTYucGicz8FAyCnO9yTSGWX5m4YE8pgowGtvFs08mCzxj68biK QVkcWlAxSYPQAwcHR1ol1aNeFgkWwW1sS/K87ELDBvDr6Ejhnybp2da4nScZgboVEodhDst7Vdmv SKKqE+NYh4HuDa/zVrBnwpE8eaHkk/dDSkn/yR5aoVrZEpYow3mkJT9RPYRLec63si5iU024x5WY ESsgqXr9yLmVkugOJD2ltBPBidmN4sSIsLN6ZVtGx7HXNTTPyqovpLZCsZZC/tAOpjv1MVL2Q9MM CqB+m7uZn0M/NeLQ2in9St98HNH5J47rkxpf9iY1h8Qvx7GPBpLOYPnJn09sMBToHAK/arpSGRCP Kunn+W8YfjYUOYhZrTzcWbi/2zdfZKe875aEJ4EBZLNUvxNxzt6NG7yGUxFPY2bAGYcBzvzmgmar RLn4++d+bgljZfsAFYy7uumvjkMpa8y0u2UFaltvSL8+ECXpZ7NdzEEXw/wUTAKf+2OgA0QNmtir hDTyITb3uzg6EvoeQh+mQQnEFe4p7VhVvT48slAs1/cHPizqcbT/I+PETFrUeW02nrs1xgEQwiIq 0QACxiEbt2w3xTvApBlwjRiGM9GR82mqd0VYVq4jgLL1c3ybpp1xxt9hifti/utf1yAOt2F9Ao9m SIw3C6kMOhwQT/AwwwOUunDvEUJxu++r+fSQkuZxr5lRYo8FNPf2Lww0D3Zq3br6IGAYZ5uzVpRv EzQHU2Zeb8QJndRRGFR9jmQCCWWBReUAWWMaGpbEZtTCJ92bY/X9663z20/MQ3/z+f7V6J2UT1g8 GpwoUrIcyZk8QaorOpeofjsRMbrFX4h/xplf/ro6aaMtaa0y2KK+z4Qz1GV0S8OdWaztQ/njqk3m EYcxMMaYTd/r32YCVxizGOjxubyZzxBqyVF9QjiWUqHV1VDA7FzxdN7M1yht1vMmtC3C2dgM6gPG Pg3ShlT7AYy3vD/W3V4l8et9XfQ4EVaMXeF5qd1Ar+ZT+1WuKDTI25lN5NrRWOQ1EOwd7yrduN6u rGW8nksVD6+nZSHp+01QLe98rZeqjPShTODdGEHhK4Nb4ulbB3IrqgSA27aBOuFurorBdE3MOoy5 n9cR0OyhUFYufmNPXLKKR2uS9SbhNF+1gdJiJP1fE4XMlnjbA/EMWt+FwUUmNxLArj0CEsRGR9ye Rk0MW2yTpCmhQ0HtsFUEADHPOSEt5t+zVCJsYb4xaipof9v4QvM2tqWC+2jS0n1G89ux86NFqoY5 47xSdflyptg29a0NoFjb4lfA3656G1N4XJdiPygqT+lgq8OGBE8aJ/Fg0lUDne/Krv9kTGzSd8Qg XJS/6M+YCVPjNacZfKopdryKHfqQbsuub/A3/RLA2octxWn4qf1s+/VKPTKGt+Al7BF/TwSFxJtI At2w+Lch4jU3pXbvLoQf7HgqsXODGfIZO+BALYPLipOTVBEvQae6OgBPfKJanjhE788i+p2mVDJy 5ZktToC5Y2H8wVliZOfmwERf5TWraKSt3sFyzex9F9I7ymp2aviD1aFrr3uo1Qv6HtBWLXw46Yhs ERIaZeenfr9jm/aFc/lR7DJuoTxC0eYBDY3jMf4Y+R9wOfXofGKRyrzcTaLMnsdhie9dVOWYkVbR yeG23PbEJTCHySD5hh0qu21vTndkcPF6lAHNcV1I7f9J7vDRF1kh70HYcti4b1NKac9MNCi6VRXO EBOfN96f4XZubIrOPW1kZ1papZJ0/6UlXpw6Ax2nRLI4g+8Kg8ssGgl0duyKIVH/cgJevNddPuNi 2TtLZ0usP1vG5ijCHa9jMV36d1uT3boQQRB0UqNbPNCioVxeZjntd0Xb5DDezbgDKvAd7m5LEYC5 w9GDfiKHbXmrdalwsc498+W7csZQe6+OV/6EY1x+wPfmyWj8GvMpoghlh0hKlSGYEjAn97/BTKTU bJ2n6Bj7oz8AA1XZUvKUuNrIKOJ9ARsg/4NQyRTLN2QYixxcI8RDihh4m+tUzMbOATSfqJq2hjW1 cQnlZu9zNJ+DZoz8RbIN9bjV7QLsft34c+M3hMJgTlsQWLx4HgeHafrXqJaKcCN1p2QRPuipFh1/ tl5UmJLlZ5+BQIi+ubXVs5GdXqUXMhflmEdH6nCWs7BoFwpsBpFsqgZBu1FQYMgLE4Jsf7XcpPHD xnfC7GUB5/AC0BXCjtnZI6oQyhLGmmk3SbWVGW4kSlyYwtJji0T1hKieckQ8StEISX+f2SVebzbG S/AtCMpaWrKi5RJjIit4bNHW/ww+28ZeDUy3GHtN8Ia8AeSd2ufmqX1UFuOaxNV5OW8bIy11hPp6 iMkZDxvn0wTK2s9QpjYagrHHLc3hzEmTXUKn6hcqKi3EB+xu61N23s5TSCMd3HTxGasBPV9Y9LK7 /G8tsUdp3XOuBibZtytDHUI90kHm+UqsacPdlhG4IhlHT8QnCbUWAy/BgddWtsmY0G+LQIBoK8yy 1YRtxAfzAvjf2mOjYoEMm7vQz1DoIO6njYCBF35grcFDwd/J7NwM30riKDGkrTpjc+uYngSziNNQ gI+goWPWTOI6lE0MpPmEBPHU4xX/tvmxq4XtiEPc+S51PlXRJU5AbhfwfnsOtJ5Wq/Vv7EKu7B0y JtqmRum5uwoqjIotvZizf8yE2v7O4yjhkcgpCqhFy0kRk8Z05+wxgmDDoh5fMlw9wDLjfUzWHa3l /F+4OJ3kAvWINB+cGXMAW6Qbz2bo8zg+PHd4Ix1EWLqbYWk3vBrAKc2h0qvScNUv6upTDkoRICrI 9gcaXEKh17mJnFIUaPArdiWeYh4N3YAGwFSaIYjgiC0xaZOHfvUl0Zl2H4d5B1cevW4qQm25ZkGt 050qbzL5SOOjFVbV4SAqw7KjZrN7vHNTcvGPLSpsmfTItGPUiuHmSZyvcU1AyUMEdmNvaYxaKmyh Vvz/gSyYAH1fB4DIjlbUDi2z9ZMvvc43rE0A9KjlMD7oOc3Y1z5exrMqzA0sfYjl1szSF5tvnTDo +lF0mDjnAYwxAHYNkGEdtRLL2jZM+o3irK7kIo+ePTkCrF7NaDTTYJEYQF2YPQgy1QTOh3/SuVkG CSP8L8lrfMcdV/YO43/lCyArYyQZjWS64r3mTa6lfHRriC7cjopnBwvmFsxWiarVbHWQw65cvjFO YN0o6zVSeG0A+I6qnVmu9N/QAXQ9xa70N5EdWqtwePvea0J+/QdevEei8DSVcqDkPBs8WTPHb2pR BOsU6NeLkCTN0BHzc2C/DdyUDmRtkRAfthOTJIgNHdmHJpaAkEee+nzGxUJX81q74gkTE5KJYAAI 03UkiYKsiUzOJz6RE4KbVmeQEW5ulNzvNJVhIHRjMRmEJRFp+tLrOWsTrVtyWzCYuIWOmup9NCY0 702LRig9/oZyhkxKITFwINVTTs9lbF/LqEGed2Ipg6NETZ/icR5NnSxQVoua5sZYyYEckJjS/V/N W77mMYvrpvS5IEj+SX6grWN7BbfWGQfnFfxnhfUBi/FaMlWqiIWgrlsjSRGM6mDbjK3OmdS7NitK jisN5OxkaITm960PCipyP+YmQYvFx4xzCdwr2mUmwI5QtbYiWna9Wt9ZE1NJn9G7SantePq9Pv5O 6oSwngclh/He53pcvUOhox+foSC8EXV0G90BVnrK8quOBhFlOd4EvhkPhF9+OB8Kqd9x6V54ue2n pMxWJidhXmAgROF/NBHzYAI1uTMcG8hLlgfo7iGiI3zpZfuDMbO1W0AVeYzLOYobtuzU1UlUGiL6 I4fcVn5J1rOUwtC70R7KvGgAlT3JDnusjczNnw/TZVXfqtMeSy8NrSkp6QiafIve2XfX5pCdbzbm WEc0VP+HfgbhL94tuo42BFfSisEAIyEoiw1uUaZxZNQiEeyiFuzVmULFYxIPjSgbtS18w561/xQk cN03WdqWm9XPkyUa7n8kKakN4eZpCLJ9Vz47LZEM58w4Zpc7tGWPrE7yinLI0kfH0midcIhWtpBZ V5j9stnyUxK0S7MYSBgJFJh0+2uErnhHUSxzYAC7hbgsfFmHTDIOy0PqhCIUHwjSxHvnwNlSj+52 8/XybRI9PmcNkBHnnWWx2kWlILX2RChrPOlsJOAUSU3rDx8b+TH/cqurfrAihPsDR3377wBYqaWG mpalBBnVNh2xxUmqMwZo/qdl8uNv8BglSBALtxxO2UIRDF0Cn2bZ4TOTsPDrqF2EG0pDCCajPU2U nr3DZEO8j6FQDOzAS1eg2nUrLVF1f3WvczBrlKyiz1vOkhqjNK24DzUFnSgfBCkVClctAMDlJpQK HiGKTZ60Fn+EyBAwl+JbQ9w1d1ArmyZ2v5+XCHIHugD2vFvQ1SZsp5BtiITRopjzEsJHEoro5xtq 1jRvwp5LaeB5ask6KburZktQhpzomndeJ/lesxKq55LCEAZ9XVsQ3JpWCrygZNlbXUrrXt36lxC6 sOGBk0gsdvZALjCoF2K9cTIt7pY26aXCaEkWvIf8OXf0Nr9Bkbf6rLTQyGM/JuUBVSV/WCEcC1EB syO+WAVoFJvzu4CCWTWkz+RCBvNKNel2DU6nqmN4jRdMmrt90TEZTHIeXgC+rreNXqD6RKp7iE1W Vp8gLewLrpBkW1WTT+/OARTlYrOPv5OwsMftLj2TS9l3zWq99b/StmkrDGQJYMW+n3++xtLSBRp/ k9iR4DS+ef2NdnzYpch+STjmuikpP/ICbsSQ7v9+b38cTD49fYl2Vaoc40qE5SAxKhPAexN1GYAu g6YOEHZBBn0upowN1Awld73oiCzmwcks0g7RufhE0hfFE1ZohANap2FiU9OqL0RiwirK7xBd0nAT viGqwydcDmLfCT7TWmyyJe2w3L5l5/A/fMDoi3o1AOroIDykxYjtg04Vsj+islljqx17p0tLpyCc t/r+pXIiykGx6H9l1ZJyY+kPXlG0D7nXiuDJZ4HaUAw+zLuzxFnDHDGwBOqKjo7VwroQcvwBZy3k Hu0/eo3GrEh2tnakqRsRAc9N8Yn5VAwNHKldY/L1adpZokw1xFLdJp4MQuG4Am3wA1KZ82jhC3bm T/2AwkLckA9t673wmL+TRgVSnOPGg/d/qdrIFH+viP4cdn/IuYAtzI2FK0P7PHjuSbdvNUDTesOn VCvWlYZafkYe4W/ciGgXlBSmYDcFt9zPW3nct7xh0KjNT8FB2+L6J4DOcOGmvdriLazAqKxAOk2V JVjyDv5L693e++rpOm8Nn/fjYFvgZvJY49aUopEEHJT4EkzjnCp+W8/A7rvofmUgQPhCewEvSRQj QS+FgOKrac+7HNCy4jY9NTl0Gxh6mQhgjIiyKbejNkI3gMlboykZwshFMKiDQfVNqiBXDfjn3obc knC0izk+Govs+XRUdEwUd28r5zRGph6y8ShkETqZ1xcSIQNPdPbIAKQuyXvslxoobUcpJYbQ0JL2 3BPkWBahqUvEltUceUdpDZszcjU3SNYWd0w3TqKxMFOddQ0Hk9JsUrj6yZzYQotatiZOhl8SN/fm evosVrL1eT1ggnWO+Xi21lejeWgP5ALdg24DONhfCyUe9ovv63qsRIZCB70zBWHz5Bg0xEvRgLfe E11Byn3kdDE8livrYIvaNBOLWMUcJzO5ZfuuUotNj9RBA9NNsK2BI6u9RGelN4I4WEaNvel9+nHV iM4EIQRyUyHbffVd/HzgBE8b4d1YWqjSxEcd5KF8tl2QKVpOM1TPC+WGWo4wxYO3ZN3/iCjdrCb4 kvxLywCWjLCKyYEb9r0edRQKvlQVDYJSGzB0Ul13amtVnyoW/AHWZDkQuEyjCKb+Tt1/L/i2f0FQ Q6c9ZEKX/8CfuJEw7fXbHMmYyQFX5lWCQbLhuPqsfR3+r3HZBufXC0WVS/drvAoOzgWOnG2JVRQ9 ea+/q71ncUyUZDy0TIoj3osWGY0YqI5jtdWBm0dzyovr+CmOqUF2iKimFvrW+1cR+zNGeAmt4ww2 pXLNeCKG6PxnzUKLxTAnF86Wr6qYlFQUbVKJ1+CFhcHuttgtcqMKS71NP04aSFGY4ejlxqiKa8dK xpTXEjnp8sMOSfEVRJOVLYTXMii9oOsecseObDQfUw+hWP80ip/PUCP6ybmUmo+e/YDUa3Wof9fA gHOj96Zt6+UHRMbQHwRxOJ8k4Nn5erZhidniuwdvUVHWdKjYkh5t61mLjhCyXlJJfwS+VuJNvwr8 XDdGXmdpzwx9o25g893DRyhyGNmWHiC9Gg85vATdG+nNi8Qt+Sd6CUCTewURE3+H67ft+wTU2bFM pxRt4vrMcWIVlIxv4xGfRfWAiEfXt4hVU8FDVsfWNYk3GUDDOnwTQssgxDmWA4PVSyT2vTV9C5y1 hzDQh27I/cDveXre4uMpHHiLxjP7TkYDqqxq1ycPeI5LUDGiYxpJNFuEwo/bkNhKGePyhiDpyI9u IMxA4EwYrvlLgVzG7clEKvRThHK74WTl3yxSM5EW7fRmcq/g8R7l0e6TL4NY7wyHc21LbW0b6EYc E9+nWzzLq1Rfd/R1+Qf83cb66AHRPpvRBsvGOaMDZ3CH/XftbZ9cYsUVYrZSVaQHTRmKFyIrjlKR mS3wEcCtWlp2IrfNawPs7UgEX54LUNglPXeuQ8rivrBfQ8HvmlMThXkzN+NW6aK6XnTEByVWAZbi YItGMfdD9FGJq1MrdhmpIPdfRtRiFBRIFAmtrKVwTWoE0zf9ijHHtRSnotmAWH2aW/iQiW3PjkgD qrgyzpSaYiJRWt1RUY0n4NAsXCIirH+B40brJdDnUqpRhzLGxSIjylifEL0adt78GAf34M1RNN7O Z0rIFmS/iCb5DtOIehDmOgthlTsHPI4MPacx/md7WZQ952NERyq7IDaS2EwIGNRDD47JkRtYvqte eW5ZgV2qWMGE/6+IcEoKLio32RPzvRvjt5buOskRiDwU3hCKFeaJaqnGbqnOWS5cJSX/GKf5hkVc 06DceM2F6yv45Ny4RTmuVNtHNu6gD6CmFfald7+43DDk/XcUqyJdb94wQI94+vaT8ExCLxi0bDpA SD1hIIz1GuyTf3QQ9UPoDKX7qDYejsOr+ndGrCcwgDDuHjVeq/7jXm3NgCWWF3VEXynZ5qZ6UGyH Aad/XsGTaGqsm7k6sk22EJWr3+VdhDEBYLAvR7Z5GUI37SbALh/Ju6HDd3edUKffdJn+Xkw9TDsQ 3jg6s2Ou2C5qHjhqDbuM1xY1UQUHgykdcikplYNfqmgFrXwDn/oW6GzGf9O6bEcIyXgSr8jA+sIk EIFwn4NgPiPgru35CxiF0JEQxBbx703HrQcStAE1Dz2U9LVzHniSGQvgFEjAq/OPx2M9HUwUq8dK fjBAWDBRFiZ6YTtE+bbBdIUdBOFyn7yb2W62vk1CAQ2dnmtjJ5+gK8bz15feYAd94iLXAsgvgaRm r+mPLlO5PESYJ36mYct6RMmL8lFtms/ORwX1ZPbca2fCnPTvymZpNW5WFGQN2zBb0266cEhSg2FK zYFutf/WzHSGjpJj1xcXqwTW+hu8hcY8WPboIBI810wgQ8es2IPDcknyfmJ/+y2sB8PCH6OMoAYq /QXiS5iPZMp8VlUphRaIzUFJ9jEYo5k+zClxQ4dWrlmc0Pel+G0mxZQPEKQ9Lm4ZoP1e4sfDzkbl jqf/es7q1V40VOG2sIyuUPf3igltS7Uu9aXqUj4J78/K3G+QSGbPq1Ltjaj1ygjQce6yVOdl8836 adw/z08qaDBlVXxzTkA4djst1afjUOYlWGTawu+LXlnGVsTnkisgermkT/ujU3fbyX8glsdiGJKI xx+u9psiLtUgT37nEkNZYy9C53q+TOENpfWrMFpXutePjJKGw6KPPnQ23FSkDAlmb28PuELrzOp8 eDX4u5ruKk7o1YO/5Ty6piws4b380CVNFxWysTfuqPR1EHGmO/QnBv2HBAJ7IB9gVxvypCJ6iBpX cP56uvSIjZNcM7EU08GdnzY/FS4xDFPIyDs8YgvquKLJFPXNIKcT6OsZ/k+Hbnhalnci2iPjp6wE bL3UYU3/wxh8Meb+kuYoxTt11jKU/2z7Nfis+F76IsoYNlj5OMCoMv9JkUF5B4WL8xGhPDABoGRX AwE2g/E0+jY8NH3DGC12PenLmpWSwXwAh5hbz2ju72XEvjBk4EnLldRZyiWkRsDMd7yX7axY5YJV UW67ASFTG6W5T8f0U16u66iaXbW3pwBfWMPty6HBhcnjDYMCaoJnYPZNh/R9V5L8gl0Q6T2S3DnZ Hu2AemhEEN/wbKEUYQezRRkO62uchvob6rj3M0sAV4I1scpnID8p+RAZefa2QK9zOvc3Bhhoc/Ki lfol6FQXPHHIaKrvek9s98BX1pSYcs2ZKtdM9i8NteLW+n1MYzKZSGEZw87GgEGW1x2HKzgjvuDL cMRb64eKWNcMFDW1t8EzJ3MIIiCW5OGnMMas5kRe5nm0ScLG7Fm8Uoku+w8ofcolX+sIECjyGtz3 EblxkVRiO3oyJu3oM+UvjavvB3wrbG0fnSEWFwVf0Qyv5CKnTXuaWbrNHU3M3U7hM9vuV7uVaaln oDBpcl2K0cUt23sOfwDdB1fTH4XAChr8vPXqT7D7XHIumdnSjoRStLWElpyWI9BJ/jBMNXOvmvIT e1uinYF23b6HX2G8MpOhIwqpZhQWqsGhveyH+TCnvWmwljU8+4o/7wCY/Q92b0bRhrmVEI/zi6JC NNI0zCKI22Xpze1zrZAhp/rlD9bguQmoHtqUUMskQ18/lAyU3GdYwX+vsWO5YWJ3oZyPKO++7kWJ ZZ+7iDi+Fx1Tdg6uHgDXuWROmp9yJ+slICCZU1WKzFp9M7lVbbtibB2Ni/Vfz3fLD0++niIdIJcw Jsil7w2P0YKuqMhAM2DvWXkpIWLCR8TPWZ8p6VyS/bphkhf1K59+hmp/0OlWBQ/8d1B/AwOlSVPe ChLPjBDHBsL/F2Goy8gVNQCSqcHBTzthuoeuZIHdtcBr5MzU1eI0eZgp7JnAPNQLS9aO20G3W+Nw WgrR2Y/KPlD2AJ2moAMK3LnSgeuNKFu7bw+uPqYPAUAWCT9//0UIqadXrK+dqogsYZuKllwa0SoD TNBrEHqiJyZYvmgiRdAVLuQxQmQKKeuC9DRMvV5xBb9h41/SQvuVukA8EeN0jVgIREjYeVvdJEbk UuVa2smBtzdxDbm+WJZoaDVc4D1AW/Ke7sxIJpQlKAxLxngzHiFeRg0ofqvpnW5zZzP+kaoT2j0P e1kvPhKGhTgvlV0qS0zuBapplzMOHmcmrEmrr8uF0Bn/ZnOXPH/eKBBlA0hqyZ/TaS0Ur1XW2KbR gJ45boCyoAQQXUJwUQZP1xM8BgvZQO6fQML34t75hSuuZ1w7NTJ7HTLELJyJSeyO2S/iXag3Sjfv WWvj7Kn227rQJZjeH1GAPsHR2o+/LHq8FUub3Rdhyl1wriql2Zas48w+8UTPd8yLD4JoBQOhyfLd XIptPGvVIGVEZPfFSxg1eaQWLV7t6Jw33aX5/Du3KLk4zWvgCz6nIlz4SEYvpoylNPUrP1HPXRh0 4vTc8aGy5mQ1Cc+VXfAfopn02QDMMzV9NYhdp37tHyamJsSd7RFKVOYK+RHM5Ei0kF9E7TzF3kB2 ZCKN3U49VHG8n2+xXaKHpdQoq22848o+85DhRHfk9CF0ZwCdmvPWEh3yfecGZ8S7k9ns3c5q5Dws zFc04nEhAQOWjgjx1gAa1F8czycqyl/kYrJNwmLJ1rcQp8DmWqhWS/EF4nwYHFmthhpbG5z2vKC/ l4RVediK1EHy/EAioQf6O6iz6kf+lDL1fxMPQk5JXvgf9GZdOxupqtIhtiJcR4iWagA4xMfC/SM/ cFa7ZTrYtblJgI52ykrnrIe55Epg7mUCvlxVDm/tDWg0lZI6GhbqxnkhAzvRJ+hhoxyKHtFGW3eZ QQwA8ZtQuE2NLouJtNo7KZ4tEOk3O4KZWBJAeykufu9jY4GI/E9TP3/XwDLtvzDR02dJf0b5TFY4 UDcVEzHFnyPvPt2RTr1P4kPzkDK+3CtW8tJ4ursLrsOfqrv0m/M0D0D3E433PL6LqobiKdL0akyn xV1mX5K71hAP7NqHJ+stci++ai7OjQ/PhGaBGB0SK8IRg9/W9uqmONvwi5b1pE4uqZq54u9L1CGe 16tPDjZl4SlOZ9YD8AVWoZ2/1tARxfGu9Aqx8z2lu1CZgtscsaO15MsF1t9KrOOdVOLaa3tFafim yimjh/MeIYowKtSooZnM/muWsjZ8D//0/0ozyLlwHb0YIyJVIM6O/UgReywm+FCSAE00zfZvPFUM su93ghsdRtKw5iXVXH9IBih+xuacBgUI2XcerWPzF9k3wLJW4LXxL0FhfYvb1Pb4PnEjx5cDFL2u Vi2BIjgcBRK2ybmCRxv90yhTNPDqq1Ay72EVs5bLyJ+Xq1HHC87E92EiOAPCDFMZ9o/EH0LIHOKf DVDCG8M1Im2sJNHBPqW4iT/VT2oAL+GpcszzzhHthv0DMLG9n6GDa3pi29Sr14Jc3IeOTLRegX/F fWYT2fcpHPiO3pEl66Paga8rz5fYvp/cJ01WWLCpuaRHJ25k9FFDvM03rPjkxYBOcbql8d66R5H6 ZkDadSYIpHC6W4vZKnIT7LvV+75sohGRNsjujAwtLlnzLKk3Tj1RaA1Eosbu//xWW2aJHxD0UZEb 886jL5gWJe9e6hWQGiSZTP+2O30GzauZwroklpptSgW6ieeJVIYRFZkzAtAOuWJKm416l6GCNuVr sr3tdFf5i1lCDy1+/JtUeTK8WgM3INBprNjfXAbO1w0pfbTiPRjlEZFCEpqwfP6zumQ1XaJ7T5or Bko9G8iwG5w0hNrgyC8pg9vS4QmDVhpQ2vSKzfaO+MIfIpNVgRicfUR0+BCpdMY9Es4QwXYFx5mK bCQHmPEAhpVs9wLA2QGU9vT2ocvcRqwTIleC6jyaidy8Y2h6GYHTfteeDcI2WV9uLa034im5nTGf 8fACxvRzX9DbidLjQBGN0gUPUShGBX50XJ8qhBOQu8I4D5UxHbB6o3JT0SxlQ+nNB6gNiJDUiXV7 qJNFY5ntfEC3HgW3PQYP7dzFvKtK970zy6da+BC+ZDvIcibVdsqNbMu10Hoc8IzxbaJfRyGy5yfr SWbWlwvQh2wXz0LHNJgdi3Cpq77lZmx5ZtFZuyKVOjGBoFK4YvWlTUmLV41XtGObPCrwxNo/z0FE tMRdODwZPM7NCdH3SBj8RVzOxRLL5m8SszXOjbrO4EAMvsHivpam+QdJXnzmF8htS5QyhfJ87G6+ OccW6S4oIqWVg1P5dY2XVTe6Yvxq2uBakS+F9iMsN4IvKGiFkq8Y7krumlU/KnXAJa8oU2j6F/ea y31xoLd+dFpEGjK0fhSvqioZG0iJlVYro1Dim+zSAiGfGRZzV9E4P5xE18kDpQBQgtlaIUKKbdUP /83nUUAEyBnSWk0yNgjquWcAVgucaL3P0+9NocYQnCmY+mipK5qs2UjWFjyGeS6pVOkwM8L//0Se FgIyrAsZqd/ZeSgM275ud4eEJoCZD+gcFqHqKPrzRAJ5lBkqH8WuB4v87a0XdP4IrD+qjWI/7nCI zOG3vR0agaHF32zpnqJX43MTvmI7wmTP7M4N5WqOMkR6dD9Xk2I6EBibclXnzbRenO8RyH4AX46L U3OoqN6kghx4Q7NhawucDcHQWq8lHBT1Djz0UFRRnJUZBC32gKAxDX9HUa5QtIx0F2oNhav0bkdh fIzEeb5CaRM4RRbgRgMgzj0P2GFHrlVuzxtD5McBon9E0/TbjXfVJtfcsmwGsS4O6OUUDFJJBwvK 0E6NIlTayYWPPbCagThZtxn5udoUZjz4I/9tVqkGJ8eC6vBh+rSQimYpTPoPxRaw55eiRBb0hb7W qvqr6hcntV6AV/J5gBQtk8E4tnbiaa5dcB2qxsHYce7VhvhmJzAa/N+wuZItTyTmtOHkayVrM4QI +459kGd6stN97E4a4IWHV5tiMFG1WMLCmKfNGfYC8PDcWrFc2j7oAxqdXAwMOSgNaXYzo3BR2bYl bVhjOeURuUNhabfuWRY1lExMQJA2I+K5CfllWi04p1eG302SzC8X/M6ur3tZxnSwRdWrfmOpsvCc hC1r3d3cIrH5xqktt3iJngtMg+0w8i7lPZFzGilX8MI50I+mTsuCjAWKba1lrm6yH0ACENf7rc7o VX+nf8gvgFJe58DpMt1AnVS2ObFTXrpfuZ9J4hr+xa8EWWYgiVAIRn5Ql2wagD5tmPfOlntLt1ya d2h4Xb0WHxlKOqmih/N2/n3C9QB6fTHNgEwCttCLyiDMQ1BfnHdZCbYz0NL/xW8aXGqL6W2S7Q6K UJ9goMeX3geA1Kh3PwMlgPEKRfm/rtf4DUVXP+842OVBTphKHQZ0oCLxzGH98GuG+8daL1l5mcFj d1MT4xkc5DPF4Tb+ZKrnL1KUK4VL2ar/AEzxkrLFZCcna49pndDAF/wC1d2F2fE+h0WnkQB5NNwz 1uhkzWGIZZz1CJmZAKn9NYLiz0TKj9n7rS2CNVYetdrxO413CuuPV1+nqyMB1/TmjoGQSWQSRsaA +Q9aUFniLzP7s+evkIl8M84BkTRBtG2SHYi9LA4zDj9s6QCfpMIYjbNovH3ivgMhrRSI+p1jtk4I CHVQURgekt25M2HXEf9aeK7u1kTbL47IkRjty3mcL+Ol7dJA4pP6yK/Fi1owjvtAeA1et6iD2GzL v47SU+aZr5tCLKhtbxv+0b80JWqzdFEfEMPsXHZdP6ux9JrpI3Jn6Bi1zynf71gjYD8whf/PAr11 40+WocrzK4b72hqoAnfZ/gkTsiVvEaoOVjCp9DRAVXqrGw3zXNw8cyEfrurUbu+KXL2GJDvGNlJ0 QuEYYPBAK7ZkcTPuBO9/jObUKarTh1gn7pQ759tICIxb9EImHOoqM4IqtBDsHwTHY3G0nTxSdoHm iqaZY0HogkzXayEkpgyivwlRcEjvnmWs2ikoSXngyAIpJbNwgt5le7NTKLA/C9jR0r9E2FvoQynZ nhkRJd48FJtQyz0Z0vj4pTO1ixOmXkAp32HvZwJLNQnrvOMEQ6GjKnoUHsiE0Yk7khRl3UlpCwpB q2oSGfb1ZBpeP7GKjVc+Wir++olCmKf1VLhloqKQDYz7U2UCMJYT8I0KM3z6tL3YZUIPlE62O7Y6 F0TIfRxSJ4capCInyEXj4w15CFhu2UfNj/SowCl24HezD51/4YnjtJuG8VRkrm/4AvBJEB37gjHU /X7xpH0ZysynAX8ZytPZJT7ewBwyJE01ZGMPbsOCIcbSOGS9Uiz6R/5QjfcEjSfkr8P6UPBoByX2 3b89b+xtgy1iHL1+xQXuQfOAn1h6qenfrg1F3Tv3czd7TGRBHQT196wtaiPzH3sxX0hoOgdubPep kFfyjzA/ySPlVEjRJVGjKN/gU9m0d980ie65+QV4eSuo/RdVY7SlYEair/zQe8avCOzx75SUDPZ8 rwOs+TnVgeLyN4B38tMaaKRiwIM0AXQlgy69Ho/RdIjKoGBrov2oDB8Cdupd/QGiu5l/WCBoJFXQ X7r1jskETQ9qV7o1kuCuN0FJ4UnbZdqE8VQRMNJXoVbMpyvgaAc1hKn6WRWTBNAo7guCEXOqRsH7 qvkkEFAHBykKDtaWX9CMCoRMtKyXMqqzPsjDrfe6dRSGpj76n5Cgtr81OL5FcZg8g8tZav+ZVEDZ wW0Wf8Li7JSeoPInbG1KqhJ6ADPhKvL9WcAECKDPgYKnwJTW1gP368nKbeQDdSOQyqCEHQIMdf4l Vq48DONgtmR43yGS2YxrK7W6ouXr193pRRYPvdn7cY/IhATRIcLEa4o+A2Uu4yWj7cxsS3BWsVRG 7EbaNs9U2LzLWReFRuOn/eA8M1qUDzj1Fi9BNcqs3BsHWa3be7vgyMZ06higvI4rhPObFDMg2MPt ILAwicnGtF7cq95i1gogoM2D2sEn6k8tJgZaVfZ0D2BHIAYG0/ulyX4E6BtHABUCNndp0mV6L2hW zDnGtH4D6Nvg8cGSCPbjBAR090GOQPHkw3fPZpXLg/cRg7IuMoPEH7I4GlSVLzGeSQPJFfqn9hyA XWelif9JyEAqRoGP7Ijm7ueYh2cVK0QZpGvp4YGUb+ABaepco99rSGnqwL2kJ4PIpr5tV30A7/nz YzEUg7QlqR+eRUfj55RbfcxPCU3waufTydY19P/ftxNN5AdeZPLx6HvGxV9EyB3qOIV57WgxGhYv V3ohBGQMy9MUe4vnPMfTSB8UX5wFNFV8RiMvIO7eNJoL3oaPZQhvQDIAkOdiyB9JMn7X+TMJFiL9 v6JvMD6ibX8AXxWwqVIg6z3ZmwsrkzE4e0f75ixoXE4MguNII1kv8qGn5LDcw4vx1+GI+ZRoyvvg 2FhLOgopRIgfgEZR1XTBybIDb8oV4jxGPnMS7aye8599h5JapjNsD247sr4zPVJK0aq2Sr08I8HL 1Ulp2JP5olpwIHNhxKDusQz9xQrA7UEIdo7+iFLPwgCEtBHpsugdbCSWRUBUEHSXJwkijslHLupX L1fh84KaOilUdTltHXmVFbi5P/yGFVCF+aE6Tf+ut1zDOuuNqU0EobIthZcOkdz46Ifn0iW7e9DE VwH0Ug1AM579esa89N1QetQYikh/KJRINwL7FwrV8Wzu76wjzqluYv/S61rsglt8JCynZzkTfjO9 cONLjJGAI2Z1+L8stLhMr5HUjUFggflPHotsPanwuPskWwAIiqAwhxYxuGWg2SfziJdrQ4B7zTpZ zJ/iMo8ke6EgaqQPLXUMESeglaMNeMIELHkKpeFL0b4kexkLylRk+XaxEkNZg5GQX9I73zV/t662 d0CP4358PAw+SsosfvN5yGVhWPRzS1oerfPneyB2qipDSaL9KiTu1/YSuRG7hP5QLPkHtrk6X8iV E1P01tQTQsqH7vKd6x3mRIdJmuiGoHu9/5oSwy1Goaki7QIxG9vwYXfNhm3JZ3fAwe5KRSuj6gw/ G2f/4TwMaAj3iCXn1zwpStflGiWDH23osSf5Y1hMp8wa7QJWAJlXChzVuStXt/4DfOJbAGYLgsLC ZgA5Nh5VWnTIu++rUa3hNd5Rf+L/bxKGW8Ic9MMJjuvW5RoonnkanmeCPd8WC9if3uchSovvPqoR KPfHhSU8Yuqcl3otYAmsjdDxxR+6NudnJe8w2yz+7jms75hU39VZj6A3YgAilPkrxC82lCilGNZY /LzXJU5BIirz4Tgzw63axQcI5BxgCuMq7+s6z6k7bdGQq+H7GyeKLoOJVrtKLvL7HkTZ41ZldtyL BgRCaPnl/QcUlnYlhzbrR35eOyqeGdTALmsBWze7ANtBiK3ipcDi9kiax9ovIKSjoynT6wjVrc74 nId1WfHXvxUroeLlAd17z6rHhOjGNLH2JWqcfQAAffFQjaoYsD9LgYyl5ETz/FDWWoclzfiMJP9b Z82fZ1L8mE5vq9gE6M3jJ8YpVuYkME1BxA4BHkpXqi7oMqTICpUdxOrMgLWCeOs1S8iUWnXvUJa/ mc4nGdSXNUra1ceLFH1HMh6PJZhJw/F1iMTYP6/rUz8MviQSsAxXQ/xoluT2NPgjeeT7lbuQiWaR vtHJQbpn+JVViLTH4YBdV3FHFHXVHoAHKeh4zy+rGc9wjWHPwGlTSO90iZjUdnKViI+LMDHG0iIC Cy8C4W3iAs73ZyyoEHoKOBRia9vxR6bq5G7BkOQqGzslhZEwe1WsW+bV4/Ug5WsNi45+jn4pR+bR 57w8Klbs5cpCNd0miYhIDmAXR1/l8RDbJXqNtt5KBgT7+0u3RkxvVhDVwraWQ239E5gGM5v0Vccn V+nVNM66YE9A90cR5Rtng2A+HdQgKzMNfttk46hpHv3vEwWWyFVLvnR7XbBV3i9q58qUeocLkYOu vGUVP8X+pB+CpJUeN714Hn92sDQmgq5vCUQPEbb6WFOPqtnIGhJwUYLb/BV7U8CAsXPltYvXbbP8 AL9r6hnUCjVt2NNMGx/LJKCUmEHOeoQFCb5poYNoFku5iVw9g43MnBfaALF6wqfJJiHdK7zUDzjS buVc/ZjUHs1pwA9PNdldWw2U8o0CvMP2wCgJd+jSHMzWpOFI2wjikRaLsm104ABymjbcMde8x2IQ T2zFnZSIP7YVFtXEBOSdLY0mRPAS9yd3Hg1KqDL/4q/tS07Qtx8oTlLTaA20tyfA0+KjcusQ3+uX Ze5ihVMpe5ulxdCYHjH4t3CUwiRYWVIUX7Yx2wLXYngmmbgPWXrfPXiY/jahV6LT2JV9ojcwq+sp MXfAMrW6T2uL5ZBGNOBrgP6Ry4ZqpnUOc/QSTDPekjTQtzSaWrzxP3FZDTAmBEdQ4roVNWT2t8VX E8Z7QTR9Mg8ONGbH7vA+9wuzX4B8CHEAMOi7i6mYHpSx7WlYDQz+dXujkAj9rmxKaDLk/qoyXqfE E8uaYBNZfAUePbtcBOWuG8mfRgBeXUvu/q4Wlat2o+1siwVxmCyY5b/ZwvYYiM6l0jpgD5aAwXvS b23NHzY9+I3c9L1tMGSS/1jZia1y8EzeOWt0PqZWAsdw3KNXnf9vQKpcTUK0U5oqqRL9FtPDFy2H TSwhZgbttHenfSNrw5AZHz33Lb+WzsnyHVGqRk7Rmwo8vWTSzbJGu3JuLWP5O9aP8kRo6gt4Wu6Q QuXK6lDSRJ5JZQhARSfDRUqqDaxylFjbScSgpOLAV1eB4qZRcKd2fH7lMgQNObMUpEXrrdhT6uGA T1Hk/HHCuSoZrNnTsrirldBXhZj5/tZfWhWC/s8BWFW+98VRwapYjU2I/avrjfsMY1/+I0dP9j9F r8EktSU3zT6N74F55P0rINOndBXuX+ExcqaZzAQBmDeR8LQRXzqlr8s9ALS02QG5kl1xsgxK8JTs xlX9z8h6B7UWW/8gLdp2qPfAIDD8HDrfv/3KtXrnlhSgXRhrO4yPKYfkaDTlxBGTkHho5FN5QF08 suDmSbP9LsqyMYLsZExNWgLwR+DVj+W62noo4EOOISBx0WsAaJZEUYEwgLfV6tOq9ndwQRLREhMx WT9GhdaisBSS+IA/c1WB/Xe3fC2zltO4Ein5Oiev1vfU8DO1RPmp0aJUWOvrRbpqtdUawdE5Q36m r35r+3ZwjdeySHHWVIBp4DvSUKaqaOE7n4lIRJ1sWZUlBRlZPR/h9iNzZHFW/tvDfEEDJqtzWFMQ EXlhmoXYl/Umnfs/9QeK115Qt41mIigXwKDe2ZN5bp7VQhzPw8ych4cpAJXvnSGveK7X89aXaGgg JLGxoaShczNaqFO3ypI08hk5XZkVQ2v1zGi9vOQmLHUgXIuY+KA9+0zCQCB7++xx0V1Wr6fjLKHh P7yctE0goLDaPZL4SqHpbOekfIWrqzD+tGBMwOLZYMxGL7OMQEo/WW4v6gAmlnS1W2ZFMmRHUkqL 7LNWjt/wmNa2a77Bb1AIfjxRjQTZrWZz/O0DMbZiO0a2dBLnb1ObwaDDANJ9V43HgA0B9zkCsiaR TcW2RS4TXQrjgopXeXJ8IaEd6Zxo2kaOgAo/xQu7y1amBrOYvyvmTNmN29LkSugnywlR/TOnQhcn RLytqBHXWvo+NX8HYyLRlENPOqTnYSkjj47ZWIgndxMOYFzwM92adLYk/Z/CB/yymxaw97Qm8/ZX 0eg993ow2QNezP1o6Jm2a0f3behoFDuFFQ8LYA5bPHcM7ZCYOKVr+UWUSDIaRke1kYA1pgVJ0n7A h0eK1Hc4Ga6JtTC6G9lJGInx9RUrtXBHQKZLbCMg8zLXIbYmMA6ADExp75z0AnHWBdJDaWKUFrgJ +Sh4euTeAcFOVc1gWoocxWQxySK1NhQE8sd0NZ1aSTj99HagPbGjYQcHxvrdprIxWU31k5uKMipL o5ihYT8cGuHnLsIo2ZNq6asq1N9UxFm7n4lwXTfaeKlc0zwFXngF0uZgV8GIdw6ZEnKC0AAT5o5p XFyYKtzlFo33UVgVD5WNETSPiN3+y8/RjPdmUxvCurLMpwlndbR4Lx1QOVH+ml9NkuLij3iQstXI S18H4tzgi9VoFMWEufssOwaJsRPwvkltapu2maaJDly8DVQcUxzic5u2bTbOT95fFQT1qxh6rMRk JRgte238t0kJq5cg1yZPaA/3ITdgzHrymCj4Hdz5eQOlNhJvtRg/Zw7QbZOFeeP5bWF1XiQ58Ln4 /fXsWqKV3IlfubZevs4RZMKVhX5fSS7L0KQLic3JwWZ7hPRoMy/u6NPMotGzla2kzs/FiMcVdml1 iTCaxWLerZ33s6IVlz49+AZJ/iAJimIj/wp6b4aLs7cTSCboBNfCNJOc13ehmx0uPDQ/UCJ3u1jS 3tFUILwpeDsCcumIzUHuw6b7/Rfq3jHO9pid0HJ8lYgd2KcjCyo4Ed4nPGM1HAjGG0Ftry4+ryjf Sm9CW+gAQ4m6dfS8PZycmdlDo5mZjL42Dnw2PauPEUVxSbd4xF8pYqePoMDRD8dQr/LPSdgjXEU0 3LqJgShiGl9m4zPPN1uSi7gNSc7r2YQpCpHGmm/DEZ6s7a8NBzrZm6n90/BKHMpFScFl6BHLsnmc f8+dEqVX3lvb0pWHCt8+s415mL/7jce3LiWEvBe4gg4s5ryph41DdBOKn2Rhs5X+1zKJXpocU3MQ dnTHy/TuOEKKoRuqCh6eqiihme5AbP6uMekpB+2q040Fui4DJ9Kbv87/Ziz6yssdWW3GJ4m6Fhd6 xFGfWCW7cXr5oT0e11zKZFQ1gRKHeCPTLXMQafajBqTd0u3oyPy2BzZ+2DKgLYloDw5PEuk7Li7d WEn6IUQ5EeNz2AMANQ5ySqAxOxuxNNI+QcrH3fL3lvYKwSgXNao/fXgYu8/bqXMKxppHoDdq7+d3 koHUX73gFUmawgroypMSzMsfX0ArRpLedWKjE1SEf/VvmdoaXM8sqEb6PqEAAR72KGdwSeN0/bZs gA+vH3Wo8Z5AzHQ2elxD0UzavADqyzqblPVc/XfgM9mnEjGDuwwaQOPf4yjFZ3av8olgvzoYHxXA Rjx6ej/Z669FS9dAsKJKws3sJNawrVOd8dIjjAyZ6rLyvTeABqaMAu2JaxpuY+eRkEJhqPstNfzx kG7XS1I6J278QlC8AHT7rqXACEP3kLNv5D9lO0FcuZTaD28hJmvWuo3lN47cpAOVYq4e0hDEGezc Cjdp3CDFjPtq2FhE4BvpLDqM2nwdiUedhRSLW88DxCyLKp5pz6YVNTkVTW9PgjwjMA1bYN1tz7PR UhvFIvFvccw42PAB3Ck9ymJB+iYV2fTLkP1uJDx/Dh+dMQpu8A+YLxcV62qoiNDIMGJc7OM7WiaZ pSRRE+dKCP7LsgY4hdqE1Yv0NQGY0XnEi0dUOm8tAoCyzBHtFMqhGqpBOvz7ILj67SNAzR/ClQzi +fppJk1dGXYOtDpeavDUFDN9LuWtIC8SSG5vmImGJiAfdwsfpdK/5BlJH+GVI3H+uhCULR5IHK+a H8GuulBJVTngUuTgse+aOFCjp4qi9BOBuBgD0abSmtpVB5zqRlktzB3RGWLumoc6RSnGISo91O7k M2LrHNy51Dz53hsG2aFvaaHisHr0ZiEoW4uGD0ibLI/fUfw7NHKYY9T2oDG3N/Zapcy0yVoCIMUQ esrWV18JoN3loDaRa0j0tIkSefsMhuoRxrNslaAtKxk7t7SunQaxTBnDD7gjYvlEuxnUrjSoWUn7 i54uxluyPEBqp5HB0mPyHfOTqoEeEndoZOWm42k9B6l/JHNaoMlEHhEXPNyDAIns+mvZSAs8QW/J TsO5koeu+l80m+1/4HFQmQLEXIdJ7YmK5dC1L26csnvVY6LKZKU2YDtDMUdVQdqOTvCkJuNWgVGm K6HruVqXtAPkG+TiKedOnUNE3bEhTiW/II8SdXpPjGKj+Y147fq4mPrGxrMknR2tiKVji+rfQTK/ g8Ljwd07uohdsKgfikOtFJfhmF7t3nqTTQOAArCEnWFHc+cykLmJSYeMZDZ++t4O40OwjXOcamdW E8RIcijyDa2Qzxrwq50pG9y8MqeHCJ3mTB+btWgiOG5AkAUrzKJdfqMSfZ7JQGoFg3IbptayQ0ba pAOxjuxFA459yJNFeUfxXm7eZEidXgBrnrm8ocextH2VF6djJRwBxZRdmS/6+m1k8O7cYBNkxL4a cNQWGiZQtMc0faXU52nJenr2FP8rmuRkGwiK7OBMz4/JQxVoDYAY+qb4iglhStF+TuJoKgHosd88 wXypEEkpJXXAaImkqfvKV0yPMTQj9oK06+3FdRJjPTdVx4VicvF+Hpi16dwdkr1F2KK3p/vjRliY NVmOkKt8CEuyBw8Y0tJUL34GcHSwMTEzIMVy2qk/bGjAUWO8gV/S7UWov+xVxUPpK6qmx+wAuwAW FRlXlmAMHbLr8KeQQcJYPi4pCC7B7joTT4t7uIbcfHh873wKOknqfpzJWALcYfIYZk9r2f7oz675 uAuQdt1EFgYUgGkuT5ppFBvT516b9tgB0bmK+ahN8fyU0aB3ScStv4UuG6pyEkFbAdN81mEQEPar Gmnadc4/Aw9HQ8k8Eot0P0SS36+ffTcb7Udm2uhJDgNftdRLe+ncoyog9K2I60LEveP+Q+H5elHn ku4uyFawNTZtS2Zh5WWQf3h4+vfBCoThGIdCaxqrZnrQ56PbXX46sKVd4n2/0toSGtbAx3+eSKYP IdgCZh9fgzn1vHaRKDSkqJ3ciXPjeiDUgTMKwI18LlpH+x1lbrgZiC05H6hR899IrY5C8BgeKF+r sj0C2fgIycbWVpactLBLU+vxSVe5KeweHaNK5EphynEC5fjDGt6WjZB+WP0zoY9eAposUQ0dryo/ kBUxtAUTanpwI4+qQqGPfeN57ZbnEAqKLIgiWthfY82wmTTpQKtNrbQuW3XzsTynjmRDr9qcrQEM JSxUenXN6XQ0ycFAFwtLCCL0C/P9I4p5O0nTYg0QOds+OOilFERV4O8caIMY8kHTjzjR33QHkOTm BsNg7HvMkJTOuflDfgyPmSkHZYy+t7OL7j3vJmilKU0DEVk61xr1m8y2LS8z3NP5LeYNXDH0BHR8 d+yrwW1Op24vqHVsbs7/TQjyeneO1mVVVdIvKSx2k/iF/WLfp2E5U51HQFyqdEMjts7SXpnuN+Nu F62+9ZMtab6/ZxPeApzbJac6PeEYQWOfruARBvgxv2A7dqC29QTOVRhw/IRE1i6JsGi6N6oNyfEc gDPJeWd7FimrSz8PkZKCcN0amD8MhAQktL3m9aw39vfShGKWZn+QNwN1AlSvrza38hJ0spPHD0Fb 6iXyM2bDVEm89TTcXTj0PDUy1GPkQ8Hg3hgjgzqtm0jkboh1WSg9VvREUWHh+QiuWho+j3JzeJy1 EGbrarI+eCeSJj7gQ9+4PrC/6DDW4U2gYiGPBQ4i3wN6CR+YRQPzmvVlm5rzis4dUCdc7baZNhxG fAmqsomMQW2fN3TgsLT9GYXdkApFHVmKZjm4bT3vASGOCcc6qk50YZcXYTw0VPRSyY0bjMCum7J4 KyOYRcfmWJgVmDkxF+dh1iBMH+oYpQgdRZZpQYVB/uiCoJAazZLfR+ATTKmuQdr7ePQunBr1ewWI suvOo425S0vqpYQ9GNjmrG7TEhXVlbS0EbD3GPDy7E5TokseQJEmafVjfs+eOfQZXGnSo0zDMesl rM/IatuvhdM4H3m0rpU4FCXJkFeq+LvXiaY/rMXEGKsamSpfeoNvEHjoAnPGgjewPbhAJgsTQHnX NkuHaudryjeUajDIupWVbMhmuLTN3MpA0HPvvPA2kEzRM6YugPAlFiXg85nrYxX+PaB5hr8iNhqZ RRm9xw+1Mf21KoDQhTCMQ3rzjunee+CINYz081r1/cKcSQ3Q1d37meBR8AmQgNvJztad2oUvM1p/ zWjfRyUonsHyrfTPbzYOEP712m328TqqO57h0jOQ2G4KCRaka6OTdIUD+S+EzvBMxDr7+loFSPcT pbj3jt0t8U9Lz/sDcMBaq/MDfEmDBgqgGdtlZ1Hx5CgZPxAbVwintamnLqK1Cro3qn/M46qtFwFx Z6E8zgiyKQoQd0cJpkmeGpHPPxnpL0J56EOX37aD60faUVOT2AQTf75EasCWvP4Kjt6BhBiefVlq pQhIjxJibks517i0Z1GHGeoje75dvOSKxf6TBq2Ywq/cegxUH9vIfkUjZCulrgZoHWTCbjYKe8VD /PZN10eCjh9eaXEjenxlDCLaIDGenaL4QVFrCLgfJ8LxPWu/XJiBB+iZmLzLfiKNCdK6Z/8YahE1 bTbSEtDCzJJd28A1F0t1x4mQp7jJCkyU19+uXW++ZrtRemTnfvAWPDRmRFh6Sl2UwdtugZQYOeMA AYKRLptc5oNpCd+3/wTzWQodS6f2E0KuJFcgvEQLRcDDfi8aeAu3kIUmdQGM2qO4IqUCQN/Qvj5R MGk0cbf7WvUrG8hcnzw1fvGmoXG0dTibGSboMbruDUs4XjhtRg75vTGphLPF02/R8+j8WNcvif74 1C2cb1oyGPvnyRp6lkmmk9P0203EOSb6Ql4gMKaw0bd/q6YAONLTILzrKwSP8yse4IBw3qRcrX5n 4J50U5Pnm8GfUFvQb6OYM8dvHA5OtLKQCbmSB9o+gAiiB3rnlx5B9LXeG+hD7P+fOVsA7yMxS5dX 58SytTBCb0syliEpfuG/l+v8lx279Bz4sq/LUYOZaFLTkQJLA9NobsoqeWJFx2tYLAgFHJzxMXMc faBYzQ3ciN3ktKBstraYz8JhB+YuluxOUVJ5rEOsqQJyI9z0YI21eqto7waVhC6X6gLSDVUXCAct eljj7rV+ZC6whb1ljw9iaRP2ObW9X93OxiRCVhTKJqRVegiPrAEOZQ8oZPNp7Hc7h/4JoiIoe8Ig alF137iv/asv3LNKGB+vVXy0ug/CFfL2OGABu44FsDjt3sFQ0EAiaJwMoGr5q6qmvBxUCvuzfyuJ Qn9TwUxhTYsW5+Vx8nVsYP8xl7PKzO3q2VEzS3X1UolYxm1oQyuRt9tDVNUiJtgZ2OXJ5Cby92B7 nwhH+9fyrE6Bmvp6mMgRiY9wCHNrzbxKwc3nYIS1mY4sKTeW4XSgwjteg2idjOUWSaF6TcDWLj0o cekeRNt3ZgW88ssJWquyS1mecJGE8jayIXEXbmW2e/X4hcOz5tqvU2zSQOwSuBaZJgsrQxiV+rml nh43xtxua+LhOMuzk0WLCeZcNTdcak84LgSNIqXpfUkR6p6WHmZMFfms/JWHb8MOo5ByoHK+BUpt 4bbTgjs/iHtRMhagP+IxmebMqGrf2S90YtQW/aA9FveQ+ZZRU6fMWZc0zTLbwoGW17jwncvnIKaJ DpoZ/jSW8tFqURsYCuaQmCOWF6ftmE5lI5I628ODTqfcwCFRd+rSt2mwaSLv2RRN6CT+prdnNrmO iu65BmeAeWQ5diRDApjwj2S4wEViFizMJQ8bjrCVpq9jioN5s4RONLwurMpZ333qoqbPhtxc8+FF mwsA5THx5pVpR1cZbR+zbOBwHfKx6N1pMNPI5MxbPVUd34LWSzk5fGlNALfV4dctQBUnRCWXSpHm MeL020HddeKUXt33SdKd/3/6C5fe3yJv71KGomaZ/FlAgZShXnNQbwfm0Cwp64BBAftSptSUQclw +WAf7wBLSKqH/+48EanlzwTThFKGGUS3C26VhlFwjmPNF9N5Ae9tni79hctAf2gI44FcKFEBK8FS DQhtSFtkB8k6Ns3ZDPnpR1OTLznTL4J42GIZnnxEeF2YKY2xtEN3Hp27OpUJ/tWda7caVOGhgFes M/X45kbYBvTDdwts6frdMNTjUcVDC/tes7UBQW6K7wYunn2hscjcWtxnAWTG4o/WqFLF74Wk32C4 PrvtyysVlRkhXmbyn3E3tpRx2EqJoIt0ecPQhJB/SVmYnwodnuNC/NfuwOa62ulLt4Zo9T2hqcOl kFVsh4OFr3LMCTtzYHhRsn5/JcTCjFEje5D6sI7y0Z+j8suFvsuEwp8JdKhRo9qGJObLuUPog/OX AgwOUmf/jrwPZmkgfEwW+UH2IRxj4DTuI73wEIKlYpFHli/sLZuPse9G5d88WmjSXOgyU5bBEkT/ WceOXu//wHYQD3P/6Zul6y1iSQ7vcnLdR/JGHNBR5EO95QVUbVuqcn2JrSSk5YMkuFfN9hj0GNij ix9DPF3RUvl4wizDwUZXzq/uccuTt5X2/MKXZtd3IlqDdE7XycgPeJRMKD34vV4aKGiK0IlLrmG9 ZU/De5WsMORJ3gqePSqmlEIF0bgkK086EkZG8kZYTr1vde/yu7k2O0YkttDj3dzDLURKcyIWcDV9 Q73C3DnaEWa/vR0sDutd/EXAfDJW1DNUp2cSq6cDf+RXYRA4kOCS9JdbxAoO+ME9vY00g4QtpKYM CRztW+Dcejj3BfmJYVlt7U6c78tAIH9+eSm/UHCr1F1LQavzIAra+l19JuZfyyQb9r0nYLW3fTqx T8Mjbh2cLNZ60CM9W8eVY7jurpZbSd6qv8kQ1Hy9qWV/iiwLs0Bib/rcSFpsiZg5ir/r/11Prrdk 8evrojFJhZtCju0g2nliTi3N2JF0Smltm/1py0d5sW/cZ51yLt05vElA7tbq+GF1qhyUnT9lUK1F oBMOvrDwEsJ+Go0YSEOQ/V8ldxlCrCNef4bIv9kIJdgCxVyKny2FKXRl4w5O2k///qnB/1MDI/zE N6Fk62iJSnO4uHV0BGkjsRPja5cCDh5JMw2iYyfRiI3l6o2HwnUuyaXTMa7fgV8FAmkMlJ4jbv8D ZRqkFaCpOZINH/uRYRkHms8EOJRTe7hPx/YAcuxuKQ+0l4weHxouaIrP1qrAszWcnadErc1e4uh+ 7VvC+2Hi9xsYY1dfIo1ZTIGLpZsystN23UDMU5xT1jwI43rbUq8y9NLNBma+zw0VopHl6TwDvSau s46WiSGy2kS7vx6pWgc7oVU5JucgM9CjoBRFMUat+psdfInYJjapdHzUtxWCad5M7Hg2jO8Hlh2o 6K6OfBZJu6w1S0Pp448tVHZL/lf47qhWXv449Eczk1O4ZmS+OKWsxmQnyTcjto3DkGLSOp/kZi5l aAeLdLVPrBs6O2ftFODzPxhi4/hfOg89/aPqlP0x52PnOE7r70+DrenMgbmf/OWKNy8ZMLDWzNkV sMu8FNi8t8hCUhIxFiLo78+kz8qEMcRhAHyLR15VxiAyA1AGOclifjYfKkFfcsLcoWHjjD+3/bWy WluBVjUWTudPnK9kwIjO606ZLOkqWv2gCTl8cQkp6aFa98A2kf2oj6i3tDTb4yM4AnzSKC4FzMZm U94iQa2D6zOevUdvkVZofS6gKYjgWVJ77pMHg2uVw6QRrBGkgFBFXhcYg2bJJlycxJsTItRTx+cY vDL6g6Bov3qRb+rgl9vycnx8B4gtYBpt1tHx3XslLPkvIgVNg2APDyp/yjnG+q4SSdO0uP/Oh4CV 1vKJCwHbZ8ofwqAFDRtYXjYa4mvKzg7e3TNjz9m2GRYEySFck217Bytt7RDGhC2eCLIdhphELTqr TZHVqFVqwMtCHpDcTZuyxl5iebm14UVvk+KtyAtU3Eh1s/EHxSFBAlZfEiHj43MLFM0W0Hzh8iUG 94n6JolHOV+eN850IU6b9Ax1ldrw5o7PdBWSOuNbH2MrCHYuMyRl/TgKIsINVe+KMllBvQaBjuHo k/R7UZ8Als6yrLydiuRUOAT20GpxOvj6P8/ekgx8QTQs8kLii8nOI81rp39o1cNHgypgC7zFPeSK tiGnkhSrerK8LwTF4jpaJYb8EQhX5pEZKS64zqNm/3WAuMu3NdEXAqHtBzdXsCgm/ltrPI+0nOu2 mNpB2vc17Y8/5XKIKqu1+7TfHFzYjykMgSq74KN04bhSNID+AR1h+TCmIHUIorWRWt8E0Ui3WrXD lz9lr6YKTppAkK1SqwcbwolLhXcuCsxpejKlZW1uaUsDZIu5g4XaczkhwTvju3Js9lWvH1nmz/nF waSnTqasC1NyuL+c8QJr5p96OYRKOVvTaNoADpbuDwGo96xHPdwYZHP0UiHfy0p18EFIKNIbel6c 1bwrHPArUq+z0FFPA6eyuizvFJZJRVcFBM9D45+N/6yK0qvjT9jq4lTxbgLtqjDLA38Q9+KJUKhs WL8pvYrJa2yr8yMAqf8dhoSSs8djpSu0WYIvjRDIjBt7RTFJXj40aUlPohSyxhqNKH52EDf4tzCj HIY0UjhXcOQQMk5gOHHX8i52ThpeVkWHTTTCH7dA8g0HE1xIrsKIKN5u+Q/Ic3mS/bfnvikrF3Gx RYQy5FPIRPGYxkx6JT4CtezCslCWVEj+JDEdyhdhnciM7PQ21NWfK2yoOICHkSkk8/Zn8jYtI9Ql 5KqyvtBaHKcc23InzwgCc3nfkz6LxiUWkZB3i/XPH/os/2kJR6gVwmPkqPdgpeTWOEwFZ7d4tNhI qOcyxSvliwZOfSQLDsiwrWNWy53nohfKZuwmEIKutcBD6uhOmppipIj44Qx2EVNpijSuuDO6+GUE AN3FH8fG1crNh1mL+C5v+F762dfeJPyaWFD1E9969wja8CgipYSRKasr8qvMw1lpS7Op4huwVA+v RsegNHaiWpbDYbbeg4l5YfPPhsXqO66ka76a7GNq2rI+hRl230BMW6GwvYSmyEsCb2zkX7yPBTMU wBdkWOjbvdkXRL1mnGkFXULR4URTEjiU+q37CRgxFcBKhhs5V5Yvj19mcBfTZNMPCJWXVPFH9b4P PYAq8uIKs/mgsJ3gSqyTP8IF/vEs8fpEY4QKR9TekHEtr7XfOdxPaH2ynbazN/b++YbiSkqmBIkj urD+7eGVvvOuiyu73NlnhO2xjLhw7cJ6yz9bFHhry2D5eWbNrC5bUohEpXssRoeoFQ4JzmX+JdC2 zBihyh32U2hDG9wpQ9WzmNCfZCdUARUqHKmzYQtIscTk8objyW1x3wj+r0XGCFkoNwWL8jQwmLUZ fqUG6iNOooQeeXyn/5jxSqyLD//Y5SjBI4dxRmI0wlBxFDoORpuzgStZRys3fEHr48b/DLw6uV0i ZK5ofKhVrpURfLqunBP+jbHnd+s/pQya3SlIOcRzhjZgJC0Ib9/XF/2aVQZaAvZNWW/VJ++lq/H2 vE2kUoprsqPF1OBIME+vd8GFlYNIF7XRemslwI68vxBNj8uNCrAXrzRLlBFHs9W9QvBeoaxg1OfQ 3VtvCRFS2jgjLOAlsg0mHgvvbmR6l+ZrlpzJyQ/uK3ydwZ7kRDwMwoWHyzcQ4Ps6iiV4ctzUHoiY e0eLkyAeogiST6FO1S+3cbfXzo7m3VePoGZe+geLvkZ5ojx1/wl8JDMPdi0wjcNWDthfM7Q174EV av4EoAammy8lJ8yW5wI6SYIvOmeduN/5/sTyw5Iq3wN+g3UsZ6XhjE18dUyyy1OMB7oRJWvmKLpN pzZESG+85YdmsvBJrGZLAJZBB+kr6yYEKbf/kqCWWYHA63En+cfZrcbEmVJ5+nBm2V6VRWFg685R V11aZr5YHGPkdFSzH1ZI2thffMFSksnYGRgB1WDLmUcdaAHhPRSbsal9kHFEi+vyQitPOK+/MIhn yzodsa+Bi+gDIjkZ2+Suh5AYze7p8friqoZd+FlYdEqQdSs3k/7ZzH82+zHodf21OA75rSOJVuyZ 62L45arDar+QhA9D8iHUW4qWkai4zIaaS2e2iUlFfS6BmBvZK3UVVjdiccNhjY8deArxJYFQJ2MR 2DQLFSMiUkj28enDkEGGk2yJVpsSw6O+FX6wjufCUfUh0bgNEP5Q10suLMCfA5m/Sq4YNOUJLoC+ jPg055caDb2izi1ujlR4if/moEP8mAtZZrRFAfaMtCGZ9RZ3aR0oDGL/R95lbL/OXyzdMipJpbdG isb/icRKk0PVKKvoaSHGnjzq5NbSA3QeGEEIXEr1ATSRJYiKGFCpATLaCAMl7A2RWk6LhCX1GEuk 1rpcDAvCjxShdnr9QZAwnnDG4AfscFBEr+YUK8iY/OMbpRhv+C3lAX7RicMGHcZBw6FG56sBRAf+ XLRXRRQQyJHBE5k5Tic4ih/S0DBEZI/4XHQxSp5dpepa+rAM3/8iqjKYkN94KZbo/acqIB7Ytafx haxQ9seQ8bIQIqBpk5tvSjIrEj/m2VT5K93mSQxe4RrBaBEJojLkj5LQXLQfiy52XKLBLagzRY59 Z2OkrbIO96r5TEpGAdCrWwXytTy6Y1sEbCokXcLnv7K9FcMxNmeFl3Ry7a4OUsSuS9Lf2sCnvCMf f2+DTJjkC0uNwGa/mOObj0UBtqbYk2r/4S0At9iaM22eNzTqvuBxU9eVf/b98bnYZoyHoGuyixWx KGPyhgJIkVj4bOq3YXIBhna/rhgfNqaAHclCFIPFcam7WDsvuBN9DlmEk9AOUcNtQH+SI4uqha29 qQ0tV0Jm0OxDVKbRPL/IczOpjMkPW2FJpTp5Ek1zYsya+/v5+dsWjKOEG8VhqoLTGehDErX8ll2q 7qcuXP8l9SF7ELPLdExkfFRfgv5L9ocrthEJZ2TrDLdkfXTTXm6epNtYkIYFz/AA0jlhP0WzPloA GfrqmqUaXEXpjc+8LIeTPjBkk+QguQYH3TeiZwbdoGtO4oBsuaW4KtSnOeRokk2PQJnVS18guXwJ UrUDlYNfgmq2HXEbp3IIKogug9zNjyev0NT6tnXf2bRBaOFXX5tsEnmDDuCMEaiGkGKkA2lfHrpO wKLlFYp9tMO1gfiq176SAfJw9mEhUFuaArZhFDDfKtMp8UotmHZjTGkUW50aLnjX+E1cl39Kn7fn 7VIa/oo23JM4ybKEB/CLQaZze8Lf6/S7dsWk8kv2hiJqbr4wKTkHIWvSjlrPSTdSG2nz/lbD4OH4 iYC/WmbS+TIIoUVgdoa/tbZyhHfBzPEWWHZo92iwy16jDwHiW7scuBWIKMr+3+vsftwGkD0GgS+e FT4dp+UhdBxwnIMj//LHPnpONr6fjpMXq2+Z5qLAEdm9YV2DCxMsmVov/nW5WKMoPh/AfId7aVCl lnmAVu9sYBxnTsbRZgLH4IvJYa/ppaXyyJjCJ4mecevlZaeY5oE6uGMkzCtnu1eGpvplqPdwcC5l wS+6Vv/+ZYdQSV6YGb4SS2j5qbuJtTkWt0iIpSPVO7oUpw6ovBLYhNwQX+JNIxQbGQcIH5wQ1Xnx ej2/2oHSaVNvTFpdYGN0dqBh9hnM745R0BFj4CmZmZxmhLNmbPt+QLOtc3npg5XOym91oglDfCxe og67fuFMwrEldSymwR6M+zWxnp04Evc3r+xTrNrjCgrULRbi9AzCCxaL0GrJLtjLuPyX10Il/kfU gSiQ8YJZBdux6o9Qe7ek8+hcwgptjtIfpz2y4lCAZVWZnpKSt6JjoDaFSMt8hu1Epczzsi8fl6mu rmcZvBwRfRygneQFsYl+i+erMFAQ2fkNyainV2kq+MTb2529d1r1L8I03Rmh8zRqCMRSn0OVLx69 02uwHk2XrKAcAPMHtUoVNm9z1RMG2nkvo1dOkuRqD9CN/YeIBIsZWeqvZa1tE7FzvK6MKg7l00WN Dh+znrOB95sygJonNsDe/KxDmNF4ghXyjhv9lTOno7vCtVVy2dhDfj8HzU0lvrnIGSkv4sw1nw+A a00HXMfiCu0zDMK5fWIuCpyqjuBK+ibQvGgp7iA1ZySUYYBTHqMNlrLeZp0eeGCehJMQYSa/TCcK r+64eP4B8dri6WiQnzQdXCtLYOWPFJPUWO/xBxVy1mVYarUxmLs+H/A55zB5NaETyIcalvafK63o zqFbV+baB7Jt9bAtqVnA1eyDSy49hHjaN4QsBRLCN2Og3u61X5WmT8KuqEpEPl9dQMfJBx+upkxU FqzSisETb1dmkelP4o0WnvJkUprzkv1W+aOLEy3r7ZuruEI0EfQfAFEXQdbDcGU9SUOgIQ89ThNG Gfb9tdxskjzYGTF4ecejNOfDGot18BVdFY1TfrficHBVgVbHQfXlqdPCRKam9lbhUUzdu8XFsxos UMkR+lh11hEiSlDSBrcpigaGnYPUBJyWHq7TVfoDsd6ctX2ypK1p66kSXVkvWynTRpW3T9ZizzKS Fw1fGKBk+lrECKceWAWfE4WICpr8lDBFBrqKXhbFRkrazL7BjEkE7c7WmxKDHxBngLY3e4EuVOuX ijonRUgXDb5QxKa/pF69QoIqE0qiMENH5tCRzkt2sF8IbuJEQVhzsgYJh6DqmVlYVZhwzI0jWKW0 bj43Ai1Sv+fdLpZigmSoXr1Uu8V5JO6fBHJFELM8TZPc07uGXba5Kj2vAnH/CvUfixrZYYm1FmE6 WAooYuyePChP/B17e2pCyqkUnTHi0ACvra6g8GT/k1eB0gR5U1xjmUeAmw2YM1gqZwnB3KTK2R/K OnMjrUbXLu0jtRS6UqZdZC78oMDf/gkR6zPC/O/wBVlUiGmG8F266//PP+j4cPhtC0iaKbB5jioG UZGVE2pslsVWKLqpWwJsXI7W5tciinu9z3Q3TCdUPxxoOfRVorfT5iMTUwR8Ird6uB3ZR7dxzaZU PBnJxZKeK6Q5+8TIN01mO526KnWfhdsRdZpbNhsuTFWlmANzhAQu+ERi1aFSrAuIjJ++dDuUoiiB m8wSQxlVgoKY9sWuSB3QyJMWv92Y0FtpF58PtQ7E4DSbkSLT/TrfhEt6cpzNGr0nuCHgJ0uNmiXH qbw0wa3Entbfm/CouOe2blEc1CIYf//mH3l6lQVEHczRvMUscmDKIli8qxr7sVqxiv7lxeelG51g mewMuoCmQ4LS7RactgllyhYzjqBycfWMn68DOPplcc1HJHHfScYx0Hx6aKUg6OJhcBQMyb7Ls34s hAfB6DvRYNLH+S4wHlxoDtAfHJ/ePFcqfuxwAwilaSQDBHinmkMRn20peOuQZEv2hNvoWM9beTNc lyz07BPJazjBWy3nKv1Tu6Zbp5bg/tEiATgiQWv8JpN25xv2m898c+5aZZr0/LBYEapwlM899yhh iRms1Pfj2HU6xU2D4rhRb+M0RBF+HDaHnyij+D1unf8bPF04gb/WLB8R2xm+dJEtbVpFu3U7wPaE EGxRWPi12G9pkui4rKOfqA+Q5fnmai+5DcBY7avNEl9i6bm1i20baSzoj6xc7CKWW5UzaOKcAWaj ysgMIbQ+mUcecc8a6eMlygYz8c2ZenAATn7hwZBusQEwzKz+8AXnu8LsqKvMpJSQuLJl6+KMCyo0 GySYNpgyG4rNK2JwL/1EuqLoC1qelyWFN/mZGKZXJMGaTNJUyCVXJHi2Onaws1Qy0GnPJqrhtmxy OOKQuin3LadECYf/ojYOKIww3ddx0IXbw5uEgWjIVm+oUCGfKeHSaVu0Z1ZJBYzov8EkXQ3AURu9 F6uo9N7ptwTOuWKUy5z3DFfEN67f6FVs5qLouzU7cu8ZT1HhivhfBfKpzOVnvPV9diqvInO/wDgR lXLZ70Osh/U8PdlWgHtVgWR3hvERahblVXj7mVw7ZgrRabb5T/NNuFzoSq/OB+nC6XiOWyGhZyft QQBmCLwKpR+th8PSjnkzzk9NE+HyH9tWKnv2uJOfB9h8y/DQFYmRUS64kObNqm/9m3cKnU+4SaQE pjd0+xy8CyH3JD/4fvFxKYthNWRr5Mn7i1e4uDb32NN892IaDQCYUZu75jgzoYLtWW5aS5A6dLGD q6VVoHchtxdA23rqgQ06tFLZtF1SXUHeNaQ7w0Lyh6IYwGFk0Y3i7olFf2U6JQVOG0KkHZmiyFxd tSQ0UW0Qxsn5K2kCEAzmAEB1SIgQziqnH8Ow77kBVgaPY2Y8+sk1jCp87kga2fvG+Xzl/VT96xgl O9dc1OLYZMbEKS6PO2Ci9Q3GhW/nUFEX52nWbU9WN7TQ4t/kq8vThNJJHW5tgTcxUBbBvtF3a7xL KLU6WxMQl5IFvSNXFOoryGkXkiSCCkB/pnr550ixtfWIRbxq8RaZsKfBI42rbGTbM30aDDPfx1X8 stkDiKxHKaMKreTFGY+2xh7hHNfrJoeWo2y5bvPhBwx/J3TKfofaK4lRntgria4e5KaJzbC6gtRG 8DgjN0jvDGNK/pARr7vIgmGsEbcAC6DuYXovEKDcDXxZssbk9vKKvYOuSWddE170v4WimqFcxXYS DGm18YBdDTETCLGcQb/PgligjyCuJ7cx2bWIl7xLo3s5/PNBTtZgF1kCOC1BzdhTLPa8Qr8KY0RZ lNq68tlZRuJzeHxu2DwGvzgxPn0Xpg9XK79ct+XSarKkB8gbnTlnHzL6tJst/eJlIYcx3GgNUL+n euJNCAahHUBcf75QGYBtynGz0dNpATBsZutw42cSgjEp7qtloeBqmMGbAy7ZbS5GhElMyX6H3Nc5 NYlKYVGXx2ZD1LoJOgUWKa9+Lcfv6dni6tDqL6Dc6jhZgUEgBWHhw1b6cadhGXKRwFefy2Ob+R0B D8j/3byIwDxfa1hzTbHwgR2yHwELKcobx5LCtgVleuniKD3c7d0KkRwUefST8k3vQdqfsKI5L8lP Magy64ia7Ockl1mkOsJWnMG9U+vumiN1fQ0WxDbxPhf6E4sY3mvuuSZoh7DsLehv7DTBxL3vkmwE CY+3MpycMgc5c8FGTp8hI6Ek/k+l8J9X2pagkswjTfEVLQbZudqtlFWA6koHHO3M7VIhITvq+BUi +Qx7tDmxBxjoi8QKmjF/itukyyuuSPZORZujGiDXJMiXKblFIuxDdIrlEBUF9HAPqmIWt6BZSxmb mZgvv44X+nAUrpOJkAS7ceOo/iKSKuaZuwU0pCXy10yK8rYrX60dbNttoH4oIbxrQaAcGxCuAP7s 0RyhfW1jYInEmxzidjKwL3l9pM9XhhBuzCRazxBP7w8bVBJGtGbNf2ULrsIZx8TfLiAq6VhRWnlr T5mzQ/iGFwvF/8DwVKXCnannRB6Y8z15ZrycCcUTbTrzupX5pOx+gNsbR+L5R3zwr44fVoAq9Vvr fS8JmhG7osN+XYUYNyUJe28dXYL72BE3w0PC/aG57ApWsYERoNT2UL6s4h43B0xmCVlTC/vBBD3k DldR5kOemroE7VcNtu8EYz0SZPABy/q9KHjM+2ViYt73cBOpH3/v4yDRWTD7sARagRsCUhxWmQNK Pr0cKDfN9DPqDqwjC34LMCuX4YGaMPaWVAFZgXSBFupznZOe1jRceCBlnHT3H7Xcfe4Nu22bTuIK U1duJEK82Q25QZZVp5CoNNE8tXb6MeYOk+XQ6kove4rNoZklGc2mCeuw7B3U5n+wTLpB9Ll2r8RT oRPaP9rZQ2rHDQmd2UhmvjcoMrMV6TF+yRVph4G02G2IQGcpxig+GLsz0g+8upbX03B8rhBFl1DM bet7yCKhgqwDHHnSJlKI3ZPZAF0MZ5ZD/LSGyAZnOi8KMoQLfJbB62/JMP2QtadppeCuX5SU7f4N AGVSh52NAEJjO1bgJpZXaWXYkAEQvtyvJcwN4aU4u7clQWfdMRfzaFEW5c6AyeN+9AipDL0RekeP C3IxLGvt2Ov/71taKJNkFJWxGfJI/3xRLdalisZdq7SqhIYv4Nf8+I7uiKHB8GsUMFvonISsv2aO Uy7D2S+jqxCCKEWC9N3aorHfgzDZAXh7LGnRVB/ZtE1gLUFLn6uP1RrTV9+5qLY5btQJ0a9O4gBD /Jy1vdwJHhbDczvArR6Zncn609RVpmKtTILt9GlYz9oD2xFaFviVXMgcPU6+hOTbPYHTwgLxf0ny NhfLswsf4998so/etfS+OhwmRZsODGXNbQxbRIC/ZLqrJcNy8ZYsrZAVn5aVecjmDTUeLcRfJmOF oykL9sF4y4g6zylcVCemQ7G4MDy7iYd3nwK2mda7il89ss+bcAFTON9v6PYqOBGSgDYqc5s73p8/ ULuuvkovE9X5H0792bTaGhMyByP/7zeJgrIKmzn/hUOARJoCWpgYPiC4e+jb9QvfzAL40JOPXb7Q LyMAQQgBy8OazOojQPpyCGlLdLhp/iIMM4LjZFNJe/kXPODFDopFWiVIUzgNZhkiBB2hnAt66/b4 yAeYgW8Ehy9wRqnrD/bqaDd7siObjsSA+8d4+ZZMDUw7WyIPXXKHy7LkB3whNGqYYXTvRRLmtdB/ I9O/z17LxV9ix6GbyDSxHwfNoI0iOE/UMOsGvPsr16iqPUODhKj5o95AIrQO6Wpy+yZCM8WzBNPg wpB1zG6TzOvOxQZFfpY+qYH+C18Ienj99GTWeZKDaTRL3zTlP39yojHRT1tYvj4Ad1baBrBug88q nyw4WNK8YgxLHgbXd+Qa9bt4ZOQnPjG8VjcIq5SR2i7G0wJi1ExUb0VtdIgsEnM45XrM6l9bEl13 JKsCh7zq5hdUCvtrWN34G0ezHBiCb+ZIjECWwmNfvkxwhXhoE7FZjOYPTECONWWFgxf6JbmJQ/pC dnilzFZQkmW+rVgK7UzW3deagF75I7tTSjEt0FQmq5hCgkHLXN5gqzXmuqn0EeVHBXQytLioLBfC /upEjptRV+V49mVecr3+Cj7n6DnYVrywNVXLp0t2lqXF3loyj7SbtLcr/1UJCHd0+6qGKC57I61P Td+y4eDxIwO2YmlO/N3xBwxHJt5emsJtLcouBnErppGvoJ1WBKDBPLxl99BCE3997FKaef2OXpMw h1UxWD5CAGYZAuC2XSFJyo44JN9JCoVhLiJYvfFBBDtfepWn2djv0bgFIZnuz4byhROeJxChL1ch 8NEuM3p42ujs+znrM4PDFSdzsLHz9QMc5xGWZ0RNAynBpF31B0N5iQ+4JLkcvXdg067pIVZ8byqB lzL56T4BY4a2hsFe/BtQi1P3KNwG/cqxVdmtnFthH/Uph8bgzQon0X2k69IeKhhHbTgPmGv6phJI pXE61+CXAtT+pqR/1HgU8DKue2r1FY75Xadu6PsoYrPjVWKLqQ== `protect end_protected
gpl-2.0
5b27a27e261a281e5518b2e67cc8c5a8
0.953489
1.813484
false
false
false
false
UVVM/UVVM_All
uvvm_vvc_framework/src/ti_data_fifo_pkg.vhd
1
9,644
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ -- WARNING! This package will be deprecated and no longer receive updates or bug fixes! -- The data_fifo_pkg in uvvm_util/src/data_fifo_pkg.vhd has replaced ti_data_fifo_pkg library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_data_queue_pkg.all; package ti_data_fifo_pkg is shared variable shared_data_fifo : t_data_queue; ------------------------------------------ -- uvvm_fifo_init ------------------------------------------ -- This function allocates space in the buffer and returns an index that -- must be used to access the FIFO. -- -- - Parameters: -- - buffer_size_in_bits (natural) - The size of the FIFO -- -- - Returns: The index of the initiated FIFO (natural). -- Returns 0 on error. -- impure function uvvm_fifo_init( buffer_size_in_bits : natural ) return natural; ------------------------------------------ -- uvvm_fifo_init ------------------------------------------ -- This procedure allocates space in the buffer at the given buffer_idx. -- -- - Parameters: -- - buffer_idx - The index of the FIFO (natural) -- that shall be initialized. -- - buffer_size_in_bits (natural) - The size of the FIFO -- procedure uvvm_fifo_init( buffer_idx : natural; buffer_size_in_bits : natural ); ------------------------------------------ -- uvvm_fifo_put ------------------------------------------ -- This procedure puts data into a FIFO with index buffer_idx. -- The size of the data is unconstrained, meaning that -- it can be any size. Pushing data with a size that is -- larger than the FIFO size results in wrapping, i.e., -- that when reaching the end the data remaining will over- -- write the data that was written first. -- -- - Parameters: -- - buffer_idx - The index of the FIFO (natural) -- that shall be pushed to. -- - data - The data that shall be pushed (slv) -- procedure uvvm_fifo_put( buffer_idx : natural; data : std_logic_vector ); ------------------------------------------ -- uvvm_fifo_get ------------------------------------------ -- This function returns the data from the FIFO -- and removes the returned data from the FIFO. -- -- - Parameters: -- - buffer_idx - The index of the FIFO (natural) -- that shall be read. -- - entry_size_in_bits - The size of the returned slv (natural) -- -- - Returns: Data from the FIFO (slv). The size of the -- return data is given by the entry_size_in_bits parameter. -- Attempting to get() from an empty FIFO is allowed but triggers a -- TB_WARNING and returns garbage. -- Attempting to get() a larger value than the FIFO size is allowed -- but triggers a TB_WARNING. -- -- impure function uvvm_fifo_get( buffer_idx : natural; entry_size_in_bits : natural ) return std_logic_vector; ------------------------------------------ -- uvvm_fifo_flush ------------------------------------------ -- This procedure empties the FIFO given -- by buffer_idx. -- -- - Parameters: -- - buffer_idx - The index of the FIFO (natural) -- that shall be flushed. -- procedure uvvm_fifo_flush( buffer_idx : natural ); ------------------------------------------ -- uvvm_fifo_peek ------------------------------------------ -- This function returns the data from the FIFO -- without removing it. -- -- - Parameters: -- - buffer_idx - The index of the FIFO (natural) -- that shall be read. -- - entry_size_in_bits - The size of the returned slv (natural) -- -- - Returns: Data from the FIFO. The size of the -- return data is given by the entry_size_in_bits parameter. -- Attempting to peek from an empty FIFO is allowed but triggers a -- TB_WARNING and returns garbage. -- Attempting to peek a larger value than the FIFO size is allowed -- but triggers a TB_WARNING. Will wrap. -- -- impure function uvvm_fifo_peek( buffer_idx : natural; entry_size_in_bits : natural ) return std_logic_vector; ------------------------------------------ -- uvvm_fifo_get_count ------------------------------------------ -- This function returns a natural indicating the number of elements -- currently occupying the FIFO given by buffer_idx. -- -- - Parameters: -- - buffer_idx - The index of the FIFO (natural) -- -- - Returns: The number of elements occupying the FIFO (natural). -- -- impure function uvvm_fifo_get_count( buffer_idx : natural ) return natural; ------------------------------------------ -- uvvm_fifo_get_max_count ------------------------------------------ -- This function returns a natural indicating the maximum number -- of elements that can occupy the FIFO given by buffer_idx. -- -- - Parameters: -- - buffer_idx - The index of the FIFO (natural) -- -- - Returns: The maximum number of elements that can be placed -- in the FIFO (natural). -- -- impure function uvvm_fifo_get_max_count( buffer_idx : natural ) return natural; ------------------------------------------ -- uvvm_fifo_is_full ------------------------------------------ -- This function returns a boolean indicating if -- the FIFO is full or not. -- -- - Parameters: -- - buffer_idx - The index of the FIFO (natural) -- -- - Returns: TRUE if FIFO is full, else FALSE. -- -- impure function uvvm_fifo_is_full( buffer_idx : natural ) return boolean; ------------------------------------------ -- uvvm_fifo_deallocate ------------------------------------------ -- This procedure deallocates all the FIFOs -- in the buffer. -- procedure uvvm_fifo_deallocate( dummy : t_void ); end package ti_data_fifo_pkg; package body ti_data_fifo_pkg is impure function uvvm_fifo_init( buffer_size_in_bits : natural ) return natural is begin return shared_data_fifo.init_queue(buffer_size_in_bits, "UVVM_FIFO"); end function; procedure uvvm_fifo_init( buffer_idx : natural; buffer_size_in_bits : natural ) is begin shared_data_fifo.init_queue(buffer_idx, buffer_size_in_bits, "UVVM_FIFO"); end procedure; procedure uvvm_fifo_put( buffer_idx : natural; data : std_logic_vector ) is begin shared_data_fifo.push_back(buffer_idx, data); end procedure; impure function uvvm_fifo_get( buffer_idx : natural; entry_size_in_bits : natural ) return std_logic_vector is begin return shared_data_fifo.pop_front(buffer_idx, entry_size_in_bits); end function; procedure uvvm_fifo_flush( buffer_idx : natural ) is begin shared_data_fifo.flush(buffer_idx); end procedure; impure function uvvm_fifo_peek( buffer_idx : natural; entry_size_in_bits : natural ) return std_logic_vector is begin return shared_data_fifo.peek_front(buffer_idx, entry_size_in_bits); end function; impure function uvvm_fifo_get_count( buffer_idx : natural ) return natural is begin return shared_data_fifo.get_count(buffer_idx); end function; impure function uvvm_fifo_get_max_count( buffer_idx : natural ) return natural is begin return shared_data_fifo.get_queue_count_max(buffer_idx); end function; impure function uvvm_fifo_is_full( buffer_idx : natural ) return boolean is begin return shared_data_fifo.get_queue_is_full(buffer_idx); end function; procedure uvvm_fifo_deallocate( dummy : t_void ) is begin shared_data_fifo.deallocate_buffer(VOID); end procedure; end package body ti_data_fifo_pkg;
mit
c62aca0aaa8d72513940eafc20d3d53a
0.534011
4.456562
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/r2_control.vhd
3
52,990
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block fjNzyqXUsCuYknjKNI0f7Jnk32JCrS66upB0htM9ir74eZkhogDfDaaVjwxw3UAiiNjoG5Ar8hZq lbjkN6897g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hUDtPN3S76DQnOp6z+63KsRs8SeUzwHCSsg/iM+EvLKRJtA2moa9V/igssuH6NSIezh7QpbVyvyi q9yaLyCls0L13BL6i2eOkBPIc8lNlPGW96fBysQcu9LTEkbbVMGN3++VCANDVWI5XdUZowMf2Utm wPzeHztQ/Mu05QlElwE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block AcsWGWD5UX+I1Ya5ahOSmpLCafSoA30RavPgdbqsY5UcKPCIE7gCumALxz32PO8EimNYGwC0yLWT 1si+91uno+c0aNejUbleQj55Du2EFC1hY10jdMXAzEBms8PUfQrJHIAg/1hO1p6Jx2xqZJu3vIOX ySVZy9zuurm16UQO0oAbKnfB1dueru4X+fQEKUwu5V4ZMAFkQc3IrMcgjvbxakAfApZWzJJUqzva YwKg+6cuGKD5JbfecEOQnXQ4xbxkZcwcTA4XxNQsO3Cji1BFbdsp3fjr9Fj05VayhGmTTu9aGH+A JfxLCQ8kJHwyQiWKsGzfX7Ydgf3uTtt7XM8Kgg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dA2+7ne0VdI0NyCbPNjSzxIBvm/6thSjPmPHRVJ4eCdBtX7ydkMo8F0O01eK9dSkmwfimAGQG3gZ ZCBR7JGZ643JL3Df/1+3j0bn0R9hDciTfCX/U1iF6y+6vJDWX/wX7ZNlQt+qAAcxsivFb/HTyFOL jBhbGKkLA9yIWireH1E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mQlD6lldURXacAkFRPYHPNj29oqsYr6gnKFew02xDFG3Wj1FIwcRxAsf34reDIw99Ya/AIgt0lMf U2bQhvIP+SL1/o/GuzxoFvZvkuoaEVcP+Rj6i3WoJjq7XZZrZ9GYh7fKY9I9B6JZUUbF8NNzZmZp oXqYt8s+q7+iOI2JrlMP0Gs+C7UKuOj1gqAYEchWVoUKuP39qcbu3QliblMTY+jGtM8py854oMJT v2Y3oiuko8oxubCCNrZ3yQWZufF+PY1No8JDlFvKfBQNrHK8zEZ5N0FmwXML9vkyxr4vbi4H6+1Y shF5yYPTuQRYnYT00xI5nA9QRfe5FPOKs3boSA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 37488) `protect data_block C2TMBzxd2Kn4D7EN5ErtwdLKZQH4CJ+n0vcZXcKnVJEQ6H51ghgvxk7y+OKyY1KpnxdL+GVA0WWM N7ixRQyQhyl7Z1Sh4EOK9LoEpMwcctKdEIFDeBAczyTn+R1dI2f2JaypPoTBBZF9xjA6LG1yDAUF 9CWrQfmW2R1vz4Bg6aOL954bsjb7fqizfq2xiGyMgVNtBrxyq05T7b8hTGnQIDM80Gbj7o+fNkNv 50JZYeVt1eHoNwWoKl/wHvcUHT0c7qdbX1RqDSfSGXAH/MCsfSIOYWslUqFJTceZwaAAH4XX+Wkq zMo1q4X7LxhHbv7T4FMtbKGWB/XbceUqTEicnsJvUwNy+9EpiJv21rdSD8yWx+QXvE+l6MGHmzL6 V7XOOeW57z1khrzjCCYbYJXgTVTmrr8t+/331zCnt/aeZAHPMEcnFso/IBRJY+NA+EHIucefmqq5 9Nc4UeQPTiz/OVY4jd81axwUea6ZGjmJaJmuJE0I1Jwe+Zx9M0lttVB2Y9BmAGMfTPMTGsT8PTWX 2xClotdfctPWKUwILNwEOWq2SUiOyRuncreiqHr+J554CrLl7Gj63VRGPDHOccDAl9U0l3+zQtPH 4KWaUH9JVbTKq3C/QKwA+4Z0fcua6UZ8fxXZdskLmIOe7pf0n+eTgr9q2FDsyy9nmOfX3wLvu89l i1lXiUYMrxT4rGolXOKzMsw19TpNFr4YugFAoMY4pPsMLK7c3FxS0+Ff6+rhlv1+S3hXS4qenhIi UBm35kb3NHO3VQwCNvFhL+xghSq5wywsT9Gpgik0QyUM5eLLFbJyJ/4C0VPkuVdAYSUxJ4vBZS1c p7Ue/RDjWkqEATRlpGuQRr+yUF+xPuXzp/9CjPxn2I7Obpaa4/MbZYvoSyxBciGRDw4LN659+eu8 USUmD8zzI0QiV0Z5zdJEF9gvdDGqt0hzZa4l0IZP29p3How44OfADDW1JsbKBlN6XwYx9JP8TqlT MY1+jiKsPHVIE1dPvFXkf371X/L4TsczrJeGfZNnVZtbxl9XwiRrbQN8zGAzHF7WdU90d+uOA8Dy xe70yCFYOacZds2uM7w9FwtpmFJwkXw5s+XNVCwjq+husf20jtCD5EZweaQHvRs9kQfoEXLcWVW5 PZBRY9xL3El4xR3zc/fwgXmxkW35NnhHkHFcp6wWerkBWSGYTMrwLUh7IOtWSyujr+98geRnAZBn bvIFO5apMMQ+b0RAkD5p1xPEONt+HuqCPQ8+CzzHk97W0cz2Vvu7D+isj8pRlO5kx0x+E5cYEQFS 8m9U5RyTRBDmDCXVMDPH2m38Oe3X1c7FyvGzAsUqFRW8j4WPClerXc/mrwzi/Y9imB6SrB4QB1XU BS4G8VuqGEolSF9UW/ZfIkjmkinIzy/yBNUQDuhGzP0D92biZlP5s/JYCQE7KniR5P/Q3Kaq1MTM jzBWI/0YIJaugkdLI6enwL2aPmL9HDi8qhcv0THoVy7gMV61SApkxGUYPr4PxxTH7gitBBB9L3ei V4Mm7vpDKE9y+XsHlvDh1KxfnoblX3qEeX5EqF30AAAVJ5Eqa4c8MsGCUCqrl1KA45Ylwqumi3lY hsygJoBkR6AzsTqKS+n+rtyO0msgSCagvvj8y9wkBVqcXlMy0vyNFpSMnLn+7qL26MeNnWf8b8Om uL/lVCc8bSKGNMaf8z6ASv1TpmWsJUx7ECYrR3I5maruxjAidWigNtQw4vriG+lNATHMi4DvjYGd G0CHSv/IST/bEjzIwu2PKGip0m5LBtzNAS/iqv5L5wceZnar6gSTQYFO+dx+FZ2jgwpgxrxA0ldT FCz55EONFEH+GPIDJM5ue8Jd9i3x5nD3HelRqponLAl71E6gc2TzLrQHkqx91ToMjrgDuGpz4c7H fz5pU8URRH8NJh1mEs4pVrxwYUA8G3OnOQH00qHr5QLducVxYuDsjjPTlBYTZ3TyHEXDGlS4uGqZ 0dMqgTlhk/sY4qj45lQPiD07/7JrCJ75HmpdgF4o7pBcz9ljX4X7KIUQoyoNQsFHnpY3/lhSPdR8 KARzEvNpJ2Y8NtltVAuPrExnfz/u4nlUPcQfdBU3OOHbvIApjxlAnq4rW5g6fDdopxdZCrF0dJ/N 8dy2lpT1ujxyipV84U/RYLJcIHXPO65IAeD6Pq/boyaJ9q/nLPFO7jfZzAcLMxjvZn/jGZfq982c T31+Ev9nxGP0Vs42avdind1rJMRPXyylNRcaZlsKa5MYrO8qa1H5+mEd6Ehf6AS4VSAw8PfBP7xu FEAP0yWIWaSWUaARaddl6JoZQxl1AnArTiUaVAYcq9joIl1/UZkqZTu4hceSyW47++mG/axK+ywy ZNHr+dG6tk8MK0HQaDgSlJYy0e+KEUGLdguZMc5ekNCL3fvmC7STsZ0WjZeLnFWm61r+rexGOdFR pzyE9+XfDYi1onNWRDYrzcoihul0mPk03RZ/7k6HFjBQI630Xt3iOGAj6KKI8w++z6FiKVvBIySW wWdFGFZXH+AceGlWuzsYuPjhwu0CtBknvayQ2qBYpcp6mk7GfzEPqwT2R/uOjbma8jYO37NDZ3DS hINUCGaBchCI8fDC2DBn3lFXjXUCLL99t+o7XRbO2iSNAk/PADmNN2j8U70hTLJWFKKldVSxXfzC b3F8jhSj1arQ70A8rU7iER8ZtznbP0UiBH7d8tWB6dan0tlx8ruGtp4j0yHqRNofAmuBLMEPTpuh 119/UmyC1gyyCFIQt4RFeLx6upidSYmuEDlpqHMiIe1+HjPf6xmyaxPlVkkYVCVzihsupZlXaAwc QfA90Wlj2lK1anotuNh3zdyhLqG6HVelTDtKQuB4JIchuNShOUFCLUU985BBIW8fP3jr9A26bMjh AXG9BsLbnxXlFDL642olOVsaIk0dPcnVGfcqszoh1kscigxon75mL8PsybDx8pZkcT3jQrAi5Uw6 DtGQ3qlt92y25stszzwW7Fvz1/k2gSOyS4xKMYyixZor8HB+i0W5JG1Q65HJxQ9sftde1t2kDZKP ELwVFtt+StFekZbUtybbMd1mtgRCctU5tQX70jCrvzCyyQjmykUuYIzP6WwMQbruW6RR9F6Hdd8G 08+td45q3S9LK30QoSPERX6Q2JCVqG/kyKpETAeOSUc9R0N4dD5qfXmbMncrmNpBVsXsxQcQJ023 piJ4MXNSlH8evRGnn7iQkIHqGzFuFfHRitxommuvm+45ttMjBoYAFbauo6+DqhmbAuG+apiOHSij mBuDN/ZgPD2c4iI/xyDxtuFVi/2rONLYhE52m6NofchLgNZBMbpKtMWIye0mrzdOIlQEq/OgwMRI AT3TqBPFyX7Od+d5Ber2hFVNLDNH8nUZAdMrkPD2qq7V6KYJzKOfcp4K5Gp1emYfWchjul7nHD3V SZBUYqIFmANdlQiKU2qTTrb6x3ErOHV7eD8bJjiW72hRTfyXmYoqYnstcRxBNpoKeVYSQJD2RSxH pAYNrO028XWPrddXh13F0EUWs7MKkXlbZtJbGQFUFCJbCnkxPwXpyjseXtKsJCi1gckflfc9I/VS uBNgJaF/18cHsjgy7o9/jAGHjP6HyaSmej904K7xAJ7T6nZqfmp9Fm+KZGS9oc1i9RexhgTvakRn /HoNPaPcxtxj12gI40Chxf+J8wUu0WvOrTsCbYpqJQWPVJpksrlq2JJO8KHwEFb0H9VaSbTSJdWz PW8QcRNkUVvXvBXgDDbxzK1Rtc/WCV+IKwF8FbVckNGzm02uZVzC3ctOtgM1pNXykysaIIOkeFD7 ymB6KuDWHj0S0AirknrgZckq0yn3psTAS/nCP9qHxsKcodltJ2YBLBfZb8xlE14wLWdShVqozijI Ug9r1ZVGqUavkrG1OAQLrx0NIrjQG7acqdDOMk222WnMhlt63RfcQ6GPJ18hCsDuc6iRqQoHlGqu qtH7DUZVLudpv5cPrX+abAlwN9A40b07YDej9NHTdssSjBt2nk8cDnTtwUbMky3vDze5DDNiwLmd B2xf5moJIy4Hdl5m140DutTM8KX6/wAqMsl8+fWnHnfJV/ZDeJvPM+LzpMaZdDwEIcQFCk89f6bn 0/5kodKLvvmqe+N6RoMFEYN5jHiHbF/kajwhDvbNQWtjMIAblH37BA3fgEilSfKhdkM5PsP96N+K hzu8c78cw4NXH9e0KtQXGOJpxJ5u5oOWn9rZ5uoyVw5YTEatWKcQLUCj6rv/K1Avak46tM7b10W/ +QlUALvcxrA3v2dtQvzENS8pZX0R8cSGUIbiWm05Fs0GbSyQtsPMDH6kddnKle9h3bQ90x58QL0l SJNp9Uat1/39prJI9ZBPNVUM0/nbw3FrDHbi5W4exywZpFEL0MSjJUEMzJBCnQFK3Gmr4WHlYieS 7HQ/7KibeW5kcsPv7lNXa0saeu0uz0WGZ/YnLjCv1SyQIHboM9PHhCu0p+uRlQ7BToRa55f7kK15 5jJaeq0nIzwHXMpcp4ec3IUJ/UKDjVIkiwjfwOr79YqB3bjjf5MjoW2QD4Dl7oYKZOeczUo+IHPz HLPjw8cEOFmQ35N2mzybZunbu81OIuKekONeijDUDFn/ryBvjYTeiociBKJell81JeA3z3N383zj CGD+6ZA917Hp9te6Z6vrzmvIB63ITQRCwxGHA1LO8tZKC9xeFQUgNz04G6JL16FaKLJulfgrRKtG 9eAVznM5SCBqZ0vcC7rMuElNjhOSS7cnHuF3Qp9uh3S5UeGUPC88/8MswPtj9crrIdoYfLaKsQN5 Av5ESibU7jjIg1wqdoN2dYEYZZobj5aqlarc1ierFfeGHnJVUSPIvqSRhB87RiiclyXrfGQWsolu UFYnKetEDq8tBMTC5Uy6rJqR0Am9GTiiq7qlOrSyuZxOukXjENDYHnXvpAEfb+lDRpJRpkkPy1cp dn4fS7VpRcF1iv3fkLjT3Eu0gftm38cRzwPxk92NT56bnZMG9eWjueZTf5frbtFzGz/G+UnQ0Rqz 4TogPrz/aqNPstxbHV5ARLGMVEFiTmV+24Ku+VoQRwa/CFqx3qO+UjFQ17tLYEMxH+rgijEMNGXF jS246mpCV5TkqjMXgaEqlS+r+xkWZ029lsdySOs+1KgdRaXzJQaneGaD6D3ufhauy9F9+9N5W5jz wjOwk9Ya3ieIsLIf5vXdHXveyOA7sHfrQxi0KoV7SIWLU8FAaJF75LiY8pgoR7cQ221TI427mB+N VyExxV+2RhchCNL7vte12alkMfeYFnLQDSIB3KuwBchVduGgI9JtrBF+y0OjVayC/uLtVwYR5Jh7 BGQMB94h8NtZwVWBxHFFp6CmKPZ0hHzLlxgqThvb76HRfCDP3WnpsffWCP8/UHx2E9g+ZVBzWCZs 0vGUR17UGnJUOuM4slDumVVujhfmJmWB3ioIRJ6AQZtDtp4pHD6RWfeRLy3SeWX+ml1xTcm+F4iB 6VIC+4IhJNUZEDI2nvFPcKmGC9eUsk2P6NbVQYCYRr7W9W+hWRYyMFqTC4Tn7HV/FgP1eEExa+SI CFAiSew2mSj8BqvIjeO3TgEaBfoUZiQx2ixWQdnKzKOWXQ7T1z3bULXEJP/Zli88XEMcutq8hRpu ucV8jmjxkj5z7B2Cj4wPLYDl7bDri+DmG/r7E5ZLg0Xy0amDqaidfT51ir3qvNS5PXvUuQ8z7oIO z6zlu65VOSBTPKKwJCFw9nOHIR4i71e9s1eyS/ig1awvy2IaDSRCYqMivqGZoAXaFIH3iXilp2OO YsT8XfO6yd0mnBE22Qa2x8KvGuUXyiBAL1I84A3N5r9WRLexFDviI4ihXDB+QODYFUNpCf4620vP FeWEjhWGvlaZJRiQwPYTARhTxs0n7TeJoS645dyES5IwIOKQYx3JJIBzIV8vFIPXRnK5KxwF2tUr DUIr1DDjhC2F+/qpDwz95r9uIvyhEYTiO2RMTuv8gXS4jQtw7nIoOBP5ETkchxwy6NgaV6fH+7KE LfX9owbLJ1R/CuRDVxuQGC5pigGyLz9qAYTvW5MfwMpRb0TpVJ9WoBgOGvuNW04Hx3v+VtaETKxH ZS8KN90ALT65OuaVzl3OPv0hiQqG3a0xhaeJRFBX30nvsK1x4zxARGITCBe9CILyYGYhB2r29r7o vTjV74hctjPW4OhAcfF5Eo6uMWZG79VjntN4IiRAtm96mpR0IdkvMglIP9FC2sBliyKj5kBthbv5 RUWMsHyGZcjruFGg5jKuEdjC/QCa18+GWUetxvmu6Q9r6z7G3igtY4UtbX3f9JZOXSarqbMrpsTi ta6TPwKshSLUoC7jdyJn+1vBEiQhpKZ2673bmeLFuhGBLc8KdCPwNyUuBcRR9fxOmChmH94wD2AJ m25RkPl6eoldVcQAkyMVHmjL/kdOUBIeO+ERegQt8CyJFR1diLdjPGCiWz0hrJB7yE6pCh7JT7Iq tgyq3tS6EyTcZkrcGb0IYcsSyxQrXeCujYXWxmM+bPr56QTiEKF0GcTXJ712lJzfZw8MAngWqU5W nLq1L3V+jNrRtR7Bv74phzOlT2btrQVjm4jsDhZGfi52Aof3L0bp81tGqUdOaWp3bYBW2F+9npn8 bXVe4qdgnY0tz4wWL5mY3EOru9PQuAaP3AI4V+1nFw5QfzUgOAhEyt4UdBT5NMWs/zrt5RqgVwe0 d3/wGTyxnp9tGfKwyiVmWHbz03m933Mg3LBKv8TCCIWmeOFZ0ZzzuJ8O6vTikTqSbTIuqnF14tUn caU2AytATI4LGgHtbIMHf0MxcqnJhhdPA9LCoF07C+YecaYRqvLFbwQGP+5kFcui9fytFOuLwI6M fbCLgh/FpAUbcgf0XpwqFFbr7Y3iMq5iP2AFNEhQxSEtJf4puRyxAELvOLTj9TGLxaIAxC2qlRSd umQBGVgQ7hREZG2oviymK27/03K+SYsolifqzKS23ZiYA7xCfGy9ocNEPd0ZsKzNjSz/k5D1csMz Mv1IdcwOiTfb5Y5jNlmPzXywhz2gGO4kp9XJHa5cHpdLFOw1wnJqvTqAAf+2NcKKAN9/sobvRkYf ir5YdQVmQPNWqfhbszHhtr5NVEgsgzGTibni8v/zwX+IkgLdqAiwgXA9eZPyxU4lYpOt2mMrDVkG dr8abwUt2krgkleCC4iiy5j6dE3eXkEJUpsXU0aCHFwlZAJz6/2gWwBX/Wneerrc8KOIZ5lU45i+ eQof8HV3chUhoZHh1Sh6gXCG+FE/K4L577W1/vW71/wGlAVE/UvxdzG0AOjoaryt5HevcohLt6+U ZH1tOuwaZ0VLboEkYD4jstkGrYJxKdJlgIbFgD2Sst3nHsO4WlFZ+JKOjbaXbx2lXkKjcdeCfS2n U0eH8cpsfU/3pxzF23FnE2TOfkjxGwjtU90XJ17V91TJr03G5Q8Xrg01o8jJiC0okj4wXJWchQZm Z8tVYYZbHuI3IbSOI9jA/XShhgUkyga6fZQwj4fTuHspHTe16XW4KSjMdP5rh9S8zjHgqRszAyIj wGeZ/KBDciSHSPAi2ow/SRYg3YgNR6siEE+tLJIr3QcrBLP7ZObu+dgIogFyWFz4AtgAryXuCBVC QBwFccS0KuSvjhV24XLpTnwMHusCkk2+b10JPwX7tVrQrlJxz2BGvcMlkqJlF10b9mjGOXDUZrGf NKqg176kt/YBnQ+0imrIbvpNwKrvmG77E3QjpUXQtc7EQeKzGaQkjGlyLwTmK9y59bO3cweuwskL N/8sguVmoEQuVN5s9xyeQU/1wcm3jbV6vSyQDgaHuZPrXRp6uoiejO03MyxtCcMJjQVFnRMpgsda 2iviyIWv1Lj2L94M7jCHY3kwCodhESi7NZqouqFWf00EiNp6oElQSxJq6Z1CRWb2loYoKC55AYyQ iiQdEKwz3Sr2jQc5p2rgk7VimAdYHecXfPcsiUJBko4wdemplJ50RDvV/3UyWNVsR+abJAZeH6+s EeoQvF0XpUT+7Od6Z/e9yd2cteG/U/nkpYEWoLmAp2LlLxW1JDPh5XP2LQt8PTNh+1FV+8EDsP4D 7JkVQwu1BHphHzYEElbFJptwzFtR4JX6qWJxc6eFBMhwUNFvIssyKQKbhtIm5xXjJbTCIvJkJ96c aECntPPG1rMPBIb20mqe0XbMhe8Mq2NhsKUFGNucgNYurCJ7yLayC07VZUpmPB/4lgpqSO54BLkJ sxKEQUzvxZvn1xw+ftJq++H4pCh9t+RJrtoLVbAA9dI5Re+jO29n9DcGZQvqQUZywlcYtsMLzIHz Kor8l0bzprUollCnWgx+yyNeBnMj8BFZU1DcOWuGW4X1thcmT5MPX5QOWfrY/Wxb3TUd8yZJ7ZuD pg3jmQWZty6q1feUrKru46PMJ8TEcbWbzgsch0nGyRxWudBRvw9KvoNMiVbVXebcmXK2RENXaTF9 hAaDSZDAYcW+uWuFe+8TKgLDh/qmFlV/lrNtNQCaZcCGLVU5po+2baJ/39xJGRIONkLrNYi6GRUO UXzjUl2YipTTeYyFninCNVDkmt5L7SsD7kBJFc/Dc4fMq0hwdEgAzOgIR+L9L8NDW717jgVDkcCU WxtNkrquo8tPo3XTKmVV8pFUnr2mPVa15DvDcwAwN9B08OBx7fAFxcrJ3Zh2y/Y8O3/92Aqy39Iy lh/zkphbePAOU5Gsf4et6xN+penAZwogs0Uc16UTuF01J/eWOF9cND9c5WPdYt6+1K5ofAuGBq6C oW98KR/nLQmnXGggFJGVbqJCVa3JAaP0SBEbtJqUxgcS2Y7dBIQ31mgiETOyrJJkKfsX/s0HUfCR S5FeLaBsLtaJFvylkRLoZkWzKtSVT1fOPIqR2whN4T6gUaPAzcDQkcriY7BNssExaAt3GouzDIZT yvZBuy0DJ28NEuQDeTa4pRtMMasyVJgDp4r0DcYSJuXhnoj7m8VUaEl8gZ/dJF5TLFpRtK+G+Bhp 6U8zmV/VvACq/kUIYALtTIuM0FTB271flgnU4420OaaO8IKRAf6OQg1rAu7CNqq/HjUvPysMBjCC RMr21Tad2af1OcQ/ZofUTD+1AWYHV1eu1ZGAsxxGxqf3xXX8Ju/9ba3sI6AVFEOAsYwJnDIMM26p pCrK1phtBfrJq52piTKrqtz5QNsfKZLc2RgLo4gKJTNC9HqjfaBfYO07Dd6rsZaEJTgCqjh0Pwb4 U9TKnRiLOVrBG+P6SUaVxqfpow80BL+0TceASrxkMFSMkLc32MnKbeOubaaiaktaVAQpn9PXU8xG CZDyNK0ODVUFlpKtnNChHllqbZffUytlaa755v9qcZPbeOoF6uPMz21kxWddJitq40nrvmutiWnC SPsW9P8oGHEv3b8gl6gTIBjfs1CzHcsLjOy7CjRcbHC91m3sLor3hM33P2TEyawp3X/gdorrM2CS VyW3/1YHhcaUbjFYS6u7dGq0yAotu4M+cbHtHqtOKzeTpgd5T/IzcKU+z7D9252TWlWwV+qUkq7T wQuMD39I0RSqoF7Cx9mEFsj2Ti8Y0dKpG+++8MMU9f7KzOCbNUhFFRilsrWD74nfl3YCFeAe2rvr +CtsNwQAs0ZeiZgMzTbCm7xsyhxTtwjaSqqUvf3XhOtDBEZLLgemd+g7NSrHxFTrHYYh9KEQLxjM 1NvuVTAOUMtxRYfThatTzWyIypSlX37rsZCJXPJSuWwsoY8NY/buKxljkMQKO/xAX/R8k+98iaP+ dLeQCMCyl3wdQ49/oWdAz5R4ocS6PI5bwJs3AP5WTgmyajS0KYM8LKWVCK3l1DaMByeKZ67Z7MZp Eo0kbylIbipQrld5cJQApOQLDDWP+DeiutnjLeqW4licnE4Ndi0iZAKHvvoJEJucfbLdkY+wT+s1 iqd0lH0gZ/Lm7JOqrDm9FLTmj8oReBpkndquPl9zAY3hF7akOOjsBdy3526kJqMPoHLSUrQ7U+PU 9fFn8GtGbBP4FKKVI7+DqopcodkNQd4lwXW9ZinHW5NiRD5jYqIAD009U1JOOs2LxWB51uhh/TdB Mb73FkWPKRMMQiYoTzRF+VRUohuH3V7fV3VphgXmqMjDi2XpK+nFF6GEDTeiIbNa74gVWFNVVuKC Q6qQvOECLpnRPnfSXmNKyZ4tQ1XlShMX3y07G8R2iLnjKYu2l+cjSfsQvrIQoFzIg7oNn652x3iq R41HUYXkh2AuXa732HjDPkd1VAU68glJRNyQcyz0TZSz4Zi9fcQQ7x4/pmS9jX9A/iNC+EQc42eC CN1dqUhs1wu65qlgrLIQxdcIN0IlqlvlXJdKY+9VFcM0CGQBW590hO/qVkScB95GoGl3hX94bbhh PAtnZdd9DhygzEpVCaUlhPaQjGLE0ojs035mWEbHCAOY+OXMqpkRcqRxA8aLyJOmlgY6eglagN4K 7jpRLqwHA7ZYj/NST0xox+CIek7WrmIPkJ5xoo9MLZcNdWJrkMgLlrxbueciVL6XsdFpUCNbZrT8 bRUMogSFssitMgWm9tdqwtsLaR28wgbpyHGvOiLZp8YLm68jOlMwXZBeAnXgGg3ny2apTbNZlrwU Si8b8oJYBnFBAtmjPRJNskh3S9jBNUNjoGBso+/J2Cg8pZIU9Lsc0Gb6XzHW6/bgOx21hcEf4Y5f 6n9ioGhLmt79y6FeFR15epjCLu4AUr2x5l59EK5sEZZE5SrZwWpS9bPv0MyMW/pqY/pYZmZv91w7 n3uP7/Qt45QKt/frL8gC1x4AP9kVtOKgESkqD4Fzndc50WYm+K/RDbBtVu0/KgxBeWvSWCQtm9YR LvXdMEvqqmUeTjw282TT2WIBDn5UVaG5YAdLtz70Kn3PfUkVFEInZFJDDTKlshZ1zADgqU0bREAw 7Cmx8Ya7QpPoYoMw4zUCWzbrqMlhK8E2oPlQ57tfSomJ64HBx1C9EoP+qLqzTkXBRLhfy+O9uZ1Z aw6XL3TJYue32SvHy0feQmsVsuT+zwD9gNl5GkKPwI3JeWDNOMAeu70xij8nRWirE346W7y6Whhy EyufMnzJNDgQmdEhsDNFCfn4pBmJn7nsWKRUwYRO8CRjmVDlBHIHVtU9YLgk2ogH0nQuermixQwo MyMILBcAq+okdZM4ztJLER9NMb/Fq4hBHr6jHpGJuKiUF6J0B8KakDbrkhAto1BPByJ3Zn7RdKWs Th0KDSPNTqaZIm4otWIsS259FfyTwHLpELpaxNFWVFmfm/r9MhYELUJQo10Sc7xKCzKjl2BE9FGB W9KLxAT9vH7miuO8bNJA1pmxq8zLYATDg/+secKS0geQwUvTT6plPDSNzA+LePdwODimdJsm8Ebn mFALi1/F+mKEocSHXq06wL6zQvzN0iJ3aVio+Sz3X9Ydz8JONpPlLDPaB7b2eHg1s3dHWCO4AJWa xI7ZtNRk7QTDiqqBhQevWQUn+ScEdGr5sGrpHF2yQP5zAQz4P9mEDWVuY/GhNJN3LQ17K1BvTklH uxXU9ZyJ4+rBK1fqL/1yhlJwikWfDgf9rKcFhhOoFi0iyCFJBdmJrRo547VJ73pfzJtY3aFRwqRe i8z/QARbrbaI9GQZCu0PQwC6W4jvnBBODESJAVI6Zr4S1oXxBX4QTRPr4jdQkwU/c+0uVzPKhbKM CXxZqi1X5ZC57SSvGbUkC1ebTOjcAVpw8A+sLTObin/DooVZAi6COjcBAc82YypN0+nqV67cYk5e VYpXejh4PXggZaLI0qDN4uJZ8evhMaimK1uU2Js0VLZh3p8oobZ4fOvZpx6aGVEKL/33RoKKOrbk dihYbQXkco/+UosrpZKlimOIHrnqpZZ3Hbb2r2CjE4KIKAhrf2pMi8A8JRgLQA1B9VkkQJUExABn JXhN9RsiS8PkKApK7vXEYUyAqdq9MphA6SrZ3CzhHXyRpPpABzo4hIYOy7oSLN459UyHqeco878o /uIWtm5d5AesHjwi04bSfkUGNR8faHMLVkHBXgKnA4XjdjCgEu7Gnd30CrhxGXQ4Ci/rbrxeoKO/ MILqunzqUjvNkbHJ9at7wDcjtSHMEa1Ek24shBFnP9OITaT8ozRrTAzAHEvvqyGMGUqmtvHL2yTX Aq0iV+VHdKHullZSGoq5vOWP0xr4IxUdc61HItQ9b+vyuU1f7qe7zSoMCubPJvwNlWWaSwkd2MwB 0otc+rF+A3soFn7o/RqWTFYzNJiGyrhxLW/3gMlUKBcNHktnfIY5Isv54ajpzurbnrraZKQAbUxZ q+2zWYqbikoiUxzCd4T78kiEw1VLG/iR0HmNH13oKUxd09rINfIz1j28Ad6TyXs5V2KdT/BLeUqH oRr2bRKBv9DIpyMaN/acvlIsnJ/NfAF/edh8nSzbs/Y/mWPR9StULsFIcXCFCPJCcg9ZvvBCcXwD vN/QwYnMcU6tjKQ9AZQuizQesk3ePRhJ6EWMWUmTIau+4+WQrOue/E3sH4+6Yz5Weu1CbWY61gzg Yzs7iVyorcnls0fD2T5Nkm1lp7zG2ftLuMd7M5zcBfGCymWMT9zt+VZeJicufn0+DKnn4rQl5SDf KkjccgM5/2wuvifgrjAJVVxtLYL7B/AXaa0RT1ATC3Gn6X8dWxx+Y9m7vl+XKmYcZFl6EYEoMV/Y GIjR1Lpo64Z56C8nYQUm7m0YsQcmcu9MyjCEfA7Dvq7NmgS7zJQjkjeelUfSH4MXVnqrHGJYYUxg Vf5WMHA1FkY+c2C3syTfpoxELBja20US7PnjagF4jVBwNVrQS9G/EGx0kADVDh+06N/cBFFOoAgF 4uQR3CqbXn209gXT+9rkw1yu0YsRjgg9z9aFfht/7iTcP/YysG9fks77ByYXukPzDJBTvnmP0QVG RiIn4gPPe8Eu2r6/qpwWNG6cWRKxAGpwHicEscHdVDpGhwVh7hhwcNkmziyKXgt441oMIcKgznP1 EDsBSL5gaaSZ4VRzTi79fB6VRyyBlczhtZK3qoTnc3k6cdc9zW+121FGUt9y4GFtBddDHiJLDLHe 65wblr5XvWCYPcVQpt+hDM0aQODuAbzzoOy4JaZMrpUj3Q83roVuDGcYNiin8RUU3r+g1j1LUYbi YQ2uCHMVT1js6kKg2EUQCjHF87noXDj2eip9wpxzWIEl9N8qeq1WWzG1ULd4iL62+VO7Jo15Y9mS vaPrs4uMrhmhkSKC1pKMh8wifNLITGvcNZq6Zpd+wRquELxFQNow0z6DVQ6XUpEtbzpnmxGz0Prx C3NOTRiYh3DCdpPC+E6H0a41EL8uluCqhPmpgJZcQnyBOpco16wHwt0NhJUmU8ylK5Kz2xkDBg5s rhqHghLua3tb5Gxz2hM7WI+u9iVX1Ne64mTO5JOlpQac0E9GFL44WBw1/XbOspcqCjKxR9mmvs8o 5gvdEvhkEZEw1P8l1wUYpX3m/ayK9EGDDwm8gpv1TxirnCnD4RWgSttK+pUN00uSGVclMdMuwcQC ZT451M4joL7U7eIRK7Bvg9e/zAQraE4d+v1BS2FYXN5rVA9/WaKgVpOcdchJj8YUzcurx+7/2Z7w r5Mtj0BNJkFjq0+tw/0+/EpeBBc8ReNrIWekn7dDx2t2/kmuheIRQgaR/7P+XxvthuQx0YQnlsOY Snh7fh9xfnb7xw+/MpRajVM5iaPSfyItrdBBcuoXu7M78LiCvbRbPfR/igdIMLRqovjSUHvpXvNE 30SmjJcDZseihWM8kWGDx/Cs5JLKF2LXsUoLeCz970MF6ywzy6w/QVPTGJFsiPhhqBLgbbX9JjIA PwXAwyvK6ByFkplTUxyt7s0OsIcT34clfoDvQYmP/tqjU6wluGrkQPp4x3EX6Og2AuWo0+dj6bBO h9p1WIDX6PFDgSKxA4yDd6eLyCyoKpu1HvECJbdrENs2amUzc2jFRoRM0V14rYOWuZPfhv4ayJWf Ws2tatFB4aEAfdS6jn5wqVpiL5d0Pz6CXlqN2G6sanvzlIMSQSw139QjwY4hLz96BwzsRS2IyRkm pkrILCRuBgc0OWjNJWXzG1lhr4TeHYfNWIJtuaaWRhP8imYb+Qn1/G34OlTfSFqktLtE3Gh7Oh1K UjotLyDPA4vqBmSzCB1ZfWPG5f9GfolvWjL8vELa4heD2ZjJ57Gbw6Y5dHk2xtBE4vYV1ntYVhZv XmC04zWiutcgy8Y41fSHFBlbrEJuiLbE9kQEIpHaX93L8t1aeW5Ew1q1ZIhQMFPso7n36OhdvZUA KsJrRfoO4WvVf0fUNDCkL6dL0EkiSc91QMhNrXD4ic7GZtGeyBwZ900tptK0Dh9/mnxXC5y7sUTj 1bO4ECvmdh5TC4XsK7UegV1ejciZ8RWnI/enkL/KYuUDKUvzqr0NpnvxP3N0HQVhVblMh791R6RO ULTRuS2tXv6xCZCdaiGXMOBbxOgTyESfNvjy0vBq5PrHpEyYf0uPSkecACT+kQXP5kOV+o4K60rl cDZMH9OkpoUm1awTXf654Oj2SWgFdynJv/uVD8Jq6lgBCRICPBtrdkRD6MRzS6DzbEdQrS4dxblS RmuVFCTyxocw3cdBTZfplXFFxV47qt/0dQU5Utwu3dSe7NPBX1C790ESFBMFhcaRS2riZydHUSoG 8eNn7nq6vb3xVEFpXCuHqjgQQ/lJqHV7uIbSF0ecWk3D8nQCRUMTdY2Ru4M6r+bTQFSJ5BUnsh0G d9TTXfXCxj8v3i3+cfNind3FU/e8FYgsaeQUroP1vlWlxvJbNMcWw8gNXPc/epday4FfBh4CKUrr nQWIJePL/ND47bnzUGDsZb/04vA3C5txaNfioEh56Gymbx1SKRX322Hi/i9eGT0jalqF/x+I1w2r /1PzcCiRYDCWZCF9dzVskWN66qReewoPwHk626dqjaR5gvKpPND4aPpqKlDLyMIZ+i1c/0pUDTxW seO8wcvMo9lrAyDVjU2kU4ZjBgGUq/MrRRzjYp4jmFUDgruSHsInjvfjWAaZp1+xvqZ/u0LFPMCt mY+6Ku1Kw8Fc9tMTJ6UiV0WMVyowBpjcMVZTnQqOOu6oYD1s1rBDQJxcPkglzl89WU5U/ej2s3i3 CpeEyE86+PbpypHHr8fK1wqZCzU5lPxgPthZv0Y1r8kqXU6n+Vr2Y6mGGXSQNYqxeoX4R1JoOgIy v1hH6NS/R4xRIq/KsPxaN9qmYQf+iCLuK6QkzSZEDJZT1/24znOrZWq3OTP9EAj99PYxTcDoXIga EDnHs4O7dWaqqGx36C/fhCEQK+JAcJbcZbXEteZ5HTFBRxBj1BSpdFzQI7RGk8598OZF8OZQSmCP mxf6cbImPMZ5IMDfaHBGAhJY27JPzvC/j/K6Umv26Tb2j4wHNxqGVQRZfTMH5RHchOk+kjqn6FVp CHsvGjN3dGabyotygeBncBy47M4cjgklTinbw0b5CfphybTiysNRFE3E3lpgzSs7FV+Sz+bv9+/H jmbX0sqBrmDQ4ZYAv9NZXVAJZXoY6PnecWA1hOwTi808dAqrpkejNOhgGtajpW9CDaq7FAJQ5VLj I/x9izxkXjwzyVHhjfGehw9k3Fi3YfbywEeUG41/PkG03tPu+grKV3b4TxiEx265otF1qOnLNu3x Y5YoRwX1gWk7RbMF4P/ExwTTcHmJDvCqn7ToKLZuHqjZowLjBdLLDiK4U1bMU2OEY4jGxo5+ZPdA iOWNfWcfP4P2SHIwsdMNgpGYlOXmlUu6/uODcXPmg0inpAd3t13C8b/gvs83sAGp/ebZlOksK1m8 7JuAUe+KZDDYLzGMWpX/gYFtKDnVfhNdKnA6cPCDn2GTqSdsZ4wxsRZpdOIi3PRMCsd1dhv1EzGb xKf6FuxECpWTHe1pgqdIhJt64lHgBQvzNfy+/bZgzNaq9wg03RXKbMYGZ4USK5EpoGfjK8m2aSNF 4rVZVF8gyWYClMYKs2tZDVNapUocDLz561iZrwInxfopT5HME3SN1hkzRAxWEtTwAoO9RwgLlK47 MBKc9Q1Osr1gTVQ3LxHQ5BGxrBDg0zK3yqCzZQWFOtF3w5+/iT9IW/F+7oK8nLgbgpSH/q9KtuMX rsBIY+atuYdmJuyyi+mr47REcRe9NhKl3Zncyq4uGfWqU4wUXcS11tx0c+KS/dwZtrdWdKIjufkA 7CO/tKXw2ALdA3SIAVcvei9OeYPPqB1PsFFd4mzE8ubgXWJy3xDAqRzPMegh9yLKQAwV1v3zu7vo 80afVhyQ4S/YADBEIMjAFpi8PBE7RVqWQ6FVqr9lWlOHUDvCHImVnoavnCpi+Rt1elYP4AaAq3+u EX12/uQqX1YWXSg+bOj/K/TcKYeHnOuur1RqJQixQKXUb8zk8kQzhg9XPZUU57O9w7vPxRhTF9/z Ik+Awu622GE1thon+FGbBT6zwHQ+9Yo2lRAypHqOzSy0tfbsYe3DH6dHlml04rnzRSgtn831SeaY 4y4Uys+K9LM2rvgvidTXZcTv+p9+Ne/YsnWOYjd4dUs6h29apzaLcAF0hY1/csynFzgSK/qkyoR6 DJh5tEfNT0L9uc10MmAcQHAt+obha/g6NTYMYJWuKSggcCtH4XAZb2mrC+uIPPL+fPDcMJ+Qq1gq 2mERurCy8FsGZVTny+M4ClwBbdE7ZOuRFba0PtczhZdWB5AjdiLM/UqUNFXQHyY/xiHvJvevDDcB Zds/EfBOhcDMfU34oLP5V/MtUC3ORSizXvKZnvN7AmQHx30PUJcQCQzSqvkLPff+nFQNJRzSC3sG 2ZDNr89RooOjEy12xepDW/5cn8LwiXTVWLxzNIzviddcbt5Eq6Xm4IVcWlFtHnWPc6q0tPBGM3u9 AwmE5mCnuJaI3GYErF4nBfONf3MSmSb45NorE8IVmHTIGsPG2+e7OeXuhaGkNZfif4fzU6MknUct uD+Hgo1LcCa0v6jQY1SXUk+T8WYpDtW+3L8KhbCbr/15nhvWaERUDlxlUpvW8iyvcvCTRtESg1Oi MScWx7cW2LMo6SMEY8CCYjYFsr1lR+Q2vGlGmjYHht3vHBQIT3owiHtX0h0weoiuA2KNqKaS81MY n/S4wUENM9zFbItpqB9wy+pGOi+jwpnVNeiIa5rmMXhMtynRLHSK2ywG3SV5Yh/aHN7XyaZzXys/ Iq2xz+8VmCniFtFLSbRhAiS57cMYH5Y0lKameBVBxeIp8tS/JYsRtS41c91uUutaLJYE3j+Wgudz lI9/FgHpuar11GlSWmoaLqMjutYNO3DWLVizOmOqLpfyCGbdrSPREDaXNnCvPW3E7vsK5rXrE0Mm p19kmQzRKslpnB8W4xn0e5YKm6B8J2wOMh4SwH25mGLl77vrTNAxZVC9SGcbC0hsAS9XffBYQBvB 95BYTFyURtrmrbnR13n2KGJQFff8Qo6AuFFFlOCihIoS8mKYFIB+T0dvp4QFH8nOMDlQQHljaRXT K6nAe9bykXh5jP/AuJZ5vJMyi/EYWCZw6VYu6ftCKfTU7xEkw80ILSCiCmRDwc74WKZjGTmIvceN wiwF7fMT64mq6hXH67yA2xsVQf0kO7Ihw2igaaXx8tSaKT7pPQGyvBYP6Rn2nxHwIVKPslFolPO+ 4KYXSqEtbT3vtCd8WqjlPUooUI0Ow8PaYQ80C6+JBDNn8dAzDjvcF6zIuYDzBZ+xmfbmdNDW21C9 jDqJYUnayoyvvi4gDYewC8u+Quhko6GiK6T/Xin58Hyb1tapfVRRE1qRxOOyaYn/gxcBEClvoEtB znaFar7LNJjTtfGWCd3RgY4DCBnTJjPaSEsvn4bQmcGfmjFJEy8LhDh8MV3c06KGsK2WngzaUm6W qV7qmFDS/0pIQUY01rRARasZgLzmxJa5iTWrZo+WwFX9+w+MAF961UeKZ+8u/Vo7+KDqExJd0TJu h2W2GpLsHXI68H9Uo0GwIRdsTk1RnMmtUJeDgnnWko6Kh0VLWv23jiwNXFwSxun653XL0zkFRtFU iMKSzC84DYFb7Y1SvtheVCbAhU/y74LJFHnPjxmWSU8Xf+cz3hzeC0DLbJyYN2X/W7PH1kZSFJzZ MTSR5AGTUo5rb2S9j2AHOyp8VvRppXDA6ddESfG/1zP9sWyhGl5tJiT50z/MgDp2IUcsoJ8y1nPl 8yFhzFUoCgX++6jKR/01KCnqMW/KXro8MbpZnsJ0Wp3ZktqhyBy9NuPyzsWG94lIqFIWA/WNnVre vTdbA+U9tOxQx1bCEhCZSh5uVf17yqVTk9XOcflgelortOB/17BLIKVCMPLNnBt/KoKAMwzk5y1L N12bmRlQOkF4JCxoXc20UYp1LKlLGknArcuJ2sOna/YsB+FLvVBm030guj00X1U1416sLBSOSJqU CkogaC6Fv0WhKpcdQhGwfsDl3F4M40n83IQnifJXd9JO7bAJBn+P7PyG0MGl3f1BFA154bg0P043 2OFCy05PNefg90m8WEG49u8aB5ULQ/EChevQAuVrZvubUw1DBz1+HnVU/NfqrolLRGugk/V8Ui5/ u3jK2v/rzGSxOuGZihddb6VGgaNd6fjlT9ZOwIIY1eIkUEVHiHNAknoU9GM61IPaRhET2JHku4nb ZBtPDLbhMPHJcokBbGRn4XsARecv9co3UrPG3A3bmZyMNb8jyjYeAvRdhbXog/z54zvKylXiDn+8 2bme/SfztkC56qJMDM9Cw9Sw96cplf+Lv6VvwuCtDrQbmizeSM3XLo/38dIJBdQnnl7xAbPTVjRb ywM+AwI5F3x+74Dl0ytQwrIJ77TBglC0moMcd8pvRYgK8djpu0BSyUljqbd7TXSSCCKqoMib3fKb PSCy0fzIRkPjtz9TJO0cU9VMb7Sv8GCJPceSDnoaI5WoIMhDSRQo7ZPy4GJ1qO58j64XRNoZlrQM 4ysGRnEGcWIkqT4LE9BnL4Hj9fuCTkvXhpxme19PWFD7++tPEWJPlVhIqTby0vArqX3r1yBIS+mM vjVYuegdVXdas1rVS1cJ+uEZDsvgpXEOnvU+cto0pJxBqCt2lIMDNVmW6/N7sOFTnnBk3Ld0T/VT 2Yj+iZZmfmFen3UWxpXN6jLS2G+6UvUP0poy8iE4NXYnxQB12w6cTph7o7Z+1uCBk6POy5IFMV36 gKkDHZAMAy29ypS2PZ1+MUc2m5CtIbFtL8GYRsVoKQWXS9hKCHAbRWUMuyuiE+Be6jwLkzJiq4Wy /Pk9z6/ddtEMaZuBMmqJWbuorVbaxuLUI/iW3SrLJ1GESzjBaUFfFfd/te2XSNfPfKQqhRUTIGmb hBnna8oHUs8juooli4XMYKPD1qBuQKGPANqrTgx66zRYQ/B5PRmREIEt8Ib3OqdIB2IWU/lK582z MEFUsoMipNkefrnV02dj05KL4Nt+e5pwn7XJk0nIsd96/5krP3PG6cDcyjwCbmmaFORecwGo/mSV NFP0rOn6rx2yLLmDwEx3pdVFgbsLPsNk+RSCM1aAIfMuIV7/WSqFprB48Fa8JDGhc4xnWogid98V mNpRw6cDEZgGiat12n0CgGv5x4OySDWPaVKiTgThzR80hKYeyuaZyYi0SZ8+4h5pkgoJm2WZbXyh RAe4fheMtqLPMAzR/Gckcvhn8Ogk0abO8fcpg9qHdaXMggnU71HHetduZo79Jhmcyl+OSFuTauZc jih/akvFFVqk8SXu2B8u63LjzesXJx4UY7ShlqIgm0Y3+EfXtfegdoJ2+ejW2ddPz2EdGJWWTuzF ovcXRU9eU2zRD0f7RZXZT1Gun3KxHhh/ehfFy19KLMnyi0Vr8611tcw296izdG12Yx2NOGIrk9tl p/IC3WQME0amqB4PSw/7fLEQJt1dyoLsS3Nk+YU5DO0UyMvEE5/BbKx+JZbP3LI7oFcAF2yXebHN GGAj8Se9b4MJCcGBmDlsll7KAQE6aQJDoyJOxSeebXCdhgTY9w+VJYdAoZarE2InXj6vrBXb0SLf 53MJx0VrXZBirrd8Dn1CGvZP1GLUGw2hhPkO+fkn75OnOA+yHhcpnscAFHw/UnOK/atNj7nSwtc1 DxfarnEhlyvU0ZsKMJOlZyxQ2gXQXvu9iF3JBa0hDz+/r2IznejrFogIfgVXccxkuron/erevOMJ y2Uc2XNXBp/zyrAlX8iAYsGCQ13B9sNzMl/YuzMeBG7hTL0DvXCroXt7YdDWThIBAOYw0YmCq5y8 wlP5e1k8bxYu4CnATG/RafaVinXIyT32wncUt20CEXOvjUBLg+2rQfQAyelcXWp/qnUxbnKVhkmP MrAI1BHgrVlRFZLi4kYEVFjrKIicPZg3NaHqGl9K1SkzqcWIF+uaboyTLwpsZsL2jkD7ULSKrF2j ROuKnspbYpC0Ltr4uVB+aD5yKxPTaTVJmOuEpRLGxkQjkELHy3J/732PxSEY9Ee3X1s2sDxk2+jb Y/4mBldm7tVXdor2Tfeh+TJnsslaxwI1nvWNURlvUB3n4wTBhR0otIJhVFSb2kb3zZBcDkbp7rle XHNtWCmQZmJ4m08gujOs63e8CT+5N/gClJht5GvcJlxCIPdPwnuRPywNHjcr4QZqchtIBdsEoQSE dFvui4hEfEGwVa+lwcaLf9tAKcJ2B8KirQixoXLVYM2XAOy+nkYuB/XRNquDr7Fmklk2+XKoRS0C Cvqf5Xgy/hNjqmq67mgfEkOV5NPJJ6vdRX80sJyl1+elcQYDnb9+rUW5xvJsSCWkDDTeVG7PQFX0 zheemqFBIP6myKt9bVyRIGeLSeAXPUnrOQaphj+ONtzIWStXo70aHfZ4qYPTG7s64TFG7nNH3sth kuz951C0PaxoBB7Lu6HAOosE/bTJryDNHxgGuyf/OUmnB5KHfi/4eZh8T806m+UrNuXNSN1SKg8z sVN58IO+J0sfE3Zq0qkvOLGESmmupbeMPoiSThzEZG5nMV9sKa419Yr0zuOnLAjrRYOxGo0/Y+8z kMQabJmXi5UIt3Q+utiWPeJjSl/0zmqvGynQaMwGuOKEcdGwQGmWx+tqVqWCQsLprAqJjfwtEb8m TZFUkJ0BtZP1Fn03IrBKKWwHg10A1ZH2R4ofjMmYxnzMtpTaemRNn7aGmsZyGs9xDbT/yEJkzFEw 5I8WRVnZuiHFdK5Qbyysa+d/NlH/V9Zj7c/TB74DOdfmN2kMJa8BJx9QnsKJP0DZ3obtRfBxqbLW DrIXif4AzW/ZwWQ21Z3E61JNex6nRZgTxV67Iux5TcvHvHKqwSV9pYUf4i8jfCLgdWeQO8Ed/Csj QVMVd4c5V3hUrd3/1rI+kKwahpo7GXoLIp1C9rROptiHF25fyBwivVzJqMfaf/yFCrfdU9AhA7Cq sc9Bl43W/POAWyfzp2ZhHK2lDHNhKyg3GmkCdItOFc/Rw0twxoAO5fpGnRbsHEGVJVPaYgjdKiHJ UiXYta6K63XPEWHttChWrZiMPfsiGlgdVrzRI39KHV61VNfBmGx/RwynKPawnTfc/+0EXvoIWFFt 2vzuW8U40dftu6zgsI4sIe+XHt3yaM1zWlIp7NrQ9d0AG7fuRFJwbhKaSjrG6KuvONT9MgTUj+XO xW0UeUs7WP2a0vy4LOdik6jNTMTDadIZJrwk8eFT2pUenqOGhVjYrVQUbZtaEnbxvqXkvR20wb9p uQi69itoTxRMxs0ZrL/xO6XH9dkuesmFxL/Kbp+ZD0GAffYe1j4H8YsKKqyHY3pVsm/pKNh/Y4vY wK0MqblrhzfiSvnLa8gOGsOmVP4X2zR+WcG7+4GzYOOugnVU4zBlS9ZBRPUn544dljLGiSI/zuln 5j+FngY62jT2gVKQ9ezwQL/hnjjt+RbbpgzZGA2pLT5BwN6BLW/MxwDqRNi3QWKmF1KFL19e/p8U ctRxL9xFEUUXyw6hl+7A/vFGuAdpsxoeZOcZRD5lhlOU+L10C6cxb/WxkbS7/PavdLYTLXwq/5lR kdmnoN7NTmX7XhLxWgKozzuGiDyJ/qckRLJ5RSJn4BRETaIqE/AQ0LZ/VaSSprW6FJVb7iE0qK7W 16ADIP3FLdZrlKnL5UpE2gdvgcW4cSh2LaXiv+CwoqGkN6iD7SIBkTX1a5UMq89IoXezLIq9KUKE 9uPFVieCR4lKnPpUKWY2ju1ygDqGIjhLPmdVYRyW0YMWtNZk15jYVvz23OkCyyszYCQykFHoRFfh 0fUz2mcQxPcGrNw9KeYt0Ki1VSNYXZwnwTcczzCg41CaZ/xBjr4XiyYheI5AI2B8+aivqaLD68Gu yHiBWFblwppFT9ygxtzhTr/9ccJ6gm4rcfiHng5mSX6EWmy/oVubD3WHrBCYVsCo0RjzISOm3fSf OPXA42Dhp7Mzqxy2eWGSWB80J8sLBjCNxbWltRF/kZwzp3U3br3fQmmxpWy27vuOp+X2DQkDrnb1 2tpcD6TIgGlOsZGoS+ZyXaoo55dXxT8u0A3rXylS8CTNzRMdcycc6CJPszs3r+sKSetb0cOoP4UW NlbyTDxc0nmH5TA+cn5YgcNw2sLMP2hAJzj/ry7bWNxIhHawp+xIZ5gd92808jRH5JYWAHTvvff3 f56COQ434PBP/yRb/fTr1wOPq8xnmXDstXTQ1LuRvRD46nfq1h1skEpUR8+UuFln7qF5lJR0lut8 DLo+ANYRm6tUv1cABMVhxFBwZdyqTlAwJr+JbQQijZQapvuL5EYpC0p+O1YBdfDUUZedvaK8UAzr 4bH54TSvHmgGKhSY6F4f+FcoFQSbUgqa3u5kzjagzSdlhhXmDENabEQgmptYFVaa7xt47OaSD4JG 8U6H3oz3B+W8Ev+lEJUQqDk4F1i6oB/cuxb/lhIK7yL91DCPr97r+eV+cokZu9FDRE3zTmPP6Y0P okVRPT263X0cZmsApazcunRTrWHNWKSIQDT7w0KcwYesMEYD8Gp638610sj4U9eg7908uHVtcP+B Se2OVjccmXSdnDuQiK5mAFxbEwKWdSvxkE4MPvtUc4FVvZklJ5aZAnxYbh5/NGmxFV5mUy/vQUTv nBdaN/dqv8F2FOXNYNW5mo6isFJYDwxeJZLvMiwTMfLA7GrzYaat4DAL0s3mNZO3tzTTvNllbTz7 on6NJkR+I7fyGWn8OULlLOyCFxfS0V74Yb68Gkmnpu+axTZ/SbE9TBSjIvT9GpLoQ9Q7TSB7prst FHnsvsFPT8HwLQPCJdNh1EEcLSO+L059cuCAy6l5bedDZAEbeGrfUS1HyXFP4R8ZzaePzcdGwXSd 9gQgS/ONV4sTSeFNChR6ZK76M/hZ6kljsy9r2yOrmybZfAtfh8CRCdAr6HlFvedgKxGH1wh/DgM0 +md9KumiY2GStFtjXxeA6VWFt/xvqRhuhGKQ2mA/0SHJFkwC/kNH1NGxYU1Lk0Jxr6Ifc2Pl+lpw YJ0MVOt/cTza7UkAABpgiVXo4YxbB590zPkqGLmH7HcnboD+8XtfmdM4NQoGFSYdZO/RZWxSmtQL DP1HTgGztMSGG+G5qQGJEAvW4WOya3LDkdwkSoIF41YXPxjx28VFNXJ9Nu7o+7coN3UavKVZ0kQ3 QpJ6qMD7yVt0NhrlFQm13OQhvezcOlWjRBTShPkFhZzLab12xiANbPMsu63JI5P/mbvPtVOTzE6k oinqdOC0k6uxeJ1qSrO9YuxdxqsLIONJta8R78MoLvdNgy8sr97bcppxzJgREk7TKo5zHTb4oTNn WRm1kcuxBRg3kznZEXP6nrmAfL9pbTNn5mFeIuQsC4ryK9CT2t/opA7b5uHAu7S4HbV8z5FKcNZD PhVSnirYqAxITiO0dwsUFEPdt+Eg7zvgWeMblmW+rymZ+SU4w5yomB1W+AiuJl03daN00coIGk+t zBstJC9qbtzZdN1SrgeIKOmhadq3+ug+/z10qSGvOrBWAOVuX9eQYDWzZMbYYpMTkC7zYtXMS1Ut ZH7ytFw5ygfRNorQK9yx72WCjnad89YFlyAlBzGfuBpfkKPiLq0Vrt/M33rRO5RkWNaTQlKdl+oG NFN6zU+qGmB6ioHeIhttvD6QmTzjHya6ZqlDLaXO26n9GKsOli56XBI51k69GBSD8UwlbQOJaPe/ kwK+ThZvHdbypOf8SvNanfHSnRPl4lsFPbHinclzLcpij2YOBWOLHWzex3KITK/jrzjzhV3KNHwj /cK2b/jxJdn3waLfs1sTxuRQU6z7Rv2l1qYZ2YEPHuaZAbIwYVS6Sx7Qxlv3/0+ejZTDcZ+k6rwP sIiOvP9i5zW95MtsyZtwR4Ispjy4EeD+wgLebnlBemQGIx+rj9nEziUqyN3y91Is4nL00l5xehGy XZtWvdrAnqsLs47m3aLphFNekrua0UkGJDKOkcsGFPpt+/0Df0DPhV+PGZ0BV+TQPWJ0K2YIYv69 sy0thxMN48u4TvdMA1peumwNinb8aGMzuxkdLRzcUeFmRQIwg+gkFMV4kJxMkWelUoBwIiEJMaxi xA62ylBYFuHqbSTOsOI1Ag3if6WEvrLsaFkw1XQpBMWwBk0vispQduxNsuy/rimJ2MaT2vnFS5yR OWxI/5EOWbC2WIe9qJbUvcESd9OQ/nhor1YVxMvcLYEn72/Zke/OjlSTB4PVc5zaqDVoPAheIgrD lgPE8QWzjPmaX30pmVVmzzXLkgU8hGNqLI5rXVT7SRWEI+/809gNN+Z1DyMhva6cpUFD50UKS6r4 r03lLWPOsubzGupY2yBXowHCZX8Fr3TWlFdeklIjvqqwpcQOJRu653KiQkiJbrokzda1SXCkXwTX 68GpumI37vkRlArRZOy7egJhLve5Rmbm7J51voX9mQDUBrhVy/K92oMahFBZhSIl20m4E9OYd8Jo ORBIul/KXiuoUbpnGpqyJDTvSLUVAYPVqW7uvOPYgop91W0wtGC8Gtc8eqZEYWQG0cDwTcNIDvzO kA0nvj3l2yVJOYVWen7jTod46rxWxh1czqnZicj8ORHCS9TdrWMqWO3VpQUN4tl2K7bJRa+aW8jw /RXDiNJjkUNTQlWshtCvLpaQUt/7fIhtAadxlgtmcDAzKZPv4YvHDa9Dy9j9ePGshbIpZZEaKTBy vQELCfbnDEyhpC0yIpwoYwFQx05DlDZRvXAt2/zXYQp3c2ajSzt8WdKn4sHKNZ2awm3RAn7dFI5v AX32Rr5/JHcYstlx/IWGiSkdmN26P8fkEoY4yTYvZL+j02s/ZtkVZX0Vzs7k6qQGHyRqXomvFTK3 sBE/sAyo64QXFYh8I49ARmIbOI9e/s029kCdnBLODmHyR5n0rpBXe2bFrEIUdFyLTOeCcjR8/wpx DfUUfHZRURqv2WsLeDX4TWtc+ABckS4MH8d0bXCKU3nsPXbXgVa3Zj8pUK9CyOzqev1zrnqlR1eR QshVQF775Bo9Yt6vUo1X1ArQSQiGsGI0f7VwIJfdQXOjPNtwsWxdOibaJT6rhOVFlMnBusNuvq7I u/aaYjtI1KeyA7yYpvWxNfwOdyGcKY7ko9H9Xi2TMI0YqY/IA3XQEXpwYwO8oG10xWvromesJ3w0 NL77cfAYmVJmOdiJXniFhq33qL5j+uinujIqWz2kHQXh7WUBPP5iZ3CwD3B/e3jXCoJEO2LNBmRk d9qchIFXASpp+MVoqh8rks9+S7p962BDW3PhE8RclQgygV2UTMi0oTwTlLuXeQJF4T0hic3xWwfB yr+NYrhwfFBxf4MirQeCyls//g2jl0BoBPpIb6Z/Jinz61JQWtRw9k78GjeqjBXL6n2yurt9v+io fMKZBriK+BnN4c+Cb7yfX2QYTZI1Cc1sTceLVskbuFjKy10+N/pwx1Q5fBVnp2BAYyPPaQDzx3mi a1FgyNtgqAMhDPXDrHXTSO8QOAiVLLd115AkpS6NzKBwZCQxIc4seCqqQaSdKxNOBySjQBsia5gi aTV/Rr06TEF2JW08Hrj5YgmOlzdAljrg+834PDmWYmkl7Dqp0zw3SVSkhLySGk5uafMRJ7ExqHbe gi+T2uz/wFq9qyuFu+fW42uNl/rbaeH8QoBxQyUOvmmFVSkhTw+kkZXfziGyaMHkZZplSjKx3LhR esi5sFHdwiA2oj60F3Aw0OKDE3XgnAUmox9X51sV+ouJj3SWVa2XlHWyV1SlLTosdGw6ecBx6cfd HRPhvrCemTikfZ33kZNgefS7fwPeWF9AGvSR7IsAUtaXQmZWS0z5OEC21EAqZ1ZlREIJlG5SWD1q DmGWKnfepI7mcorJOnveoDDc0MINN7zuzEakxlWCnx6FzXByBL0lv85q8vBTF80EzYOZV3uIcrZC GIwGtc5TERnLoTJU6r0kpmXEck15GBUZp10XFPAcwrwyJDKmPq4LBUNZJ2huucbrIGmDBgEk3RcE wLshi9Xbj0310xlEzi8ruUmaoxnCTovKFCBb8iLSwOFDu2eTdCqp8krPlj7r7nYsFrzcZB81Bdp+ krJE9HuO5Gz0pL/6kj6vQQNTv10+UQM4TJJ1ahJ3vQuex5Iztej7p+iecOq8Y1SmeTG2p2lG3PBL r8WI8wVMDya7m++y8xDMYk8KbGGVG73xPBZS136PE3tZYFFvHY5GxcEYt/o4Qq17KImsY4zoHObG nj/3pTMcf+mIccO/ss6VB0aPBYF72ZeLasb9J1GivA+uhHQ781AMbCA1vqUR5PjRfACzLLVz9YBJ Wq4qPg0fZQ07aY7Uo5F85Zb6CV3XJbQAcfrxg9KN9BN8LZVKhXeflYDQcEd8rugIn/gp5AE/+0dP 4/ir52zQo1YTPA+C0mxQ3frFgiV+992N2rHfrZayhWfwCMF5wIjjjBYcRvpEQjaeML9gDmX19OFr r+lvMc47YxjpjS41W7onrh4WWdR9CQif6FPP5Wz+sTdUkFO3mWkiTGcP0EdhN8R9BluYdCf875g2 gSl7aFbhuImoDOoH56h2XV7kksytHEJf4AubKchorTzrmxWgILH3dZimM80PAPz2d0vwW00uuzUw 1jl9LYgEFtVMDVGCFd+32NGnUlGjPid4DlAtsLhoR5t4JmSD1JTLtThFzjjrq37Q4aqFCUn0tAGm aVJqD38ZMbLLkfcOTegcVg0VR00SfoUPFwv+3Akq5Z5pdyVnhB9JKjfATLrrFvnNH4mPjUF2TxvN VhM+rrAKxK6hgrVHhHjTrR4zte0S21Lc5PbFlFbcn1U1f+CPaj6JVJFTg5NWfCSDYvbvTpEOsyqL OStsA3jKdCz2wn2nIYdhq9OlTQu/K2rlcJV/M/mLmBkFO9X+bVIMOjQEbAaqo7Njx+v5h7l+wcty aiVEmJUtrvtbfj8D8+7Mknwvyd3QKztkvBJgYJ9gnwz6PJiiSwtDEqBRlaLBzhqzjevaGbfd6ws0 kh8zpgG6yylJbVH9k04zVqtS54D2bnGWxVu4Vuo09GyKH5xaU6MI0vU6BpvL8NBC6yGOKtWyjL9p cEh+LLAv6EzSjuO5qyNR12gJWcm6zpJ9EU2nO7K6znqnQYruK4nYxb3/UIVYyhPQuPww5tHVyi+m 4fzoLKEtDX5PHmBQdDY0hL+vZ1XlEjWcSg//pjNzmyIjgalTPL0bV6wc6NdtyC9YP0wHQsyooClv TYh97/HlsD7CSSTFdUFsgAFGFrGK6p+1ZTIZ9O1YmbjvpBIKa75jsG98Hw1se8auu1TxGKpVpHSz mSpL/q/KVoBA1tC3j+B0bFV6kYTUs9rSItA3qefXPLKMAcURqIAMuRBNYCb0Gyv4Xeg5AuxJg4F2 rMytt7H/D2R83rMipPrdL5FLsEahdMPMBiO8b48drOPghPyw6vfkZFWM8ZzsKyMVjPKvhQGOhi4q /6Hqm7kdaIyDXJx0sER19mu7LApwU1/C9kdSLcQ7nH25r8vqk2wWVEHCPAzYF11jrU/ZlNmmvZlV 8DomnAsseZo45BzcDKUiDLZMooqJfTMamB5/2JQD6qYvG7vZcF9/W02JkSai6w3VpQeTRsM//WLy Yx7JUvFOGjTN8f/Usur4oDi7JgCTDIX5oMn3a7M4E//hZCD7sSnS471egYxeiCrpC2hAtSsBmE8Y ZQZJHtzTvsiCgzcAtCf6g5W+ycKnPgTZjUOLfj/Q1L3W6LPqw0JfDHgDG0LAzXueRGyp95ZkLTMW 8gb7ThdUWIzXs+UL+26udKGYVb0BTjXsUw28ytivhKTCEGs6BPYFeeEaFcIYIWr6G/5lBQEdDIP9 ApT0eYDsS37LRzGMygcJrxHvLuq/XXCez0XeXKlIVqPgK7Uc3McaIq2fTnnImeEPQDnxYvsTRPR7 zE4sZWs6DWIt45ShhT5xE7VFz+ks17t69fCZxXaXJwEePb48tPdnqpQZemnQknYnkoI9ogkpKPua uaIXIeqMqfIr/q5TCr9i4nrUZqIyfTwos6JBHJin+5ORN9mi/P0z5CiyE2wPlNWrNhXyl4K1NsaJ Vmv5VjQh6pshbKgjKvtzwU41pTmPmhGXItaAAWqrWmtHQq1TdD5MDwyhxOrovlhsuFP3qNCaV+nc dTS6tIkHiMY7Qv5/CG7mH/emLy5Ubd/N0+8VR/NfO3Y2kEY9Xw0qsa7jqAPaUxXc81NJ/5XML4H0 VAvCMdWpVmsTqfuNSH3381cdKdOf9eb97w7/uuWGbotiID+204/iYHJ3LS4R5mxI5C1YRbP/J9pK 1hCaWJPoR6vvH2M71sN5dTaLIaMNZ/99Txb0onqL6w0NAHccG5RysUR1slIjChlDFTHWQW/bdBxm tyGUDgy/kNg0329FCFKEoE32TvvuUdsbr9MpjVwQpNyHTQE3LQZEVP5Cta57CjhZ5J2FKcH1BXLx v/jWVBvSCK4mJDbzIqDPBs3IjqpMTJ7C0VmIxUsn+pPTnV40i7Q7RrZGLLwbAqVFzknX75zBgJpQ z5fsZki/MEK3rbD6rZBrYeLD8NSKgpMVaYvMjrQsXBCaTa1nvAekNIXsMBmg7wCooz0W4A3KyYoZ v14YMPvcGgSY8MbbWqrnH2LCAbra39KZ/SQeGwSkC9/JbMo3Mu9+UgiAeAZ/I3d+S22pZL6ndVpo 7sdBZ0CIqJH4ZcEm1nXFgYXmAPc05O8Em7B5XoLt6tf9+w2sY7cjViuA+F/w6NHlP6oIHFuyaEqu 9JOEw/acv8XB3f/7q8/BJ+YIhJ0yTfoeC7mASKrP0NfcQZDDJcnV9AifTT05xo1OArg3I0HzNyGR PDuIRqwxkwaHs/O6BiJJzuhbhBi8Eixakn285Xzr4x9EAXfNlgq+tom/Qqt/cgPcATN0OXqy7xCo 7NmmW9MENZ6hvXcEtOXUmGYEBbEh6j76GYuAz7woURntUbivJqEvb0jVwjNTZCk1uKHVzgZc+kAd NMzxRJtr1jbo+r/5FdwTxOqCVacSzOmd3POSE0oIdgun3DZ9WBL1hjGw8JgLLALAEPa5QKmDTB9o MEwS01mmLHul/MfN6t+XHfv2zIswTPoRS+nwogHNCR6Jl5ICtCJgLWrfMd0SQy9qbG/dJkiqczeN kYg9X7vh9gq3aWJGtooSFTH64RHSskwzm1Sr4JFXgzowPTB30Pd/5YOAOnZqdb/o2ZXvmn/2RSzh ATmNsDvBFpxiuNZN10uMS8LaAdd+JvLCovAaBZ7Ax5vYSOHiCBNNbkSbXlTE/wpDxt103gYrjXR0 pRxpYcD4E64L+JuwLyUu/uy0u12SK0FsEbk6mg3So08K6aHKFXKCmFGOMJKSvpf+9wmv7IHE3Fi+ BXbLVovZ4qh5z1ZfYw7SFIbp/oNLo/laaqrn1QoVyj+xudSX+vcVvzJDHl9ov1PV4bBFtM6kXj3n dAFmENcV1c6Ir6Fw5Fis8uJbZMS1BgTlb871GLXaeZhQCCGFU2qzUTqmqOl0b0XKzpIfdE9iIUAK NVeFwuYYrTkNQm9eFYq0ekGOpPumPy9sfphg9X3qjw91X8Fo3MTvsDBZVSosdeXUmXDK9sBOBcOH nhrILDaE7CqtJ3+yxBI5GgVaWj8zHjij8hsMLiC7XU2w3zSqM8QQgbLOorGTOKkpq7EUrLu0O2g5 yA0gjK2NJPFSnq2NrjTX7Ei3EAD+io1680SF2OnxaBMoFzWbJeeJmCfc3Iyj50a4tDLjGa7qRC0e FpkCtsepZQlpeguLzSH+v7dFF4Ctleooj/sn/c/knkEf1bvO0hF1RoD/2cwJAQ97aHvKWE66czzj 91u2Y654iCW166zXfMvKZ3IFk7/yIGSqjRJRciAmtODkfjpOqYIbQbvy7lQzwzzAxNqCOhegoXYM ezD1U3WCm9iIG2plrFaTuJJaqfdke2rEs7MKUHJZrxNDFmm+bFIW58cgJGvoENguVvFhYFD9YQaw ZLRwrAAbOZGZXkvWK/CAKEN/a6/wAyV0ovIWicAwlH1DpV1NhbH2hNeQW0BfvROr7EkUTjzvBWdB ecn2mkDaaubpcPdgO9ADW6WAdMHOIEv8wDpzUXXicQe9fiOkP6rJ7kyEGu10yZlinPW6eHKdc8Zq N4/zUONdHOxusk1UNUBjK4WuSvkOdjk8F7OhquIzskZQPDJ9Z3rK0eH2xHrK7mUnMkBLYZecm42a KzgVHrChFKplAZOwOkb5jcwibFaEoWIYVOFaG9nZayIrK8ZvPl/W+W2cL+GeNz9iOB4EPX7DqNIZ csyQVl/aibtB++EBGB3nIDulr8WRFjkM4Oh/QFZeAfzPcdXAtrMn2BH+vsjAsUy2y7195TQ3Fq9m Y95D0oOSzCum8iDoW6nPUv9FqO9VHiPcSU1vHNmB2MhMONAOU2kBrWU0FedVkOjIAHp13xmjxkNE lOFHH0ZxsZhmDSRZdGXbZfmCIBvDSVFZ8TO1ynIH/iEfkPpffaWAcBUeVs7joLTAbOmlqSqKsyKc CH6bv3INUyauC7zpkT4sOfJymg/iq7Uc/q8gBv2fdoFHpEIZWsQGXNzDnrFahdpMcop8G24TQ04n RwJ9oANEOzmAI5YrHTvhBWubdHRuk2FmmpWZytprGFTdPF0EQxXoUG7MyLvpfM3MtPvR8bFwXkqX WMfbXeerpy4MfVyUezY46PljgY0hNZF+L/THMIll8BUmZi2gP0Yrx1jonTHC7jozeVeC/v/WD+QN 3167pCzGZn5+S7BfjqmgR6Lr5z+ZOOUTRIWc7B7bIckKAk/5A/S1j1gfCFRe1vecjW1oa0GtXHO9 d3C4SBA3go6BWJv3aKlFdl/AN1AVIPiRcw5p29SLzFRKoEbipyrisrzjPb24P4JW9p0BeOTIAfq5 6UUqPVo712AJUIE/ayHncKyCIFrIYPAZxu4x0IS6Ji/mtfiFSHMHr0NxW9HxKMDG7lHV5PSuncFM EClA3AbgxgakacAV1jKLZTE64SR8JqoJKZPLtjU4wabwyqsH7dc0u2rzXstiJY0G0ENkxBnRkxpf OI4aHy2waPq/ImuWF49CIpHQu+gjRChuUSE/xAoHVh6w4vwtBigo2gUXBXLRLBkQIbhW2sNXf79d icLMwUt8IqbPLLnRa8kCH6pmnK3PZss18smXVWDcpLGutiF7NoynI6COAyqgZkY7SbIPqLjFzWHc oxoGL2H2jdofVDYJg+YjjsmWxPRWsCeUk0oozOGGPi1Fm8BkBd+SHIuQk77csAxp0duTkKvJUJON BnJo2AZIRIRBRMU0o3+zYn1c7T4fhBh2jo43T8kYHZAD0V4SdVXR9aHxtY8D8X73V/mbXLGcqb11 zdjSCwrMbKSTuMHb2tjxYLgOlcuVGP5rfLZg+B933tDrNTLL8MlpXgwyhaXPkXLQX6URaWL6pwR7 DfTdPcW2JTnmkozo0A/O088hap1YG+aPnBCl99m8gVV2UpUaJxXwhJtve/ZSDYvOt36dJQB0W1oo rhiIWGLg3TBqjib2a4LXjhRcB9x87RDxUZYxyan33VuKlNBVtUhrlxJVuoHU4RZ+AYfdpRY2j6V0 GPGbH2Eyzwe/U5vFkh5qbObrre+fhMIA7gub4FZtPxs9xi3ReIJUV+D2V6YuuQSfMw1H5tTQ35YG 36C+pxeBf2lzIyUykwJ54kX8Vv88dJF6GtyV1hAzCCV7DxBxBlhMoBaAZ65ub9T1X8VorBQK0BZn uYcoIF5o1wmMrw2YQPJxrOQaxkKnamLoDlm6ShHoKhOebOEfvKFk0yZ90bzl7Y9zvQ07ugOhL1wO aRkYSEzZ9OG4ln/hT/022k1mk9vWowLecQJSpSxuoX17l1Hj65QvDXCNbdZ7HinU0TMBwEM6CSLe zM0ILihWjoRrrdV6X125/baOGmuMB5LdNpK01vf1ltFg3EIpnvgQITBsdHKYIRGyoHJcX8eD/SJC Rv7aGR3RMqBvU7avmQeTbkePGrzS7R9hxtbDsdwf6gRKF2QYERhhpMsQh8yAKC/1LKtizt7TKRsm APmo2mdaceXBuj3z9pjjyAFVRN6cEGVtQd4DuVrs3V+D9J+NnTWN+uZSELOTDxP71lz0/qnOsCLG /BSzwWEclrt1jpJjqmFT+kjEron/I5owAxn/PxVMu+eOczwu6memenXGoavT4aa4EYrD8GaNq1FH 5MRSyVstkJdNx8De2TEGhHk30df3PSNEMrqR0O1BlghxcRMDutOjZWAPeHCNP7UtS6onOVtzW4Vq d33xG7jP8b7NTM7SZ3YdkvD4iSzrg2pzsXYhZXLWvnx7OKeyT+0pUhNGp0wBVUap9+MNzaF3K4jL 9U9vQbBZWZodSE0M84H7S4oBPoK6lYgcBLDyg48ms0urfPI4UUn/VRko4Qyu4Ycc4phbzx/J9x2Q LKa82bbv0mdNB/KS3Z2TLOBi3iJpONVf6hEX27r6sSosGuHAqnOjsD7A74mBBlmua2TvaccAnjb9 2ZmqKgMSxAOxPJDYQVMZa2B0mkfx2W/1eXFHtXVewvwSCZMNKbgKOAscLnt2OP7b4Lk2J95TMMLv Q+TuAWm5MoqVNXC11iQAjwsVyeU3fYumHp0a0o2cM/qEo+330rqetF/6SvALcr31FpVzwB0WQPAi OqL+gCCuHu21oY22mVayKDV3obSGm+gwRYyPwXSLPuQSvrJSqGwrqc3oZCrcmaDqovf8kyN/rJYh SlsS4pdIdLEAgrnukT3azEWyFBDeI9YhyIyxMJAjtEEBa8Cn48Xsk+iSyg0iRCyQ5CimmX+uq2Yq sB4j9OOHHKeScBU1kJP62D4TtfpusJHqjwJq+pSD7lb7CJHT9hYF4gypSLXUXiUZrps/ztdTww3H OmXHzCkRwtkZ5U6WxAOV+xtGNeJonFdKsl+rHYRrSCPf9LrMElil9Zdiat+faYwGtFe0s6PH6x0B +RwBRGpIIABQkxWnnM+WdO2zRwS68ctaXXj5JRz9c33A1W+jc/jbgY8rWmbGDxk3CZyguB9vFf/x zVYwMLNw8aYK++5CFnxjQO8mFjmmk9qOe2AuFoZwWvapf2uh74R3WlkbPSW7xBAiYJqPHhd3jElc QyURO4rUv0KCvwWwc5QGr/syCSKVSjOhBOhuwP8j9MTsPpm7vOUF/6pf/NeniKNRCq2HXIUkpkxA P7gaTI96dkE407gWPihwXOuEE0qfVqdOREPSCSYdjAJRmLvFipDf0l7j2F6amiuW6gZXmQuYS6IZ 9BX3GzS7MYFVPevM4nSRd70qjLEs/sFLhj4JQf9nYMUOtoIacKHChCeHdXZFSoZSJGzwf/BnHRJf kWfRvWrI1sjdkIR+BKc6WZ7x+PFMomiAJar2PdhogY7fkz+Q9Hdsxar/Z2UW3EFiimTZsiiK0ux+ apJKm0/YAvgXnSdSP2AmB5J1lBU2lCusYtjS7/j55tXkig3Byz7BWWdSqSjzTsYfhIndjsKgXLy9 8eQ++bdL2yKT3L4LR5Av9M2ZyEqwPDvvfGRHUBJHJ1vfppiwk2x9wZZXMwP8tHUG/V7IAzIGbje0 Z25wFAIRjVcI8kM8jLKFwO+GhIv/lkPfHjm7Q3zGcbD/jpti79lOwbHZuvHvKGpNt87POe3W3i5G pIbaXOJL83DlkxjeXO43wr+wvubUGgr0DVezk7SXXA0zCjHSLNYJR+dYygppAYSQyhhSOhI7SZYO 5a4og7HOx9eAHGMubc8wzD1mhCk93mHk/6m3mpQj/sIrd5DGQQ3SJtrePczlyWSTTcGzDjLq7JdL DZo/tN57loFCx2rpNirAe2WQukXS/5Q2kj8Zg2RRHlWI0O/72yfnGGLU8OZrvxDuKYdFwFjm8sfA ihSYUv8l1KiscyRc0L8vq6921vRsav78GVAqioEuu40nknv1QvPoBEJoNTJ74ChjQ5AB4Jinwq3c pbJAdvlZw0AF5xKhpgjREs8Had0HPy9X3uZTGJ5NcAzR35a7ufPUhpN8YDIzf6VdEa+ITjUQnQ3z Ek8Ylyic7TsLMD5+kQkyDg709c1BVTze9vLHleatpNXgvNhJv1VAYRpQSNVbNQ0k2RQdJKTXP6xi 8+PgOLaASZlnpW0Ppg1qvf5mcMoUMj5Md9YQilQLKL6CA/UnZew2r8v5xAVgm0Gj/GsbfimpMe0t BgM7POvoSa3oJi3+kzGDtNUp1xXBFW3CQLlmkqc3cN5VjA85u+2wlz2Hp3xVG7lplg8wLq7fpGkS EU4mj2BrTbJPEbdBNeozTnw4a9X3DXDhPFcI5RKe5hY2N1yjNDjWgOoVACimiVmStTUHWe9R1U10 yArbydVBCOwJN2+tXaQorQn1cYf2eR5SwqQQYxCIymgknfoyKHzAd+bs6IC8RyI5uPgMA4p0uuOp OGYV4nFbUGBb65q/F9EOSpG0Kd1jUIu/Px9gvr++tA8khxnlD7s+jV4H68CV36EZVyyltjNhV0NY Peap+ZHLoCE6wurv74Sg3GMJDyEJoh3D3NoGUAimx6GKJMcbOlWt9zEVLbaq/mvtMZCwehn7Jer3 vJI8w0gtEGHQzVj0rvlaYaStX3cAGF28Oas2JusCeRxrUYIeltPHaSsqxXu/QfLbrl7LM8haJ1rK QyXEjNYsFRhS1itnA5dR5uXm7qA4EnTya/HyyBZr5kFpKkVTVHamQacjVTqpX+AXcRbso3A2cKuI qGRe4V1cQyeqAH7Wtb88ZUFiPgZZUubkvAQpFYgQkR3/HZ++kA1cCYMK+ccB3Nk+Zu7Ej0q1KNYn 2KP0PjUnW/2IuXJAmSJZAn+RVaMVFpB2uvVFhsJiAn3qrpLvGslKF6YX+UmLqE7p5FqT7W9bV1v+ b4RHrh0NEtq+p5ZbKfIvBYblb4X9x9F09K6nmoo26pXI7Okb3X7Ovmqi7fiVdEulVBVyR7l1NDSn m24WVR2jer6W0rcoPvw1YkKdggRMAje42P8KicE77dCroE800577L0qJ/Hbu+ZfngM/WI0f+c8Hq t8rZR8RZkV/OuS0CZe9boL52uqLDCZAlv5Y8XctJbzd62IjBTMuy+t5Hj2ng5HcHs/QxTNacO3Vo ocHJU5x0kwu2E6+e259JmcjybX+lvcaqKhBLROwH+yghoYPHZ4Te7LAkGJvrLGC7fNDrrfwPMpnW PcFp2J72ySwu0jOlPqKyS8e7C8rEYtRzzTsvGd4uwR3dmom6fGhpKRk7fECzJfwjIqmFcKCgTqEm WGuztiSQ0FxryZOAUH+WLAY6NfKshzZRYcLIKOzcZKbfA48ev1v1AWPZu3ilOteyB52eyLBCh8vQ 1HD5V40Cr4+5OdBcNEfL1AvYWRcjgCp7LO5kOB3RWc1RLISRDSj4+YW0yxakXaPGYGcpsdOHac7E hW9fyClv51dt2on5o7ab/CBx79wYIwXBXmvpNUzwpc+fumb8kpSiqfP5fPJly99WZm9SkTWgqSHj 5C8/d8z9/C+3eZe1jtUoiNF5cBEyEcrZgTR8QlpBlqtUFXmObRlE94quVkZTmyMQvxFNwVmad2lx c4aqxVW4KM3dIrEqEIUNkNvk68MeaBzzpHcJW529IaJ8ISjD6HCNr9omB045MG8V0yzR3csMcSST qWdKhX2Ti16P/i9BQKrvFsqpQSt+M1JYuC2Sa1utfXJIXPa6Hh1cErJX9EvaMXxv8uE1Av8SJvPi RMY6Ynz2Di3T8oq8zFKcebvFn2lJXfJoXu837OUJutf0g7Z+X8DiCTmWREnr+GexDmPuPwQAbd4p RGbqZWOqRxbOQKvtH1DBrLJuaXq7wxLShcq1m/1oGS5iTiLEdXtGfmLgFvvCof3SFq4isWVSDRrW pnUgapPXhCmyvSjPmGoygZkKtGEU4RQyV1/hB3ramhgssjZVQfHxNXr10xW+EjAm2xlGowd/SsNd kgehAwcl7OnRT7FBcG1u1cn0YQAyHyMErbLzLtZ0l900c2Gk4ZRSjpq8H/inwZh0yrzo53kSaxKR RlvMhYP4e7Ll0qC4r7MDir7Cc0ixkI7CGld7xEtNzjhWrBV9VsUjv7dG0smYAtnEmg7f9resjh5s /NmmQgEMZqvyCk69SCqJhKx8HftW24plikEJ2E/eeYZysdffck0wM32eK9NATB4CMSbOjAqnNuPd NesrMwvRGv0l3UbvC8YofWfUb6Ku8sYQnyYR5X5OD2SdoB4ALalwIxyk0YxRLQ9+PJjtnNyJggMF 3QTPkvdJC7NeLBoNm1ur0ycTQv4ce3X/bV1qV7FgEzuus7klYU1Ev6ODOMhCTYeckmvZ//zM3G6R CEUiN6Fhn/T41d9sOnPPsUmR1/cXyiman4vR+wTHz4ifNOsp5ciFVSpKpoL1Ay/NGt0sYnwSBjkT hdORn7HU0wxq67BSFjOeiyiFi84bmehqYGeBERj7fpUCQglO1toC0jhlpwn5qXzoip10ShHJKX1K 2efEWIo3DDSqTHxzZ1SDXI/xYae8b5IdbgUZZi/EyyssVJPAMFgwoz427HgxQLIKRatTdCUgSquQ 2D0K2BmniFqJ9SbRR4gAiWAkaY96ShsGvRpJSG+TjN3qqNwSyrF4lr1e48psq/f0LKM/qaqnaJst BpzP15xmaufP6tWOeJ9ZLOwnexBf8QEaTu/+l6gAyPzM8mxK/hjcgWhbxDMhvgy81cSpATkBk7rS MTmUH4Rn/a5oTXxRL1Be9AoqZhg1yCFLMlTErPhxlNbZv06MUkoKHOKC66XKOGHVYU5WtcuSoPwv iFP51GOdHurx+jkJJ7/Cj9Jw4kg8P3nm84DJJZf4ViYlnjYPGtAB/8O/oTZZKV85pDEDYlocAyaL bxniCBLyPx7HQ3upQ528bCDEcp7ZTV3fRLY5WwGAtZIQmkKwuiYjiQLM62ng6D9+33+P+jsdHvoC ot8Ha9/p+LVZQGR0os5ccKmt4clSl65hkiUldIbuLRdmu9JYMwBE4FPkpQU0NnursBz3X55AVtxn L2ps0BKz1jQG15nHhBWXCGTSnhTuZ5HSGd3Pj4JngdGMlzpNMjEXPFr1xHAvOTFDuADRozWknwSr 9MKS0VbVFnNPap0xKydkD/laEuK0oILJwLGyJ35q9nuXiROt9C7bYjPX/UEC7NeYWV0BaL4qQhgl XC56KnyXtqJ1PJy0DZRV87B8YGlVYq3IBWqzKr5DZs4TrEKGofPr5JfppGb05nj7orBsvWYBbytX 6r5UijYOmxiz2fvxi4mFsLVtolJO/VQSzqIp5jVniUJ7bZzLC7+etFAKO0m8FXZkTVXP/cDNH5y5 lX72haxuJPW/G2rDJg/EI4yEeSmUzjMeR4uvgfX/NvKUz1vFGj6oG6OjuMK+HejVuQig2G4CEdEX ICqHPqCha7KRH1tIpH7/91El9u2mG/5t/oOjpkv4a+t72S/4dWynmS8POHPUZlyJzx0Fr1asHnuO UqmyPIx7I5Fjmltk/QiuPfn0iRlqk4UOwEGfh/mNXv/V5uQi7nsWiJm/ohu30NuQtg8yHTJdLmay QjW6FXaLx01Fsr4QTXxHR42CiF0RtM6KltSoB58OVWHKYMnPsEiLjk5VoTMTxpYCZco06N7Z40EX 1ol4a87pthKmOGIf3fif8vaynpF2xZ4KBggbBioBaC7rOqwPTOUSCJzxqorzrywYFW/ERs9wUCso eJnmj8P3s5Mmw38AscoTyBaIyr1wEGiN8rRaJCnFQzjpUCy9YXnAzvFu9JFZbjkGJR3Rbe1isa8m gSnINuUGK8/++HrlSKIz4ux3NHgKNJrfu6LakNIl7xJQZWqnFirMfah2ynZ6wbQ2icFmIncQ/4QM Ap3C3GpLoOkDUh8jipyLbGgJMRGECWp59VfpDwhYqk2QXe6by1K3Sdg0v4E3TBuTqfx9F+8ahG7a I6zXYsxkztRR6lL6pIpL0FFsT/J2szzab8bS13ixOm1hP4xIK+RzfrE2wtpz/9dPQjSFfKN0R6z6 VJzo4vYDADgUBu2OKhZgt1qkUUlSfRmxeeDmhR/xvAVTxtNTqq5ai1Zwzfpf0sVxIAr7eGAmEX1l rD8xLSPdTKKduK0w/JJcADUw8C3rzDIhSxDHgdKcDMKl5s3g0X22+FrwXd42Qr5+Iz0Oy2KPSGnS lBhcqoqz1ojG4ur1IXknV5+6oW3Aql4aduI8GsXpJ4ffROXRtW2UDiQSYrKL7QnTdwkMZrdbfKaj PJVrhaoHMLpIBjE8beV7woLM6RQxHi1pKq70OM2/WniMKlp5sGDDyYlNgz4BxvJTad3/DpVstdRx U5OiStMiKeJ3wd7pCPRihBdqneviDM9mopx7NjZdPwQAc3oFHan6E6fjTZRc2cwgPSaQmHuklAes wwdETcPicwjWUBu8YCYf2CQUcYoPCa46H5G+aN0ZeH5baAyzTw71/P4rrWUiHWShLo0MpRWnDDVL lBp38aHGaLGrCY/KPcc6awkJsUIzRTBjL/2RbQiioPayz3bCCtYEnNDioa9kVMtupd0KoFMyDPV0 R6yNn8I0AMSkrN7esDQ/bqrw31BwXHk3lqk09fdvrzNxtca6xLDhFn/+WKSQxl4rIlJdfyw4FU6X dK735KgPT9fGtjm4xP+/H2SJqM1bqYEwJ2HbiBw9nepqE/8dToRu+Fp1osTG9C4jIGmvOaIDV8Ja HxNkaLg6MmdZQGoM/bP9E1rRxrEu98C2sy9wB7J0imqglSt0j7vSjPK9KCQ9Lb1ay8UncClL/kRr iUmwf5H8SYJxYAuHO43Y/Eh8sXNDK349vB1b2zz3iqJWtTeqYAimgv9ujDXTH9yNo3OYFLqt5Zn6 6PBSrvDIZloYnGrgtkEgGWdQ2HukxXCt3JsjOimAjUGXGd9Fadn4LjVd3V4QABx74U0ZWloKbsUD emkxmB8TTslw7CqsjUhF/zItekyiS1wE2ddl3KIb7gOitia6SxnyIJWV9tSeWy2Oe0AJr8xrOEUb S7YWdNMoVazlwaj0b7g4iojA9JfPbUmV4Nyktc2yvS1zb+vJFR2e02Av18fYXthE+WMEhDVQnAd4 AMM9jP6yvtf4dIwHDJ/h8nFQI5+ClQZyND7/zbu0NDT4Q+cV2i1zQCGwVmasOYzwd+wg4wpc4qqd tYxw8XxYlP44GsFP84CWBkeoLbDmeBaPG5aOexbrKh6DBDGZzFo/O+tVEdy32d80/3tF4IxHnoAT iSFEVZW7WMXI7zrfL/yinpQc1mXSGg7exlTfp/hWKONfGHx5owxjHFyz6dYlIZA/Q4wvtZ3YBdkt v8tEBK1iWSka0VC/oyeVkcnJoWgNcl3UZN4GUWzboCquECtoGr8LfvUdu5XNovXZzXe72NvsAxX4 RYK8eCEJJIaiWG1PRHzCC6x1G/GUVxw7066iBjyj3/PM19pzyEZs3Brn+9lj5OJIo2UG4GwVU3Xr TYXSetpq8ssx/QDmKTyTO6c4ACbru471M5CQumQJzr4OmKVLLGGPRkxyrQkRM5kOZWEuD63aVBHO lIrFckqyFru97Q7BLrk0iLRSullpO/tFD4gslYA0LxDd+ZPaI5tAREm8PqARUt4jG6n/txdu/qEB Yo4F4/yJbbbX6Qkj5F3Pd/CztfG1+pbkrziH03gWM9H25nLuVa2ivUUOfHKcFOOfyKzqy2vqRzVV l1te2xLw2drVbS6Mtt9maAiUM0byq3OEzu9HnfEVzWhrYjKdPM6J62jEBEN8esYkTZl9x6/i2G31 DhRVFEjp+oqAfjOv0ZGIZz2zUM9LbpDud5s8eY4/gjjm54v9aeyMgr0QZnXyhVQBGboFdBvA9ETp 5LMN15uphNJnovL4lgCa9ywIM9T+73D9PCKwNkBn/V65Meo10pLRxM1SThdy9LAQXANerjnUVor4 9IoDCqdaCcDXkX8p2xHf3HFuimfX6Sh7e4qypanGUrarWQIETPoiK0p5osSFoFq/JcpkLxeMcxDX epN0hDdatIunbpcDZjmC2iggPVJMcy196mIzpp+J8LJax8WP+MCmWZCix14tlujJxWc4IyxMkoXl PIcB/dz7AXbwvAb9SV/75oA5oQj7FWtIQY5iACJ6UenCteXCs2fytPUzUEO0wE8hw+pDN9zSzKqu UDBe4swRAYaaDOIQ7iNsJsUAQty5mUx+Ccxv8SrewI4A5avflJonkM+TQ5k6RS2Dw+cB0Lm2/DQg vfQ74y4/0k9GvaUBnOVC2VbQhmd20rXIRkkvrVuHbbNrtU5/SAEAzXgVBAmX9GYijllTn4oFFaiC qkHc+mGR8/ZJFhzsEbPUlGYkzdMC36jZKGDeexxN3yIYAU3EmCPJ0puFB/jUz9doVZTyU0rpV4d/ CYcH2GYUJo8uTbUsAVsBM8YOKp3Nf1KN1R6UWC7k6UbzqaZQY0K7YA/NJNgKF+xcp+va4osIzAyf dBz/uUFGcrCWzUTQFS3moOHn6k0BvpAXbCilJ1FlTFjP+U6IpV6sAbixgBHTNiaJT9IHLtIrDZx0 X67bUJMV0CWXrWHo0wNZzrQes0Jsz+kBPBWo101qlkejW8biUGWLjb/CFwveljl0yOZmKaDr+5m/ H3/x01lUQJZMJ2lXk8FFnRE+A/vZ2mPnB9p/Lx4WChgAJBJW3Qy0cQ5qCtFI5WgsdGr/NF2n7adz rFYopH1RmJFs7zSO+uHjiVcZxxRHysfSFLhtswvz6kX6wZXKW5NlS0m/cwZdDe3/9z4vc16C7jnU P/F0OwQ3QxDJ+qhkzRvLlYOUZdD4r2rAK7ouWY6W0tCDNxiBa6jrhDODI0o/0rMf/m1X/b+Vqx2s 7JObbSTZ5yt+RA0f3Eg750AEcg/hZwvdzKhZJHHXsAuV79lGS8Pcbos2/g/CljbqqNHkq3XcUIbO OzLlaBFUpLo8v61w6mpFqfXz23XEhTiHi5hfCUGibD2hAOgsk3duaUsxqo/SOk5L+lbEzVyd9zxG LhslzTvHuMRcnXB/3WnlGTnkqF3QLC7q6dXZk/02qy+pb4d1z+naNYnbfZGDEVvzW/4eCKXXBOt3 mZYcZlAYo0HXVAD9haHjUkJXjRIrdZXcVtN5Zx8coueQJBHKldOQhOZVJP0eVYERijQus41q85aj ssLUGnzHqrsUNZnEqh1Bh5xNIy3nuIMCrew4flwR+9R8x+xxea7wXpf7/VOqAOSZkoc2yNB4VbeZ nbQm3UWpin/6LmoDeFcyEk1ybcRsaClRTQZfgXzwfaWN9GRKmIW6dAHYbALOIin67ly7S8f7Qam5 gCDy5o0B6Mfh3Gh++7KPyniHe20qRgXZ682cTM1wgK3JKbQUAohdUY4JcK56hQgRCOF+FOQz9y5m MrfbkSdjBW2PDBr1hxZk3ByhobAf0HaR1wPHeASKHj13IPbr1N108q64nUwPZkBro3Cus6PmZcZJ Q/HNPkaLzdKW80A2AY4gXShWj15QyxUBlYbgCTzQb0BlOS0x0lsLlHygXGrGcuMJ0AwfXkQV8rgX oAZ2inT6cHM8s/j7zl/EHVNHVFNTkM/bagWszGDWn/qFwaOGWo1QGOuFdbLrOXQCsuS145RrgdeQ 4sTxtup5EmZBUa7d4VicH5STq0RX0IJVwVG+KBZ25M/obvaZN6E5xhWo/pnltZF6gVrAbwclI1RR FMXbNxpcVzb9io9IiQv1Tf9eAeV0MLl4UCQaKJgdKapnvirfH1hoXiGzHwK2F0pgjw6Id+Zz+lw2 qNZDyfyyQd+cWlF6mnohe9nTcEQ1mDKEmJVDkICjohMvpANgjbQsjzDQCVJN3LwjZACK3yJhao5A ciWatvwRDPcDLkhhqAa/Yuko/9Lf8Je3Pd8IW4RfsjPx2BX0Lnzu/Dyhw4ufZHsDqTrLKU4dJBMl Pw1l5kQkisHSHYyBKXg2T6KKCJojpbPNfpk9cgMglt08L+P7UKGoXpZi5FOPp67XJc47V1JLFuLc p21CX32NxVwJCX7UjDrBGfJI2dJf+w9UmMkWA0tVddr/D8kMT9OZV/r6O4Y11p/PpFO0HCWtUPAZ 0nUKrJiG4Zf8neRyUErE0YaCAsb/bYAddBMVyhaVfQkFR9QppAo0hDDJf4D5aYV61b0xzpXQCGwH wveP4zzYw4Un3t6d3C/6/QLDIZdVszrNaWT7wCVrTjP6Sih10JyFXJ++OxJjSjGy0GEzmSaQnUjh tx09+ix8tb4PqFKTJm7LwjmV+5GSEHQL+pNEgcEMkgVdvpLjhzzEj6N4MJLMiqbZ91IQL5FeeFtZ u8LzGD76AwWutzshtl5w2V62AVQS7DuhERrMSclp9hm4oOujkDTxcl5Vhe2Qic0/fH59R75lmC6C UY8XFpvX91qwQNxAAh+ONd6cWJYfmNeY9n9blACljSJU8O9CfsRL62hjzlmLilyg5iII1Z6fuAtZ TjQUgyobFZ3hEFKv6YCEZRLLyenZ9xTW5LhmEsjGyKGaxEdRmlJ4qcpiBUCVgXmVtM0+Wg8WyAkR 46fbNvxv1Ff5riUeohgzOO7NY+4Q9wOJYnkJCzF8AJoNJ5Br+vtyXaZCJevADpdp2duteMjb/XwX 2YvUW1e6Okaa3D7ASxemR5GGl09cJnBAfSYdmFgKvISdP3b4McrSorASqqpszkVyGeP5m5cpC01J RRAy7Jrp+q00nfZ9Pv27NKYewIU9xXYsXYLyna+KLEDbzupw5Tq2XJ0ZW4kDXk2avdelOr/389Ho R72r5O2biL6N1L1Kv3mrSK1ka/RZK01rITkxgJ+8i7wdGlbCIppznOQwxrXT4DChzjsii+TmV+3q FMKhk8G3quWOnFPnAbF+6n/bt5uC2+6qet5vQXwShMpC41AqPWfYycqMSrNZTei6s+YdVAzy5/it i+OAWhaDQMht8qw8rhaU1DXwU6Ne475l1EScXd85S1qPXKHiSs1WpogbUIGgS5wtTNvHgHHnNwUW auVwVlm1azpDp6bVrXne3cTlb9GEuDBZBMATN9GDaE0PyiIQa0/k/6RXWEqBocB9BjcerUaxbfJ/ qds+r8A2jvDFC0vcTNG7wTpkcbPqiK/Qh76F/RAWyiOg0AU1SrxP+hxN9Uv8qYluvHWqQftIH+uK F41vufLUiviI7yLYplWb+3YGKQqvdrkOmYWRVaOlj0+bWbHN6FtF5LA0cLiEnJUQXF4O7wq5IY0M thwqsCQxpHJcejraKO5B5vYOkb6pPLHeRnFJLH3+J8CsfFrESlcLQNvafhL02jodo9S6DDYj/xIX TsW5zfFs2G9FRyBwr2XMzsx1PajgZZ3DorYpK7Q/z9/hKmaFpS6AsGmPJVdhgxRfqXmCi7fE7Cqa j/J6agJEsVQIJHXDSqTGgjd9uJdHXlZ8MGQlP84QMrBV0w8l9ZVzrXtuqlIPm+eWu7YwwdgLkQA6 jTDrQ920MrvhTvMjbWZ4VURaB98akMcmsZq0BrkvOdKYsMQ7ecx2vMWw16zIxkPSjHwYmHckkzGK M00VyuzYFJFOVYowr2QTv9o6lX+FOsUBjv+EkaroXke81tvnrPRSoC8ET3ALZGRfmuvm7KPLJjUL hz3P7vlq4Phx62iCTU4qpPS8FMq1nTtirY09rZkGVm9tTF5etysl1NrhhW/JGBEsRLX0vhFxqekk JRkgfELSkPm2DDuzCDatNVPhNKhFUUI+mgEG+iG4VtBUYpTNJy1x/LJaMjI5BxViGprYEXPskRrf 5MCEpTMKJcaBU98hcqvk6oAPcyrutsuCwaGSNAMXEXvrQLitePqezZcj42bEdxwoD6+bXVfPujAt mIjCMP2epMbX4d9ou5DvD0hOa8GYOPRqiMbnefwW5YQCVM+pvLGJz5VWbsdfhgF6BpkpEf8UpqVH sd9smjaUhRbmI4IThB75iHcdfTEZb8/aVT8fW1RUYxe1GeCfKJmTq3SmC0HzMSUKYIv9pnvAR2uV rypTdbLEZvd7nnuzP+QgYYFUIG7esZVR5htidi5qJe3vgQdMKiPviVMDy8OzBtOECD5su5X2nm9p DFd3e7qF0x+X2g+2eBiIPMOQAHTVif9GAl88zTTRTxlkrM4xrDrKBzCyG3BXXuCkaTFF3vOXvxIa U8QPugA7sh8n6oP9wqlOkUXrvKO0G9THhlApGpoPWXC5Ynd+EkDJYAH8EBkCOzYPxmy4sceK60yX mrILD9w6TXzrAoh7H3h2YwySRPdSynP6XlOdi/alQ5PNRxJp3WvK9yUbcN4j60RNyomDj4xYZq5h Ar2TtQeF87W5+Mo11p4wo8rNJ7PXALXKr+DFlz8EswKbHuWT5M//Bz6UO528djoU52ro9/aQub4z tT6dDT60vhir6B66CxIRO8k/cBV1bzPJ4uwoHY0NG4nQTHkRov8YwYXhcVfqvf+t8P1a4G8RH9YZ JpUWFBnKkGhn3UDfkx1OKDDAf6Wt2ZqHi7b43ECH/wLkLd2pm5Xi/ktsgf+yaJTI5hvsr7U6L9j2 UswE7Bsr3dlU4ayYOhLgcH31DM8XMh4tadAE2VmnYjpWZFTO6zLeXvxlUpn/4tXImHD27FViTMNt lbS0+ELmnxR6wxpEgzcCFOxVkIUs3Kun3ABW8BWqQHM7tjocOom17pclfIsJgce3Qr7xsAjAxALm pyl21PQfBS9NioR0ePIzONx4IT9pPHzk39aCjXS2EcYc1S6n+lnkuI1ojLDUONrXsO3cOgDXWMzt v3PrYYrIIUzO/cqwA0IVcrWreZP/jXwgp9N/DxeRDg3lciUJFkCNThkOO7IPfED/HtCm4qyiGjwK ON3ATceq4tetgQMkbq9a8pcWdQImArrgTfkCTU0mbPtRU269Y8N4qBQ7wGiGJiCwugD7oblf8b/S veHa9keRWylz/AJaEBMs8FYmm0xC3lPeD1IdB9p5UP6nLXroaQRkpFP0B0O+Lmh4KVMXphjHTOIF rPi/eH0mJsxjhXBEnoBH8G2Dd9I2J+NelbnyjJyU/NtFiYOkCBbFrYV3UxGXSM2S72ku2Mjf2P60 fah2+4/i9SORsxcR80HMMhYtE236AIPvi3mhYNyiD+ePtR+4SqQtWrV0sVe6pT7arqBLBD3zdaLK QVnvYeSxvzSArAOMgGXzQxoqE/kYyt6pMldq7hM6bulfZJr3JHMBkNnXeK95jQ5PdC9irEF9XfTp C91bmLECSfS1HxCvsQjlDScO7htYV6fOn07Ep71TjSlY0SCvUTvujtLRTjx5+udOakiZBBFS6hOl Ro3oZ6+FfPR7tMj5g3dbTLqfn6cvlx9iFHQA/Da2yGjcbDzLcU9mlZ2tN9U0AEmcSDbP5gvJ1DrX 1URrN2nc1NbwRg4NK3xFl2Oazlbk2BJH0WuZd47zFnSsPV5GqMy2TgWDrWHmMGLa7shhwPtk/3X1 q6bpH9hAM9NObBW0hAF+jjeom5f6tPAXXSto9r6lD5jhxbywByxhxEybtrGVtyu47q5LVH9Y+K2K CnaqFD9rJO7khaK18DUvPn1B7300Ha2TxY2QJ35qX0pT9U/8334gdRCw+Q4lxDkJ1DcCfiv76/Bf CN/DuFPR5vop/zGYZ2nXGmppIdwMh3AOcfPvcM7D2YOK8cJgdAR+U3wDgSMFWg+wkpZNs8hh0dK1 oT5AS9tUxIq599A9bK0vuuQth6yTPKs3xRffs4lXUeiZ5gONVt5atGM9tMHgxtmo0GIm6tnY4Phr s8FVWpI/eLMxfXqalcxWn1q4YEfyNyVxLhTeJo95NrsCGaxd/idrnqX5tkAlJiwtUtLM/ue/8/An WEmOIW1LjKixZGVIO2yWlnMCMu+xBFDTPF09Y4T27v93F7koWAWUbUsNxcViesvm1OwpmcwiMY38 0rxy7DYZFLii/3THIA+7nn8Pt4uT5SMqGggriSeVs2urtctEjfvB+YQgh0q9ci8eUgd/GjyLCC4A jCHIbK/fKuHydDmWBJJBR6vg34+san3gJ2CoxadQqhs93/8+iqIoQZe5/FLPv/akJgvNFG+VHQax tW5avZrg0NiAbB4VqMyPzxA1ZWkf7aJJpsTYqlaByj3/WV46BouYiQ3EZiau+FGm2ri3YQsT9RyE 0O/kS6XTljQ7lUWS5cCLBPj3mf4ijgKCVwxwaOVzPN8M0+KWX9qsObnAERcp1EUbFRMniVjYwP6q Izcoae+/kHkmyuUKUhlAqjLa2bwTpsicc7qs2P7tj3Os7D70Pgi5U1O5vcvvApTGZeXF9flE8jT2 UX3U40mT0RE+AK+zRoR8Z4CSdLRjfvyQxOQf/w+mWB3LfgPwDvf9NZuhpoToX2joG+vJttbtdLlz sWOc6NsRAHcyoCjebZTM8UKIiXG/Bo9fPU/H6qa9zGDvapYvcC7PjoWA3Ou/A6uujGVLBU1XwA72 bJmV0UbP1TXJnhBNkrHH/bvovgiyYuh5SXvl3mHCp66t3X+FJZA70JvsRxFyJ5UEiZKUaj9tU7XB WjaR/2Op/ODh7tIFlTDATrrvXTrYNnxLVF0rzyec/LwbVR6BS0Mm9ZIvvhlL0flWc/tzHV+Izec6 2OaHg9OzawJBWNg8l/c/GPde7125StzcI/uHTjM9VI77UgU47whIqo3vdHY0DB7jiger0uw3lJyq +iqEbw2ejhu7gSOUTzV0UzBwlnUpDZg5IG53OzZOx3Ir8H8D7vjMWhhm0eeW891fadIdzBHeJg/f 8k92mQ0ATGlAZXtIwKBcB+VCDYl8Wzp3ONM0QyZsOYboleXRfDvjEjPp2cZW3zEOxiXdQruiBCep NgfezBHZaJc0OX3U1ogW0+ozI2umW/OqmTD4pBbeg6P4t9+rluJWloMs51ZCWUs+MRinJRhDJfBq 5mheQpoSd46kQMQfoJxxQxkSg16A9HsCFaFYO698GYFZ4NS3wb2dqPSCbpJ7QyLICEVOlAaXT4MR CyzMwTiuwXDY3kqiyKKIlEyKjs/ueUUHnY7i8yF9CT6uH95z04WA8TQwkdihLfddMQB01jM3PPJq tw/2vHu6zNY6tZiqBPEwee8Id3BoF6uosts5TpuuVde39xtzYzaigaSCxA+nsdrekQ9IC6jHVSzM W4i0jC6IcyZaHE5vdg9L+Bi5Sw4J5xs5XaAxUj4ajYEg/NXG9M1FHdhYIokcbZheVDbXq1lmiYY6 8a+otUnp2lqNQVZy4b03v1vBtxp9Ywa+tW5y5bAAzo/BEjLQrVdhNursYVgy7hx4XTMUlRVeglia 6+vKrbOMzt7IT5lywf5fNL/ULTZlq7ovYke/fscPBkROp+no4K4DICx8zmOkqsibJdIRowjj1xhj NBGoMOd9DcWB1kjshq8DMBIEfpXVXWwBax8zcmj4cb2kmIaDFtxFNDQc+qkn8sM9N2mLHzSMUU2A NMxrcVahL33vAMIooI6qnsEJ9rfc2ojzhD1iREWwFqetS8h1qlQt7f8vXWoJRnPLZhr2w13RnQXu j0e6DRiZ/9hFDYp9TMTMaU+Iol1g4vAy3NfnUCSAX84kAezxAp/1HB4f7oJMziwspyeL3rGus3ZR 7e7b3luSDz4Il5ArqvIkGYgooazKEAVValF7L/oaNvwa4IBKUutgDkK2Hmgr1E9SuB3XEDpuETVZ vzs6PRmLVPzsu36/YhOyqom8MhLHNvIUEn5nXhnFD2pQ6+sDonYllQle9v3ublaQVVAhqw3kvYj5 FFpakc0dS1+KJOZBnHiMuYYfswlSUgSP8SPGWcAuHx880rGFyrHg9HyKnA+zny3cxK7R8vpvIsbN zloQhrgdXCbD696mgf2iuBpO0hj/qGSJQGowovlZ4hLbAqupLV6owSHANPbGTli+WYOMkh9AKBlR vIDumzEXjE09jbZiS3ahWYOvm6Pc6Gh/ZH/X/Zb7PNQk+ddPQ1f0edDTWU/nOLbpG5fHpow5av89 b/ryeTE+2tHwMbclqAOUgsAGwCpbWrbZwXOXGur6Ek4bQsGCt4onwR6sjk05CVUTV21IC2gGynqi MLTvaTBUuan2DHraYMveQ9+cSwqPTjBy4qtvlp1lYDPA79xWa8HfpO8EtIfSy3kGwx+fvVfg4Wxm 3EbIaNV9toz9bZVegM8LbNsIutjcD1Uiyj7UjWNiHH2fmt8tlhrp9gOJBXm62NtJ8Bk9iBiN4iXa q3/4MuP5eT1Z8PeCGn4R0APXKvc8rc+GTgNyyHJF2qS+4JB6BE0nbg3/wThM8Jh648Ome/OQPXn0 mD8PLzGvmXoFTf/UHI51ShH2t5kzrWYrw5i79iVegpfYJS/KzT8k1SH0cCkQ35ULy6hnlm5KmS8f Rsv2XyX85OTm2fNc+KRHlduVG6xjobVa+HNluOcgr9tMrxnjn4t+dgaVmYt/ldaosRIr9qpKrIdc H2VH/gA5IPXFZdYVguBSohXLsknJLvT8pZUqzFySrrZ8a6VSZg0KncVwqqgzA4N5iw3jIkf5b2dT RGdkVSW236VlHaDJCq4LiFGUHB+59D/CofcehpYade7SWM3f/LTC5ZxCS76Q0Rf0WBra6mFT+t+F eHia1v7o+gjPVWR0u5smXzqygYRLBFxGNAnsRRHaMoSsR3eSv+PZMuvdv0HXTtWupptZ1V0epV6Z XCQV5xj9TzxyCqF9ru9UYlMZlBylrRqZw2YRtCjr3BmMsjSELH/Tk/oi6GpRH82vCZh2RU9T/H6u erRfd8iOXszQ9F6DEnK+gCD817K7+sCXa1wVyboAg36upvZsiv1GoacqtFiZdo+UelemfbeoiETY GbG7qr8gdHfggPWL2EEWg0RWBWgDxjSgX9UkYU2GzcuQdmi9j9po/9gjb72F0VLarYr/LtYwpnWt fnt0DAQU5l7pqHp2A3v03M0GfyGF0t8lomwN85stvT41b6hIAImWR4MG0vJ0tF4AVcTj474pbEMy 8/fc4eT82ydee6UMCSFTf60FdRqnS5XVgse22trME43NkagPqknIJmTQZ0Z2vweGMkN0wfb3quv8 cK/6S2HbNLVS1peO+LUfHr1OHUgLmEgzfqDP8c4rknmIzjjCjvh6iR7KGoizTKo7OOoLx8AaZQ+p CcQiTJpFLXvMNJu6CFuugXfehkNKOKYmzS2R1t3BYdgw0TwH8D+Muj5T31bnxYm3LRqxRhpa49ao oDE6hYxlAw2FuSz5cf2T6xc3mzf0UF7vlWVhFDtvieJmEGdjORFFMcP9eTT+/Ma4C1fnjXZWi3P9 QckgUfaXf4GZECsfnmkb6uJwHh06ltIBECbqQpMfsdNpwoI2dUBsogMbRxj6F/UMYGeDDNJsdjSK 392VVGyfFCPRLBLhBfeNZi7DvV1cwRtCNTMljqqdjuVoHvXUtUQjvWyznKVlX6SbTox8nZPXGG0L jT00LW3Rft6qX8wqzODobJLUbF0z2AChWRjo2tG2lhoiozJypydT5WLJyIuJqhXoAGWpL/5+eZQv ppLtRJj+bfqTIGZMzNwg7zrtn11VwrcR5FnR3ZTQ2P7xhY0bcv20kB1ye9j/+xrpiBRbxxUil6fb O1K/SKRtLoH1VE9fEGejxdI0AdpqVcyuwYQfA1CvaK+BHukteJnEmuDkxcuMhQVjUTtczHsYHZbO QFcHDEzv7rJQJELbcPB/84NgL6a19ZES7Njcvu+yjvDEFGCnebQT0C+A/kjb1DnQBw+ftbTfK5fK Wj6e5ac2ytvyyFj83z4L9We0A6o5PFPbD9YZNUvJSwKAgrVzZoHzhXJnV3SxPMOa3SHfLZeTWkFt artYXr7MeP14cEM1uaJsCyKpZTqHBqwtxgeRfxdH2cH3zETB5VJO `protect end_protected
gpl-2.0
6da53df68ead91b86bce5ab473dfa5fa
0.951821
1.825542
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/c_addsub_v12_0/hdl/c_addsub_v12_0_comp.vhd
3
9,265
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jBKXZCTioUoYf4Tx8w3joCJAeVpeLK29ZL9BR7oR9UC0B/g/lrxxjTmgQWap/dh4vNHRjZeRIrEH V3At+diY3w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EBITPnT29607XDuxausTVz48IlUaauOiu4d9wYv23yigb0xqCkrG0icQ6U5Y4sfnr52Mu3xFpJ39 KH1r4UCk4DdAllDJuGy94ODS6bf74CnjF5vmdQ9ousIIY74EsxSnkeXsytKKjxKhb/JYVovEBB4y ggCM7tTM3x+m+pPIeuE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bljo1P7nOeglisrHYn5WoK1vj/AjR+RmY7hlP99XZtzANeNgUdh3P6jh1PJYJBnrxBWrDR+7fEwC qWzzUHjQcoC2mr7x3+tBCtk3Rp7mkIgGxnV4cV7Wugv1P7e4gzeCKxGlS3J2Rn/RuqpudoVoVGnh 8DLBnJaM3LsNKLXBXdLD1pdmDYSPo4+XG2F9qZJ1PEzsHdVBC3rzATmYxOGVwLAFY5LSoeLvRl3G WJqD7A+10WBToga/biQLf4ac+CckKESevgR4XUYtZ2/GqYREiahlDclcDaR9ncBlLvOCfnD5vPjG mLFia3YYAIL+dHyG3wH3hSCJ7VA2mPR2pe77kQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lFBMNbCrqZCL9VXBJY+vXC3X44D3I718S4E82kAloAYKcb5vS/5/nR4s92XT+pbYFGzrmMEPf5hr cvDD+8TbwPjEd6dOdIkFENtt2WWEjr8YveoD1L1odBM3Ou9qXS6GJmEg4hU54H5FeQbQ2d2MvrXP 0T14Idkc1+pWyYd149c= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RbmYdcJeOeoziseZ47YCGPoPoxPpxCI/GTH55mzScAo4R6jrMbF00ePXuthjfpTRiQN0yOx6HRyM K0SXtw8KCbc83i/A4frW0BvhxYPqMaJ/EMazMMpE3ZSeKvC7+cVf4a1+qqW5RsKljh1YPkbXIiE9 GipW3LhJ9O0ZfCKD/vSVL4nmdDxlagnlljYAdnW5Ooqnj3vhtfxe2C8FEoPTEUVVIKh941y5Zlq8 47gRthEf53P89jc+VtUGR8DROGp761cr1p1E995WvdANXvhLo+rcN2jbXSdsR6oOfkEtiAo+JsXf /1cZIJMyfPkggvez3+B7UgxT8n9ac4tp3ScFlQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5120) `protect data_block hdwfbPNpNV4afAnGmBhgGGfBz3DlvKG+dx76dsOkGll+GQLcQQ1pklLhkpE/DMzA1jsW2mZOZM3E qb4vxqOC25qiIAeXonTJEcFAblIbaNXh4vtqqU/NZdBvlPV3IxMH5KU+HRRwIQN3soD3iAa9tZMp HHFVpTJMDYMps1vpDZeBoeG2APHq0FQh7JqsIWlcnEDtfg1zGhR8/xfHHVYELJusrD9ZpaoOzg6W SrAIeeMqgutrAR6NH2rV50zJlmxbI/TuSDhAeVhU2jfQ2nn9Z2vX4JIpvVjL+Tq8iCOldJ6yakGc zSHjpX61lg2s5KhVBvIwQcIyhVriBqgxRUpRuSH7Ezejm7zLn454cQG5o7uYZ+EeqzfeNp2SPrM6 h1X4+r7bqNqrIPqotvIc/a923qY25tlnQSz98bCaZCoXx7GqDra09W5qnivwqgqHKWjSCCOrwzR1 5q6lSxVVq6MADReyOshYBAGn3VrYZvUfiJvgdxVE6lK/SnSOXOK52Px4uzfQ1k8wPXPwo0MQgm6g f95zcsriN1uZOmw97uMXVT7eAzy31vlC9kKGD2ZjAIRRFysqtGVj7qAdZzpEhdxzK67BL6NUu1PH 5X78c1HyWIHG3Gv677CP6tcdHVhK5plqkdOlgEsCVeik3BE/WYs/EzqGLwZps/AkXDLV4tkppY4c XvEXGjXU9I0CwrKmKpQJ3TtqAemA5EIqC9MdUmElQEQkFIxGrf6nzlwxUgSNVJzRSBbU7RW6MYYA YGX5CA9uLfg6CYKRO/SYORr9GRlAek44vsMtTysA1G7s2JveOtM8mqsdmtlDEdQWHx4o9IcNHifW 9sTMzDfcdL61zdeS2jMqfZmDy9UixkYjtd6cEnNcgRxpA9DLFYpeOrAAbTOVoi54ROAz8/fEMVdU 6xdq7WkQyPXfFFWU6EMoaahivzSbBjYXqFQmaW2GnUNlTnO6zx9lPZAIyLsMWOPlFPiCyIveT7Z4 FHJyG907bi1XX9U3bLaF50KZhMQFUQIzA+vU2zU4swYpjzqWVnDU2yawDnFIjyv1ejbW4i0Kb7oN KdPxwUq1kYAqagexzNC1vPzsTq+4wdVFxKIjm9dDeXyaROKZ1+Jm2b15Qyi0fEE/7SXGmm/DOvko SqEhGhnITpEl1OvOVMNy+9qrhpmAjJS4/wUNs6dStlPsK0qv9D46nHt7HrYRnrGnNMOb+ulvt6e0 MDLa/i+nkuGntp1CTzmDRE0I8Og7/ewY37jiSioXYKa7QUhwLEMp8ZZmMo+NO4/oXZeyAiz2rOwR 5TK2XNwHMaOXhHRABAwZYnrHUrl0xF1onCW9qEzRgqgFKk3+mEVrtvlTTHWWn2LvnaZ7isw2JNSX KxsdOLfFiQb2xbjmzfURLk73eZ7+iVKIQDmpjTBTiFcqhDC0vLfpDb0wVNJgYI2QruONTUdGsAxe +E8CALfQmbruJp6yDmUdT5zZ8zCyDI/nJlnI9KQhQLvt93eb8U0ZsDXmAymzV118sqQRDBF2AbdI X5DeWePvVCZ7n3jD0d2ZcUekPsAcENNfZb3DOU7bai+CfoOw4pBoZWCa1IujRq/0Z7LFg4wZJFlr P7rl8P0eSq2TXURu3OijO0Ur6siLh6jLIvSfDmo3s0Yivz1rufSMna02dbzhdHYcVEYZOIZjkZS4 6A27QrTocOCg9HlT5WmdUmYrgildmes/WMnqMxQbO1wYwOC17/5NWkg0jZ0rfNSMWopJDcA3uURG Q3cXpYHrYXAO1eKfDBX3U+TLzFNUn9Vi2OoT98Rqt3Lk40SvflC3Hi89lOLOWcDZ05nrZSIVS3Rt nHX41rlyqHpcElHd29sfZWo4D5G2ink8rfV8dkyKTovgFg7ghzOFAMwToEy0RkZNvMlfIOgnlz6H RvKoMK7z8wx6IOpLoHHe2oVTRs5Zo6EgHxz31m2G2rKP+8Z9qdqBWXqVUHAV3vEy01iiIoAd7GYR jJmgBsGd2ThJ9U1a6DZPtK5VQRR2Zg1GMcZLOk6f6Swwy+NWwHaoep844yTolz8DmLr0SebbRWKM rbgvw1BJyNegzbeaLWyc4PR5JQEqa924Fq3MSXOi6x1r4qj0iNQoq+PMZ+ASTMX+o0IBylXzGwtR TCEyV39lzBF4IfZXIfR7kPtWW5+avZa4gK14Oo2YMNR/owqehjsDwS4a/DDaSp32EHBlWcZNtF5T lgC/HGgd6Gvi/jtuDZqTb3ipKpweF+1SdkvHSm006vgtcBz8BW9ZTnyIMXVd8A5BsNxyqgY8YPiy T6KW+mVSP7BYjwQ28FbuVhXD1n002qVu0UAJuukCDcoC4loW2mQk0OPAI7x+u+9+sb2LBviCA/Y2 SlunRRxSyIm6nAxvnHHOklzZf3u+y5XFyJGVfFGl/QKkMjCyyrC57+EcjHSD5iS9+nPB73gp9jsC 1BRa6iZboOJ6i3+GnyVWGh+LzyEe7im5idvfhxf2xVtAHQdMQHfnRgjB2br86GbG6nLmNyvd22tp zFyuiL0twt4/y7UlVtWyFRxkrxAk70dMIQ7yXPfc6qSqKN2DfEZAfSCecybhVdlVvpnzolKOJVB+ 2gi0C3apssy9AYpMCgBXF9soXnxsFf9GgyIcj5JU0QOMRQNO69r1CGo0wU0ixC/gr0rHJqlGxXxu 71nCkj0gHzbN1TGenMKPIJqJcPOrL/UCitFqPUmQRm9Xy8zMQGlAc2r6HlZoDtaT0KTyGQQ8ERfC ZmqfoBE4oC+0h77BT6VHjPalLRPgYux437YkefswctOVDrOfd1/SxPx9r7zcOC0DiT3ZeIKFroLH zNk0iIDat9svDaYnJyawUtdIuP7/TtM2ZBicGKyup8o64Q4cwE1ytVglBKJFBUZosuvOIgX5FaLU uSdo4yf/PmUSijf2CV1lkSIrAaQxFu+Ze49YdmjAeVsKeuraPeVjfdQiiB28uhvb7lTz/idcE+RG hTU5FaA3fv75+3qgJwVLFiGC3Gs4eDEM9uf33pURLXssRu9QRPa8aLgTXMB10/OYdYGbVQzbDK27 gh6auoGwxM40XM6BWrkn6PY+wPoYViPJZxoAIN485W+bazwkR/FJMB97M+F1MTO9B1uVuYX+l3v/ tdzMUnuy+r4l6yQeiRq1zl/mTKI8pdavVMNkCwELcCr65J91SuPl+HeZuuAMziFnniQSGgje83zY mQ18R0+kc9pSUnxiP6E2LL9jzrnudXRGVSgMjhU4Ep9X6V2txCjMo6NmZna6va/LCK6uguYR44U5 xCM5hPjBul4rvUSVfyrulBEnEdQOzjdr68FXDF1FpUqx6odZuIRFTjH5aB6BoaEUyLeIhwCfS+Fk IDHG3YPLoJBVvOOpx3De2c8Oembfihl9I5OGcyk/VuSLK/JIOgynOblKy7ndgnj/xB4MYO7R4ZKA yX3qoodt2797b8gQR61Fktn7/M/mK0mOZqeGDwvrLCrw7qjgsAY50mi9F4iGpor/ZtSsCXQnW2jR IampTNFaEdulFcvrLUNY0KKROPxkjxs/ZF8GSlH+OLYgR47eBJ+I4OsPt0yFYvbIDjKUrpzcP6/9 fdEf6oNcRhClyLNlz4ik3HP7qBeUKDJny/2RMo74ZXi7fyp3sdVnWTyqLk3/kzDHmrIJGzHiPFxw rCwNOEKH93u0sMpe22vSVnGU+c5Pq0zah23vsVbF3BhjswzJsiaNUBp/4WdwW0jS9kIQE9nvzxns IiamOyyAlRLmu+xNyqvSKpFnmcht4NCICp57yiHtObF82E41Jmwems8k2Ff8SpV7Nt4ylZ7hg35a Iphyix79AspeO1dwZbePh+avSYmapC6A783aEwauhOSPVSucvl62HHAypIj3dVo1TUmKMQkqWr2i gQcox0znJgfxJdXs9zy7UqqLKcURfsYqJFMpUsge7R+HgPXO49tvnza23A9LVcVNdEhaR1TH0GjN 78zP6qPDvPmiD6mx05U3pT0vjJqWpaSmT28E0pFuTQhe6IE55jmazRTOhVsuJE6zAkZ27zVPLBeC fOo297rzXprBrJAHWANwKU1GjLjAvaHAGZId4X72rZ0OBICV8Jp9h/px3d68szFVfJN2D23MVNzg bVnzFta4zdfOn7lviYgSYHNsgtxfw7abI97wk8Lod7m5ncRALyhapPxRus2Bi0r9CNVZJMEobGJe s4noEk0rPD4oAsisOJYvsJAWHxQYES/dnZZYUKPGOmqCgQjTALGJLNAHJBsrXjQXXp5tzqeWZS+v DXE1AsKoV5Ts/DQ9tMVRXrR7hxMrqbTukjnUmBIsHeKb+d7X8sqWXz2jg1m72JuJVbLpd8cPPDhy GRp7E3aB6ajseJcArMCOI01q+bXnm4rxWe3+BYxN/DktmD+a7SSyzdJTnddYXlWtym/AoP1B2IKI YXkI6JrD0auQ4EMWyOOjViUET67RGE9fHBSXYUC32JmFqo2D/E/z3iWuI0a8ANBPYBqNyiAiCTeE McAwU/EBFArATARkmq5gAc6qRDff+avgA0OJ67GOOPsKrtgTTIEHmYnNWbKWkmds7LuhEFgOqRu/ 33CQnSJ0s/NHc0kTkxaC88/edwmE2PZd5jH8l9drnsKYLnRdILCFEbtiL5Vl+xIAIlI1gkGjupc7 U7Ua/YJLP+wOgzFpIRuBZbn0mPryO9PrvFa9U6PrShnE0kQgFyX3vIImpqdwk3zcqqhYVT51sPNc mGCwCgpYJvz2jci6JBm+9laaRGpscJPqsGpEVQus/RpUsSxQXNI0qSSR6sYJUNKVBfXljnzvAT/C fz+7VTLuwTeR5hOpG5P+P94OI3qZPYvEO/6ljzXOU02BaqNl5NPIZqrDMGXKpDpioCWrAmIWkKs9 foQ0ahMYuy+E+QhloKmJmHUA4+rcx61CZGbNymqkYPEPia5hKqS49Wrt6On3qHw5R86M5SKSmAPu JzNDm36QtXMdpsji3AQyLKDaL4e138tHuwWS6MrUHXFVxB7xv/NfpUYJN5/gnwy6bnnb2ebJFvaw xODcEd8AGIAeSfhoCa+kkk1ol/N9VYR+vLWc9Bz3X8CqR2WuPzyqh9g+JOXPXsVM4IV2LhtVLm/4 O/kW6fa16+DoFzSlKWriWWnI+8gyHDysDCzqLNLwrODALFGwk+3vQMeJ47WyM1m5b3jAE3/6pQq+ MK3wzDNyCwH8uytVRj/p+U+KWqEaYd0BXhV88mFLNhGNh2K6wQlXSBhYvzy2bCvPfGKnJLEC2M17 OmNcuQmTResZ/sJddWhZ7mqikTwjegG9DjB+dGuSqxLJk2Usav2nXI4iXso3xLxUlrFoNtXv4ODS cKA5IIVJRofhkMCWNKmPMMoKi+4r56kh/I9/jTrX3p6svz0/3Q+ksnn+B63saPRzazhMp4nxzfwL cxZ5VGxpnQsoph6iSboaaktkzN/RR1oc+rA27jFQKIz6Nsw85QeKkpzAHqbxMSwEQMssMjip4+Jb VV62/S3SNZA+NutB7KC8w6UNstWdG6hWx9hc6JqBu2BgDaDbTyCD1mfhtpRgFKE+wqzN0FtH5OAu QKZRl7yO32ksM0Oh4wCoAJq+sJ3k1VdOi75/58GpRsMw2sEvdkdCZcEGgH6eWrVzfVt7RX7SF9tH ASV+jrKyDzJpEegOpuA4q2fa+CJLJdxCNyj/PGA2QxoLTXaiD5aJhCNFQ29G2GqyVl2v35aamzTB j8LgPQhPMajjfY/04FDh6g7v/G5sF6O59N9RDjL5tex0/UpMWJtnfrmsl+X624KNw11MOkzFEKMc vDH5tOmi0MRoe4zWymn953xyUY1YRpi01biKZ2UqOwDxyqbl0Yj0RLL8pmbyYuQURUA+YRBhQpf7 jip773Yvr87rCKNCAvdG+c+Qp5WbqCeesOGcNqzjp+hHeARIwtsNeWPBn0FSOidjFelxSD8g3ozO IS1RD/4p6+ffBIQcC/I084VjekqKdeVka5QGa+2S9B+w+gvTtar45lOBuXWs2nWxfDvJyuZQz2hn v/SrHOwknvrIjqlp9/9ms1soR5ytqNul1aRjoNLsnaQFqDF0KaBsuJzV8Mh0+XSlZ0ir6gJZKTuh mUO1ueZ98Kuvs6jtnlfJwRkb9IsSNQDocHokGU/JubzvaV9c5tSiXHGbHwmnUb8RrvtdRDNpbw40 7fqr9AHgQ8/vVOnYyGM6AWnDYxDpgi+lg90NOaZrYJrYRkUufzrFGm0kVEMg8U1UjQ4uIGoYAt9l nlVRNIhuSv43ss4ziikf5y/5q6FEmrAa5fkWebehzUfq3pO59/mDFztfeyFMofC6zQkjIngKz24K AHJHFAfwMPQRCRsJWged5ioOJmKtN+jfaNQPVhBRzcQOICcHN1TmkpDH870nh8WqWl+T7bt25/3i jnMnuekOAUKkBZ66+7vEy0V3RqEYQvxM5zh41HFbVKcS+Eh2hslUeIdXlYWz0rX/zCq/QAuj6uP+ IvhgaDd8MT/BMwOlJtlJcW1qlZDVs93fQjsPAYr4qXOWQnVpHV7kR9xJJ6tq//Hy1LbN/DkAynGh fv4VyAcMVKtVGb48qp28uOv9WW1c2VePTvJV864NKhnJW7opfybYU/33om15naSnPtKzDU2a2ebk FsZPCg7J3eDxigzuZfbeIorZIvBURcq4nKl0CllHIebL0xzEsVb2DB9wNaH7YbqU4Atlc2ZR+3RA kTFT5LO9yxRn74nz384LWMUn0hLJgu/hj/lFZKA72n4+8KYOK/gdTrHxWY9lMXNLKGL5G7r+MJw/ M/Upm1tj6q2br/Vy410GRP/Gwb5J5bncxEURz25nZHeEAG6+axfEXn4frxil4go= `protect end_protected
gpl-2.0
1b02179d02b2d45742a95702c6fb161c
0.92218
1.925395
false
false
false
false