repo_name
stringlengths 6
79
| path
stringlengths 6
236
| copies
int64 1
472
| size
int64 137
1.04M
| content
stringlengths 137
1.04M
| license
stringclasses 15
values | hash
stringlengths 32
32
| alpha_frac
float64 0.25
0.96
| ratio
float64 1.51
17.5
| autogenerated
bool 1
class | config_or_test
bool 2
classes | has_no_keywords
bool 1
class | has_few_assignments
bool 1
class |
---|---|---|---|---|---|---|---|---|---|---|---|---|
skordal/potato | src/pp_alu.vhd | 1 | 1,952 | -- The Potato Processor - A simple processor for FPGAs
-- (c) Kristian Klomsten Skordal 2014 <[email protected]>
-- Report bugs and issues on <https://github.com/skordal/potato/issues>
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.pp_types.all;
--! @brief
--! Arithmetic Logic Unit (ALU).
--!
--! @details
--! Performs logic and arithmetic calculations. The operation to perform
--! is specified by the user of the module.
entity pp_alu is
port(
x, y : in std_logic_vector(31 downto 0); --! Input operand.
result : out std_logic_vector(31 downto 0); --! Operation result.
operation : in alu_operation --! Operation type.
);
end entity pp_alu;
--! @brief Behavioural description of the ALU.
architecture behaviour of pp_alu is
begin
--! Performs the ALU calculation.
calculate: process(operation, x, y)
begin
case operation is
when ALU_AND =>
result <= x and y;
when ALU_OR =>
result <= x or y;
when ALU_XOR =>
result <= x xor y;
when ALU_SLT =>
if signed(x) < signed(y) then
result <= (0 => '1', others => '0');
else
result <= (others => '0');
end if;
when ALU_SLTU =>
if unsigned(x) < unsigned(y) then
result <= (0 => '1', others => '0');
else
result <= (others => '0');
end if;
when ALU_ADD =>
result <= std_logic_vector(unsigned(x) + unsigned(y));
when ALU_SUB =>
result <= std_logic_vector(unsigned(x) - unsigned(y));
when ALU_SRL =>
result <= std_logic_vector(shift_right(unsigned(x), to_integer(unsigned(y(4 downto 0)))));
when ALU_SLL =>
result <= std_logic_vector(shift_left(unsigned(x), to_integer(unsigned(y(4 downto 0)))));
when ALU_SRA =>
result <= std_logic_vector(shift_right(signed(x), to_integer(unsigned(y(4 downto 0)))));
when others =>
result <= (others => '0');
end case;
end process calculate;
end architecture behaviour;
| bsd-3-clause | 165b554b0ff697dbb32c39e499e4357f | 0.631148 | 3.194763 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xbip_addsub_v3_0/hdl/xbip_addsub_v3_0_comp.vhd | 3 | 7,794 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YZt9CVgibtz1DQhIVW75t8+TB5qXvdexZipIOzyeYIgjhSC9SRzqg6fjPwWu35j8chcIzAAacfEy
N/Vp17OxkA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
D7G+voNCt8FsDi3lN0+coq3b3FkjH/LNdyhooKGcm3s/eZ0sVak/m4rW+ojrElXDbYRXuatPl+SX
Lc1hLK2VdyLMqWXRcyLZyKsDq3V3C2FXvr5eig5UHiNy5w2di7di+f5wfWbkObli4928VyEp9aR7
YQv27whektGwcfV9U18=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GF3K08+YYqBd2ub6UE9jZ/5bHRPiZ2QgQMvQCsD8RURUNmL83Jq90ui2eflqe4TOcGuC6wPXCjM3
H8PpXsD/hHmC+6FkQQ7UoicI06j9/DKap9niHRPf65xpNEe9bPUMBhd/IQntjOiGUmc89fIsGQSZ
RkcPZ5XwMdP4hs056yQKej/T9on3Z/8f3kEJmRfcdfSnVxwWJyZbDV2lM4M6NJquGBXcyf/QpU3W
2KhISzSeqCI3pEwmkoen5IfqD5ZemYxse2FuP6MVQoZVvrbH9ProAaWZ3DuOxu414Z3D0UjrdFt8
823S8MLZyivBlbVu/5XEgvwyRYrwX+KdshR1Sw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MIlcOxbbFuYAuGOjTR5T+J3srLvuO8OEWUb5EN2s3ucxWo80Gn2QZggebQKC5pYj6sLppCwudLDV
cdqfX9KBvQtHkkSgj8aqVuJJN7rYBzmGDlibrUPJVkDVvRZ85CN2EH+9gbp1V8eLR4g3vPvJSJS3
71afQJfeRO0iX2gt4IE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fp6Uhu2a02nqflyhMvH8oj0IYd9pTqoMCSRb1p+GUwtPkmJbfMsXRVcCKC40mgD/Wkj8Z5V8eLXS
HCU/6hqqfM/0xokNMCxQGOW8yvROxuVkt8YUml7fm0Cs0UHaAQLheW2rikLn43XQjQc/Zn/9jSac
Rc5GmhxKQ66ADM4gjqTYtNH4aN5KxZ6Xq7+GQfYPT1G3YQCyCmQOO4ggoGumW8/QFLhxe/X6+Plo
TVdOJIyx5Xa2TC0jH7A1zAo0rDUsgkkcEQZnrQ4p32W+8wuvWYrTPUnbZiQkBftFhpavuPyBQ0Pc
TxJXY/KHBe8uimmGBRd++t1lAlrftMYRi/FAGQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4032)
`protect data_block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`protect end_protected
| gpl-2.0 | 29d3adbaae5c8f4ddf8131768149d071 | 0.915576 | 1.943156 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_uart/tb/uvvm_demo_th.vhd | 1 | 11,085 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
library uvvm_util;
context uvvm_util.uvvm_util_context; -- t_channel (RX/TX)
library bitvis_vip_sbi;
context bitvis_vip_sbi.vvc_context;
library bitvis_vip_uart;
context bitvis_vip_uart.vvc_context;
use bitvis_vip_uart.monitor_cmd_pkg.all;
library bitvis_uart;
library bitvis_vip_clock_generator;
library bitvis_vip_scoreboard;
use bitvis_vip_scoreboard.generic_sb_support_pkg.all;
-- Test harness entity
entity uvvm_demo_th is
generic (
-- Clock and bit period settings
GC_CLK_PERIOD : time := 10 ns;
GC_BIT_PERIOD : time := 16 * GC_CLK_PERIOD;
-- DUT addresses
GC_ADDR_RX_DATA : unsigned(2 downto 0) := "000";
GC_ADDR_RX_DATA_VALID : unsigned(2 downto 0) := "001";
GC_ADDR_TX_DATA : unsigned(2 downto 0) := "010";
GC_ADDR_TX_READY : unsigned(2 downto 0) := "011";
-- Activity watchdog setting
GC_ACTIVITY_WATCHDOG_TIMEOUT : time := 50 * GC_BIT_PERIOD
);
end entity uvvm_demo_th;
-- Test harness architecture
architecture struct of uvvm_demo_th is
-- VVC idx
constant C_SBI_VVC : natural := 1;
constant C_UART_TX_VVC : natural := 1;
constant C_UART_RX_VVC : natural := 1;
constant C_CLOCK_GEN_VVC : natural := 1;
-- UART if
constant C_DATA_WIDTH : natural := 8;
constant C_ADDR_WIDTH : natural := 3;
-- Clock and reset signals
signal clk : std_logic := '0';
signal arst : std_logic := '0';
-- SBI VVC signals
signal cs : std_logic;
signal addr : unsigned(2 downto 0);
signal wr : std_logic;
signal rd : std_logic;
signal wdata : std_logic_vector(7 downto 0);
signal rdata : std_logic_vector(7 downto 0);
signal ready : std_logic;
-- UART VVC signals
signal uart_vvc_rx : std_logic := '1';
signal uart_vvc_tx : std_logic := '1';
-- UART Monitor
constant C_UART_MONITOR_INTERFACE_CONFIG : t_uart_interface_config := (
bit_time => GC_BIT_PERIOD,
num_data_bits => 8,
parity => PARITY_ODD,
num_stop_bits => STOP_BITS_ONE
);
constant C_UART_MONITOR_CONFIG : t_uart_monitor_config := (
scope_name => (1 to 12 => "UART Monitor", others => NUL),
msg_id_panel => C_UART_MONITOR_MSG_ID_PANEL_DEFAULT,
interface_config => C_UART_MONITOR_INTERFACE_CONFIG,
transaction_display_time => 0 ns
);
begin
-----------------------------------------------------------------------------
-- Instantiate the concurrent procedure that initializes UVVM
-----------------------------------------------------------------------------
i_ti_uvvm_engine : entity uvvm_vvc_framework.ti_uvvm_engine;
-----------------------------------------------------------------------------
-- Instantiate DUT
-----------------------------------------------------------------------------
i_uart: entity bitvis_uart.uart
port map (
-- DSP interface and general control signals
clk => clk,
arst => arst,
-- CPU interface
cs => cs,
addr => addr,
wr => wr,
rd => rd,
wdata => wdata,
rdata => rdata,
-- UART signals
rx_a => uart_vvc_tx,
tx => uart_vvc_rx
);
-----------------------------------------------------------------------------
-- SBI VVC
-----------------------------------------------------------------------------
i1_sbi_vvc: entity bitvis_vip_sbi.sbi_vvc
generic map(
GC_ADDR_WIDTH => C_ADDR_WIDTH,
GC_DATA_WIDTH => C_DATA_WIDTH,
GC_INSTANCE_IDX => C_SBI_VVC
)
port map(
clk => clk,
sbi_vvc_master_if.cs => cs,
sbi_vvc_master_if.rena => rd,
sbi_vvc_master_if.wena => wr,
sbi_vvc_master_if.addr => addr,
sbi_vvc_master_if.wdata => wdata,
sbi_vvc_master_if.ready => ready,
sbi_vvc_master_if.rdata => rdata
);
-----------------------------------------------------------------------------
-- UART VVC
-----------------------------------------------------------------------------
i1_uart_vvc: entity bitvis_vip_uart.uart_vvc
generic map(
GC_INSTANCE_IDX => 1
)
port map(
uart_vvc_rx => uart_vvc_rx,
uart_vvc_tx => uart_vvc_tx
);
-- Static '1' ready signal for the SBI VVC
ready <= '1';
-----------------------------------------------------------------------------
-- Monitor - UART
--
-- Monitor and validate UART transactions.
--
-----------------------------------------------------------------------------
i1_uart_monitor : entity bitvis_vip_uart.uart_monitor
generic map(
GC_INSTANCE_IDX => 1,
GC_MONITOR_CONFIG => C_UART_MONITOR_CONFIG
)
port map(
uart_dut_tx => uart_vvc_rx,
uart_dut_rx => uart_vvc_tx
);
-----------------------------------------------------------------------------
-- Activity Watchdog
--
-- Monitor VVC activity and alert if no VVC activity is
-- detected before timeout.
--
-----------------------------------------------------------------------------
p_activity_watchdog:
activity_watchdog(timeout => GC_ACTIVITY_WATCHDOG_TIMEOUT,
num_exp_vvc => 4);
-----------------------------------------------------------------------------
-- Model
--
-- Subscribe to SBI and UART transaction infos, and send to Scoreboard or
-- send VVC commands based on transaction info content.
--
-----------------------------------------------------------------------------
p_model: process
-- SBI transaction info
alias sbi_vvc_transaction_info_trigger : std_logic is
global_sbi_vvc_transaction_trigger(C_SBI_VVC);
alias sbi_vvc_transaction_info : bitvis_vip_sbi.transaction_pkg.t_transaction_group is
shared_sbi_vvc_transaction_info(C_SBI_VVC);
-- UART transaction info
alias uart_rx_transaction_info_trigger : std_logic is
global_uart_vvc_transaction_trigger(RX, C_UART_RX_VVC);
alias uart_rx_transaction_info : bitvis_vip_uart.transaction_pkg.t_transaction_group is
shared_uart_vvc_transaction_info(RX, C_UART_RX_VVC);
alias uart_tx_transaction_info_trigger : std_logic is
global_uart_vvc_transaction_trigger(TX, C_UART_TX_VVC);
alias uart_tx_transaction_info : bitvis_vip_uart.transaction_pkg.t_transaction_group is
shared_uart_vvc_transaction_info(TX, C_UART_TX_VVC);
begin
while true loop
-- Wait for transaction info trigger
wait until (sbi_vvc_transaction_info_trigger = '1') or (uart_rx_transaction_info_trigger = '1') or (uart_tx_transaction_info_trigger = '1');
-------------------------------
-- SBI transaction info
-------------------------------
if sbi_vvc_transaction_info_trigger'event then
case sbi_vvc_transaction_info.bt.operation is
when WRITE =>
-- add to UART scoreboard
UART_VVC_SB.add_expected(sbi_vvc_transaction_info.bt.data(C_DATA_WIDTH-1 downto 0));
when READ =>
null;
when others =>
null;
end case;
end if;
-------------------------------
-- UART RX transaction info
-------------------------------
if uart_rx_transaction_info_trigger'event then
-- Send to SB is handled by RX VVC.
null;
end if;
-------------------------------
-- UART TX transaction
-------------------------------
if uart_tx_transaction_info_trigger'event then
case uart_tx_transaction_info.bt.operation is
when TRANSMIT =>
-- Check if transaction is intended valid / free of error
if (uart_tx_transaction_info.bt.error_info.parity_bit_error = false) and
(uart_tx_transaction_info.bt.error_info.stop_bit_error = false) then
-- Add to SBI scoreboard
SBI_VVC_SB.add_expected(pad_sbi_sb(uart_tx_transaction_info.bt.data(C_DATA_WIDTH-1 downto 0)));
-- Wait for UART Transmit to finish before SBI VVC start
insert_delay(SBI_VVCT, 1, 12*GC_BIT_PERIOD, "Wait for UART TX to finish");
-- Request SBI Read
sbi_read(SBI_VVCT, 1, GC_ADDR_RX_DATA, TO_SB, "SBI_READ");
end if;
when others =>
null;
end case;
end if;
end loop;
wait;
end process p_model;
-----------------------------------------------------------------------------
-- Clock Generator VVC
-----------------------------------------------------------------------------
i_clock_generator_vvc : entity bitvis_vip_clock_generator.clock_generator_vvc
generic map(
GC_INSTANCE_IDX => C_CLOCK_GEN_VVC,
GC_CLOCK_NAME => "Clock",
GC_CLOCK_PERIOD => GC_CLK_PERIOD,
GC_CLOCK_HIGH_TIME => GC_CLK_PERIOD / 2
)
port map(
clk => clk
);
-----------------------------------------------------------------------------
-- Reset
-----------------------------------------------------------------------------
-- Toggle the reset after 5 clock periods
p_arst: arst <= '1', '0' after 5 *GC_CLK_PERIOD;
end struct;
| mit | df6b1bf6f78974d770eeb9f496ea50ab | 0.476229 | 4.500609 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_spi/src/spi_vvc.vhd | 1 | 45,891 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
library bitvis_vip_scoreboard;
use bitvis_vip_scoreboard.generic_sb_support_pkg.C_SB_CONFIG_DEFAULT;
use work.spi_bfm_pkg.all;
use work.vvc_methods_pkg.all;
use work.vvc_cmd_pkg.all;
use work.td_vvc_framework_common_methods_pkg.all;
use work.td_target_support_pkg.all;
use work.td_vvc_entity_support_pkg.all;
use work.td_cmd_queue_pkg.all;
use work.td_result_queue_pkg.all;
use work.transaction_pkg.all;
--=================================================================================================
entity spi_vvc is
generic (
GC_DATA_WIDTH : natural := 8;
GC_DATA_ARRAY_WIDTH : natural := C_SPI_VVC_DATA_ARRAY_WIDTH;
GC_INSTANCE_IDX : natural := 1; -- Instance index for this SPI_VVCT instance
GC_MASTER_MODE : boolean := true;
GC_SPI_CONFIG : t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; -- Behavior specification for BFM
GC_CMD_QUEUE_COUNT_MAX : natural := 1000;
GC_CMD_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := warning;
GC_RESULT_QUEUE_COUNT_MAX : natural := 1000;
GC_RESULT_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := warning
);
port (
spi_vvc_if : inout t_spi_if := init_spi_if_signals(GC_SPI_CONFIG, GC_MASTER_MODE)
);
end entity spi_vvc;
--=================================================================================================
--=================================================================================================
architecture behave of spi_vvc is
constant C_SCOPE : string := C_VVC_NAME & "," & to_string(GC_INSTANCE_IDX);
constant C_VVC_LABELS : t_vvc_labels := assign_vvc_labels(C_SCOPE, C_VVC_NAME, GC_INSTANCE_IDX, NA);
signal executor_is_busy : boolean := false;
signal queue_is_increasing : boolean := false;
signal last_cmd_idx_executed : natural := 0;
signal terminate_current_cmd : t_flag_record;
-- Instantiation of the element dedicated Queue
shared variable command_queue : work.td_cmd_queue_pkg.t_generic_queue;
shared variable result_queue : work.td_result_queue_pkg.t_generic_queue;
alias vvc_config : t_vvc_config is shared_spi_vvc_config(GC_INSTANCE_IDX);
alias vvc_status : t_vvc_status is shared_spi_vvc_status(GC_INSTANCE_IDX);
alias transaction_info : t_transaction_info is shared_spi_transaction_info(GC_INSTANCE_IDX);
-- Transaction info
alias vvc_transaction_info_trigger : std_logic is global_spi_vvc_transaction_trigger(GC_INSTANCE_IDX);
alias vvc_transaction_info : t_transaction_group is shared_spi_vvc_transaction_info(GC_INSTANCE_IDX);
-- VVC Activity
signal entry_num_in_vvc_activity_register : integer;
--UVVM: temporary fix for HVVC, remove function below in v3.0
function get_msg_id_panel(
constant command : in t_vvc_cmd_record;
constant vvc_config : in t_vvc_config
) return t_msg_id_panel is
begin
-- If the parent_msg_id_panel is set then use it,
-- otherwise use the VVCs msg_id_panel from its config.
if command.msg(1 to 5) = "HVVC:" then
return vvc_config.parent_msg_id_panel;
else
return vvc_config.msg_id_panel;
end if;
end function;
begin
--===============================================================================================
-- Constructor
-- - Set up the defaults and show constructor if enabled
--===============================================================================================
work.td_vvc_entity_support_pkg.vvc_constructor(C_SCOPE, GC_INSTANCE_IDX, vvc_config, command_queue, result_queue, GC_SPI_CONFIG,
GC_CMD_QUEUE_COUNT_MAX, GC_CMD_QUEUE_COUNT_THRESHOLD, GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY,
GC_RESULT_QUEUE_COUNT_MAX, GC_RESULT_QUEUE_COUNT_THRESHOLD, GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY);
--===============================================================================================
--===============================================================================================
-- Command interpreter
-- - Interpret, decode and acknowledge commands from the central sequencer
--===============================================================================================
cmd_interpreter : process
variable v_cmd_has_been_acked : boolean; -- Indicates if acknowledge_cmd() has been called for the current shared_vvc_cmd
variable v_local_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT;
variable v_msg_id_panel : t_msg_id_panel;
variable v_temp_msg_id_panel : t_msg_id_panel; --UVVM: temporary fix for HVVC, remove in v3.0
begin
-- 0. Initialize the process prior to first command
work.td_vvc_entity_support_pkg.initialize_interpreter(terminate_current_cmd, global_awaiting_completion);
-- initialise shared_vvc_last_received_cmd_idx for channel and instance
shared_vvc_last_received_cmd_idx(NA, GC_INSTANCE_IDX) := 0;
-- Register VVC in vvc activity register
entry_num_in_vvc_activity_register <= shared_vvc_activity_register.priv_register_vvc(name => C_VVC_NAME,
instance => GC_INSTANCE_IDX);
-- Set initial value of v_msg_id_panel to msg_id_panel in config
v_msg_id_panel := vvc_config.msg_id_panel;
-- Then for every single command from the sequencer
loop -- basically as long as new commands are received
-- 1. wait until command targeted at this VVC. Must match VVC name, instance and channel (if applicable)
-- releases global semaphore
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.await_cmd_from_sequencer(C_VVC_LABELS, vvc_config, THIS_VVCT, VVC_BROADCAST, global_vvc_busy, global_vvc_ack, v_local_vvc_cmd);
v_cmd_has_been_acked := false; -- Clear flag
-- update shared_vvc_last_received_cmd_idx with received command index
shared_vvc_last_received_cmd_idx(NA, GC_INSTANCE_IDX) := v_local_vvc_cmd.cmd_idx;
-- Select between a provided msg_id_panel via the vvc_cmd_record from a VVC with a higher hierarchy or the
-- msg_id_panel in this VVC's config. This is to correctly handle the logging when using Hierarchical-VVCs.
v_msg_id_panel := get_msg_id_panel(v_local_vvc_cmd, vvc_config);
-- 2a. Put command on the queue if intended for the executor
-------------------------------------------------------------------------
if v_local_vvc_cmd.command_type = QUEUED then
work.td_vvc_entity_support_pkg.put_command_on_queue(v_local_vvc_cmd, command_queue, vvc_status, queue_is_increasing);
-- 2b. Otherwise command is intended for immediate response
-------------------------------------------------------------------------
elsif v_local_vvc_cmd.command_type = IMMEDIATE then
--UVVM: temporary fix for HVVC, remove two lines below in v3.0
if v_local_vvc_cmd.operation /= DISABLE_LOG_MSG and v_local_vvc_cmd.operation /= ENABLE_LOG_MSG then
v_temp_msg_id_panel := vvc_config.msg_id_panel;
vvc_config.msg_id_panel := v_msg_id_panel;
end if;
case v_local_vvc_cmd.operation is
when AWAIT_COMPLETION =>
work.td_vvc_entity_support_pkg.interpreter_await_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed);
when AWAIT_ANY_COMPLETION =>
if not v_local_vvc_cmd.gen_boolean then
-- Called with lastness = NOT_LAST: Acknowledge immediately to let the sequencer continue
work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack, v_local_vvc_cmd.cmd_idx);
v_cmd_has_been_acked := true;
end if;
work.td_vvc_entity_support_pkg.interpreter_await_any_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed, global_awaiting_completion);
when DISABLE_LOG_MSG =>
uvvm_util.methods_pkg.disable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE);
when ENABLE_LOG_MSG =>
uvvm_util.methods_pkg.enable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE);
when FLUSH_COMMAND_QUEUE =>
work.td_vvc_entity_support_pkg.interpreter_flush_command_queue(v_local_vvc_cmd, command_queue, vvc_config, vvc_status, C_VVC_LABELS);
when TERMINATE_CURRENT_COMMAND =>
work.td_vvc_entity_support_pkg.interpreter_terminate_current_command(v_local_vvc_cmd, vvc_config, C_VVC_LABELS, terminate_current_cmd);
when FETCH_RESULT =>
work.td_vvc_entity_support_pkg.interpreter_fetch_result(result_queue, v_local_vvc_cmd, vvc_config, C_VVC_LABELS, last_cmd_idx_executed, shared_vvc_response);
when others =>
tb_error("Unsupported command received for IMMEDIATE execution: '" & to_string(v_local_vvc_cmd.operation) & "'", C_SCOPE);
end case;
--UVVM: temporary fix for HVVC, remove line below in v3.0
if v_local_vvc_cmd.operation /= DISABLE_LOG_MSG and v_local_vvc_cmd.operation /= ENABLE_LOG_MSG then
vvc_config.msg_id_panel := v_temp_msg_id_panel;
end if;
else
tb_error("command_type is not IMMEDIATE or QUEUED", C_SCOPE);
end if;
-- 3. Acknowledge command after runing or queuing the command
-------------------------------------------------------------------------
if not v_cmd_has_been_acked then
--uvvm_vvc_framework.ti_vvc_framework_support_pkg.acknowledge_cmd(global_vvc_ack);
work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack, v_local_vvc_cmd.cmd_idx);
end if;
end loop;
end process;
--===============================================================================================
--===============================================================================================
-- Command executor
-- - Fetch and execute the commands
--===============================================================================================
cmd_executor : process
variable v_cmd : t_vvc_cmd_record;
variable v_result : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0);
variable v_timestamp_start_of_current_bfm_access : time := 0 ns;
variable v_timestamp_start_of_last_bfm_access : time := 0 ns;
variable v_timestamp_end_of_last_bfm_access : time := 0 ns;
variable v_command_is_bfm_access : boolean := false;
variable v_prev_command_was_bfm_access : boolean := false;
variable v_msg_id_panel : t_msg_id_panel;
-- bus size
variable v_num_words : natural := 0;
-- normalized data to bus width
variable v_normalized_data : t_slv_array(GC_DATA_ARRAY_WIDTH-1 downto 0)(GC_DATA_WIDTH-1 downto 0) := (others => (others => '0'));
variable v_normalized_data_exp : t_slv_array(GC_DATA_ARRAY_WIDTH-1 downto 0)(GC_DATA_WIDTH-1 downto 0) := (others => (others => '0'));
variable v_data_receive : t_slv_array(GC_DATA_ARRAY_WIDTH-1 downto 0)(GC_DATA_WIDTH-1 downto 0) := (others => (others => '0'));
begin
-- 0. Initialize the process prior to first command
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.initialize_executor(terminate_current_cmd);
-- Set initial value of v_msg_id_panel to msg_id_panel in config
v_msg_id_panel := vvc_config.msg_id_panel;
-- Setup SPI scoreboard
SPI_VVC_SB.set_scope("SPI_VVC_SB");
SPI_VVC_SB.enable(GC_INSTANCE_IDX, "SPI VVC SB Enabled");
SPI_VVC_SB.config(GC_INSTANCE_IDX, C_SB_CONFIG_DEFAULT);
SPI_VVC_SB.enable_log_msg(GC_INSTANCE_IDX, ID_DATA);
loop
-- update vvc activity
update_vvc_activity_register(global_trigger_vvc_activity_register, vvc_status, INACTIVE, entry_num_in_vvc_activity_register, last_cmd_idx_executed, command_queue.is_empty(VOID), C_SCOPE);
-- 1. Set defaults, fetch command and log
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.fetch_command_and_prepare_executor(v_cmd, command_queue, vvc_config, vvc_status, queue_is_increasing, executor_is_busy, C_VVC_LABELS);
-- update vvc activity
update_vvc_activity_register(global_trigger_vvc_activity_register, vvc_status, ACTIVE, entry_num_in_vvc_activity_register, last_cmd_idx_executed, command_queue.is_empty(VOID), C_SCOPE);
-- Set the transaction info for waveview
transaction_info := C_TRANSACTION_INFO_DEFAULT;
transaction_info.operation := v_cmd.operation;
transaction_info.msg := pad_string(to_string(v_cmd.msg), ' ', transaction_info.msg'length);
-- Select between a provided msg_id_panel via the vvc_cmd_record from a VVC with a higher hierarchy or the
-- msg_id_panel in this VVC's config. This is to correctly handle the logging when using Hierarchical-VVCs.
v_msg_id_panel := get_msg_id_panel(v_cmd, vvc_config);
-- Check if command is a BFM access
v_prev_command_was_bfm_access := v_command_is_bfm_access; -- save for inter_bfm_delay
if v_cmd.operation = MASTER_TRANSMIT_AND_RECEIVE or
v_cmd.operation = MASTER_TRANSMIT_AND_CHECK or
v_cmd.operation = MASTER_TRANSMIT_ONLY or
v_cmd.operation = MASTER_RECEIVE_ONLY or
v_cmd.operation = MASTER_CHECK_ONLY or
v_cmd.operation = SLAVE_TRANSMIT_AND_RECEIVE or
v_cmd.operation = SLAVE_TRANSMIT_AND_CHECK or
v_cmd.operation = SLAVE_TRANSMIT_ONLY or
v_cmd.operation = SLAVE_RECEIVE_ONLY or
v_cmd.operation = SLAVE_CHECK_ONLY
then
v_command_is_bfm_access := true;
else
v_command_is_bfm_access := false;
end if;
-- Insert delay if needed
work.td_vvc_entity_support_pkg.insert_inter_bfm_delay_if_requested(vvc_config => vvc_config,
command_is_bfm_access => v_prev_command_was_bfm_access,
timestamp_start_of_last_bfm_access => v_timestamp_start_of_last_bfm_access,
timestamp_end_of_last_bfm_access => v_timestamp_end_of_last_bfm_access,
msg_id_panel => v_msg_id_panel,
scope => C_SCOPE);
if v_command_is_bfm_access then
v_timestamp_start_of_current_bfm_access := now;
end if;
-- 2. Execute the fetched command
-------------------------------------------------------------------------
v_num_words := v_cmd.num_words;
transaction_info.num_words := v_cmd.num_words;
transaction_info.word_length := GC_DATA_WIDTH;
case v_cmd.operation is -- Only operations in the dedicated record are relevant
-- VVC dedicated operations
--===================================
when MASTER_TRANSMIT_AND_RECEIVE =>
if GC_MASTER_MODE then
-- Set vvc transaction info
set_global_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config);
transaction_info.tx_data := v_cmd.data;
-- Call the corresponding procedure in the BFM package.
if v_num_words = 1 then
spi_master_transmit_and_receive(tx_data => v_cmd.data(0)(GC_DATA_WIDTH-1 downto 0),
rx_data => v_result(0)(GC_DATA_WIDTH-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
action_when_transfer_is_done => v_cmd.action_when_transfer_is_done,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
else
-- normalize
v_normalized_data := normalize_and_check(v_cmd.data, v_normalized_data, ALLOW_WIDER_NARROWER, "v_cmd.data", "v_normalized_data", "normalizing data to BFM");
spi_master_transmit_and_receive(tx_data => v_normalized_data(v_num_words-1 downto 0),
rx_data => v_data_receive(v_num_words-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
action_when_transfer_is_done => v_cmd.action_when_transfer_is_done,
action_between_words => v_cmd.action_between_words,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
v_result := normalize_and_check(v_data_receive, v_result, ALLOW_WIDER_NARROWER, "v_data_receive", "v_result", "normalizing data to result");
end if;
-- Store the result
for i in 0 to v_num_words-1 loop
-- Request SB check result
if v_cmd.data_routing = TO_SB then
-- call SB check_received
SPI_VVC_SB.check_received(GC_INSTANCE_IDX, pad_spi_sb(v_result(i)(GC_DATA_WIDTH-1 downto 0)));
else
work.td_vvc_entity_support_pkg.store_result(result_queue => result_queue,
cmd_idx => v_cmd.cmd_idx,
result => v_result(i));
end if;
end loop;
else -- attempted master transmit and receive when in slave mode
alert(error, "Master transmit and receive called when VVC is in slave mode.", C_SCOPE);
end if;
when MASTER_TRANSMIT_AND_CHECK =>
if GC_MASTER_MODE then
-- Set vvc transaction info
set_global_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config);
transaction_info.tx_data := v_cmd.data;
-- Call the corresponding procedure in the BFM package.
if v_num_words = 1 then
spi_master_transmit_and_check(tx_data => v_cmd.data(0)(GC_DATA_WIDTH-1 downto 0),
data_exp => v_cmd.data_exp(0)(GC_DATA_WIDTH-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
action_when_transfer_is_done => v_cmd.action_when_transfer_is_done,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
else
-- normalize
v_normalized_data := normalize_and_check(v_cmd.data, v_normalized_data, ALLOW_WIDER_NARROWER, "v_cmd.data", "v_normalized_data", "normalizing data to BFM");
v_normalized_data_exp := normalize_and_check(v_cmd.data_exp, v_normalized_data_exp, ALLOW_WIDER_NARROWER, "v_cmd.data_exp", "v_normalized_data_exp", "normalizing data_exp to BFM");
spi_master_transmit_and_check(tx_data => v_normalized_data(v_num_words-1 downto 0),
data_exp => v_normalized_data_exp(v_num_words-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
action_when_transfer_is_done => v_cmd.action_when_transfer_is_done,
action_between_words => v_cmd.action_between_words,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
end if;
else -- attempted master transmit and receive when in slave mode
alert(error, "Master transmit and check called when VVC is in slave mode.", C_SCOPE);
end if;
when MASTER_TRANSMIT_ONLY =>
if GC_MASTER_MODE then
-- Set vvc transaction info
set_global_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config);
transaction_info.tx_data := v_cmd.data;
-- Call the corresponding procedure in the BFM package.
if v_num_words = 1 then
spi_master_transmit(tx_data => v_cmd.data(0)(GC_DATA_WIDTH-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
action_when_transfer_is_done => v_cmd.action_when_transfer_is_done,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
else
-- normalize
v_normalized_data := normalize_and_check(v_cmd.data, v_normalized_data, ALLOW_WIDER_NARROWER, "v_cmd.data", "v_normalized_data", "normalizing data to BFM");
spi_master_transmit(tx_data => v_normalized_data(v_num_words-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
action_when_transfer_is_done => v_cmd.action_when_transfer_is_done,
action_between_words => v_cmd.action_between_words,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
end if;
else -- attempted master transmit when in slave mode
alert(error, "Master transmit called when VVC is in slave mode.", C_SCOPE);
end if;
when MASTER_RECEIVE_ONLY =>
if GC_MASTER_MODE then
-- Set vvc transaction info
set_global_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config);
-- Call the corresponding procedure in the BFM package.
if v_num_words = 1 then
spi_master_receive(rx_data => v_result(0)(GC_DATA_WIDTH-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
action_when_transfer_is_done => v_cmd.action_when_transfer_is_done,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
else
spi_master_receive(rx_data => v_data_receive(v_num_words-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
action_when_transfer_is_done => v_cmd.action_when_transfer_is_done,
action_between_words => v_cmd.action_between_words,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
v_result := normalize_and_check(v_data_receive, v_result, ALLOW_WIDER_NARROWER, "v_data_receive", "v_result", "normalizing data to result");
end if;
-- Store the result
for i in 0 to v_num_words-1 loop
-- Request SB check result
if v_cmd.data_routing = TO_SB then
-- call SB check_received
SPI_VVC_SB.check_received(GC_INSTANCE_IDX, pad_spi_sb(v_result(i)(GC_DATA_WIDTH-1 downto 0)));
else
work.td_vvc_entity_support_pkg.store_result(result_queue => result_queue,
cmd_idx => v_cmd.cmd_idx,
result => v_result(i));
end if;
end loop;
else -- attempted master receive when in slave mode
alert(error, "Master receive called when VVC is in slave mode.", C_SCOPE);
end if;
when MASTER_CHECK_ONLY =>
if GC_MASTER_MODE then
-- Set vvc transaction info
set_global_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config);
-- Call the corresponding procedure in the BFM package.
if v_num_words = 1 then
spi_master_check(data_exp => v_cmd.data_exp(0)(GC_DATA_WIDTH-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
alert_level => v_cmd.alert_level,
action_when_transfer_is_done => v_cmd.action_when_transfer_is_done,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
else
-- normalize
v_normalized_data_exp := normalize_and_check(v_cmd.data_exp, v_normalized_data_exp, ALLOW_WIDER_NARROWER, "v_cmd.data_exp", "v_normalized_data_exp", "normalizing data_exp to BFM");
spi_master_check(data_exp => v_normalized_data_exp(v_num_words-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
alert_level => v_cmd.alert_level,
action_when_transfer_is_done => v_cmd.action_when_transfer_is_done,
action_between_words => v_cmd.action_between_words,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
end if;
else -- attempted master check when in slave mode
alert(error, "Master check called when VVC is in slave mode.", C_SCOPE);
end if;
when SLAVE_TRANSMIT_AND_RECEIVE =>
if not GC_MASTER_MODE then
-- Set vvc transaction info
set_global_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config);
transaction_info.tx_data := v_cmd.data;
-- Call the corresponding procedure in the BFM package.
if v_num_words = 1 then
spi_slave_transmit_and_receive(tx_data => v_cmd.data(0)(GC_DATA_WIDTH-1 downto 0),
rx_data => v_result(0)(GC_DATA_WIDTH-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
when_to_start_transfer => v_cmd.when_to_start_transfer,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
else
-- normalize
v_normalized_data := normalize_and_check(v_cmd.data, v_normalized_data, ALLOW_WIDER_NARROWER, "v_cmd.data", "v_normalized_data", "normalizing data to BFM");
spi_slave_transmit_and_receive(tx_data => v_normalized_data(v_num_words-1 downto 0),
rx_data => v_data_receive(v_num_words-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
when_to_start_transfer => v_cmd.when_to_start_transfer,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
v_result := normalize_and_check(v_data_receive, v_result, ALLOW_WIDER_NARROWER, "v_data_receive", "v_result", "normalizing data to result");
end if;
-- Store the result
for i in 0 to v_num_words-1 loop
-- Request SB check result
if v_cmd.data_routing = TO_SB then
-- call SB check_received
SPI_VVC_SB.check_received(GC_INSTANCE_IDX, pad_spi_sb(v_result(i)(GC_DATA_WIDTH-1 downto 0)));
else
work.td_vvc_entity_support_pkg.store_result(result_queue => result_queue,
cmd_idx => v_cmd.cmd_idx,
result => v_result(i));
end if;
end loop;
else -- attempted slave transmit when in master mode
alert(note, "Slave transmit and receive called when VVC is in master mode.", C_SCOPE);
end if;
when SLAVE_TRANSMIT_AND_CHECK =>
if not GC_MASTER_MODE then
-- Set vvc transaction info
set_global_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config);
-- Call the corresponding procedure in the BFM package.
if v_num_words = 1 then
spi_slave_transmit_and_check(tx_data => v_cmd.data(0)(GC_DATA_WIDTH-1 downto 0),
data_exp => v_cmd.data_exp(0)(GC_DATA_WIDTH-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
alert_level => v_cmd.alert_level,
when_to_start_transfer => v_cmd.when_to_start_transfer,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
else
-- normalize
v_normalized_data := normalize_and_check(v_cmd.data, v_normalized_data, ALLOW_WIDER_NARROWER, "v_cmd.data", "v_normalized_data", "normalizing data to BFM");
v_normalized_data_exp := normalize_and_check(v_cmd.data_exp, v_normalized_data_exp, ALLOW_WIDER_NARROWER, "v_cmd.data_exp", "v_normalized_data_exp", "normalizing data_exp to BFM");
spi_slave_transmit_and_check(tx_data => v_normalized_data(v_num_words-1 downto 0),
data_exp => v_normalized_data_exp(v_num_words-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
alert_level => v_cmd.alert_level,
when_to_start_transfer => v_cmd.when_to_start_transfer,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
end if;
else -- attempted slave transmit when in master mode
alert(error, "Slave transmit and check called when VVC is in master mode.", C_SCOPE);
end if;
when SLAVE_TRANSMIT_ONLY =>
if not GC_MASTER_MODE then
-- Set vvc transaction info
set_global_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config);
-- Call the corresponding procedure in the BFM package.
if v_num_words = 1 then
spi_slave_transmit(tx_data => v_cmd.data(0)(GC_DATA_WIDTH-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
when_to_start_transfer => v_cmd.when_to_start_transfer,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
else
-- normalize
v_normalized_data := normalize_and_check(v_cmd.data, v_normalized_data, ALLOW_WIDER_NARROWER, "v_cmd.data", "v_normalized_data", "normalizing data to BFM");
spi_slave_transmit(tx_data => v_normalized_data(v_num_words-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
when_to_start_transfer => v_cmd.when_to_start_transfer,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
end if;
else -- attempted slave transmit when in master mode
alert(error, "Slave transmit called when VVC is in master mode.", C_SCOPE);
end if;
when SLAVE_RECEIVE_ONLY =>
if not GC_MASTER_MODE then
-- Set vvc transaction info
set_global_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config);
-- Call the corresponding procedure in the BFM package.
if v_num_words = 1 then
spi_slave_receive(rx_data => v_result(0)(GC_DATA_WIDTH-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
when_to_start_transfer => v_cmd.when_to_start_transfer,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
else
spi_slave_receive(rx_data => v_data_receive(v_num_words-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
when_to_start_transfer => v_cmd.when_to_start_transfer,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
v_result := normalize_and_check(v_data_receive, v_result, ALLOW_WIDER_NARROWER, "v_data_receive", "v_result", "normalizing data to result");
end if;
-- Store the result
for i in 0 to v_num_words-1 loop
-- Request SB check result
if v_cmd.data_routing = TO_SB then
-- call SB check_received
SPI_VVC_SB.check_received(GC_INSTANCE_IDX, pad_spi_sb(v_result(i)(GC_DATA_WIDTH-1 downto 0)));
else
work.td_vvc_entity_support_pkg.store_result(result_queue => result_queue,
cmd_idx => v_cmd.cmd_idx,
result => v_result(i));
end if;
end loop;
else -- attempted slave receive when in master mode
alert(error, "Slave receive called when VVC is in master mode.", C_SCOPE);
end if;
when SLAVE_CHECK_ONLY =>
if not GC_MASTER_MODE then -- slave check
-- Set vvc transaction info
set_global_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config);
-- Call the corresponding procedure in the BFM package.
if v_num_words = 1 then
spi_slave_check(data_exp => v_cmd.data_exp(0)(GC_DATA_WIDTH-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
alert_level => v_cmd.alert_level,
when_to_start_transfer => v_cmd.when_to_start_transfer,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
else
-- normalize
v_normalized_data_exp := normalize_and_check(v_cmd.data_exp, v_normalized_data_exp, ALLOW_WIDER_NARROWER, "v_cmd.data_exp", "v_normalized_data_exp", "normalizing data_exp to BFM");
spi_slave_check(data_exp => v_normalized_data_exp(v_num_words-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
alert_level => v_cmd.alert_level,
when_to_start_transfer => v_cmd.when_to_start_transfer,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
end if;
else -- attempted slave check when in master mode
alert(error, "Slave check called when VVC is in master mode.", C_SCOPE);
end if;
-- UVVM common operations
--===================================
when INSERT_DELAY =>
log(ID_INSERTED_DELAY, "Running: " & to_string(v_cmd.proc_call) & " " & format_command_idx(v_cmd), C_SCOPE, v_msg_id_panel);
if v_cmd.gen_integer_array(0) = -1 then
-- Delay specified using time
wait until terminate_current_cmd.is_active = '1' for v_cmd.delay;
else
-- Delay specified using integer
wait until terminate_current_cmd.is_active = '1' for v_cmd.gen_integer_array(0) * vvc_config.bfm_config.spi_bit_time;
end if;
when others =>
tb_error("Unsupported local command received for execution: '" & to_string(v_cmd.operation) & "'", C_SCOPE);
end case;
if v_command_is_bfm_access then
v_timestamp_end_of_last_bfm_access := now;
v_timestamp_start_of_last_bfm_access := v_timestamp_start_of_current_bfm_access;
if ((vvc_config.inter_bfm_delay.delay_type = TIME_START2START) and
((now - v_timestamp_start_of_current_bfm_access) > vvc_config.inter_bfm_delay.delay_in_time)) then
alert(vvc_config.inter_bfm_delay.inter_bfm_delay_violation_severity, "BFM access exceeded specified start-to-start inter-bfm delay, " &
to_string(vvc_config.inter_bfm_delay.delay_in_time) & ".", C_SCOPE);
end if;
end if;
-- Reset terminate flag if any occurred
if (terminate_current_cmd.is_active = '1') then
log(ID_CMD_EXECUTOR, "Termination request received", C_SCOPE, v_msg_id_panel);
uvvm_vvc_framework.ti_vvc_framework_support_pkg.reset_flag(terminate_current_cmd);
end if;
last_cmd_idx_executed <= v_cmd.cmd_idx;
-- Reset the transaction info for waveview
transaction_info := C_TRANSACTION_INFO_DEFAULT;
-- Set VVC Transaction Info back to default values
reset_vvc_transaction_info(vvc_transaction_info, v_cmd);
end loop;
end process;
--========================================================================================================================
--===============================================================================================
-- Command termination handler
-- - Handles the termination request record (sets and resets terminate flag on request)
--===============================================================================================
cmd_terminator : uvvm_vvc_framework.ti_vvc_framework_support_pkg.flag_handler(terminate_current_cmd); -- flag: is_active, set, reset
--===============================================================================================
end behave;
| mit | 85d8ddf23b92dd36178bc4e9c5c78070 | 0.475017 | 4.517275 | false | true | false | false |
UVVM/uvvm_vvc_framework | xConstrRandFuncCov/src/NamePkg.vhd | 3 | 4,313 | --
-- File Name: NamePkg.vhd
-- Design Unit Name: NamePkg
-- Revision: STANDARD VERSION
--
-- Maintainer: Jim Lewis email: [email protected]
-- Contributor(s):
-- Jim Lewis SynthWorks
--
--
-- Package Defines
-- Data structure for name.
--
-- Developed for:
-- SynthWorks Design Inc.
-- VHDL Training Classes
-- 11898 SW 128th Ave. Tigard, Or 97223
-- http://www.SynthWorks.com
--
-- Latest standard version available at:
-- http://www.SynthWorks.com/downloads
--
-- Revision History:
-- Date Version Description
-- 06/2010: 0.1 Initial revision
-- 07/2014: 2014.07 Moved specialization required by CoveragePkg to CoveragePkg
-- Separated name handling from message handling to simplify naming
-- 12/2014: 2014.07a Removed initialized pointers which can lead to memory leaks.
-- 05/2015 2015.06 Added input to Get to return when not initialized
--
--
-- Copyright (c) 2010 - 2015 by SynthWorks Design Inc. All rights reserved.
--
-- Verbatim copies of this source file may be used and
-- distributed without restriction.
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the ARTISTIC License
-- as published by The Perl Foundation; either version 2.0 of
-- the License, or (at your option) any later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the Artistic License for details.
--
-- You should have received a copy of the license with this source.
-- If not download it from,
-- http://www.perlfoundation.org/artistic_license_2_0
--
use std.textio.all ;
package NamePkg is
type NamePType is protected
procedure Set (NameIn : String) ;
impure function Get (DefaultName : string := "") return string ;
impure function GetOpt return string ;
impure function IsSet return boolean ;
procedure Clear ; -- clear name
procedure Deallocate ; -- effectively alias to clear name
end protected NamePType ;
end package NamePkg ;
--- ///////////////////////////////////////////////////////////////////////////
--- ///////////////////////////////////////////////////////////////////////////
--- ///////////////////////////////////////////////////////////////////////////
package body NamePkg is
type NamePType is protected body
variable NamePtr : line ;
------------------------------------------------------------
procedure Set (NameIn : String) is
------------------------------------------------------------
begin
deallocate(NamePtr) ;
NamePtr := new string'(NameIn) ;
end procedure Set ;
------------------------------------------------------------
impure function Get (DefaultName : string := "") return string is
------------------------------------------------------------
begin
if NamePtr = NULL then
return DefaultName ;
else
return NamePtr.all ;
end if ;
end function Get ;
------------------------------------------------------------
impure function GetOpt return string is
------------------------------------------------------------
begin
if NamePtr = NULL then
return NUL & "" ;
else
return NamePtr.all ;
end if ;
end function GetOpt ;
------------------------------------------------------------
impure function IsSet return boolean is
------------------------------------------------------------
begin
return NamePtr /= NULL ;
end function IsSet ;
------------------------------------------------------------
procedure Clear is -- clear name
------------------------------------------------------------
begin
deallocate(NamePtr) ;
end procedure Clear ;
------------------------------------------------------------
procedure Deallocate is -- clear name
------------------------------------------------------------
begin
Clear ;
end procedure Deallocate ;
end protected body NamePType ;
end package body NamePkg ; | mit | 20a9755220823600046e69eac5e3acb1 | 0.497102 | 5.25335 | false | false | false | false |
keith-epidev/VHDL-lib | src/components/modn/modn.vhd | 1 | 577 | library ieee;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.VHDL_lib.all;
entity modn is
generic(
size:integer := 4
);
port (
clk : in std_logic;
output : out std_logic_vector(log2(size)-1 downto 0)
);
end modn;
architecture arch of modn is
signal count: std_logic_vector(log2(size)-1 downto 0);
begin
counter:process(clk) begin
if(clk'event and clk = '1')then
output <= count;
if(count < size-1) then
count <= count + 1;
else
count <= (others=>'0');
end if;
end if;
end process;
end arch;
| gpl-2.0 | 208f0256f1592d36844acde99d6ccb53 | 0.665511 | 2.646789 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/Shadow_Register/Lab04/ipcore_dir/VGA_BUFFER_RAM/simulation/VGA_BUFFER_RAM_tb.vhd | 8 | 4,695 | --------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Top File for the Example Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
-- Filename: VGA_BUFFER_RAM_tb.vhd
-- Description:
-- Testbench Top
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY work;
USE work.ALL;
ENTITY VGA_BUFFER_RAM_tb IS
END ENTITY;
ARCHITECTURE VGA_BUFFER_RAM_tb_ARCH OF VGA_BUFFER_RAM_tb IS
SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0);
SIGNAL CLK : STD_LOGIC := '1';
SIGNAL CLKB : STD_LOGIC := '1';
SIGNAL RESET : STD_LOGIC;
BEGIN
CLK_GEN: PROCESS BEGIN
CLK <= NOT CLK;
WAIT FOR 100 NS;
CLK <= NOT CLK;
WAIT FOR 100 NS;
END PROCESS;
CLKB_GEN: PROCESS BEGIN
CLKB <= NOT CLKB;
WAIT FOR 100 NS;
CLKB <= NOT CLKB;
WAIT FOR 100 NS;
END PROCESS;
RST_GEN: PROCESS BEGIN
RESET <= '1';
WAIT FOR 1000 NS;
RESET <= '0';
WAIT;
END PROCESS;
--STOP_SIM: PROCESS BEGIN
-- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS
-- ASSERT FALSE
-- REPORT "END SIMULATION TIME REACHED"
-- SEVERITY FAILURE;
--END PROCESS;
--
PROCESS BEGIN
WAIT UNTIL STATUS(8)='1';
IF( STATUS(7 downto 0)/="0") THEN
ASSERT false
REPORT "Test Completed Successfully"
SEVERITY NOTE;
REPORT "Simulation Failed"
SEVERITY FAILURE;
ELSE
ASSERT false
REPORT "TEST PASS"
SEVERITY NOTE;
REPORT "Test Completed Successfully"
SEVERITY FAILURE;
END IF;
END PROCESS;
VGA_BUFFER_RAM_synth_inst:ENTITY work.VGA_BUFFER_RAM_synth
PORT MAP(
CLK_IN => CLK,
CLKB_IN => CLK,
RESET_IN => RESET,
STATUS => STATUS
);
END ARCHITECTURE;
| gpl-3.0 | f9a08f4383dc50e4d71f6435ac42c30e | 0.598296 | 4.379664 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_recip/flt_recip_eval.vhd | 3 | 41,947 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
A+UQmdgqnUGnZvePCu3e7W/GOD/tB173CoSBuCqm77AGlFJwUgwxjo3V8H2Un/Ly1uRI0XJ4Xif8
kn6XuM417A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LcD/V3YgF9zxqt7gN9YsVVfxHbSyx3nteYMZbIe+fFunCA3wg//cCLYoVclpwHDoYPiegwg4orEa
UclpAhEo1/uBFUukrvvN+fSkqD5vq1hPrHSuS1JZxVY/vSyixo8jZR0BFyQxSGtiX51b2PTZUPWH
1cCvJbg7rLmn46TzhWM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZjuyV72G4Q94JZ7xCuyUL4oBzU6oHuXhmatbMpzmnr9lDvZ9wn0nGSkg7ePzXFbcX+S2Kc46S96C
BMR5VB+4OJzW7Ms1U8J41rAqWXUCEyLlh4ZfK0i7UM0HMm1MoUEMkqH79bpqzBmWxO0wiNeGNyUh
8I7Mj1+OJBz7D/L2NoMnJGYkGI63f0t5Eqyp38qw4osaBs/5j3ryUsut/E2QB3lfgADEMMhUE1kj
ccz0V3YMjsuL+eGSiOS6pZvj60Xup+bVKQQC+gJSPGbKdtXDvmNAKS/t+/5dF0lrpQqfIzFulIC5
zKYnyCbWF76gMhncxxc75OWtnG2ISeQQAUUNGw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RDF0CxZoqLMbhcouSvutLMVlvoj9S85p/NTlio8SaCb6eg2dEY8gCirT1S7VPycCYS4b7pho22hU
Tb3U4v9aQYQTWMToAISqA9YejesKXGGeyntUCjuwv/weOmaBf7+NDTa9rRVnDFgb/bjTl6z8Y0q4
HSZjQuE3yXzQB1uDjaI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bitLnKRHSsenWN8pJX0zxngajTks62vUFKKyiIhvC3jqdQlhvx/WnSxkmRDOEO3lcNa+phrUig4h
4CC6o31Rc0JafOB0d6tWPn6CxwN4ej+e0ZiJ7OUcfTeVRZNpkEy8+RE2G2tnfncgAqVpMRF+dZdh
nN2Lqju9J5rDTsvipxWjgz1SOiGifpVq1r29zNmwLSrDA5fiAdYTTIgbvg5BnOnAZ6iRV4XlhCz+
mBuqtKYvxJmQ3MT8zVsVWESAsGeuDvkQEWWu5UJI+EibMCQ3V1i4Qv2fDNptsSLFucVmJ2TNxYRW
Mq8ztJVhicQGG7GNEPmz7PxCwkLSk2A+O8FbCw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 29312)
`protect data_block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`protect end_protected
| gpl-2.0 | 42e8233061035bfd418828da8cd8d1fc | 0.948292 | 1.822911 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/bram/synth/bram.vhd | 3 | 13,781 | -- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 0
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY bram IS
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END bram;
ARCHITECTURE bram_arch OF bram IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF bram_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF bram_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF bram_arch : ARCHITECTURE IS "bram,blk_mem_gen_v8_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF bram_arch: ARCHITECTURE IS "bram,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=0,x_ipLanguage=VHDL,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=bram.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=0,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=16,C_READ_WIDTH_A=16,C_WRITE_DEPTH_A=2048,C_READ_DEPTH_A=2048,C_ADDRA_WIDTH=11,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=16,C_READ_WIDTH_B=16,C_WRITE_DEPTH_B=2048,C_READ_DEPTH_B=2048,C_ADDRB_WIDTH=11,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=1,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 5.11005 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK";
ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR";
ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 1,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 0,
C_INIT_FILE_NAME => "no_coe_file_loaded",
C_INIT_FILE => "bram.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 0,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "WRITE_FIRST",
C_WRITE_WIDTH_A => 16,
C_READ_WIDTH_A => 16,
C_WRITE_DEPTH_A => 2048,
C_READ_DEPTH_A => 2048,
C_ADDRA_WIDTH => 11,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 0,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 16,
C_READ_WIDTH_B => 16,
C_WRITE_DEPTH_B => 2048,
C_READ_DEPTH_B => 2048,
C_ADDRB_WIDTH => 11,
C_HAS_MEM_OUTPUT_REGS_A => 0,
C_HAS_MEM_OUTPUT_REGS_B => 1,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "1",
C_COUNT_18K_BRAM => "0",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 5.11005 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => '0',
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
clkb => clkb,
rstb => '0',
enb => '0',
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => addrb,
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
doutb => doutb,
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END bram_arch;
| gpl-2.0 | adc74f441c5d6808305e37cc2a853080 | 0.629345 | 3.048894 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_spec_cov/demo/basic_usage/uart_vvc_tb.vhd | 1 | 7,368 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
library bitvis_vip_sbi;
context bitvis_vip_sbi.vvc_context;
library bitvis_vip_uart;
context bitvis_vip_uart.vvc_context;
library bitvis_vip_spec_cov;
use bitvis_vip_spec_cov.spec_cov_pkg.all;
use bitvis_vip_spec_cov.local_adaptations_pkg.all;
--hdlunit:tb
-- Test bench entity
entity uart_vvc_tb is
end entity;
-- Test bench architecture
architecture func of uart_vvc_tb is
-- Assuming that the testbench is run from the sim folder
constant C_REQ_LIST_FILE : string := "../demo/basic_usage/req_list_basic_demo.csv";
constant C_PARTIAL_COV_FILE : string := "../sim/partial_cov_basic_demo.csv";
constant C_SCOPE : string := C_TB_SCOPE_DEFAULT;
-- Clock and bit period settings
constant C_CLK_PERIOD : time := 10 ns;
constant C_BIT_PERIOD : time := 16 * C_CLK_PERIOD;
-- Time for one UART transmission to complete
constant C_TIME_OF_ONE_UART_TX : time := 11*C_BIT_PERIOD; -- =1760 ns;
-- Predefined SBI addresses
constant C_ADDR_RX_DATA : unsigned(2 downto 0) := "000";
constant C_ADDR_RX_DATA_VALID : unsigned(2 downto 0) := "001";
constant C_ADDR_TX_DATA : unsigned(2 downto 0) := "010";
constant C_ADDR_TX_READY : unsigned(2 downto 0) := "011";
begin
-----------------------------------------------------------------------------
-- Instantiate test harness, containing DUT and Executors
-----------------------------------------------------------------------------
i_test_harness : entity work.uart_vvc_th;
------------------------------------------------
-- PROCESS: p_main
------------------------------------------------
p_main: process
begin
-- Wait for UVVM to finish initialization
await_uvvm_initialization(VOID);
-- Print the configuration to the log
report_global_ctrl(VOID);
report_msg_id_panel(VOID);
disable_log_msg(ALL_MESSAGES);
enable_log_msg(ID_LOG_HDR);
enable_log_msg(ID_SEQUENCER);
enable_log_msg(ID_FILE_OPEN_CLOSE); -- Enable the Spec Cov IDs
enable_log_msg(ID_FILE_PARSER); -- Enable the Spec Cov IDs
enable_log_msg(ID_SPEC_COV); -- Enable the Spec Cov IDs
disable_log_msg(SBI_VVCT, 1, ALL_MESSAGES);
enable_log_msg(SBI_VVCT, 1, ID_BFM);
disable_log_msg(UART_VVCT, 1, RX, ALL_MESSAGES);
enable_log_msg(UART_VVCT, 1, RX, ID_BFM);
disable_log_msg(UART_VVCT, 1, TX, ALL_MESSAGES);
enable_log_msg(UART_VVCT, 1, TX, ID_BFM);
log("Starting the requirement coverage process");
initialize_req_cov("T_UART_1", C_REQ_LIST_FILE, C_PARTIAL_COV_FILE);
log(ID_LOG_HDR, "Starting simulation of TB for UART using VVCs", C_SCOPE);
------------------------------------------------------------
log("Wait 10 clock period for reset to be turned off");
wait for (10 * C_CLK_PERIOD); -- for reset to be turned off
log(ID_LOG_HDR, "Configure UART VVC 1", C_SCOPE);
------------------------------------------------------------
shared_uart_vvc_config(RX,1).bfm_config.bit_time := C_BIT_PERIOD;
shared_uart_vvc_config(TX,1).bfm_config.bit_time := C_BIT_PERIOD;
log(ID_LOG_HDR, "Check register defaults", C_SCOPE);
------------------------------------------------------------
sbi_check(SBI_VVCT, 1, C_ADDR_RX_DATA, x"00", "RX_DATA default");
sbi_check(SBI_VVCT, 1, C_ADDR_TX_READY, x"01", "TX_READY default");
sbi_check(SBI_VVCT, 1, C_ADDR_RX_DATA_VALID, x"00", "RX_DATA_VALID default");
await_completion(SBI_VVCT,1, 10 * C_CLK_PERIOD);
-- Log the requirement FPGA_SPEC_1 after test has completed
tick_off_req_cov("FPGA_SPEC_1");
log(ID_LOG_HDR, "Check simple transmit", C_SCOPE);
------------------------------------------------------------
sbi_write(SBI_VVCT,1, C_ADDR_TX_DATA, x"55", "TX_DATA");
uart_expect(UART_VVCT,1,RX, x"55", "Expecting data on UART RX");
await_completion(UART_VVCT,1,RX, 13 * C_BIT_PERIOD);
-- Log the requirement FPGA_SPEC_2 after test has completed
tick_off_req_cov("FPGA_SPEC_2");
wait for 200 ns; -- margin
log(ID_LOG_HDR, "Check simple receive", C_SCOPE);
------------------------------------------------------------
uart_transmit(UART_VVCT,1,TX, x"AA", "UART TX");
await_completion(UART_VVCT,1,TX, 13 * C_BIT_PERIOD);
wait for 200 ns; -- margin
sbi_check(SBI_VVCT,1, C_ADDR_RX_DATA, x"AA", "RX_DATA");
await_completion(SBI_VVCT,1, 13 * C_BIT_PERIOD);
-- Log the requirement FPGA_SPEC_3 after test has completed
tick_off_req_cov("FPGA_SPEC_3");
log(ID_LOG_HDR, "Check single simultaneous transmit and receive", C_SCOPE);
------------------------------------------------------------
sbi_write(SBI_VVCT,1, C_ADDR_TX_DATA, x"B4", "TX_DATA");
uart_transmit(UART_VVCT,1,TX, x"87", "UART TX");
uart_expect(UART_VVCT,1,RX, x"B4", "Expecting data on UART RX");
await_completion(UART_VVCT,1,TX, 13 * C_BIT_PERIOD);
wait for 200 ns; -- margin
sbi_check(SBI_VVCT,1, C_ADDR_RX_DATA, x"87", "RX_DATA");
await_completion(SBI_VVCT,1, 13 * C_BIT_PERIOD);
-- Log the requirement FPGA_SPEC_4 after test has completed
tick_off_req_cov("FPGA_SPEC_4");
-- End the requirement coverage process
finalize_req_cov(VOID);
-----------------------------------------------------------------------------
-- Ending the simulation
-----------------------------------------------------------------------------
wait for 1000 ns; -- to allow some time for completion
report_alert_counters(FINAL); -- Report final counters and print conclusion for simulation (Success/Fail)
log(ID_LOG_HDR, "SIMULATION COMPLETED", C_SCOPE);
-- Finish the simulation
std.env.stop;
wait; -- to stop completely
end process p_main;
end func; | mit | b8df82673b31ea5fd9fd5464739223fa | 0.54791 | 3.906681 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/c_shift_ram_v12_0/hdl/c_shift_ram_v12_0_comp.vhd | 3 | 8,941 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dUmudxQPJ5M2PUDUcYfgHnPRLwUwkC+XB5T9NZXSn1g1pZkQfv5gS7nPfe7ZEvjMS+o8Np/OANdA
qzGCJZt5cg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Q0E3aoAdb0B140iim4y1iiWNcdLjdZqMwLzOJF0nrmHO3yVpjEEgGf1jQH+Y/YzOfWa5Jl3SJkGc
ouotVfQ3L+XlWN5AjNfUWkJ53aP8Wv/WsOk/Y4PLvUEBmU0ktwMLMN8pnjpF12lPK1hINULYmL29
88CYRjB4uexXhBPiZAM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
guXO8dOVnzOMLvCDRhXwqvor/luFfcKIWSh2Utwe+ejYZeuA8SWjixYUeLxTvkIFHWNftaScbkxy
tWk+CPYQwpMDPVM+QFzH1mUehcqakbh/vF4Kz+xqLH+rgCCNRNdwsxiXIF6cJZ2H6gd5HBU69YmV
XsX9VER+56luo7nBZnq5y3LwvY/qJIwmAQU++TRuTRyGnV242iKGvtlv5YERkB4Y80eVXgNVMbDo
dW1x6Fb2wq7ynGdrgddF6A6+uBa4IVWQjQYB9HR5SOegmTsXziOgvSAYbY63zrgICebhgoYpSyIR
JKp82dZ6McEhEqWti+Z+z098lOqnguX6vcLwUA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BIkQz8LH4cca89bTogqklY3RIF72L8LAZPWPZNks0KsyiMXCd+4/aDpV2IrxNED8cu08oRxtneja
HBu5F2+t0OcDcUt/OUXb/Ao+yhREiGjc//UVzSBKSoJH411hpC0DwjE7mChwlgpm9aB+AJ94qcUv
qrZ+XYQuZfoVLGv5LPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
b5p+IXP4UYFP25LphX/mFDmnp1dzYbtlrQtO85mZJLz88DCPPMJsEkwi5QtQfJ5ewTGfyhbytTxj
eTyg2XlWFYfwayPBJZQ5T71rcyvii/OpAPk61Cz8mZ2uW6REGqZjN7NJm4ffhWQip6HDEY7nzOfX
t0wO1LJ2OEWHBu6/tq64PXy+01V6Z6IMugXF+PMh+Q9N1A/InWBbIW4gmX2e0wSG2ytHUNrsfpG4
OJ13W8yazGxyrpKSYyHKT8bHy+IJnGoRjj4qgTdWNSko0Sc+Fy5fe3F697GLVSe/YnS5lrgzSBxE
rCWpnDtNtaQ92J2ECthUou+atvEg022VAVM78g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4880)
`protect data_block
ed1hX3axpYphYyvNpt+W49C/6dCurhJdzmizv+2yduUio3cxcZ9JhFOxOLQliZmKKEhRWguZjMRv
OOceZelC+2ioz8quOkGPt79MkCuX30qZyweoMPu82LG6WR9cFeOZ22dRkOJ5IngTyuMUvFIXKWFv
OAX/il2/wydiN4XhVLJd5piR0xxNzmkuo7wLSid/ur2DIJI5EdEKGJ06c78YIIWp3Tnb3K4kugrY
29ol9zti7+sZZS+DgysVuTsUZ/9cbWgh84U6OCsVAUj8oTB2PU1czN7i7PImJzHOEJXruTfsFzGp
qbbLDqYw/quab7SeMBfcUNiqXfT32CzV/mW4FthYvONf3/AHtenD9gerD8FarrF2ket9mxPVPVKI
UAMotRn+Z/2R4zw+Qc9C9Bfcvk5akAPxm+j+APC7FSZ/kHg/Sy1CW3b59D3WTOOuMIGueGOHPy3n
qhLl6tIMGCK0G7KV0IneCd2bpHH6qAQohGinCQUvYZDhFxWJGjEHNzdlC2lCMSrFWkdrh5tOS8+s
TQBVIA1NCxHws4LqUgQM41LyjcYu5gdKIbN41VzAQZ3dgBxrH1Rfm+sm8kURlJOdyBJ5fiFU7gx1
dowbLp2DJq3rrJw/UzwmygpNBR/wPfM9Tpa5Vic8AyN0hWTgkuWLCy2HTgRqy40T+MeHco+s1id/
BScm2nv34P2K6x4Ly/5LlT/kARkHNasBJHWPjIIja7AMMWpEvzlWNWs1r5gW5mwUJb6lQ4xUvdoD
0kfpqRgvEBF8WYDdU3Z8FsLHywLKkm+ROQVjYVbEK7JmW0jpS5gIS8H2W2jdiw4ak1mzIZlBFCdT
5pekgL6blCNN/p4euBVVcxGNhnNjNCVsq68y6zTsatFrAQKuB1ePMwybvHMcJg2q//gFNrM7hYe+
njEdIZ3BU/HmBtmzOLrwT7VqadIOHV5Zo5oyO911PTF+hfg01wYkjl9h++cJOsPxHdDmDBoHegq4
aqv8shLM1DQkUjMGBaoskhEZ+UVodLh7oyr8SjNyxSwH7I0BqNacYbL6x4wKtZmgSKKf0MZ/64Mu
8M5kB9YNweK+0XOV6LT5Hbuhbtw3G8Lcxmt16IYuPVe61pEqGSQklQ7WTYMUvyFGrMMB+zlR0Dof
gb0lluZclrLmlO6OlapixMG9A1Drs5xXSGNCmzpsQ7TYKYZu+adroKNtZ2LfvKRZv8YCh93EIUnl
hbXsPlDBvGF0wVNOLk2wCw4nGPI64gR7zJxvrUXKn+XsYHowFZRUiVkl1dIJM2NR25MPW0iqGsN6
1WOQwz2t2p1RRRd8+ftzkmIbds9wsHHnAfeHSLn5smOzpkOIz97DPu5Z4OhuJFx7kG1qP2LzriHY
5FhYdBf7NbbwRhxeoP3hDKEn1nUX42s/IySaHQtMrD/s8p1APNLd/2mcrt4kawMIFApyWDdrzpzK
Dgzhsxbyf7pReay2kXvRSER1eWOEpDoiIQcJxnpj5PH7ij6aKYtRW0mP/SsgSv0Tj2QdrzeGnBRu
NO/lxXQthsLQTOMDjalzTSo8iuUZm2huZtyoDOVv64Ype2veE3aUTT94RdKg2sTQZbKW3kdbQR43
g38uJDmyKeTcQWT4/BdBeHld/nI3Wj8j2imh+N05tIwlujIecIqmc6cBzSKF3uhLY2Sd8M+W6t4J
3tAb0vAWzeZhxjcnzSDTVhrYPIh9XM7XqiwEYKZTuoJdxrol5t+uWl9vqBiIuEuUV/cPMVO55EEy
+e/ad/zi7b5v37rOFRiPURiVqpRhpVSV4X1TDAzULDc0YwmBoa7gyD2uTxscPXesvD9fn8AC9lnV
5QUZjrEayLlSD+fH8geN7OAMHzYPaczJUaFKZy7xa4X78AXC5V4bGhejy6pRQAYsuc9IBw88/kKV
2GgbWGrXlNLvQ/QG2Sobpuuja3go+BDaZV6uDQc9r13qgaiXZ1TZWzdDnzcu5I6swSjJ+i/B12hB
u9P7Klz9cIIL/qTwG97JJ+xFDMGDMSYzbkGowbQAwEpOxvTQRI5qZMlrLlBexwpclFZ8OqLzLrN/
TQLqLlnzhQxg3aljBPjC9fhhYHXnGFGiuO/b3ozqhoIUjYB4wkdjK79ppxfoSDb2mZrs0BKCcwHn
44NoYeN6ffOVNKDLT6cBzGpvGjnPS9xitFtwE+Zj0uQNyr5kp++1g6YLnArWj6MFy+g3DXvuVO9q
77Q40e0m5tQpRKVW7dGQ0RYJnmhcVZh3srSNRvStkaL8JecgZjdT64OzbFXnRTMdi63zN5jNRnpn
xBy8/wNIzfQmDVK1kC/Hqc3b472amY33ob6XVr6lk07PysVPc1FDsOicusMWoTThaiGFIdBgbsB2
ADLsJR0LVZKLQSUkYylshJtPiO92umc7SqgHIrQ9qa680V82WVVm2vwnk+6YMlEq/1if2v4xJzNb
XDoj0G0jMbJKPgmSoIcElmu++jjQSmjmwnJ96d5URgBhnq5mnBjCO8w9Y7yydJcypUDw4E8TC3J7
m8dFO/3FwdkBZV1YwFyw9D74ljT4AHCRabK4PQ55jjtE2ILviXAlRrw/LMtEw7uRRYosXceZcU8y
4Wcapgu9fUo+nfOxd1w7Z4HnFrBlTVu/OHf9Z46VRkqrj1TCXqGEFQOMpuneQpP9JBu5JNftTahO
rCML4eoAH4zkg4IECPtmneS2CizARUmrT1v+B2oFekNNyVwTDsfSj/9+0VIbBU95sz2PcrNRawbl
f0HnLO/Q8T6ax0+VgAoP4wJXyzV4iKNfFABJnzHADHfLgG0z+3Q5xsNHUY3GHVo7ZTNbW+4++lBH
89ep0ssRtD3mlCAgih7FRh5jLOiWqkPFU9BAV2HIBgM9oT5+zlHBjXRJQnIiJPmJ1yhz70NVaknM
pgvMKPi5OKXf1ZCLYpvBJD2HN0ecOQ49fL79iGngBf4G+7JMtYOEm/WHdF/JeMSJihKYaobjUQAV
HF291iAuBqKuL0ipZRnqA38lqKuRSpdFy/kfdjaCblukUYfSeOVRZRRyOERGHVtNKDXZENkmIt6I
xMO6dJG+ehsNkkvjEKjcsDdsUm5SHP3Y64cJxJsgJdugWLOTMftRNPWNhAOrPPkPWS4kUye4IXp0
CUjeFH21X8Giha7DEx9wmoihllXb9QpuxtVskeEp90A03mwBuHO3SP6VFmFFKPrwrzZk3gsahutB
FS3mvA/Xb9YKF7qi1il1FflIP+y1v7QSQy8AtdWpZq4VuB0H53HYdwzorMk7r3/Vx5HV53mlTG9H
6WRlcz5SJ/XqxUAWO3wK2roVT97G02rYGjEsscvOK6kP78watsycojOLtjwDQvMqAZjPraKezlDA
nU+WJEtU9yWLa2vQjdle2bon9wObeIjqhlMJjrV7Nzs8FoYJCalmp7jX8qV6TD3hOTOodn03DfAT
X+nlL6ewYGcb707oTmbIIXC1AhyrL01aibUcWDA0MGYBtx9R+63czL+MkYJCPkvFdDbIgGsxfbwm
AFxTQdR1T1J6q9zp5EMo2VPLD7I/W8osk5MfYjnuL0wQLNkx3ROOD+UEa7pwBBZBPkNnqJX94UE3
nScVbDKbc127FzZkLij+kk8u8Lmv7lFTgf1iVSopwHLpN4QhYjvomecti7NgUoh4+vb/jLbhhZ1H
wzkFVLqJgBJ7dcC0LJD58Gk9epHU4gilpodvKGTV0na2bL1Lt5badcrqI/i8XEmvokaT+9P3EcIT
uSUt5yYNYXUuyPZlfZ2KXTV8kJJHqkIw0cwozKumQBdsr7iXSFpQ+IMvI9zuUoXXi4ZFOAED4tb6
BGa0u9l3EgjPc45UMD7Bnv+dj0vnwz743rcBngcjZf9FMgzdhQkus8F/KTbjA+vdbfRdnza0ua7f
hG0Cet8iDxhINt9NXPHVrkMh52nJSrrJ1u5dkdPH5CXVX3SUhaZYuPT1wn+CohlXn1/D+qZZ7o6M
uChxIaJuL+zYVGP8QDUPXweez+7JudxGL2R1wseWcj73gHUe5fCxeWvBqpO0uzh0fAMsO1/Jn6tH
tTkSK36Tw2qORQLwcfzwRrM9qfXr94WCLmmVjNyg1uNxf4c3eukF5C/29MvNWPpptXWcfqRkBJz8
c0eyts7J9KaHJWJEboH+Yc5EUreMNLS27v51x/oDAfzSlegb/EKzsr6lpkYgUjoroNGikD0Q2ONn
JeYupPgHkmycYlm7aWqCW5bs8EcOY0dx5EKaVcC7EatPZyPkYa9D0BVL5nwsn/Yq/aXgLxHaQQdi
jxwHAqc7sFZ9GbK/hpALKwBNV0poTzYgyRq8+IJ/BaOVFcFcfcqBiDYSb+QsYMdi5JgMxQc1R0Ve
g2gPNfGBzpI907mzXGh71xu4QnJHVHVWPSUoRt8bfnHnMg9FZmP3c4La++rDV/ZL3st2Xt2SGIk8
V6GPyPaH+C6870iKrHDH6xN34tEelWzciOfYLT0sGOTew6hwpijKqB5Y/FZO3jY1LoGWd8it+ApP
a+dqz3GsV66drTGVaAU+2ZETp9O/86yRp5ZrdQQ88/OVm8dPNHR1s7Nqf6a+ist8OlWWl1QxEF+T
SANCpjas4AZ8EjljdsOhz4VtWA/3fRqQAmb8gyHAGkHhiuhfNDx2TF2Kq31CCzkjm1cSXaYglgFH
42UHoeN7Stp8m62FJmma5PWLBTEq5zPUrqUdNAv764POpd3849ApB3164ZydC0JYgrxSLDQOljyx
1FlQZvBjggbsq1/SG7HkE5B0vpk5Vaq0MeMR5oGeSWNxw4gMzwCAYe8ZZU57aCKP0qAeop8yQ8fO
wsxLd/GFjRAUWTAjCNfTXiqpYPckqwg/RaK/wUI6UZcuPPgcnTHYIxoIyS2BmL3v0bhLd7344fa6
6ub1qPt4zAg+GfB+hR9anrso3CrAkFqXLKj6EcWVqMnDscbpPpQHiBZd7YXSVoizaTOxjQNxwFZk
mRe5uXKEa+Q1YL+zm/s5buLD2T0C5SkosAx9fQtWUiYgu7ROAhQMreH+noCF4NJvjqdf3C6gfpeT
GufF2ysoidQtQDWk1dNpDhBala30nDkrzpCHRMGpWiTmrBVhLeE7Kvdq0upWIJ43TqnWQL5x9qvU
LbweklzqsGWQQuGgoF6VOhVOJoHx9HHHRMIKLOhjRzVw1/TPa7g0a+FuMBi5GOn9CD0xtRF9ivrd
pimO7Ce/eI8X2eyhQlFpFNirDXMQx5muIFrAM7fqNMZyvHJrB/zPNIfLaMcVc6XQSSXyKOVeMyOU
se1bgWKQys6Nx/G/zRNjngWbbLmTnNgYpr7WkaYygLsuoLjSVuqhj0mbSQmUTRyPFj3MMovukIJa
JNj0erc5Al9KIN/yKIG6OeUXFi/YddbzNoRBqI1fUN7g91twgUPc2WrT5SHg65HAafjx4iidGSXZ
qqm/HxDaEnWXAEBWIrTfQ+5F9LpamS7NTv7sDHgrZkWLtNCvS40tIzZnCM3tCg96uIcmkb5OfN5i
hNeDZvgfXv7w6yv/3gA7L/SdbrIMS45ygENq1sqGMA8GH+G91K2VRuR8KCkteXMuAYwjAjMe4h/8
kTnTgd/pLmPBw4ruLHm6+u2Lf0e1sZSJnKr8YgBpvtqg44cMUSnCGyHehrjSqkP2MaTA0fBrvn8P
siuIkQJTixDZOKZ5OxMqBAE8KXhJy2hvpU0zMvNSbHV16McEiFH7TBXTBcrzaxtKQc3mPtAqV9Fz
OzsqV69CUEsqZI1E74EWL+qhja3+lJu4+STCCsa/JBIdAQj+gZVjcRWbm7Lemk7P70ZlO/tddntp
855nGYwbQA8Nn0KzxEDSe/L//T5kkzPSeF/dl88E8bSHntT3b1t44ZU9numyhI0C8R3g12pTX4FH
lJYnbgHVAVU7R3kPOQ0pTpLO8w3WnWhYBSZNcMML/ZxLywJyIbjjxjH71C3hGT8z8dgW06mH9v7S
jiBfIFOjTbyIfsLHCj32cjMyT8bOxPwZtKbvnWH8mQb1eLAnQBAf8zLmJgn4wyRP0WP0TAEAHMRq
p1QlA1zbxbF5x1RhQAkyLvKH9O2zYgd3N+qey/zIIZ/Xau+k27BWN3tjPvvSJiKAuGyjDbdlzTBR
r5KokQN+sx0EEXTci/7cYjfjI7t3mPv7lsqPHIveEt9TmAlvdHm9NucwqgoJvhdrdri+WmmjDyRl
xWt+c8IoXxV8PSSgQNA+ptz6tUWy4IxLFVczEh+akyEnLsbcvsgExzReOAFviCXPH76cGCjpPZOa
RC0Gd8NXIuirSYq7PGBF6/zTnV7PygS+OnHGc+5ZGv9YzTVMO8WekfWeCQfJN595aFjHqEbqYgKx
pnHXE63+Johc4A2LQLLYNVx9bqVtijELFFvUAy/eoRyYw3wykh5fLBg6VY5xhAo9IEpZggCkUBzT
LNVc9jZGmRDs0wHQvZmO9yVqMY+fOdvi8YmJGcPVXwt3iQTvVPmUGy9rcReTCeiYPYnj31uRmkW4
hz7B/t8X2Bsble1Lg1cX3znEh9uDIJckp1qc+uMp3iZY8r4=
`protect end_protected
| gpl-2.0 | 3397b1e5d3e71b9c0d232a0215253e60 | 0.920143 | 1.944118 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | Lab3/XTerm/XTerm/ipcore_dir/VGA_BUFFER_RAM.vhd | 1 | 5,842 | --------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2016 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file VGA_BUFFER_RAM.vhd when simulating
-- the core, VGA_BUFFER_RAM. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY VGA_BUFFER_RAM IS
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
clkb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END VGA_BUFFER_RAM;
ARCHITECTURE VGA_BUFFER_RAM_a OF VGA_BUFFER_RAM IS
-- synthesis translate_off
COMPONENT wrapped_VGA_BUFFER_RAM
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
clkb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_VGA_BUFFER_RAM USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral)
GENERIC MAP (
c_addra_width => 12,
c_addrb_width => 12,
c_algorithm => 1,
c_axi_id_width => 4,
c_axi_slave_type => 0,
c_axi_type => 1,
c_byte_size => 9,
c_common_clk => 0,
c_default_data => "20",
c_disable_warn_bhv_coll => 0,
c_disable_warn_bhv_range => 0,
c_enable_32bit_address => 0,
c_family => "spartan3",
c_has_axi_id => 0,
c_has_ena => 0,
c_has_enb => 0,
c_has_injecterr => 0,
c_has_mem_output_regs_a => 0,
c_has_mem_output_regs_b => 0,
c_has_mux_output_regs_a => 0,
c_has_mux_output_regs_b => 0,
c_has_regcea => 0,
c_has_regceb => 0,
c_has_rsta => 0,
c_has_rstb => 0,
c_has_softecc_input_regs_a => 0,
c_has_softecc_output_regs_b => 0,
c_init_file => "BlankString",
c_init_file_name => "VGA_BUFFER_RAM.mif",
c_inita_val => "0",
c_initb_val => "0",
c_interface_type => 0,
c_load_init_file => 1,
c_mem_type => 1,
c_mux_pipeline_stages => 0,
c_prim_type => 1,
c_read_depth_a => 4096,
c_read_depth_b => 4096,
c_read_width_a => 8,
c_read_width_b => 8,
c_rst_priority_a => "CE",
c_rst_priority_b => "CE",
c_rst_type => "SYNC",
c_rstram_a => 0,
c_rstram_b => 0,
c_sim_collision_check => "ALL",
c_use_bram_block => 0,
c_use_byte_wea => 0,
c_use_byte_web => 0,
c_use_default_data => 1,
c_use_ecc => 0,
c_use_softecc => 0,
c_wea_width => 1,
c_web_width => 1,
c_write_depth_a => 4096,
c_write_depth_b => 4096,
c_write_mode_a => "WRITE_FIRST",
c_write_mode_b => "WRITE_FIRST",
c_write_width_a => 8,
c_write_width_b => 8,
c_xdevicefamily => "spartan3e"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_VGA_BUFFER_RAM
PORT MAP (
clka => clka,
wea => wea,
addra => addra,
dina => dina,
clkb => clkb,
addrb => addrb,
doutb => doutb
);
-- synthesis translate_on
END VGA_BUFFER_RAM_a;
| gpl-3.0 | 9f23937b10afaec42f5d2a878716737c | 0.536118 | 3.902472 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/bram/synth/bram.vhd | 7 | 13,187 | -- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.1
-- IP Revision: 0
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY bram IS
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END bram;
ARCHITECTURE bram_arch OF bram IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF bram_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_1 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_RST_TYPE : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_CTRL_ECC_ALGO : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_1;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF bram_arch: ARCHITECTURE IS "blk_mem_gen_v8_1,Vivado 2013.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF bram_arch : ARCHITECTURE IS "bram,blk_mem_gen_v8_1,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF bram_arch: ARCHITECTURE IS "bram,blk_mem_gen_v8_1,{x_ipProduct=Vivado 2013.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.1,x_ipCoreRevision=0,x_ipLanguage=VHDL,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=bram.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_RST_TYPE=SYNC,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=0,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=READ_FIRST,C_WRITE_WIDTH_A=16,C_READ_WIDTH_A=16,C_WRITE_DEPTH_A=2048,C_READ_DEPTH_A=2048,C_ADDRA_WIDTH=11,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=READ_FIRST,C_WRITE_WIDTH_B=16,C_READ_WIDTH_B=16,C_WRITE_DEPTH_B=2048,C_READ_DEPTH_B=2048,C_ADDRB_WIDTH=11,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=1,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=1,C_ENABLE_32BIT_ADDRESS=0,C_DISABLE_WARN_BHV_COLL=0,C_DISABLE_WARN_BHV_RANGE=0,C_USE_BRAM_BLOCK=0,C_CTRL_ECC_ALGO=NONE}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK";
ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR";
ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT";
BEGIN
U0 : blk_mem_gen_v8_1
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 1,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 0,
C_INIT_FILE_NAME => "no_coe_file_loaded",
C_INIT_FILE => "bram.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_RST_TYPE => "SYNC",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 0,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "READ_FIRST",
C_WRITE_WIDTH_A => 16,
C_READ_WIDTH_A => 16,
C_WRITE_DEPTH_A => 2048,
C_READ_DEPTH_A => 2048,
C_ADDRA_WIDTH => 11,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 0,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "READ_FIRST",
C_WRITE_WIDTH_B => 16,
C_READ_WIDTH_B => 16,
C_WRITE_DEPTH_B => 2048,
C_READ_DEPTH_B => 2048,
C_ADDRB_WIDTH => 11,
C_HAS_MEM_OUTPUT_REGS_A => 0,
C_HAS_MEM_OUTPUT_REGS_B => 1,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 1,
C_ENABLE_32BIT_ADDRESS => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_USE_BRAM_BLOCK => 0,
C_CTRL_ECC_ALGO => "NONE"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => '0',
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
clkb => clkb,
rstb => '0',
enb => '0',
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => addrb,
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
doutb => doutb,
injectsbiterr => '0',
injectdbiterr => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END bram_arch;
| gpl-2.0 | 29ad147bae964e0b6f44bc8083423abd | 0.631531 | 3.073893 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/c_shift_ram_v12_0/hdl/c_shift_ram_speedmux.vhd | 2 | 17,436 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
O0QJJcHPBNrqosaYIG5U7GbwhgzAr3LDXCUUTC+pXFdQMCKwHDE6rkXmrkZWQIwt20Lt1Ci49y1y
LiDC29eFmw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GK97QrkIl6vduKD7OWl+7HLKWiWT4SEeB2S78LvLLXDEqmW26KXAtbxHMbzpp1kq5etLzbI0byvy
iBxX/FXBZsnLhP3k6iqNU9+oMCPI7lj2UgioNpOCLvvhWOB86HyCHpy7t6MfnBhQMaBZB6fyjUNi
CHNyBIUKCiF7DR9lEH0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GQUrOC2P60s2nWhXIVrOvioViEr7Ya9Edo44+nlRxvWAuoa2E0cL+Y0eTnrN+XVMr6pwgF3pvwlg
UZX7Ip0eaNQtWJuV9LADgMRP6HzaNvWRwInHxTZDRBGc/ybkUJe1uuex/NxvaUltt9TZiBvi0w+O
++BG5w5LJ/vrr5FWc4qO5A2S+f5uBULsPRO4vfdnS2s/kUhGhottyLPgmD/XDam4wuT8ZEaypZyJ
bOT6V2h7dujw7jWzLQVS2ptwegXcgpgSr9n4pTVUEJ0Myp2qosvft8aC0sRc2yuz9CUwbiKsl4hU
mjTKrh/+lna8s92vBdQmJK7eZNd92HCftWRTow==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OApppCWPKHT6reRtXQq7U0CUX3Z1h01jPb8ZEshkWBY9I1U9f3Ww9v0W+R02F364luEcwApfURmN
mK7IGXhdYWMJnOicC/eRn7IKxE23vYlw+vBDksVZwhRV8Al1Fq2wpxTqR2TZZ3UWg/Xyp1q4anIs
UaMTuc9QmtcXD9xer9E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Bizw0H/Wr/Kz8L1ykWsjjKCZt6eIS1KiilLA6Wl8OtbS1EwTVwDGc5P+/WSqjajrbaowqW/qFFzW
jSUh53JqOe+DGXa7zjThQycNrUM9y8XLGcdJZERwrmd1E8C4nDW100f4A45h1pye4pHoV2ehGCx8
RHynsbIcfLBMTq5/q528/d7uAXjdGNSS7ZOEPSddfm07bBSb7rfP9Ky641s8+N7dc3G1Q5K6Zg0v
GwsloIpFQN4qhhplzeabdj6ZCOc44CT8Mblz1oh7zVO59vuukL3Zqz1rQrW9jloSMC230PHSj4RR
fPw0CKu+6u4dtt7joy7yWdKfqzT2rE30NTlCXg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11168)
`protect data_block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=
`protect end_protected
| gpl-2.0 | bfa6774e09173323ba26d514035fd5df | 0.938862 | 1.860832 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xbip_dsp48_addsub_v3_0/hdl/xbip_dsp48_addsub_v3_0_pkg.vhd | 8 | 27,921 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
X04Yg7ZrmlemE7LFbLp6Hf6WFSF5tfdTODCsiDJ2IRmXZyxMhJzxq+CgeFtD97Gll3XjXH0rYYSR
0t3Q1k3hGg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
V3gRVPNrtyBZ2jGk8I8ci6zmN6AxxcYdAEsce7Rpc0s4TLnBZZez1gQ8tAFe0N+V8PHz+3ohXdlb
E9DF7sE9tNsg88g0lNKCfdrtAFAz0sFxhQcFOnqoQb8mqFoJ/vAyYVcM4hLD8ydRFY4mZXWa1/Zn
XJ+US2XDOnrI93qc13A=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XsfvvtgzMygvyNbMas1nCRF+gK0oPtbt5FE7aJQfUKu0/yhnRrXSJ/8k3+sy7x9RT2wYrd5qlcd2
yJ7dXtwQVRVJoW+kIDcn69Z2OWHLZDXWKqL5WI38RYIhcQsH0t2hkR9UXMYQyIiXDBniPcrYkkXr
CvVVaeqia4iEr4A7Adq9HUZdpGyT6o7bs2jUU3oWG7cUf/jWWxlXvErlrjaV8L2Xd4IMkxFr6TW2
n8svGj4MBhpFlf3D6Jqyfjwib9kmlbpuvrGHpW798rseTauXk4cA9mCefthE518Z10PpcygAhRzq
QJ9suqynF+1lKTsqtL7QZURSNBw8ocxBQ2WuKg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ExHesyMXqXElKMfGQ8Wn2P/PxJrgz2QTZX6C9sQu5eGlZY7fzwa2FUzfU0Ic9KE1kghvUttlIC22
Yev8TDYBptqn6VX/Qjaf3Tq7sbLyyqzDhRhH4D2crw7gQgONZMatqLG/r681KD/5akkOAhpQquWR
WaRvMrTGgj1vb9eb4I4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WXmQ2Xpu1tgTOZepp3ZADjihVVy7KzQYCaxR3VcX5MqYgjz40kv7/EIh7aCNXoMSNzKyj1aN/ftp
gD7HW6yc2AIasl8wxfVW/WEDv1ZmmlIOagkolmndaIkMvwzQDNNVwxOx+PtCGdge7sJQGOueqLBi
yGtK9lZCbsM9r7F4mBSliPkWaLY85UY63G3ZdavOHndCQTItlFsNPtA0/1tMM/s9yG+Ekwan+A1v
IN2Sz1m/3zn+LHa5S3vZCGSKBGi7J8Zcpc9jSoa1P3+KYNq5XKq5CFCQowvA/ZEuLGcSiUp7YW3r
3sbWGGhWRPQUgFCRtPaCPO27CBZYS7nClUnUDg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18928)
`protect data_block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`protect end_protected
| gpl-2.0 | bb1407014c2d389128e2bb183af1fef1 | 0.94531 | 1.841512 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_ethernet/src/transaction_pkg.vhd | 1 | 4,786 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
---------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
use work.support_pkg.all;
--=================================================================================================
--=================================================================================================
package transaction_pkg is
--==========================================================================================
-- t_operation
-- - VVC and BFM operations
--==========================================================================================
type t_operation is (
NO_OPERATION,
AWAIT_COMPLETION,
AWAIT_ANY_COMPLETION,
ENABLE_LOG_MSG,
DISABLE_LOG_MSG,
FLUSH_COMMAND_QUEUE,
FETCH_RESULT,
INSERT_DELAY,
TERMINATE_CURRENT_COMMAND,
-- VVC local
TRANSMIT,
RECEIVE,
EXPECT
);
-- Constants for the maximum sizes to use in this VVC.
-- You can create VVCs with smaller sizes than these constants, but not larger.
constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300;
--==========================================================================================
--
-- Transaction info types, constants and global signal
--
--==========================================================================================
-- Transaction status
type t_transaction_status is (INACTIVE, IN_PROGRESS, FAILED, SUCCEEDED);
constant C_TRANSACTION_STATUS_DEFAULT : t_transaction_status := INACTIVE;
-- VVC Meta
type t_vvc_meta is record
msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH);
cmd_idx : integer;
end record;
constant C_VVC_META_DEFAULT : t_vvc_meta := (
msg => (others => ' '),
cmd_idx => -1
);
-- Base transaction
type t_base_transaction is record
operation : t_operation;
ethernet_frame : t_ethernet_frame;
vvc_meta : t_vvc_meta;
transaction_status : t_transaction_status;
end record;
constant C_BASE_TRANSACTION_SET_DEFAULT : t_base_transaction := (
operation => NO_OPERATION,
ethernet_frame => C_ETHERNET_FRAME_DEFAULT,
vvc_meta => C_VVC_META_DEFAULT,
transaction_status => C_TRANSACTION_STATUS_DEFAULT
);
-- Transaction group
type t_transaction_group is record
bt : t_base_transaction;
end record;
constant C_TRANSACTION_GROUP_DEFAULT : t_transaction_group := (
bt => C_BASE_TRANSACTION_SET_DEFAULT
);
subtype t_sub_channel is t_channel range RX to TX;
-- Global transaction info trigger signal
type t_ethernet_transaction_trigger_array is array (t_sub_channel range <>, natural range <>) of std_logic;
signal global_ethernet_vvc_transaction_trigger : t_ethernet_transaction_trigger_array(t_sub_channel'left to t_sub_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) :=
(others => (others => '0'));
-- Shared transaction info variable
type t_ethernet_transaction_group_array is array (t_sub_channel range <>, natural range <>) of t_transaction_group;
shared variable shared_ethernet_vvc_transaction_info : t_ethernet_transaction_group_array(t_sub_channel'left to t_sub_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) :=
(others => (others => C_TRANSACTION_GROUP_DEFAULT));
end package transaction_pkg;
| mit | f1c935a3212bb1b79858bc951921b720 | 0.515671 | 5.037895 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_fma/flt_fma_renorm_and_round_logic.vhd | 3 | 45,578 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
BQpi+MIKz26fpovwof0EG6tj+BeYZO6A3Qpzek2Kq41JJrbmGhkDT7+C7Xm9Sz8XQEdMh+a0CeeY
y+mtx/xjCA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WuHhGRLbP3GyPISdYgPxd/5nkpHMwZqB8Umq9OZmfat1U7E+b2lNjJySHVT5ppy5CKOZpA/W121q
vEBbqCz2UX6RZWtYGCMeMVuFlBe8vIVwHhxFVoQAW1HW5/e+FdPQBPqEq0Bd4J/DL/r9wHPhiryE
Lz/6NHHynv/2d/aCi64=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
AhcvnfH8KDtkHd1SVLxFjQgtD3MXyyjUHFaMVx0JqSrXXJvFgojucfjXM4q3hhMObKf4kXmyQRwI
JO6jLyvPVI3T5nVyzOFs90HDTrgZLWBbim3NpueKtvSLtu07vI8EGV2FrQNjrqHUJ5we7tGAvAdi
Dj0dHWWwhGV3SmzT44uloRsGlImziqAuBnYu4ksEBRq/TRtl33Ll7IaPZHgrysP3J9pgeTB7whtq
ujKu3UZLWc/pnfhNA2P4vkLmiSVHWMI+Pbyfxd0miNVdL9t1tEQEsdUb12Z5D+5sZGh0hGahmn0t
idtGCyji6eusIvNwfRpPfX7pVKKf66g0RFPyww==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2IxReJgH5Au7BHlIddm0piskV6xeaPSdijQdBNnmOgkSaTyLwwD7qttwa7Kd4vIIK+AK0oWgAj+y
deiPoC7crMG1PJvudXQLhlc1YEPzrx82RuF7C07w6GDil4M5FkCHD2rmUTZ9NJKZrUrIIr09fhKH
XCdAVktH9jvVzwnmCjk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fn/UdMkf4UU2Ge+/JHsGMRGgucRE7BnrLP7viVB/+RG3nM3tBS9qBNGZai+e680jPHVdOFZSo0XT
DTXuEECaOL6sua7K678vihUjDY6bgK59AeBvoLrY7MLwCi7AUnsrYO/+JlHumzLF6mB8BIqEHf3/
Rd1se2R/7oSSxmCgnkJ+/oRqByZIveamIVTEe2C/RZ+ohIp6ObuchHbTOOuQ31GxvvoJtmcoHScq
WuAa4Vg63nA/PaSwey7rl1rmf6je5/hT+W0RFBTvkNFBqOZ5UD6eCVdv7r33bDrXX0p/NWJBDDul
urgERBCUfdUc+ckVTUhLRwQ/9e7+9kFgGtLjMA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 32000)
`protect data_block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`protect end_protected
| gpl-2.0 | 0101f1b179131aebde750f714e72ff1a | 0.948594 | 1.822974 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/axi_wrapper_output_fifo.vhd | 3 | 14,518 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ZPwgWqO/U7NTJ6j/KRaK4We865X6+geVJQzd40k7YuAT4DxaE6QkHvqCeI6I/DGfOXM4vsDmzs3m
1ad9iVvU6w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GHAWQ4psucLDX2lKYQH8zklRA9i44004roBgaBLK0XRkcbFbILI27Xwplu/UY1xLHRn8Z2ykkeuE
ppsLmOKGIcyYI1zGKtON6XklvNumz8tbFlTEkysNEsg5GAJoGQGlzVyqUmwy95bvw6mouVv9lSZ/
EyyJP+QDKGBRQZFLiiM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mzAUgeJS+YJgF6EGQVncykPMNAxCp3/vRFXx76AvCHxKYxqDv2Fz7/fHEOpV2ZA5dhN8JwIznI8U
LmBa+has18Owly0p5Tb3eWV/xpoBlvDZSgtouRJUvdKSIM281tQn1qFGJQTiP1Q7QQIWpSc26E7l
acmIrLCtXSjqUMU3s3r+yXXzptQDxHOQe2kl3VeVj0oVXBoIHGUwGW8zd3iklBtNPV03TmwWeP66
W5Glh+k/M/KjrUyMyf/eTw8ruOSDWyThsdFauaqfjRMMPGxDddgiNHiTmYNuQk6AMrD+K6IvvPoB
5K3MpnsImFmbTdugr89civRfy/FLvz1ek7Qzzw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YqpLc4QpqF6Ku8J+eFuOxTqmR+q2X0LTXq4CGjTVPFmiGQTT/fY0otoQVFLe4532jmCOZkRq6LJE
awyh6RQzWz/Dk5/rlB2XfE597fBCeVctPwbqE9arIlbLfx6k6048PThAvDomEzzPjpu6JABB8UpL
uKDz38WnPapccSENKgk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YocG7ecVd4+eutY54lbUhgXDDfHHfNCFPeXnxwUNGNJ7SZSar2giZNhc+8TLK5yh1MJohnaQM3JQ
I4Y9RTFPnciy7DluPA0MG7v8ty9x9BdTwETIdDZt6q09zVK1XPSGGbZVCn0FTYzAymV34zH67xIO
5KYWZfs/ijj+xlCh+OnSRuCXfrtnHk2ina/hg4LqKJ6Tc7+RtVc97T3ThaoaneBEObK977OjLi9w
IsOhfDwxO1cHKNvifUzqCzYiNhi4zHIShDYf5t/0TFogYs9zb7ZVXwMtRdcSkcX0BKXxsWVarsv+
pbcPvRPdJmPL7rRu5TqGG6WXFKD4avZn0tBXbQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9008)
`protect data_block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`protect end_protected
| gpl-2.0 | 4c738ca3195ac435415a07b2d66640f8 | 0.934771 | 1.876438 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | Lab2/Code/RPNCalc/netgen/par/RPN_toplevel_timesim.vhd | 1 | 446,888 | --------------------------------------------------------------------------------
-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
--------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor: Xilinx
-- \ \ \/ Version: P.20131013
-- \ \ Application: netgen
-- / / Filename: RPN_toplevel_timesim.vhd
-- /___/ /\ Timestamp: Thu Feb 18 13:56:24 2016
-- \ \ / \
-- \___\/\___\
--
-- Command : -intstyle ise -s 4 -pcf RPN_toplevel.pcf -rpw 100 -tpw 0 -ar Structure -tm RPN_toplevel -insert_pp_buffers true -w -dir netgen/par -ofmt vhdl -sim RPN_toplevel.ncd RPN_toplevel_timesim.vhd
-- Device : 3s500efg320-4 (PRODUCTION 1.27 2013-10-13)
-- Input file : RPN_toplevel.ncd
-- Output file : /home/robert/UMD_RISC-16G5/Lab2/Code/RPNCalc/netgen/par/RPN_toplevel_timesim.vhd
-- # of Entities : 1
-- Design Name : RPN_toplevel
-- Xilinx : /home/robert/XilinxISE/14.7/ISE_DS/ISE/
--
-- Purpose:
-- This VHDL netlist is a verification model and uses simulation
-- primitives which may not represent the true implementation of the
-- device, however the netlist is functionally correct and should not
-- be modified. This file cannot be synthesized and should only be used
-- with supported simulation tools.
--
-- Reference:
-- Command Line Tools User Guide, Chapter 23
-- Synthesis and Simulation Design Guide, Chapter 6
--
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library SIMPRIM;
use SIMPRIM.VCOMPONENTS.ALL;
use SIMPRIM.VPACKAGE.ALL;
entity RPN_toplevel is
port (
CLK : in STD_LOGIC := 'X';
AN : out STD_LOGIC_VECTOR ( 3 downto 0 );
SEG : out STD_LOGIC_VECTOR ( 7 downto 0 );
BTN : in STD_LOGIC_VECTOR ( 3 downto 0 );
SW : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
end RPN_toplevel;
architecture Structure of RPN_toplevel is
signal CLK_BUFGP : STD_LOGIC;
signal SSeg_count240hz_cmp_eq0000 : STD_LOGIC;
signal N27_0 : STD_LOGIC;
signal N94_0 : STD_LOGIC;
signal SW_1_IBUF_1740 : STD_LOGIC;
signal SW_0_IBUF_1741 : STD_LOGIC;
signal UXCntl_Unit_VALA_cmp_eq0000_0 : STD_LOGIC;
signal SW_3_IBUF_1743 : STD_LOGIC;
signal SW_2_IBUF_1744 : STD_LOGIC;
signal UXCntl_Unit_VALB_cmp_eq0000_0 : STD_LOGIC;
signal SW_5_IBUF_1748 : STD_LOGIC;
signal SW_4_IBUF_1749 : STD_LOGIC;
signal SW_7_IBUF_1753 : STD_LOGIC;
signal SW_6_IBUF_1754 : STD_LOGIC;
signal Load_Store_Unit_w_en_0 : STD_LOGIC;
signal Arith_Unit_arith_cmp_eq0000_0 : STD_LOGIC;
signal BTN_2_Count_and0000_0 : STD_LOGIC;
signal UXCntl_Unit_OPCODE_cmp_eq0000_0 : STD_LOGIC;
signal N21 : STD_LOGIC;
signal N28 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014201_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux000126_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001422_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux000146_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001476_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux000166_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00016157_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux000186_0 : STD_LOGIC;
signal BTN_0_OUTPUT_1789 : STD_LOGIC;
signal BTN_3_OUTPUT_1790 : STD_LOGIC;
signal BTN_2_OUTPUT_1791 : STD_LOGIC;
signal BTN_1_OUTPUT_1792 : STD_LOGIC;
signal SSeg_clk240hz_1793 : STD_LOGIC;
signal N31 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001219_1796 : STD_LOGIC;
signal N26_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001222_0 : STD_LOGIC;
signal N25 : STD_LOGIC;
signal N82_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001417_0 : STD_LOGIC;
signal N30 : STD_LOGIC;
signal N23 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001285_1805 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001278_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001257 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012111_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001643_1810 : STD_LOGIC;
signal N88_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001655_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001834_1814 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001828 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001845_0 : STD_LOGIC;
signal N22 : STD_LOGIC;
signal N24 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014256_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001860_0 : STD_LOGIC;
signal N78_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014272_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001866_1823 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001878_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00018194 : STD_LOGIC;
signal N80_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012205_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012181_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012253_1829 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012229_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012263_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012196_1833 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012226_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00018143_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014224_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00016107_1838 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001669_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001686 : STD_LOGIC;
signal N62_0 : STD_LOGIC;
signal BTN_0_Count_and0000_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00016175 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00018120_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00016180_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00016263_1853 : STD_LOGIC;
signal N68 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00016289_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00018124_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00018240_1857 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00018252_0 : STD_LOGIC;
signal BTN_3_Count_and0000_0 : STD_LOGIC;
signal SSeg_DP_OUT_1865 : STD_LOGIC;
signal N92 : STD_LOGIC;
signal N74 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014135_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014147_0 : STD_LOGIC;
signal N76 : STD_LOGIC;
signal N90_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014346_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012151_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012171 : STD_LOGIC;
signal BTN_1_Count_and0000_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001825_0 : STD_LOGIC;
signal N12 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00018187_0 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014107 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014312 : STD_LOGIC;
signal N11 : STD_LOGIC;
signal Arith_Unit_Maddsub_arith_cy_1_Q : STD_LOGIC;
signal Arith_Unit_Maddsub_arith_cy_3_Q : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00018290 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012292_O : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014384_SW0_O : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00016330_O : STD_LOGIC;
signal SSeg_count240hz_15_DXMUX_2085 : STD_LOGIC;
signal SSeg_Mcount_count240hz_eqn_15 : STD_LOGIC;
signal SSeg_count240hz_15_DYMUX_2072 : STD_LOGIC;
signal SSeg_Mcount_count240hz_eqn_14 : STD_LOGIC;
signal SSeg_count240hz_15_CLKINV_2062 : STD_LOGIC;
signal SSeg_count240hz_16_DYMUX_2103 : STD_LOGIC;
signal SSeg_Mcount_count240hz_eqn_16 : STD_LOGIC;
signal SSeg_count240hz_16_CLKINV_2093 : STD_LOGIC;
signal SSeg_count240hz_1_DXMUX_2137 : STD_LOGIC;
signal SSeg_Mcount_count240hz_eqn_1 : STD_LOGIC;
signal SSeg_count240hz_1_DYMUX_2124 : STD_LOGIC;
signal SSeg_Mcount_count240hz_eqn_0 : STD_LOGIC;
signal SSeg_count240hz_1_CLKINV_2114 : STD_LOGIC;
signal SSeg_count240hz_3_DXMUX_2171 : STD_LOGIC;
signal SSeg_Mcount_count240hz_eqn_3 : STD_LOGIC;
signal SSeg_count240hz_3_DYMUX_2158 : STD_LOGIC;
signal SSeg_Mcount_count240hz_eqn_2 : STD_LOGIC;
signal SSeg_count240hz_3_CLKINV_2148 : STD_LOGIC;
signal SSeg_count240hz_5_DXMUX_2205 : STD_LOGIC;
signal SSeg_Mcount_count240hz_eqn_5 : STD_LOGIC;
signal SSeg_count240hz_5_DYMUX_2192 : STD_LOGIC;
signal SSeg_Mcount_count240hz_eqn_4 : STD_LOGIC;
signal SSeg_count240hz_5_CLKINV_2182 : STD_LOGIC;
signal SSeg_count240hz_7_DXMUX_2239 : STD_LOGIC;
signal SSeg_Mcount_count240hz_eqn_7 : STD_LOGIC;
signal SSeg_count240hz_7_DYMUX_2226 : STD_LOGIC;
signal SSeg_Mcount_count240hz_eqn_6 : STD_LOGIC;
signal SSeg_count240hz_7_CLKINV_2216 : STD_LOGIC;
signal SSeg_count240hz_9_DXMUX_2273 : STD_LOGIC;
signal SSeg_Mcount_count240hz_eqn_9 : STD_LOGIC;
signal SSeg_count240hz_9_DYMUX_2260 : STD_LOGIC;
signal SSeg_Mcount_count240hz_eqn_8 : STD_LOGIC;
signal SSeg_count240hz_9_CLKINV_2250 : STD_LOGIC;
signal N27 : STD_LOGIC;
signal N94 : STD_LOGIC;
signal UXCntl_Unit_VALA_1_DXMUX_2313 : STD_LOGIC;
signal UXCntl_Unit_VALA_1_DYMUX_2308 : STD_LOGIC;
signal UXCntl_Unit_VALA_1_CLKINVNOT : STD_LOGIC;
signal UXCntl_Unit_VALA_3_DXMUX_2329 : STD_LOGIC;
signal UXCntl_Unit_VALA_3_DYMUX_2324 : STD_LOGIC;
signal UXCntl_Unit_VALA_3_CLKINVNOT : STD_LOGIC;
signal UXCntl_Unit_VALB_1_DXMUX_2345 : STD_LOGIC;
signal UXCntl_Unit_VALB_1_DYMUX_2340 : STD_LOGIC;
signal UXCntl_Unit_VALB_1_CLKINVNOT : STD_LOGIC;
signal UXCntl_Unit_VALA_5_DXMUX_2361 : STD_LOGIC;
signal UXCntl_Unit_VALA_5_DYMUX_2356 : STD_LOGIC;
signal UXCntl_Unit_VALA_5_CLKINVNOT : STD_LOGIC;
signal UXCntl_Unit_VALB_3_DXMUX_2377 : STD_LOGIC;
signal UXCntl_Unit_VALB_3_DYMUX_2372 : STD_LOGIC;
signal UXCntl_Unit_VALB_3_CLKINVNOT : STD_LOGIC;
signal UXCntl_Unit_VALA_7_DXMUX_2393 : STD_LOGIC;
signal UXCntl_Unit_VALA_7_DYMUX_2388 : STD_LOGIC;
signal UXCntl_Unit_VALA_7_CLKINVNOT : STD_LOGIC;
signal UXCntl_Unit_VALB_5_DXMUX_2409 : STD_LOGIC;
signal UXCntl_Unit_VALB_5_DYMUX_2404 : STD_LOGIC;
signal UXCntl_Unit_VALB_5_CLKINVNOT : STD_LOGIC;
signal UXCntl_Unit_VALB_7_DXMUX_2425 : STD_LOGIC;
signal UXCntl_Unit_VALB_7_DYMUX_2420 : STD_LOGIC;
signal UXCntl_Unit_VALB_7_CLKINVNOT : STD_LOGIC;
signal Load_Store_Unit_w_en : STD_LOGIC;
signal Arith_Unit_arith_cmp_eq0000 : STD_LOGIC;
signal SEG_0_OBUF_2474 : STD_LOGIC;
signal SEG_1_OBUF_2467 : STD_LOGIC;
signal BTN_2_OUTPUT_not0001 : STD_LOGIC;
signal BTN_2_Count_and0000 : STD_LOGIC;
signal UXCntl_Unit_OPCODE_1_DXMUX_2513 : STD_LOGIC;
signal UXCntl_Unit_OPCODE_1_DYMUX_2508 : STD_LOGIC;
signal UXCntl_Unit_OPCODE_1_CLKINVNOT : STD_LOGIC;
signal UXCntl_Unit_OPCODE_3_DXMUX_2529 : STD_LOGIC;
signal UXCntl_Unit_OPCODE_3_DYMUX_2524 : STD_LOGIC;
signal UXCntl_Unit_OPCODE_3_CLKINVNOT : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014201_2554 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux000126_2547 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001422_2578 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux000146_2570 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001476_2602 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux000166_2594 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00016157_2626 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux000186_2619 : STD_LOGIC;
signal UXCntl_Unit_OPCODE_cmp_eq0000 : STD_LOGIC;
signal UXCntl_Unit_VALA_cmp_eq0000 : STD_LOGIC;
signal SSeg_clk240hz_DYMUX_2660 : STD_LOGIC;
signal SSeg_clk240hz_CLKINV_2658 : STD_LOGIC;
signal SSeg_clk240hz_CEINV_2657 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001222_2686 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001219_pack_1 : STD_LOGIC;
signal N82 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001417_2702 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012111_2734 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001285_pack_1 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001655_2758 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001643_pack_1 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001845_2782 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001834_pack_1 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014256_2806 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001860_2799 : STD_LOGIC;
signal N78 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014272 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001878_2854 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001866_pack_1 : STD_LOGIC;
signal N80 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012205_2871 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012263_2902 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012253_pack_1 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012229_2926 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012196_pack_1 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00018143_2950 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014224_2943 : STD_LOGIC;
signal N62 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00016107_pack_1 : STD_LOGIC;
signal BTN_0_Count_and0000 : STD_LOGIC;
signal BTN_0_OUTPUT_not0001 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00018120_3022 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00016180_3013 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00016289_3046 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00016263_pack_1 : STD_LOGIC;
signal N26 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00018124_3062 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00018252_3094 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00018240_pack_1 : STD_LOGIC;
signal BTN_3_OUTPUT_not0001 : STD_LOGIC;
signal BTN_3_Count_and0000 : STD_LOGIC;
signal SSeg_DP_OUT_DYMUX_3126 : STD_LOGIC;
signal SSeg_DP_OUT_BYINV_3125 : STD_LOGIC;
signal SSeg_DP_OUT_CLKINV_3124 : STD_LOGIC;
signal N88 : STD_LOGIC;
signal N92_pack_1 : STD_LOGIC;
signal Load_Store_Unit_reg_1_DXMUX_3169 : STD_LOGIC;
signal Load_Store_Unit_reg_1_DYMUX_3163 : STD_LOGIC;
signal Load_Store_Unit_reg_1_CLKINV_3161 : STD_LOGIC;
signal Load_Store_Unit_reg_1_CEINV_3160 : STD_LOGIC;
signal Load_Store_Unit_reg_3_DXMUX_3189 : STD_LOGIC;
signal Load_Store_Unit_reg_3_DYMUX_3183 : STD_LOGIC;
signal Load_Store_Unit_reg_3_CLKINV_3181 : STD_LOGIC;
signal Load_Store_Unit_reg_3_CEINV_3180 : STD_LOGIC;
signal Load_Store_Unit_reg_5_DXMUX_3209 : STD_LOGIC;
signal Load_Store_Unit_reg_5_DYMUX_3203 : STD_LOGIC;
signal Load_Store_Unit_reg_5_CLKINV_3201 : STD_LOGIC;
signal Load_Store_Unit_reg_5_CEINV_3200 : STD_LOGIC;
signal Load_Store_Unit_reg_7_DXMUX_3229 : STD_LOGIC;
signal Load_Store_Unit_reg_7_DYMUX_3223 : STD_LOGIC;
signal Load_Store_Unit_reg_7_CLKINV_3221 : STD_LOGIC;
signal Load_Store_Unit_reg_7_CEINV_3220 : STD_LOGIC;
signal BTN_0_D_STATE_1_DXMUX_3246 : STD_LOGIC;
signal BTN_0_D_STATE_1_DYMUX_3241 : STD_LOGIC;
signal BTN_0_D_STATE_1_CLKINV_3239 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014147_3271 : STD_LOGIC;
signal N74_pack_1 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014346_3295 : STD_LOGIC;
signal N76_pack_1 : STD_LOGIC;
signal SEG_3_OBUF_3319 : STD_LOGIC;
signal SEG_2_OBUF_3312 : STD_LOGIC;
signal SEG_5_OBUF_3343 : STD_LOGIC;
signal SEG_4_OBUF_3336 : STD_LOGIC;
signal SEG_6_OBUF_3355 : STD_LOGIC;
signal BTN_1_D_STATE_1_DXMUX_3370 : STD_LOGIC;
signal BTN_1_D_STATE_1_DYMUX_3365 : STD_LOGIC;
signal BTN_1_D_STATE_1_CLKINV_3363 : STD_LOGIC;
signal UXCntl_Unit_VALB_cmp_eq0000 : STD_LOGIC;
signal BTN_2_D_STATE_1_DXMUX_3398 : STD_LOGIC;
signal BTN_2_D_STATE_1_DYMUX_3393 : STD_LOGIC;
signal BTN_2_D_STATE_1_CLKINV_3391 : STD_LOGIC;
signal BTN_3_D_STATE_1_DXMUX_3414 : STD_LOGIC;
signal BTN_3_D_STATE_1_DYMUX_3409 : STD_LOGIC;
signal BTN_3_D_STATE_1_CLKINV_3407 : STD_LOGIC;
signal SSeg_pos_0_DXMUX_3439 : STD_LOGIC;
signal SSeg_pos_0_DYMUX_3434 : STD_LOGIC;
signal SSeg_Mcount_pos1 : STD_LOGIC;
signal SSeg_pos_0_CLKINV_3424 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012181_3464 : STD_LOGIC;
signal N31_pack_1 : STD_LOGIC;
signal BTN_1_OUTPUT_not0001 : STD_LOGIC;
signal BTN_1_Count_and0000 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012226_3512 : STD_LOGIC;
signal N25_pack_1 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001825_3536 : STD_LOGIC;
signal N22_pack_1 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001669_3560 : STD_LOGIC;
signal N24_pack_1 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00018187_3584 : STD_LOGIC;
signal N12_pack_2 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014135_3608 : STD_LOGIC;
signal N23_pack_1 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012151_3632 : STD_LOGIC;
signal N28_pack_1 : STD_LOGIC;
signal N90 : STD_LOGIC;
signal N30_pack_1 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001278_3680 : STD_LOGIC;
signal N11_pack_2 : STD_LOGIC;
signal BTN_0_OUTPUT_DYMUX_3690 : STD_LOGIC;
signal BTN_0_OUTPUT_CLKINV_3688 : STD_LOGIC;
signal BTN_0_OUTPUT_CEINV_3687 : STD_LOGIC;
signal BTN_1_Count_0_DXMUX_3743 : STD_LOGIC;
signal BTN_1_Count_0_XORF_3741 : STD_LOGIC;
signal BTN_1_Count_0_LOGIC_ONE_3740 : STD_LOGIC;
signal BTN_1_Count_0_CYINIT_3739 : STD_LOGIC;
signal BTN_1_Count_0_CYSELF_3730 : STD_LOGIC;
signal BTN_1_Count_0_BXINV_3728 : STD_LOGIC;
signal BTN_1_Count_0_DYMUX_3722 : STD_LOGIC;
signal BTN_1_Count_0_XORG_3720 : STD_LOGIC;
signal BTN_1_Count_0_CYMUXG_3719 : STD_LOGIC;
signal BTN_1_Count_0_LOGIC_ZERO_3717 : STD_LOGIC;
signal BTN_1_Count_0_CYSELG_3708 : STD_LOGIC;
signal BTN_1_Count_0_G : STD_LOGIC;
signal BTN_1_Count_0_SRINV_3706 : STD_LOGIC;
signal BTN_1_Count_0_CLKINV_3705 : STD_LOGIC;
signal BTN_1_Count_0_CEINVNOT : STD_LOGIC;
signal BTN_1_OUTPUT_DYMUX_3756 : STD_LOGIC;
signal BTN_1_OUTPUT_CLKINV_3754 : STD_LOGIC;
signal BTN_1_OUTPUT_CEINV_3753 : STD_LOGIC;
signal BTN_1_Count_2_DXMUX_3811 : STD_LOGIC;
signal BTN_1_Count_2_XORF_3809 : STD_LOGIC;
signal BTN_1_Count_2_CYINIT_3808 : STD_LOGIC;
signal BTN_1_Count_2_F : STD_LOGIC;
signal BTN_1_Count_2_DYMUX_3793 : STD_LOGIC;
signal BTN_1_Count_2_XORG_3791 : STD_LOGIC;
signal BTN_1_Count_2_CYSELF_3789 : STD_LOGIC;
signal BTN_1_Count_2_CYMUXFAST_3788 : STD_LOGIC;
signal BTN_1_Count_2_CYAND_3787 : STD_LOGIC;
signal BTN_1_Count_2_FASTCARRY_3786 : STD_LOGIC;
signal BTN_1_Count_2_CYMUXG2_3785 : STD_LOGIC;
signal BTN_1_Count_2_CYMUXF2_3784 : STD_LOGIC;
signal BTN_1_Count_2_LOGIC_ZERO_3783 : STD_LOGIC;
signal BTN_1_Count_2_CYSELG_3774 : STD_LOGIC;
signal BTN_1_Count_2_G : STD_LOGIC;
signal BTN_1_Count_2_SRINV_3772 : STD_LOGIC;
signal BTN_1_Count_2_CLKINV_3771 : STD_LOGIC;
signal BTN_1_Count_2_CEINVNOT : STD_LOGIC;
signal BTN_2_OUTPUT_DYMUX_3824 : STD_LOGIC;
signal BTN_2_OUTPUT_CLKINV_3822 : STD_LOGIC;
signal BTN_2_OUTPUT_CEINV_3821 : STD_LOGIC;
signal BTN_1_Count_4_DXMUX_3879 : STD_LOGIC;
signal BTN_1_Count_4_XORF_3877 : STD_LOGIC;
signal BTN_1_Count_4_CYINIT_3876 : STD_LOGIC;
signal BTN_1_Count_4_F : STD_LOGIC;
signal BTN_1_Count_4_DYMUX_3861 : STD_LOGIC;
signal BTN_1_Count_4_XORG_3859 : STD_LOGIC;
signal BTN_1_Count_4_CYSELF_3857 : STD_LOGIC;
signal BTN_1_Count_4_CYMUXFAST_3856 : STD_LOGIC;
signal BTN_1_Count_4_CYAND_3855 : STD_LOGIC;
signal BTN_1_Count_4_FASTCARRY_3854 : STD_LOGIC;
signal BTN_1_Count_4_CYMUXG2_3853 : STD_LOGIC;
signal BTN_1_Count_4_CYMUXF2_3852 : STD_LOGIC;
signal BTN_1_Count_4_LOGIC_ZERO_3851 : STD_LOGIC;
signal BTN_1_Count_4_CYSELG_3842 : STD_LOGIC;
signal BTN_1_Count_4_G : STD_LOGIC;
signal BTN_1_Count_4_SRINV_3840 : STD_LOGIC;
signal BTN_1_Count_4_CLKINV_3839 : STD_LOGIC;
signal BTN_1_Count_4_CEINVNOT : STD_LOGIC;
signal BTN_3_OUTPUT_DYMUX_3892 : STD_LOGIC;
signal BTN_3_OUTPUT_CLKINV_3890 : STD_LOGIC;
signal BTN_3_OUTPUT_CEINV_3889 : STD_LOGIC;
signal BTN_1_Count_6_DXMUX_3947 : STD_LOGIC;
signal BTN_1_Count_6_XORF_3945 : STD_LOGIC;
signal BTN_1_Count_6_CYINIT_3944 : STD_LOGIC;
signal BTN_1_Count_6_F : STD_LOGIC;
signal BTN_1_Count_6_DYMUX_3929 : STD_LOGIC;
signal BTN_1_Count_6_XORG_3927 : STD_LOGIC;
signal BTN_1_Count_6_CYSELF_3925 : STD_LOGIC;
signal BTN_1_Count_6_CYMUXFAST_3924 : STD_LOGIC;
signal BTN_1_Count_6_CYAND_3923 : STD_LOGIC;
signal BTN_1_Count_6_FASTCARRY_3922 : STD_LOGIC;
signal BTN_1_Count_6_CYMUXG2_3921 : STD_LOGIC;
signal BTN_1_Count_6_CYMUXF2_3920 : STD_LOGIC;
signal BTN_1_Count_6_LOGIC_ZERO_3919 : STD_LOGIC;
signal BTN_1_Count_6_CYSELG_3910 : STD_LOGIC;
signal BTN_1_Count_6_G : STD_LOGIC;
signal BTN_1_Count_6_SRINV_3908 : STD_LOGIC;
signal BTN_1_Count_6_CLKINV_3907 : STD_LOGIC;
signal BTN_1_Count_6_CEINVNOT : STD_LOGIC;
signal BTN_1_Count_8_DXMUX_4003 : STD_LOGIC;
signal BTN_1_Count_8_XORF_4001 : STD_LOGIC;
signal BTN_1_Count_8_CYINIT_4000 : STD_LOGIC;
signal BTN_1_Count_8_F : STD_LOGIC;
signal BTN_1_Count_8_DYMUX_3985 : STD_LOGIC;
signal BTN_1_Count_8_XORG_3983 : STD_LOGIC;
signal BTN_1_Count_8_CYSELF_3981 : STD_LOGIC;
signal BTN_1_Count_8_CYMUXFAST_3980 : STD_LOGIC;
signal BTN_1_Count_8_CYAND_3979 : STD_LOGIC;
signal BTN_1_Count_8_FASTCARRY_3978 : STD_LOGIC;
signal BTN_1_Count_8_CYMUXG2_3977 : STD_LOGIC;
signal BTN_1_Count_8_CYMUXF2_3976 : STD_LOGIC;
signal BTN_1_Count_8_LOGIC_ZERO_3975 : STD_LOGIC;
signal BTN_1_Count_8_CYSELG_3966 : STD_LOGIC;
signal BTN_1_Count_8_G : STD_LOGIC;
signal BTN_1_Count_8_SRINV_3964 : STD_LOGIC;
signal BTN_1_Count_8_CLKINV_3963 : STD_LOGIC;
signal BTN_1_Count_8_CEINVNOT : STD_LOGIC;
signal BTN_1_Count_10_DXMUX_4059 : STD_LOGIC;
signal BTN_1_Count_10_XORF_4057 : STD_LOGIC;
signal BTN_1_Count_10_CYINIT_4056 : STD_LOGIC;
signal BTN_1_Count_10_F : STD_LOGIC;
signal BTN_1_Count_10_DYMUX_4041 : STD_LOGIC;
signal BTN_1_Count_10_XORG_4039 : STD_LOGIC;
signal BTN_1_Count_10_CYSELF_4037 : STD_LOGIC;
signal BTN_1_Count_10_CYMUXFAST_4036 : STD_LOGIC;
signal BTN_1_Count_10_CYAND_4035 : STD_LOGIC;
signal BTN_1_Count_10_FASTCARRY_4034 : STD_LOGIC;
signal BTN_1_Count_10_CYMUXG2_4033 : STD_LOGIC;
signal BTN_1_Count_10_CYMUXF2_4032 : STD_LOGIC;
signal BTN_1_Count_10_LOGIC_ZERO_4031 : STD_LOGIC;
signal BTN_1_Count_10_CYSELG_4022 : STD_LOGIC;
signal BTN_1_Count_10_G : STD_LOGIC;
signal BTN_1_Count_10_SRINV_4020 : STD_LOGIC;
signal BTN_1_Count_10_CLKINV_4019 : STD_LOGIC;
signal BTN_1_Count_10_CEINVNOT : STD_LOGIC;
signal BTN_1_Count_12_DXMUX_4115 : STD_LOGIC;
signal BTN_1_Count_12_XORF_4113 : STD_LOGIC;
signal BTN_1_Count_12_CYINIT_4112 : STD_LOGIC;
signal BTN_1_Count_12_F : STD_LOGIC;
signal BTN_1_Count_12_DYMUX_4097 : STD_LOGIC;
signal BTN_1_Count_12_XORG_4095 : STD_LOGIC;
signal BTN_1_Count_12_CYSELF_4093 : STD_LOGIC;
signal BTN_1_Count_12_CYMUXFAST_4092 : STD_LOGIC;
signal BTN_1_Count_12_CYAND_4091 : STD_LOGIC;
signal BTN_1_Count_12_FASTCARRY_4090 : STD_LOGIC;
signal BTN_1_Count_12_CYMUXG2_4089 : STD_LOGIC;
signal BTN_1_Count_12_CYMUXF2_4088 : STD_LOGIC;
signal BTN_1_Count_12_LOGIC_ZERO_4087 : STD_LOGIC;
signal BTN_1_Count_12_CYSELG_4078 : STD_LOGIC;
signal BTN_1_Count_12_G : STD_LOGIC;
signal BTN_1_Count_12_SRINV_4076 : STD_LOGIC;
signal BTN_1_Count_12_CLKINV_4075 : STD_LOGIC;
signal BTN_1_Count_12_CEINVNOT : STD_LOGIC;
signal BTN_1_Count_14_DXMUX_4171 : STD_LOGIC;
signal BTN_1_Count_14_XORF_4169 : STD_LOGIC;
signal BTN_1_Count_14_CYINIT_4168 : STD_LOGIC;
signal BTN_1_Count_14_F : STD_LOGIC;
signal BTN_1_Count_14_DYMUX_4153 : STD_LOGIC;
signal BTN_1_Count_14_XORG_4151 : STD_LOGIC;
signal BTN_1_Count_14_CYSELF_4149 : STD_LOGIC;
signal BTN_1_Count_14_CYMUXFAST_4148 : STD_LOGIC;
signal BTN_1_Count_14_CYAND_4147 : STD_LOGIC;
signal BTN_1_Count_14_FASTCARRY_4146 : STD_LOGIC;
signal BTN_1_Count_14_CYMUXG2_4145 : STD_LOGIC;
signal BTN_1_Count_14_CYMUXF2_4144 : STD_LOGIC;
signal BTN_1_Count_14_LOGIC_ZERO_4143 : STD_LOGIC;
signal BTN_1_Count_14_CYSELG_4134 : STD_LOGIC;
signal BTN_1_Count_14_G : STD_LOGIC;
signal BTN_1_Count_14_SRINV_4132 : STD_LOGIC;
signal BTN_1_Count_14_CLKINV_4131 : STD_LOGIC;
signal BTN_1_Count_14_CEINVNOT : STD_LOGIC;
signal BTN_1_Count_16_DXMUX_4227 : STD_LOGIC;
signal BTN_1_Count_16_XORF_4225 : STD_LOGIC;
signal BTN_1_Count_16_CYINIT_4224 : STD_LOGIC;
signal BTN_1_Count_16_F : STD_LOGIC;
signal BTN_1_Count_16_DYMUX_4209 : STD_LOGIC;
signal BTN_1_Count_16_XORG_4207 : STD_LOGIC;
signal BTN_1_Count_16_CYSELF_4205 : STD_LOGIC;
signal BTN_1_Count_16_CYMUXFAST_4204 : STD_LOGIC;
signal BTN_1_Count_16_CYAND_4203 : STD_LOGIC;
signal BTN_1_Count_16_FASTCARRY_4202 : STD_LOGIC;
signal BTN_1_Count_16_CYMUXG2_4201 : STD_LOGIC;
signal BTN_1_Count_16_CYMUXF2_4200 : STD_LOGIC;
signal BTN_1_Count_16_LOGIC_ZERO_4199 : STD_LOGIC;
signal BTN_1_Count_16_CYSELG_4190 : STD_LOGIC;
signal BTN_1_Count_16_G : STD_LOGIC;
signal BTN_1_Count_16_SRINV_4188 : STD_LOGIC;
signal BTN_1_Count_16_CLKINV_4187 : STD_LOGIC;
signal BTN_1_Count_16_CEINVNOT : STD_LOGIC;
signal BTN_1_Count_18_DXMUX_4283 : STD_LOGIC;
signal BTN_1_Count_18_XORF_4281 : STD_LOGIC;
signal BTN_1_Count_18_CYINIT_4280 : STD_LOGIC;
signal BTN_1_Count_18_F : STD_LOGIC;
signal BTN_1_Count_18_DYMUX_4265 : STD_LOGIC;
signal BTN_1_Count_18_XORG_4263 : STD_LOGIC;
signal BTN_1_Count_18_CYSELF_4261 : STD_LOGIC;
signal BTN_1_Count_18_CYMUXFAST_4260 : STD_LOGIC;
signal BTN_1_Count_18_CYAND_4259 : STD_LOGIC;
signal BTN_1_Count_18_FASTCARRY_4258 : STD_LOGIC;
signal BTN_1_Count_18_CYMUXG2_4257 : STD_LOGIC;
signal BTN_1_Count_18_CYMUXF2_4256 : STD_LOGIC;
signal BTN_1_Count_18_LOGIC_ZERO_4255 : STD_LOGIC;
signal BTN_1_Count_18_CYSELG_4246 : STD_LOGIC;
signal BTN_1_Count_18_G : STD_LOGIC;
signal BTN_1_Count_18_SRINV_4244 : STD_LOGIC;
signal BTN_1_Count_18_CLKINV_4243 : STD_LOGIC;
signal BTN_1_Count_18_CEINVNOT : STD_LOGIC;
signal BTN_1_Count_20_DXMUX_4310 : STD_LOGIC;
signal BTN_1_Count_20_XORF_4308 : STD_LOGIC;
signal BTN_1_Count_20_CYINIT_4307 : STD_LOGIC;
signal BTN_1_Count_20_rt_4305 : STD_LOGIC;
signal BTN_1_Count_20_SRINV_4297 : STD_LOGIC;
signal BTN_1_Count_20_CLKINV_4296 : STD_LOGIC;
signal BTN_1_Count_20_CEINVNOT : STD_LOGIC;
signal arith_0_XORF_4350 : STD_LOGIC;
signal arith_0_CYINIT_4349 : STD_LOGIC;
signal arith_0_CY0F_4348 : STD_LOGIC;
signal arith_0_CYSELF_4341 : STD_LOGIC;
signal arith_0_XORG_4337 : STD_LOGIC;
signal arith_0_CYMUXG_4336 : STD_LOGIC;
signal Arith_Unit_Maddsub_arith_cy_0_Q : STD_LOGIC;
signal arith_0_CY0G_4334 : STD_LOGIC;
signal arith_0_CYSELG_4327 : STD_LOGIC;
signal arith_2_XORF_4389 : STD_LOGIC;
signal arith_2_CYINIT_4388 : STD_LOGIC;
signal arith_2_CY0F_4387 : STD_LOGIC;
signal arith_2_XORG_4378 : STD_LOGIC;
signal Arith_Unit_Maddsub_arith_cy_2_Q : STD_LOGIC;
signal arith_2_CYSELF_4376 : STD_LOGIC;
signal arith_2_CYMUXFAST_4375 : STD_LOGIC;
signal arith_2_CYAND_4374 : STD_LOGIC;
signal arith_2_FASTCARRY_4373 : STD_LOGIC;
signal arith_2_CYMUXG2_4372 : STD_LOGIC;
signal arith_2_CYMUXF2_4371 : STD_LOGIC;
signal arith_2_CY0G_4370 : STD_LOGIC;
signal arith_2_CYSELG_4363 : STD_LOGIC;
signal arith_4_XORF_4428 : STD_LOGIC;
signal arith_4_CYINIT_4427 : STD_LOGIC;
signal arith_4_CY0F_4426 : STD_LOGIC;
signal arith_4_XORG_4417 : STD_LOGIC;
signal Arith_Unit_Maddsub_arith_cy_4_Q : STD_LOGIC;
signal arith_4_CYSELF_4415 : STD_LOGIC;
signal arith_4_CYMUXFAST_4414 : STD_LOGIC;
signal arith_4_CYAND_4413 : STD_LOGIC;
signal arith_4_FASTCARRY_4412 : STD_LOGIC;
signal arith_4_CYMUXG2_4411 : STD_LOGIC;
signal arith_4_CYMUXF2_4410 : STD_LOGIC;
signal arith_4_CY0G_4409 : STD_LOGIC;
signal arith_4_CYSELG_4402 : STD_LOGIC;
signal arith_6_XORF_4459 : STD_LOGIC;
signal arith_6_CYINIT_4458 : STD_LOGIC;
signal arith_6_CY0F_4457 : STD_LOGIC;
signal arith_6_CYSELF_4450 : STD_LOGIC;
signal arith_6_XORG_4447 : STD_LOGIC;
signal Arith_Unit_Maddsub_arith_cy_6_Q : STD_LOGIC;
signal BTN_0_Count_0_DXMUX_4509 : STD_LOGIC;
signal BTN_0_Count_0_XORF_4507 : STD_LOGIC;
signal BTN_0_Count_0_LOGIC_ONE_4506 : STD_LOGIC;
signal BTN_0_Count_0_CYINIT_4505 : STD_LOGIC;
signal BTN_0_Count_0_CYSELF_4496 : STD_LOGIC;
signal BTN_0_Count_0_BXINV_4494 : STD_LOGIC;
signal BTN_0_Count_0_DYMUX_4488 : STD_LOGIC;
signal BTN_0_Count_0_XORG_4486 : STD_LOGIC;
signal BTN_0_Count_0_CYMUXG_4485 : STD_LOGIC;
signal BTN_0_Count_0_LOGIC_ZERO_4483 : STD_LOGIC;
signal BTN_0_Count_0_CYSELG_4474 : STD_LOGIC;
signal BTN_0_Count_0_G : STD_LOGIC;
signal BTN_0_Count_0_SRINV_4472 : STD_LOGIC;
signal BTN_0_Count_0_CLKINV_4471 : STD_LOGIC;
signal BTN_0_Count_0_CEINVNOT : STD_LOGIC;
signal BTN_0_Count_2_DXMUX_4565 : STD_LOGIC;
signal BTN_0_Count_2_XORF_4563 : STD_LOGIC;
signal BTN_0_Count_2_CYINIT_4562 : STD_LOGIC;
signal BTN_0_Count_2_F : STD_LOGIC;
signal BTN_0_Count_2_DYMUX_4547 : STD_LOGIC;
signal BTN_0_Count_2_XORG_4545 : STD_LOGIC;
signal BTN_0_Count_2_CYSELF_4543 : STD_LOGIC;
signal BTN_0_Count_2_CYMUXFAST_4542 : STD_LOGIC;
signal BTN_0_Count_2_CYAND_4541 : STD_LOGIC;
signal BTN_0_Count_2_FASTCARRY_4540 : STD_LOGIC;
signal BTN_0_Count_2_CYMUXG2_4539 : STD_LOGIC;
signal BTN_0_Count_2_CYMUXF2_4538 : STD_LOGIC;
signal BTN_0_Count_2_LOGIC_ZERO_4537 : STD_LOGIC;
signal BTN_0_Count_2_CYSELG_4528 : STD_LOGIC;
signal BTN_0_Count_2_G : STD_LOGIC;
signal BTN_0_Count_2_SRINV_4526 : STD_LOGIC;
signal BTN_0_Count_2_CLKINV_4525 : STD_LOGIC;
signal BTN_0_Count_2_CEINVNOT : STD_LOGIC;
signal BTN_0_Count_4_DXMUX_4621 : STD_LOGIC;
signal BTN_0_Count_4_XORF_4619 : STD_LOGIC;
signal BTN_0_Count_4_CYINIT_4618 : STD_LOGIC;
signal BTN_0_Count_4_F : STD_LOGIC;
signal BTN_0_Count_4_DYMUX_4603 : STD_LOGIC;
signal BTN_0_Count_4_XORG_4601 : STD_LOGIC;
signal BTN_0_Count_4_CYSELF_4599 : STD_LOGIC;
signal BTN_0_Count_4_CYMUXFAST_4598 : STD_LOGIC;
signal BTN_0_Count_4_CYAND_4597 : STD_LOGIC;
signal BTN_0_Count_4_FASTCARRY_4596 : STD_LOGIC;
signal BTN_0_Count_4_CYMUXG2_4595 : STD_LOGIC;
signal BTN_0_Count_4_CYMUXF2_4594 : STD_LOGIC;
signal BTN_0_Count_4_LOGIC_ZERO_4593 : STD_LOGIC;
signal BTN_0_Count_4_CYSELG_4584 : STD_LOGIC;
signal BTN_0_Count_4_G : STD_LOGIC;
signal BTN_0_Count_4_SRINV_4582 : STD_LOGIC;
signal BTN_0_Count_4_CLKINV_4581 : STD_LOGIC;
signal BTN_0_Count_4_CEINVNOT : STD_LOGIC;
signal BTN_0_Count_6_DXMUX_4677 : STD_LOGIC;
signal BTN_0_Count_6_XORF_4675 : STD_LOGIC;
signal BTN_0_Count_6_CYINIT_4674 : STD_LOGIC;
signal BTN_0_Count_6_F : STD_LOGIC;
signal BTN_0_Count_6_DYMUX_4659 : STD_LOGIC;
signal BTN_0_Count_6_XORG_4657 : STD_LOGIC;
signal BTN_0_Count_6_CYSELF_4655 : STD_LOGIC;
signal BTN_0_Count_6_CYMUXFAST_4654 : STD_LOGIC;
signal BTN_0_Count_6_CYAND_4653 : STD_LOGIC;
signal BTN_0_Count_6_FASTCARRY_4652 : STD_LOGIC;
signal BTN_0_Count_6_CYMUXG2_4651 : STD_LOGIC;
signal BTN_0_Count_6_CYMUXF2_4650 : STD_LOGIC;
signal BTN_0_Count_6_LOGIC_ZERO_4649 : STD_LOGIC;
signal BTN_0_Count_6_CYSELG_4640 : STD_LOGIC;
signal BTN_0_Count_6_G : STD_LOGIC;
signal BTN_0_Count_6_SRINV_4638 : STD_LOGIC;
signal BTN_0_Count_6_CLKINV_4637 : STD_LOGIC;
signal BTN_0_Count_6_CEINVNOT : STD_LOGIC;
signal BTN_0_Count_8_DXMUX_4733 : STD_LOGIC;
signal BTN_0_Count_8_XORF_4731 : STD_LOGIC;
signal BTN_0_Count_8_CYINIT_4730 : STD_LOGIC;
signal BTN_0_Count_8_F : STD_LOGIC;
signal BTN_0_Count_8_DYMUX_4715 : STD_LOGIC;
signal BTN_0_Count_8_XORG_4713 : STD_LOGIC;
signal BTN_0_Count_8_CYSELF_4711 : STD_LOGIC;
signal BTN_0_Count_8_CYMUXFAST_4710 : STD_LOGIC;
signal BTN_0_Count_8_CYAND_4709 : STD_LOGIC;
signal BTN_0_Count_8_FASTCARRY_4708 : STD_LOGIC;
signal BTN_0_Count_8_CYMUXG2_4707 : STD_LOGIC;
signal BTN_0_Count_8_CYMUXF2_4706 : STD_LOGIC;
signal BTN_0_Count_8_LOGIC_ZERO_4705 : STD_LOGIC;
signal BTN_0_Count_8_CYSELG_4696 : STD_LOGIC;
signal BTN_0_Count_8_G : STD_LOGIC;
signal BTN_0_Count_8_SRINV_4694 : STD_LOGIC;
signal BTN_0_Count_8_CLKINV_4693 : STD_LOGIC;
signal BTN_0_Count_8_CEINVNOT : STD_LOGIC;
signal BTN_0_Count_10_DXMUX_4789 : STD_LOGIC;
signal BTN_0_Count_10_XORF_4787 : STD_LOGIC;
signal BTN_0_Count_10_CYINIT_4786 : STD_LOGIC;
signal BTN_0_Count_10_F : STD_LOGIC;
signal BTN_0_Count_10_DYMUX_4771 : STD_LOGIC;
signal BTN_0_Count_10_XORG_4769 : STD_LOGIC;
signal BTN_0_Count_10_CYSELF_4767 : STD_LOGIC;
signal BTN_0_Count_10_CYMUXFAST_4766 : STD_LOGIC;
signal BTN_0_Count_10_CYAND_4765 : STD_LOGIC;
signal BTN_0_Count_10_FASTCARRY_4764 : STD_LOGIC;
signal BTN_0_Count_10_CYMUXG2_4763 : STD_LOGIC;
signal BTN_0_Count_10_CYMUXF2_4762 : STD_LOGIC;
signal BTN_0_Count_10_LOGIC_ZERO_4761 : STD_LOGIC;
signal BTN_0_Count_10_CYSELG_4752 : STD_LOGIC;
signal BTN_0_Count_10_G : STD_LOGIC;
signal BTN_0_Count_10_SRINV_4750 : STD_LOGIC;
signal BTN_0_Count_10_CLKINV_4749 : STD_LOGIC;
signal BTN_0_Count_10_CEINVNOT : STD_LOGIC;
signal BTN_0_Count_12_DXMUX_4845 : STD_LOGIC;
signal BTN_0_Count_12_XORF_4843 : STD_LOGIC;
signal BTN_0_Count_12_CYINIT_4842 : STD_LOGIC;
signal BTN_0_Count_12_F : STD_LOGIC;
signal BTN_0_Count_12_DYMUX_4827 : STD_LOGIC;
signal BTN_0_Count_12_XORG_4825 : STD_LOGIC;
signal BTN_0_Count_12_CYSELF_4823 : STD_LOGIC;
signal BTN_0_Count_12_CYMUXFAST_4822 : STD_LOGIC;
signal BTN_0_Count_12_CYAND_4821 : STD_LOGIC;
signal BTN_0_Count_12_FASTCARRY_4820 : STD_LOGIC;
signal BTN_0_Count_12_CYMUXG2_4819 : STD_LOGIC;
signal BTN_0_Count_12_CYMUXF2_4818 : STD_LOGIC;
signal BTN_0_Count_12_LOGIC_ZERO_4817 : STD_LOGIC;
signal BTN_0_Count_12_CYSELG_4808 : STD_LOGIC;
signal BTN_0_Count_12_G : STD_LOGIC;
signal BTN_0_Count_12_SRINV_4806 : STD_LOGIC;
signal BTN_0_Count_12_CLKINV_4805 : STD_LOGIC;
signal BTN_0_Count_12_CEINVNOT : STD_LOGIC;
signal BTN_0_Count_14_DXMUX_4901 : STD_LOGIC;
signal BTN_0_Count_14_XORF_4899 : STD_LOGIC;
signal BTN_0_Count_14_CYINIT_4898 : STD_LOGIC;
signal BTN_0_Count_14_F : STD_LOGIC;
signal BTN_0_Count_14_DYMUX_4883 : STD_LOGIC;
signal BTN_0_Count_14_XORG_4881 : STD_LOGIC;
signal BTN_0_Count_14_CYSELF_4879 : STD_LOGIC;
signal BTN_0_Count_14_CYMUXFAST_4878 : STD_LOGIC;
signal BTN_0_Count_14_CYAND_4877 : STD_LOGIC;
signal BTN_0_Count_14_FASTCARRY_4876 : STD_LOGIC;
signal BTN_0_Count_14_CYMUXG2_4875 : STD_LOGIC;
signal BTN_0_Count_14_CYMUXF2_4874 : STD_LOGIC;
signal BTN_0_Count_14_LOGIC_ZERO_4873 : STD_LOGIC;
signal BTN_0_Count_14_CYSELG_4864 : STD_LOGIC;
signal BTN_0_Count_14_G : STD_LOGIC;
signal BTN_0_Count_14_SRINV_4862 : STD_LOGIC;
signal BTN_0_Count_14_CLKINV_4861 : STD_LOGIC;
signal BTN_0_Count_14_CEINVNOT : STD_LOGIC;
signal BTN_0_Count_16_DXMUX_4957 : STD_LOGIC;
signal BTN_0_Count_16_XORF_4955 : STD_LOGIC;
signal BTN_0_Count_16_CYINIT_4954 : STD_LOGIC;
signal BTN_0_Count_16_F : STD_LOGIC;
signal BTN_0_Count_16_DYMUX_4939 : STD_LOGIC;
signal BTN_0_Count_16_XORG_4937 : STD_LOGIC;
signal BTN_0_Count_16_CYSELF_4935 : STD_LOGIC;
signal BTN_0_Count_16_CYMUXFAST_4934 : STD_LOGIC;
signal BTN_0_Count_16_CYAND_4933 : STD_LOGIC;
signal BTN_0_Count_16_FASTCARRY_4932 : STD_LOGIC;
signal BTN_0_Count_16_CYMUXG2_4931 : STD_LOGIC;
signal BTN_0_Count_16_CYMUXF2_4930 : STD_LOGIC;
signal BTN_0_Count_16_LOGIC_ZERO_4929 : STD_LOGIC;
signal BTN_0_Count_16_CYSELG_4920 : STD_LOGIC;
signal BTN_0_Count_16_G : STD_LOGIC;
signal BTN_0_Count_16_SRINV_4918 : STD_LOGIC;
signal BTN_0_Count_16_CLKINV_4917 : STD_LOGIC;
signal BTN_0_Count_16_CEINVNOT : STD_LOGIC;
signal BTN_0_Count_18_DXMUX_5013 : STD_LOGIC;
signal BTN_0_Count_18_XORF_5011 : STD_LOGIC;
signal BTN_0_Count_18_CYINIT_5010 : STD_LOGIC;
signal BTN_0_Count_18_F : STD_LOGIC;
signal BTN_0_Count_18_DYMUX_4995 : STD_LOGIC;
signal BTN_0_Count_18_XORG_4993 : STD_LOGIC;
signal BTN_0_Count_18_CYSELF_4991 : STD_LOGIC;
signal BTN_0_Count_18_CYMUXFAST_4990 : STD_LOGIC;
signal BTN_0_Count_18_CYAND_4989 : STD_LOGIC;
signal BTN_0_Count_18_FASTCARRY_4988 : STD_LOGIC;
signal BTN_0_Count_18_CYMUXG2_4987 : STD_LOGIC;
signal BTN_0_Count_18_CYMUXF2_4986 : STD_LOGIC;
signal BTN_0_Count_18_LOGIC_ZERO_4985 : STD_LOGIC;
signal BTN_0_Count_18_CYSELG_4976 : STD_LOGIC;
signal BTN_0_Count_18_G : STD_LOGIC;
signal BTN_0_Count_18_SRINV_4974 : STD_LOGIC;
signal BTN_0_Count_18_CLKINV_4973 : STD_LOGIC;
signal BTN_0_Count_18_CEINVNOT : STD_LOGIC;
signal BTN_0_Count_20_DXMUX_5040 : STD_LOGIC;
signal BTN_0_Count_20_XORF_5038 : STD_LOGIC;
signal BTN_0_Count_20_CYINIT_5037 : STD_LOGIC;
signal BTN_0_Count_20_rt_5035 : STD_LOGIC;
signal BTN_0_Count_20_SRINV_5027 : STD_LOGIC;
signal BTN_0_Count_20_CLKINV_5026 : STD_LOGIC;
signal BTN_0_Count_20_CEINVNOT : STD_LOGIC;
signal SSeg_Result_0_XORF_5080 : STD_LOGIC;
signal SSeg_Result_0_LOGIC_ONE_5079 : STD_LOGIC;
signal SSeg_Result_0_CYINIT_5078 : STD_LOGIC;
signal SSeg_Result_0_CYSELF_5069 : STD_LOGIC;
signal SSeg_Result_0_BXINV_5067 : STD_LOGIC;
signal SSeg_Result_0_XORG_5065 : STD_LOGIC;
signal SSeg_Result_0_CYMUXG_5064 : STD_LOGIC;
signal SSeg_Result_0_LOGIC_ZERO_5062 : STD_LOGIC;
signal SSeg_Result_0_CYSELG_5053 : STD_LOGIC;
signal SSeg_Result_0_G : STD_LOGIC;
signal SSeg_Result_2_XORF_5118 : STD_LOGIC;
signal SSeg_Result_2_CYINIT_5117 : STD_LOGIC;
signal SSeg_Result_2_F : STD_LOGIC;
signal SSeg_Result_2_XORG_5106 : STD_LOGIC;
signal SSeg_Result_2_CYSELF_5104 : STD_LOGIC;
signal SSeg_Result_2_CYMUXFAST_5103 : STD_LOGIC;
signal SSeg_Result_2_CYAND_5102 : STD_LOGIC;
signal SSeg_Result_2_FASTCARRY_5101 : STD_LOGIC;
signal SSeg_Result_2_CYMUXG2_5100 : STD_LOGIC;
signal SSeg_Result_2_CYMUXF2_5099 : STD_LOGIC;
signal SSeg_Result_2_LOGIC_ZERO_5098 : STD_LOGIC;
signal SSeg_Result_2_CYSELG_5089 : STD_LOGIC;
signal SSeg_Result_2_G : STD_LOGIC;
signal SSeg_Result_4_XORF_5156 : STD_LOGIC;
signal SSeg_Result_4_CYINIT_5155 : STD_LOGIC;
signal SSeg_Result_4_F : STD_LOGIC;
signal SSeg_Result_4_XORG_5144 : STD_LOGIC;
signal SSeg_Result_4_CYSELF_5142 : STD_LOGIC;
signal SSeg_Result_4_CYMUXFAST_5141 : STD_LOGIC;
signal SSeg_Result_4_CYAND_5140 : STD_LOGIC;
signal SSeg_Result_4_FASTCARRY_5139 : STD_LOGIC;
signal SSeg_Result_4_CYMUXG2_5138 : STD_LOGIC;
signal SSeg_Result_4_CYMUXF2_5137 : STD_LOGIC;
signal SSeg_Result_4_LOGIC_ZERO_5136 : STD_LOGIC;
signal SSeg_Result_4_CYSELG_5127 : STD_LOGIC;
signal SSeg_Result_4_G : STD_LOGIC;
signal SSeg_Result_6_XORF_5194 : STD_LOGIC;
signal SSeg_Result_6_CYINIT_5193 : STD_LOGIC;
signal SSeg_Result_6_F : STD_LOGIC;
signal SSeg_Result_6_XORG_5182 : STD_LOGIC;
signal SSeg_Result_6_CYSELF_5180 : STD_LOGIC;
signal SSeg_Result_6_CYMUXFAST_5179 : STD_LOGIC;
signal SSeg_Result_6_CYAND_5178 : STD_LOGIC;
signal SSeg_Result_6_FASTCARRY_5177 : STD_LOGIC;
signal SSeg_Result_6_CYMUXG2_5176 : STD_LOGIC;
signal SSeg_Result_6_CYMUXF2_5175 : STD_LOGIC;
signal SSeg_Result_6_LOGIC_ZERO_5174 : STD_LOGIC;
signal SSeg_Result_6_CYSELG_5165 : STD_LOGIC;
signal SSeg_Result_6_G : STD_LOGIC;
signal SSeg_Result_8_XORF_5232 : STD_LOGIC;
signal SSeg_Result_8_CYINIT_5231 : STD_LOGIC;
signal SSeg_Result_8_F : STD_LOGIC;
signal SSeg_Result_8_XORG_5220 : STD_LOGIC;
signal SSeg_Result_8_CYSELF_5218 : STD_LOGIC;
signal SSeg_Result_8_CYMUXFAST_5217 : STD_LOGIC;
signal SSeg_Result_8_CYAND_5216 : STD_LOGIC;
signal SSeg_Result_8_FASTCARRY_5215 : STD_LOGIC;
signal SSeg_Result_8_CYMUXG2_5214 : STD_LOGIC;
signal SSeg_Result_8_CYMUXF2_5213 : STD_LOGIC;
signal SSeg_Result_8_LOGIC_ZERO_5212 : STD_LOGIC;
signal SSeg_Result_8_CYSELG_5203 : STD_LOGIC;
signal SSeg_Result_8_G : STD_LOGIC;
signal SSeg_Result_10_XORF_5270 : STD_LOGIC;
signal SSeg_Result_10_CYINIT_5269 : STD_LOGIC;
signal SSeg_Result_10_F : STD_LOGIC;
signal SSeg_Result_10_XORG_5258 : STD_LOGIC;
signal SSeg_Result_10_CYSELF_5256 : STD_LOGIC;
signal SSeg_Result_10_CYMUXFAST_5255 : STD_LOGIC;
signal SSeg_Result_10_CYAND_5254 : STD_LOGIC;
signal SSeg_Result_10_FASTCARRY_5253 : STD_LOGIC;
signal SSeg_Result_10_CYMUXG2_5252 : STD_LOGIC;
signal SSeg_Result_10_CYMUXF2_5251 : STD_LOGIC;
signal SSeg_Result_10_LOGIC_ZERO_5250 : STD_LOGIC;
signal SSeg_Result_10_CYSELG_5241 : STD_LOGIC;
signal SSeg_Result_10_G : STD_LOGIC;
signal SSeg_Result_12_XORF_5308 : STD_LOGIC;
signal SSeg_Result_12_CYINIT_5307 : STD_LOGIC;
signal SSeg_Result_12_F : STD_LOGIC;
signal SSeg_Result_12_XORG_5296 : STD_LOGIC;
signal SSeg_Result_12_CYSELF_5294 : STD_LOGIC;
signal SSeg_Result_12_CYMUXFAST_5293 : STD_LOGIC;
signal SSeg_Result_12_CYAND_5292 : STD_LOGIC;
signal SSeg_Result_12_FASTCARRY_5291 : STD_LOGIC;
signal SSeg_Result_12_CYMUXG2_5290 : STD_LOGIC;
signal SSeg_Result_12_CYMUXF2_5289 : STD_LOGIC;
signal SSeg_Result_12_LOGIC_ZERO_5288 : STD_LOGIC;
signal SSeg_Result_12_CYSELG_5279 : STD_LOGIC;
signal SSeg_Result_12_G : STD_LOGIC;
signal SSeg_Result_14_XORF_5346 : STD_LOGIC;
signal SSeg_Result_14_CYINIT_5345 : STD_LOGIC;
signal SSeg_Result_14_F : STD_LOGIC;
signal SSeg_Result_14_XORG_5334 : STD_LOGIC;
signal SSeg_Result_14_CYSELF_5332 : STD_LOGIC;
signal SSeg_Result_14_CYMUXFAST_5331 : STD_LOGIC;
signal SSeg_Result_14_CYAND_5330 : STD_LOGIC;
signal SSeg_Result_14_FASTCARRY_5329 : STD_LOGIC;
signal SSeg_Result_14_CYMUXG2_5328 : STD_LOGIC;
signal SSeg_Result_14_CYMUXF2_5327 : STD_LOGIC;
signal SSeg_Result_14_LOGIC_ZERO_5326 : STD_LOGIC;
signal SSeg_Result_14_CYSELG_5317 : STD_LOGIC;
signal SSeg_Result_14_G : STD_LOGIC;
signal SSeg_Result_16_XORF_5361 : STD_LOGIC;
signal SSeg_Result_16_CYINIT_5360 : STD_LOGIC;
signal SSeg_count240hz_16_rt_5358 : STD_LOGIC;
signal BTN_2_Count_0_DXMUX_5411 : STD_LOGIC;
signal BTN_2_Count_0_XORF_5409 : STD_LOGIC;
signal BTN_2_Count_0_LOGIC_ONE_5408 : STD_LOGIC;
signal BTN_2_Count_0_CYINIT_5407 : STD_LOGIC;
signal BTN_2_Count_0_CYSELF_5398 : STD_LOGIC;
signal BTN_2_Count_0_BXINV_5396 : STD_LOGIC;
signal BTN_2_Count_0_DYMUX_5390 : STD_LOGIC;
signal BTN_2_Count_0_XORG_5388 : STD_LOGIC;
signal BTN_2_Count_0_CYMUXG_5387 : STD_LOGIC;
signal BTN_2_Count_0_LOGIC_ZERO_5385 : STD_LOGIC;
signal BTN_2_Count_0_CYSELG_5376 : STD_LOGIC;
signal BTN_2_Count_0_G : STD_LOGIC;
signal BTN_2_Count_0_SRINV_5374 : STD_LOGIC;
signal BTN_2_Count_0_CLKINV_5373 : STD_LOGIC;
signal BTN_2_Count_0_CEINVNOT : STD_LOGIC;
signal BTN_2_Count_2_DXMUX_5467 : STD_LOGIC;
signal BTN_2_Count_2_XORF_5465 : STD_LOGIC;
signal BTN_2_Count_2_CYINIT_5464 : STD_LOGIC;
signal BTN_2_Count_2_F : STD_LOGIC;
signal BTN_2_Count_2_DYMUX_5449 : STD_LOGIC;
signal BTN_2_Count_2_XORG_5447 : STD_LOGIC;
signal BTN_2_Count_2_CYSELF_5445 : STD_LOGIC;
signal BTN_2_Count_2_CYMUXFAST_5444 : STD_LOGIC;
signal BTN_2_Count_2_CYAND_5443 : STD_LOGIC;
signal BTN_2_Count_2_FASTCARRY_5442 : STD_LOGIC;
signal BTN_2_Count_2_CYMUXG2_5441 : STD_LOGIC;
signal BTN_2_Count_2_CYMUXF2_5440 : STD_LOGIC;
signal BTN_2_Count_2_LOGIC_ZERO_5439 : STD_LOGIC;
signal BTN_2_Count_2_CYSELG_5430 : STD_LOGIC;
signal BTN_2_Count_2_G : STD_LOGIC;
signal BTN_2_Count_2_SRINV_5428 : STD_LOGIC;
signal BTN_2_Count_2_CLKINV_5427 : STD_LOGIC;
signal BTN_2_Count_2_CEINVNOT : STD_LOGIC;
signal BTN_2_Count_4_DXMUX_5523 : STD_LOGIC;
signal BTN_2_Count_4_XORF_5521 : STD_LOGIC;
signal BTN_2_Count_4_CYINIT_5520 : STD_LOGIC;
signal BTN_2_Count_4_F : STD_LOGIC;
signal BTN_2_Count_4_DYMUX_5505 : STD_LOGIC;
signal BTN_2_Count_4_XORG_5503 : STD_LOGIC;
signal BTN_2_Count_4_CYSELF_5501 : STD_LOGIC;
signal BTN_2_Count_4_CYMUXFAST_5500 : STD_LOGIC;
signal BTN_2_Count_4_CYAND_5499 : STD_LOGIC;
signal BTN_2_Count_4_FASTCARRY_5498 : STD_LOGIC;
signal BTN_2_Count_4_CYMUXG2_5497 : STD_LOGIC;
signal BTN_2_Count_4_CYMUXF2_5496 : STD_LOGIC;
signal BTN_2_Count_4_LOGIC_ZERO_5495 : STD_LOGIC;
signal BTN_2_Count_4_CYSELG_5486 : STD_LOGIC;
signal BTN_2_Count_4_G : STD_LOGIC;
signal BTN_2_Count_4_SRINV_5484 : STD_LOGIC;
signal BTN_2_Count_4_CLKINV_5483 : STD_LOGIC;
signal BTN_2_Count_4_CEINVNOT : STD_LOGIC;
signal BTN_2_Count_6_DXMUX_5579 : STD_LOGIC;
signal BTN_2_Count_6_XORF_5577 : STD_LOGIC;
signal BTN_2_Count_6_CYINIT_5576 : STD_LOGIC;
signal BTN_2_Count_6_F : STD_LOGIC;
signal BTN_2_Count_6_DYMUX_5561 : STD_LOGIC;
signal BTN_2_Count_6_XORG_5559 : STD_LOGIC;
signal BTN_2_Count_6_CYSELF_5557 : STD_LOGIC;
signal BTN_2_Count_6_CYMUXFAST_5556 : STD_LOGIC;
signal BTN_2_Count_6_CYAND_5555 : STD_LOGIC;
signal BTN_2_Count_6_FASTCARRY_5554 : STD_LOGIC;
signal BTN_2_Count_6_CYMUXG2_5553 : STD_LOGIC;
signal BTN_2_Count_6_CYMUXF2_5552 : STD_LOGIC;
signal BTN_2_Count_6_LOGIC_ZERO_5551 : STD_LOGIC;
signal BTN_2_Count_6_CYSELG_5542 : STD_LOGIC;
signal BTN_2_Count_6_G : STD_LOGIC;
signal BTN_2_Count_6_SRINV_5540 : STD_LOGIC;
signal BTN_2_Count_6_CLKINV_5539 : STD_LOGIC;
signal BTN_2_Count_6_CEINVNOT : STD_LOGIC;
signal BTN_2_Count_8_DXMUX_5635 : STD_LOGIC;
signal BTN_2_Count_8_XORF_5633 : STD_LOGIC;
signal BTN_2_Count_8_CYINIT_5632 : STD_LOGIC;
signal BTN_2_Count_8_F : STD_LOGIC;
signal BTN_2_Count_8_DYMUX_5617 : STD_LOGIC;
signal BTN_2_Count_8_XORG_5615 : STD_LOGIC;
signal BTN_2_Count_8_CYSELF_5613 : STD_LOGIC;
signal BTN_2_Count_8_CYMUXFAST_5612 : STD_LOGIC;
signal BTN_2_Count_8_CYAND_5611 : STD_LOGIC;
signal BTN_2_Count_8_FASTCARRY_5610 : STD_LOGIC;
signal BTN_2_Count_8_CYMUXG2_5609 : STD_LOGIC;
signal BTN_2_Count_8_CYMUXF2_5608 : STD_LOGIC;
signal BTN_2_Count_8_LOGIC_ZERO_5607 : STD_LOGIC;
signal BTN_2_Count_8_CYSELG_5598 : STD_LOGIC;
signal BTN_2_Count_8_G : STD_LOGIC;
signal BTN_2_Count_8_SRINV_5596 : STD_LOGIC;
signal BTN_2_Count_8_CLKINV_5595 : STD_LOGIC;
signal BTN_2_Count_8_CEINVNOT : STD_LOGIC;
signal BTN_2_Count_10_DXMUX_5691 : STD_LOGIC;
signal BTN_2_Count_10_XORF_5689 : STD_LOGIC;
signal BTN_2_Count_10_CYINIT_5688 : STD_LOGIC;
signal BTN_2_Count_10_F : STD_LOGIC;
signal BTN_2_Count_10_DYMUX_5673 : STD_LOGIC;
signal BTN_2_Count_10_XORG_5671 : STD_LOGIC;
signal BTN_2_Count_10_CYSELF_5669 : STD_LOGIC;
signal BTN_2_Count_10_CYMUXFAST_5668 : STD_LOGIC;
signal BTN_2_Count_10_CYAND_5667 : STD_LOGIC;
signal BTN_2_Count_10_FASTCARRY_5666 : STD_LOGIC;
signal BTN_2_Count_10_CYMUXG2_5665 : STD_LOGIC;
signal BTN_2_Count_10_CYMUXF2_5664 : STD_LOGIC;
signal BTN_2_Count_10_LOGIC_ZERO_5663 : STD_LOGIC;
signal BTN_2_Count_10_CYSELG_5654 : STD_LOGIC;
signal BTN_2_Count_10_G : STD_LOGIC;
signal BTN_2_Count_10_SRINV_5652 : STD_LOGIC;
signal BTN_2_Count_10_CLKINV_5651 : STD_LOGIC;
signal BTN_2_Count_10_CEINVNOT : STD_LOGIC;
signal BTN_2_Count_12_DXMUX_5747 : STD_LOGIC;
signal BTN_2_Count_12_XORF_5745 : STD_LOGIC;
signal BTN_2_Count_12_CYINIT_5744 : STD_LOGIC;
signal BTN_2_Count_12_F : STD_LOGIC;
signal BTN_2_Count_12_DYMUX_5729 : STD_LOGIC;
signal BTN_2_Count_12_XORG_5727 : STD_LOGIC;
signal BTN_2_Count_12_CYSELF_5725 : STD_LOGIC;
signal BTN_2_Count_12_CYMUXFAST_5724 : STD_LOGIC;
signal BTN_2_Count_12_CYAND_5723 : STD_LOGIC;
signal BTN_2_Count_12_FASTCARRY_5722 : STD_LOGIC;
signal BTN_2_Count_12_CYMUXG2_5721 : STD_LOGIC;
signal BTN_2_Count_12_CYMUXF2_5720 : STD_LOGIC;
signal BTN_2_Count_12_LOGIC_ZERO_5719 : STD_LOGIC;
signal BTN_2_Count_12_CYSELG_5710 : STD_LOGIC;
signal BTN_2_Count_12_G : STD_LOGIC;
signal BTN_2_Count_12_SRINV_5708 : STD_LOGIC;
signal BTN_2_Count_12_CLKINV_5707 : STD_LOGIC;
signal BTN_2_Count_12_CEINVNOT : STD_LOGIC;
signal BTN_2_Count_14_DXMUX_5803 : STD_LOGIC;
signal BTN_2_Count_14_XORF_5801 : STD_LOGIC;
signal BTN_2_Count_14_CYINIT_5800 : STD_LOGIC;
signal BTN_2_Count_14_F : STD_LOGIC;
signal BTN_2_Count_14_DYMUX_5785 : STD_LOGIC;
signal BTN_2_Count_14_XORG_5783 : STD_LOGIC;
signal BTN_2_Count_14_CYSELF_5781 : STD_LOGIC;
signal BTN_2_Count_14_CYMUXFAST_5780 : STD_LOGIC;
signal BTN_2_Count_14_CYAND_5779 : STD_LOGIC;
signal BTN_2_Count_14_FASTCARRY_5778 : STD_LOGIC;
signal BTN_2_Count_14_CYMUXG2_5777 : STD_LOGIC;
signal BTN_2_Count_14_CYMUXF2_5776 : STD_LOGIC;
signal BTN_2_Count_14_LOGIC_ZERO_5775 : STD_LOGIC;
signal BTN_2_Count_14_CYSELG_5766 : STD_LOGIC;
signal BTN_2_Count_14_G : STD_LOGIC;
signal BTN_2_Count_14_SRINV_5764 : STD_LOGIC;
signal BTN_2_Count_14_CLKINV_5763 : STD_LOGIC;
signal BTN_2_Count_14_CEINVNOT : STD_LOGIC;
signal BTN_2_Count_16_DXMUX_5859 : STD_LOGIC;
signal BTN_2_Count_16_XORF_5857 : STD_LOGIC;
signal BTN_2_Count_16_CYINIT_5856 : STD_LOGIC;
signal BTN_2_Count_16_F : STD_LOGIC;
signal BTN_2_Count_16_DYMUX_5841 : STD_LOGIC;
signal BTN_2_Count_16_XORG_5839 : STD_LOGIC;
signal BTN_2_Count_16_CYSELF_5837 : STD_LOGIC;
signal BTN_2_Count_16_CYMUXFAST_5836 : STD_LOGIC;
signal BTN_2_Count_16_CYAND_5835 : STD_LOGIC;
signal BTN_2_Count_16_FASTCARRY_5834 : STD_LOGIC;
signal BTN_2_Count_16_CYMUXG2_5833 : STD_LOGIC;
signal BTN_2_Count_16_CYMUXF2_5832 : STD_LOGIC;
signal BTN_2_Count_16_LOGIC_ZERO_5831 : STD_LOGIC;
signal BTN_2_Count_16_CYSELG_5822 : STD_LOGIC;
signal BTN_2_Count_16_G : STD_LOGIC;
signal BTN_2_Count_16_SRINV_5820 : STD_LOGIC;
signal BTN_2_Count_16_CLKINV_5819 : STD_LOGIC;
signal BTN_2_Count_16_CEINVNOT : STD_LOGIC;
signal BTN_2_Count_18_DXMUX_5915 : STD_LOGIC;
signal BTN_2_Count_18_XORF_5913 : STD_LOGIC;
signal BTN_2_Count_18_CYINIT_5912 : STD_LOGIC;
signal BTN_2_Count_18_F : STD_LOGIC;
signal BTN_2_Count_18_DYMUX_5897 : STD_LOGIC;
signal BTN_2_Count_18_XORG_5895 : STD_LOGIC;
signal BTN_2_Count_18_CYSELF_5893 : STD_LOGIC;
signal BTN_2_Count_18_CYMUXFAST_5892 : STD_LOGIC;
signal BTN_2_Count_18_CYAND_5891 : STD_LOGIC;
signal BTN_2_Count_18_FASTCARRY_5890 : STD_LOGIC;
signal BTN_2_Count_18_CYMUXG2_5889 : STD_LOGIC;
signal BTN_2_Count_18_CYMUXF2_5888 : STD_LOGIC;
signal BTN_2_Count_18_LOGIC_ZERO_5887 : STD_LOGIC;
signal BTN_2_Count_18_CYSELG_5878 : STD_LOGIC;
signal BTN_2_Count_18_G : STD_LOGIC;
signal BTN_2_Count_18_SRINV_5876 : STD_LOGIC;
signal BTN_2_Count_18_CLKINV_5875 : STD_LOGIC;
signal BTN_2_Count_18_CEINVNOT : STD_LOGIC;
signal BTN_2_Count_20_DXMUX_5942 : STD_LOGIC;
signal BTN_2_Count_20_XORF_5940 : STD_LOGIC;
signal BTN_2_Count_20_CYINIT_5939 : STD_LOGIC;
signal BTN_2_Count_20_rt_5937 : STD_LOGIC;
signal BTN_2_Count_20_SRINV_5929 : STD_LOGIC;
signal BTN_2_Count_20_CLKINV_5928 : STD_LOGIC;
signal BTN_2_Count_20_CEINVNOT : STD_LOGIC;
signal BTN_3_Count_0_DXMUX_5996 : STD_LOGIC;
signal BTN_3_Count_0_XORF_5994 : STD_LOGIC;
signal BTN_3_Count_0_LOGIC_ONE_5993 : STD_LOGIC;
signal BTN_3_Count_0_CYINIT_5992 : STD_LOGIC;
signal BTN_3_Count_0_CYSELF_5983 : STD_LOGIC;
signal BTN_3_Count_0_BXINV_5981 : STD_LOGIC;
signal BTN_3_Count_0_DYMUX_5975 : STD_LOGIC;
signal BTN_3_Count_0_XORG_5973 : STD_LOGIC;
signal BTN_3_Count_0_CYMUXG_5972 : STD_LOGIC;
signal BTN_3_Count_0_LOGIC_ZERO_5970 : STD_LOGIC;
signal BTN_3_Count_0_CYSELG_5961 : STD_LOGIC;
signal BTN_3_Count_0_G : STD_LOGIC;
signal BTN_3_Count_0_SRINV_5959 : STD_LOGIC;
signal BTN_3_Count_0_CLKINV_5958 : STD_LOGIC;
signal BTN_3_Count_0_CEINVNOT : STD_LOGIC;
signal BTN_3_Count_2_DXMUX_6052 : STD_LOGIC;
signal BTN_3_Count_2_XORF_6050 : STD_LOGIC;
signal BTN_3_Count_2_CYINIT_6049 : STD_LOGIC;
signal BTN_3_Count_2_F : STD_LOGIC;
signal BTN_3_Count_2_DYMUX_6034 : STD_LOGIC;
signal BTN_3_Count_2_XORG_6032 : STD_LOGIC;
signal BTN_3_Count_2_CYSELF_6030 : STD_LOGIC;
signal BTN_3_Count_2_CYMUXFAST_6029 : STD_LOGIC;
signal BTN_3_Count_2_CYAND_6028 : STD_LOGIC;
signal BTN_3_Count_2_FASTCARRY_6027 : STD_LOGIC;
signal BTN_3_Count_2_CYMUXG2_6026 : STD_LOGIC;
signal BTN_3_Count_2_CYMUXF2_6025 : STD_LOGIC;
signal BTN_3_Count_2_LOGIC_ZERO_6024 : STD_LOGIC;
signal BTN_3_Count_2_CYSELG_6015 : STD_LOGIC;
signal BTN_3_Count_2_G : STD_LOGIC;
signal BTN_3_Count_2_SRINV_6013 : STD_LOGIC;
signal BTN_3_Count_2_CLKINV_6012 : STD_LOGIC;
signal BTN_3_Count_2_CEINVNOT : STD_LOGIC;
signal BTN_3_Count_4_DXMUX_6108 : STD_LOGIC;
signal BTN_3_Count_4_XORF_6106 : STD_LOGIC;
signal BTN_3_Count_4_CYINIT_6105 : STD_LOGIC;
signal BTN_3_Count_4_F : STD_LOGIC;
signal BTN_3_Count_4_DYMUX_6090 : STD_LOGIC;
signal BTN_3_Count_4_XORG_6088 : STD_LOGIC;
signal BTN_3_Count_4_CYSELF_6086 : STD_LOGIC;
signal BTN_3_Count_4_CYMUXFAST_6085 : STD_LOGIC;
signal BTN_3_Count_4_CYAND_6084 : STD_LOGIC;
signal BTN_3_Count_4_FASTCARRY_6083 : STD_LOGIC;
signal BTN_3_Count_4_CYMUXG2_6082 : STD_LOGIC;
signal BTN_3_Count_4_CYMUXF2_6081 : STD_LOGIC;
signal BTN_3_Count_4_LOGIC_ZERO_6080 : STD_LOGIC;
signal BTN_3_Count_4_CYSELG_6071 : STD_LOGIC;
signal BTN_3_Count_4_G : STD_LOGIC;
signal BTN_3_Count_4_SRINV_6069 : STD_LOGIC;
signal BTN_3_Count_4_CLKINV_6068 : STD_LOGIC;
signal BTN_3_Count_4_CEINVNOT : STD_LOGIC;
signal BTN_3_Count_6_DXMUX_6164 : STD_LOGIC;
signal BTN_3_Count_6_XORF_6162 : STD_LOGIC;
signal BTN_3_Count_6_CYINIT_6161 : STD_LOGIC;
signal BTN_3_Count_6_F : STD_LOGIC;
signal BTN_3_Count_6_DYMUX_6146 : STD_LOGIC;
signal BTN_3_Count_6_XORG_6144 : STD_LOGIC;
signal BTN_3_Count_6_CYSELF_6142 : STD_LOGIC;
signal BTN_3_Count_6_CYMUXFAST_6141 : STD_LOGIC;
signal BTN_3_Count_6_CYAND_6140 : STD_LOGIC;
signal BTN_3_Count_6_FASTCARRY_6139 : STD_LOGIC;
signal BTN_3_Count_6_CYMUXG2_6138 : STD_LOGIC;
signal BTN_3_Count_6_CYMUXF2_6137 : STD_LOGIC;
signal BTN_3_Count_6_LOGIC_ZERO_6136 : STD_LOGIC;
signal BTN_3_Count_6_CYSELG_6127 : STD_LOGIC;
signal BTN_3_Count_6_G : STD_LOGIC;
signal BTN_3_Count_6_SRINV_6125 : STD_LOGIC;
signal BTN_3_Count_6_CLKINV_6124 : STD_LOGIC;
signal BTN_3_Count_6_CEINVNOT : STD_LOGIC;
signal BTN_3_Count_8_DXMUX_6220 : STD_LOGIC;
signal BTN_3_Count_8_XORF_6218 : STD_LOGIC;
signal BTN_3_Count_8_CYINIT_6217 : STD_LOGIC;
signal BTN_3_Count_8_F : STD_LOGIC;
signal BTN_3_Count_8_DYMUX_6202 : STD_LOGIC;
signal BTN_3_Count_8_XORG_6200 : STD_LOGIC;
signal BTN_3_Count_8_CYSELF_6198 : STD_LOGIC;
signal BTN_3_Count_8_CYMUXFAST_6197 : STD_LOGIC;
signal BTN_3_Count_8_CYAND_6196 : STD_LOGIC;
signal BTN_3_Count_8_FASTCARRY_6195 : STD_LOGIC;
signal BTN_3_Count_8_CYMUXG2_6194 : STD_LOGIC;
signal BTN_3_Count_8_CYMUXF2_6193 : STD_LOGIC;
signal BTN_3_Count_8_LOGIC_ZERO_6192 : STD_LOGIC;
signal BTN_3_Count_8_CYSELG_6183 : STD_LOGIC;
signal BTN_3_Count_8_G : STD_LOGIC;
signal BTN_3_Count_8_SRINV_6181 : STD_LOGIC;
signal BTN_3_Count_8_CLKINV_6180 : STD_LOGIC;
signal BTN_3_Count_8_CEINVNOT : STD_LOGIC;
signal BTN_3_Count_10_DXMUX_6276 : STD_LOGIC;
signal BTN_3_Count_10_XORF_6274 : STD_LOGIC;
signal BTN_3_Count_10_CYINIT_6273 : STD_LOGIC;
signal BTN_3_Count_10_F : STD_LOGIC;
signal BTN_3_Count_10_DYMUX_6258 : STD_LOGIC;
signal BTN_3_Count_10_XORG_6256 : STD_LOGIC;
signal BTN_3_Count_10_CYSELF_6254 : STD_LOGIC;
signal BTN_3_Count_10_CYMUXFAST_6253 : STD_LOGIC;
signal BTN_3_Count_10_CYAND_6252 : STD_LOGIC;
signal BTN_3_Count_10_FASTCARRY_6251 : STD_LOGIC;
signal BTN_3_Count_10_CYMUXG2_6250 : STD_LOGIC;
signal BTN_3_Count_10_CYMUXF2_6249 : STD_LOGIC;
signal BTN_3_Count_10_LOGIC_ZERO_6248 : STD_LOGIC;
signal BTN_3_Count_10_CYSELG_6239 : STD_LOGIC;
signal BTN_3_Count_10_G : STD_LOGIC;
signal BTN_3_Count_10_SRINV_6237 : STD_LOGIC;
signal BTN_3_Count_10_CLKINV_6236 : STD_LOGIC;
signal BTN_3_Count_10_CEINVNOT : STD_LOGIC;
signal BTN_3_Count_12_DXMUX_6332 : STD_LOGIC;
signal BTN_3_Count_12_XORF_6330 : STD_LOGIC;
signal BTN_3_Count_12_CYINIT_6329 : STD_LOGIC;
signal BTN_3_Count_12_F : STD_LOGIC;
signal BTN_3_Count_12_DYMUX_6314 : STD_LOGIC;
signal BTN_3_Count_12_XORG_6312 : STD_LOGIC;
signal BTN_3_Count_12_CYSELF_6310 : STD_LOGIC;
signal BTN_3_Count_12_CYMUXFAST_6309 : STD_LOGIC;
signal BTN_3_Count_12_CYAND_6308 : STD_LOGIC;
signal BTN_3_Count_12_FASTCARRY_6307 : STD_LOGIC;
signal BTN_3_Count_12_CYMUXG2_6306 : STD_LOGIC;
signal BTN_3_Count_12_CYMUXF2_6305 : STD_LOGIC;
signal BTN_3_Count_12_LOGIC_ZERO_6304 : STD_LOGIC;
signal BTN_3_Count_12_CYSELG_6295 : STD_LOGIC;
signal BTN_3_Count_12_G : STD_LOGIC;
signal BTN_3_Count_12_SRINV_6293 : STD_LOGIC;
signal BTN_3_Count_12_CLKINV_6292 : STD_LOGIC;
signal BTN_3_Count_12_CEINVNOT : STD_LOGIC;
signal BTN_3_Count_14_DXMUX_6388 : STD_LOGIC;
signal BTN_3_Count_14_XORF_6386 : STD_LOGIC;
signal BTN_3_Count_14_CYINIT_6385 : STD_LOGIC;
signal BTN_3_Count_14_F : STD_LOGIC;
signal BTN_3_Count_14_DYMUX_6370 : STD_LOGIC;
signal BTN_3_Count_14_XORG_6368 : STD_LOGIC;
signal BTN_3_Count_14_CYSELF_6366 : STD_LOGIC;
signal BTN_3_Count_14_CYMUXFAST_6365 : STD_LOGIC;
signal BTN_3_Count_14_CYAND_6364 : STD_LOGIC;
signal BTN_3_Count_14_FASTCARRY_6363 : STD_LOGIC;
signal BTN_3_Count_14_CYMUXG2_6362 : STD_LOGIC;
signal BTN_3_Count_14_CYMUXF2_6361 : STD_LOGIC;
signal BTN_3_Count_14_LOGIC_ZERO_6360 : STD_LOGIC;
signal BTN_3_Count_14_CYSELG_6351 : STD_LOGIC;
signal BTN_3_Count_14_G : STD_LOGIC;
signal BTN_3_Count_14_SRINV_6349 : STD_LOGIC;
signal BTN_3_Count_14_CLKINV_6348 : STD_LOGIC;
signal BTN_3_Count_14_CEINVNOT : STD_LOGIC;
signal BTN_3_Count_16_DXMUX_6444 : STD_LOGIC;
signal BTN_3_Count_16_XORF_6442 : STD_LOGIC;
signal BTN_3_Count_16_CYINIT_6441 : STD_LOGIC;
signal BTN_3_Count_16_F : STD_LOGIC;
signal BTN_3_Count_16_DYMUX_6426 : STD_LOGIC;
signal BTN_3_Count_16_XORG_6424 : STD_LOGIC;
signal BTN_3_Count_16_CYSELF_6422 : STD_LOGIC;
signal BTN_3_Count_16_CYMUXFAST_6421 : STD_LOGIC;
signal BTN_3_Count_16_CYAND_6420 : STD_LOGIC;
signal BTN_3_Count_16_FASTCARRY_6419 : STD_LOGIC;
signal BTN_3_Count_16_CYMUXG2_6418 : STD_LOGIC;
signal BTN_3_Count_16_CYMUXF2_6417 : STD_LOGIC;
signal BTN_3_Count_16_LOGIC_ZERO_6416 : STD_LOGIC;
signal BTN_3_Count_16_CYSELG_6407 : STD_LOGIC;
signal BTN_3_Count_16_G : STD_LOGIC;
signal BTN_3_Count_16_SRINV_6405 : STD_LOGIC;
signal BTN_3_Count_16_CLKINV_6404 : STD_LOGIC;
signal BTN_3_Count_16_CEINVNOT : STD_LOGIC;
signal BTN_3_Count_18_DXMUX_6500 : STD_LOGIC;
signal BTN_3_Count_18_XORF_6498 : STD_LOGIC;
signal BTN_3_Count_18_CYINIT_6497 : STD_LOGIC;
signal BTN_3_Count_18_F : STD_LOGIC;
signal BTN_3_Count_18_DYMUX_6482 : STD_LOGIC;
signal BTN_3_Count_18_XORG_6480 : STD_LOGIC;
signal BTN_3_Count_18_CYSELF_6478 : STD_LOGIC;
signal BTN_3_Count_18_CYMUXFAST_6477 : STD_LOGIC;
signal BTN_3_Count_18_CYAND_6476 : STD_LOGIC;
signal BTN_3_Count_18_FASTCARRY_6475 : STD_LOGIC;
signal BTN_3_Count_18_CYMUXG2_6474 : STD_LOGIC;
signal BTN_3_Count_18_CYMUXF2_6473 : STD_LOGIC;
signal BTN_3_Count_18_LOGIC_ZERO_6472 : STD_LOGIC;
signal BTN_3_Count_18_CYSELG_6463 : STD_LOGIC;
signal BTN_3_Count_18_G : STD_LOGIC;
signal BTN_3_Count_18_SRINV_6461 : STD_LOGIC;
signal BTN_3_Count_18_CLKINV_6460 : STD_LOGIC;
signal BTN_3_Count_18_CEINVNOT : STD_LOGIC;
signal BTN_3_Count_20_DXMUX_6527 : STD_LOGIC;
signal BTN_3_Count_20_XORF_6525 : STD_LOGIC;
signal BTN_3_Count_20_CYINIT_6524 : STD_LOGIC;
signal BTN_3_Count_20_rt_6522 : STD_LOGIC;
signal BTN_3_Count_20_SRINV_6514 : STD_LOGIC;
signal BTN_3_Count_20_CLKINV_6513 : STD_LOGIC;
signal BTN_3_Count_20_CEINVNOT : STD_LOGIC;
signal SSeg_count240hz_cmp_eq0000_wg_cy_1_CYINIT_6561 : STD_LOGIC;
signal SSeg_count240hz_cmp_eq0000_wg_cy_1_CYSELF_6552 : STD_LOGIC;
signal SSeg_count240hz_cmp_eq0000_wg_cy_1_BXINV_6550 : STD_LOGIC;
signal SSeg_count240hz_cmp_eq0000_wg_cy_1_CYMUXG_6549 : STD_LOGIC;
signal SSeg_count240hz_cmp_eq0000_wg_cy_1_LOGIC_ZERO_6547 : STD_LOGIC;
signal SSeg_count240hz_cmp_eq0000_wg_cy_1_CYSELG_6541 : STD_LOGIC;
signal SSeg_count240hz_cmp_eq0000_wg_cy_3_CYSELF_6585 : STD_LOGIC;
signal SSeg_count240hz_cmp_eq0000_wg_cy_3_CYMUXFAST_6584 : STD_LOGIC;
signal SSeg_count240hz_cmp_eq0000_wg_cy_3_CYAND_6583 : STD_LOGIC;
signal SSeg_count240hz_cmp_eq0000_wg_cy_3_FASTCARRY_6582 : STD_LOGIC;
signal SSeg_count240hz_cmp_eq0000_wg_cy_3_CYMUXG2_6581 : STD_LOGIC;
signal SSeg_count240hz_cmp_eq0000_wg_cy_3_CYMUXF2_6580 : STD_LOGIC;
signal SSeg_count240hz_cmp_eq0000_wg_cy_3_LOGIC_ZERO_6579 : STD_LOGIC;
signal SSeg_count240hz_cmp_eq0000_wg_cy_3_CYSELG_6573 : STD_LOGIC;
signal SSeg_count240hz_cmp_eq0000_LOGIC_ZERO_6606 : STD_LOGIC;
signal SSeg_count240hz_cmp_eq0000_CYINIT_6605 : STD_LOGIC;
signal SSeg_count240hz_cmp_eq0000_CYSELF_6599 : STD_LOGIC;
signal CLK_INBUF : STD_LOGIC;
signal AN_0_O : STD_LOGIC;
signal AN_1_O : STD_LOGIC;
signal AN_2_O : STD_LOGIC;
signal AN_3_O : STD_LOGIC;
signal SW_0_INBUF : STD_LOGIC;
signal SW_1_INBUF : STD_LOGIC;
signal SW_2_INBUF : STD_LOGIC;
signal SW_3_INBUF : STD_LOGIC;
signal SW_4_INBUF : STD_LOGIC;
signal SW_5_INBUF : STD_LOGIC;
signal SW_6_INBUF : STD_LOGIC;
signal SW_7_INBUF : STD_LOGIC;
signal BTN_0_INBUF : STD_LOGIC;
signal BTN_1_INBUF : STD_LOGIC;
signal BTN_2_INBUF : STD_LOGIC;
signal BTN_3_INBUF : STD_LOGIC;
signal SEG_0_O : STD_LOGIC;
signal SEG_1_O : STD_LOGIC;
signal SEG_2_O : STD_LOGIC;
signal SEG_3_O : STD_LOGIC;
signal SEG_4_O : STD_LOGIC;
signal SEG_5_O : STD_LOGIC;
signal SEG_6_O : STD_LOGIC;
signal CLK_BUFGP_BUFG_S_INVNOT : STD_LOGIC;
signal CLK_BUFGP_BUFG_I0_INV : STD_LOGIC;
signal N21_F5MUX_6801 : STD_LOGIC;
signal N21_F : STD_LOGIC;
signal N21_BXINV_6790 : STD_LOGIC;
signal ALU_Mux_ALU_OUT_0_51 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001257_F5MUX_6826 : STD_LOGIC;
signal N101 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001257_BXINV_6819 : STD_LOGIC;
signal N100 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001828_F5MUX_6851 : STD_LOGIC;
signal N99 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001828_BXINV_6844 : STD_LOGIC;
signal N98 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001686_F5MUX_6876 : STD_LOGIC;
signal N109 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux0001686_BXINV_6869 : STD_LOGIC;
signal N108 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014107_F5MUX_6901 : STD_LOGIC;
signal N103 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014107_BXINV_6894 : STD_LOGIC;
signal N102 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00018194_F5MUX_6926 : STD_LOGIC;
signal N105 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00018194_BXINV_6919 : STD_LOGIC;
signal N104 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00018290_F5MUX_6951 : STD_LOGIC;
signal N97 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00018290_BXINV_6944 : STD_LOGIC;
signal N96 : STD_LOGIC;
signal N68_F5MUX_6976 : STD_LOGIC;
signal N107 : STD_LOGIC;
signal N68_BXINV_6969 : STD_LOGIC;
signal N106 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012171_F5MUX_7001 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux000121711_6999 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012171_BXINV_6993 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux000121712_6991 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014312_F5MUX_7026 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux000143121_7024 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014312_BXINV_7019 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux000143122_7017 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00016175_F5MUX_7051 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00016175_F : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00016175_BXINV_7040 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux000161751_7038 : STD_LOGIC;
signal SSeg_hexnum_0_DXMUX_7079 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00012292_O_pack_1 : STD_LOGIC;
signal SSeg_hexnum_0_CLKINV_7062 : STD_LOGIC;
signal SSeg_hexnum_1_DXMUX_7109 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00014384_SW0_O_pack_2 : STD_LOGIC;
signal SSeg_hexnum_1_CLKINV_7093 : STD_LOGIC;
signal SSeg_hexnum_2_DXMUX_7139 : STD_LOGIC;
signal SSeg_Mmux_hexnum_mux00016330_O_pack_1 : STD_LOGIC;
signal SSeg_hexnum_2_CLKINV_7122 : STD_LOGIC;
signal SSeg_hexnum_3_DYMUX_7157 : STD_LOGIC;
signal SSeg_hexnum_3_CLKINV_7147 : STD_LOGIC;
signal SSeg_AN_OUT_1_DXMUX_7191 : STD_LOGIC;
signal SSeg_Mrom_AN_OUT_mux00011 : STD_LOGIC;
signal SSeg_AN_OUT_1_DYMUX_7178 : STD_LOGIC;
signal SSeg_Mrom_AN_OUT_mux0001 : STD_LOGIC;
signal SSeg_AN_OUT_1_CLKINV_7168 : STD_LOGIC;
signal SSeg_AN_OUT_3_DXMUX_7225 : STD_LOGIC;
signal SSeg_Mrom_AN_OUT_mux00013 : STD_LOGIC;
signal SSeg_AN_OUT_3_DYMUX_7212 : STD_LOGIC;
signal SSeg_Mrom_AN_OUT_mux00012 : STD_LOGIC;
signal SSeg_AN_OUT_3_CLKINV_7202 : STD_LOGIC;
signal SSeg_count240hz_11_DXMUX_7259 : STD_LOGIC;
signal SSeg_Mcount_count240hz_eqn_11 : STD_LOGIC;
signal SSeg_count240hz_11_DYMUX_7246 : STD_LOGIC;
signal SSeg_Mcount_count240hz_eqn_10 : STD_LOGIC;
signal SSeg_count240hz_11_CLKINV_7236 : STD_LOGIC;
signal SSeg_count240hz_13_DXMUX_7293 : STD_LOGIC;
signal SSeg_Mcount_count240hz_eqn_13 : STD_LOGIC;
signal SSeg_count240hz_13_DYMUX_7280 : STD_LOGIC;
signal SSeg_Mcount_count240hz_eqn_12 : STD_LOGIC;
signal SSeg_count240hz_13_CLKINV_7270 : STD_LOGIC;
signal VCC : STD_LOGIC;
signal GND : STD_LOGIC;
signal NlwInverterSignal_UXCntl_Unit_VALB_2_CLK : STD_LOGIC;
signal NlwInverterSignal_UXCntl_Unit_VALB_3_CLK : STD_LOGIC;
signal NlwInverterSignal_UXCntl_Unit_VALA_6_CLK : STD_LOGIC;
signal NlwInverterSignal_UXCntl_Unit_VALA_7_CLK : STD_LOGIC;
signal NlwInverterSignal_UXCntl_Unit_VALB_4_CLK : STD_LOGIC;
signal NlwInverterSignal_UXCntl_Unit_VALB_5_CLK : STD_LOGIC;
signal NlwInverterSignal_UXCntl_Unit_VALB_6_CLK : STD_LOGIC;
signal NlwInverterSignal_UXCntl_Unit_VALB_7_CLK : STD_LOGIC;
signal NlwInverterSignal_UXCntl_Unit_OPCODE_0_CLK : STD_LOGIC;
signal NlwInverterSignal_UXCntl_Unit_OPCODE_1_CLK : STD_LOGIC;
signal NlwInverterSignal_UXCntl_Unit_OPCODE_2_CLK : STD_LOGIC;
signal NlwInverterSignal_UXCntl_Unit_OPCODE_3_CLK : STD_LOGIC;
signal NlwInverterSignal_UXCntl_Unit_VALA_0_CLK : STD_LOGIC;
signal NlwInverterSignal_UXCntl_Unit_VALA_1_CLK : STD_LOGIC;
signal NlwInverterSignal_UXCntl_Unit_VALA_2_CLK : STD_LOGIC;
signal NlwInverterSignal_UXCntl_Unit_VALA_3_CLK : STD_LOGIC;
signal NlwInverterSignal_UXCntl_Unit_VALB_0_CLK : STD_LOGIC;
signal NlwInverterSignal_UXCntl_Unit_VALB_1_CLK : STD_LOGIC;
signal NlwInverterSignal_UXCntl_Unit_VALA_4_CLK : STD_LOGIC;
signal NlwInverterSignal_UXCntl_Unit_VALA_5_CLK : STD_LOGIC;
signal SSeg_Result : STD_LOGIC_VECTOR ( 16 downto 0 );
signal SSeg_count240hz : STD_LOGIC_VECTOR ( 16 downto 0 );
signal UXCntl_Unit_VALB : STD_LOGIC_VECTOR ( 7 downto 0 );
signal UXCntl_Unit_VALA : STD_LOGIC_VECTOR ( 7 downto 0 );
signal UXCntl_Unit_OPCODE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal SSeg_hexnum : STD_LOGIC_VECTOR ( 3 downto 0 );
signal BTN_2_Count : STD_LOGIC_VECTOR ( 20 downto 0 );
signal BTN_2_D_STATE : STD_LOGIC_VECTOR ( 1 downto 0 );
signal Load_Store_Unit_reg : STD_LOGIC_VECTOR ( 7 downto 0 );
signal arith : STD_LOGIC_VECTOR ( 7 downto 0 );
signal BTN_0_D_STATE : STD_LOGIC_VECTOR ( 1 downto 0 );
signal BTN_0_Count : STD_LOGIC_VECTOR ( 20 downto 0 );
signal BTN_3_Count : STD_LOGIC_VECTOR ( 20 downto 0 );
signal BTN_3_D_STATE : STD_LOGIC_VECTOR ( 1 downto 0 );
signal BTN_1_D_STATE : STD_LOGIC_VECTOR ( 1 downto 0 );
signal SSeg_pos : STD_LOGIC_VECTOR ( 1 downto 0 );
signal BTN_1_Count : STD_LOGIC_VECTOR ( 20 downto 0 );
signal BTN_1_Mcount_Count_cy : STD_LOGIC_VECTOR ( 18 downto 0 );
signal BTN_0_Mcount_Count_cy : STD_LOGIC_VECTOR ( 18 downto 0 );
signal SSeg_Mcount_count240hz_cy : STD_LOGIC_VECTOR ( 14 downto 0 );
signal BTN_2_Mcount_Count_cy : STD_LOGIC_VECTOR ( 18 downto 0 );
signal BTN_3_Mcount_Count_cy : STD_LOGIC_VECTOR ( 18 downto 0 );
signal SSeg_AN_OUT : STD_LOGIC_VECTOR ( 3 downto 0 );
signal BTN_1_Mcount_Count_lut : STD_LOGIC_VECTOR ( 0 downto 0 );
signal Arith_Unit_Maddsub_arith_lut : STD_LOGIC_VECTOR ( 7 downto 0 );
signal BTN_0_Mcount_Count_lut : STD_LOGIC_VECTOR ( 0 downto 0 );
signal SSeg_Mcount_count240hz_lut : STD_LOGIC_VECTOR ( 0 downto 0 );
signal BTN_2_Mcount_Count_lut : STD_LOGIC_VECTOR ( 0 downto 0 );
signal BTN_3_Mcount_Count_lut : STD_LOGIC_VECTOR ( 0 downto 0 );
signal SSeg_count240hz_cmp_eq0000_wg_lut : STD_LOGIC_VECTOR ( 4 downto 0 );
signal SSeg_count240hz_cmp_eq0000_wg_cy : STD_LOGIC_VECTOR ( 0 downto 0 );
signal SSeg_hexnum_mux0001 : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
SSeg_count240hz_15_DXMUX : X_BUF
generic map(
LOC => "SLICE_X32Y21",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_eqn_15,
O => SSeg_count240hz_15_DXMUX_2085
);
SSeg_count240hz_15_DYMUX : X_BUF
generic map(
LOC => "SLICE_X32Y21",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_eqn_14,
O => SSeg_count240hz_15_DYMUX_2072
);
SSeg_count240hz_15_CLKINV : X_BUF
generic map(
LOC => "SLICE_X32Y21",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => SSeg_count240hz_15_CLKINV_2062
);
SSeg_Mcount_count240hz_eqn_141 : X_LUT4
generic map(
INIT => X"0F00",
LOC => "SLICE_X32Y21"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => SSeg_count240hz_cmp_eq0000,
ADR3 => SSeg_Result(14),
O => SSeg_Mcount_count240hz_eqn_14
);
SSeg_count240hz_16_DYMUX : X_BUF
generic map(
LOC => "SLICE_X35Y22",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_eqn_16,
O => SSeg_count240hz_16_DYMUX_2103
);
SSeg_count240hz_16_CLKINV : X_BUF
generic map(
LOC => "SLICE_X35Y22",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => SSeg_count240hz_16_CLKINV_2093
);
SSeg_Mcount_count240hz_eqn_161 : X_LUT4
generic map(
INIT => X"00CC",
LOC => "SLICE_X35Y22"
)
port map (
ADR0 => VCC,
ADR1 => SSeg_Result(16),
ADR2 => VCC,
ADR3 => SSeg_count240hz_cmp_eq0000,
O => SSeg_Mcount_count240hz_eqn_16
);
SSeg_count240hz_1_DXMUX : X_BUF
generic map(
LOC => "SLICE_X35Y15",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_eqn_1,
O => SSeg_count240hz_1_DXMUX_2137
);
SSeg_count240hz_1_DYMUX : X_BUF
generic map(
LOC => "SLICE_X35Y15",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_eqn_0,
O => SSeg_count240hz_1_DYMUX_2124
);
SSeg_count240hz_1_CLKINV : X_BUF
generic map(
LOC => "SLICE_X35Y15",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => SSeg_count240hz_1_CLKINV_2114
);
SSeg_Mcount_count240hz_eqn_01 : X_LUT4
generic map(
INIT => X"0C0C",
LOC => "SLICE_X35Y15"
)
port map (
ADR0 => VCC,
ADR1 => SSeg_Result(0),
ADR2 => SSeg_count240hz_cmp_eq0000,
ADR3 => VCC,
O => SSeg_Mcount_count240hz_eqn_0
);
SSeg_count240hz_3_DXMUX : X_BUF
generic map(
LOC => "SLICE_X35Y14",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_eqn_3,
O => SSeg_count240hz_3_DXMUX_2171
);
SSeg_count240hz_3_DYMUX : X_BUF
generic map(
LOC => "SLICE_X35Y14",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_eqn_2,
O => SSeg_count240hz_3_DYMUX_2158
);
SSeg_count240hz_3_CLKINV : X_BUF
generic map(
LOC => "SLICE_X35Y14",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => SSeg_count240hz_3_CLKINV_2148
);
SSeg_Mcount_count240hz_eqn_21 : X_LUT4
generic map(
INIT => X"0A0A",
LOC => "SLICE_X35Y14"
)
port map (
ADR0 => SSeg_Result(2),
ADR1 => VCC,
ADR2 => SSeg_count240hz_cmp_eq0000,
ADR3 => VCC,
O => SSeg_Mcount_count240hz_eqn_2
);
SSeg_count240hz_5_DXMUX : X_BUF
generic map(
LOC => "SLICE_X34Y19",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_eqn_5,
O => SSeg_count240hz_5_DXMUX_2205
);
SSeg_count240hz_5_DYMUX : X_BUF
generic map(
LOC => "SLICE_X34Y19",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_eqn_4,
O => SSeg_count240hz_5_DYMUX_2192
);
SSeg_count240hz_5_CLKINV : X_BUF
generic map(
LOC => "SLICE_X34Y19",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => SSeg_count240hz_5_CLKINV_2182
);
SSeg_Mcount_count240hz_eqn_41 : X_LUT4
generic map(
INIT => X"00CC",
LOC => "SLICE_X34Y19"
)
port map (
ADR0 => VCC,
ADR1 => SSeg_Result(4),
ADR2 => VCC,
ADR3 => SSeg_count240hz_cmp_eq0000,
O => SSeg_Mcount_count240hz_eqn_4
);
SSeg_count240hz_7_DXMUX : X_BUF
generic map(
LOC => "SLICE_X35Y17",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_eqn_7,
O => SSeg_count240hz_7_DXMUX_2239
);
SSeg_count240hz_7_DYMUX : X_BUF
generic map(
LOC => "SLICE_X35Y17",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_eqn_6,
O => SSeg_count240hz_7_DYMUX_2226
);
SSeg_count240hz_7_CLKINV : X_BUF
generic map(
LOC => "SLICE_X35Y17",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => SSeg_count240hz_7_CLKINV_2216
);
SSeg_Mcount_count240hz_eqn_61 : X_LUT4
generic map(
INIT => X"00CC",
LOC => "SLICE_X35Y17"
)
port map (
ADR0 => VCC,
ADR1 => SSeg_Result(6),
ADR2 => VCC,
ADR3 => SSeg_count240hz_cmp_eq0000,
O => SSeg_Mcount_count240hz_eqn_6
);
SSeg_count240hz_9_DXMUX : X_BUF
generic map(
LOC => "SLICE_X32Y19",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_eqn_9,
O => SSeg_count240hz_9_DXMUX_2273
);
SSeg_count240hz_9_DYMUX : X_BUF
generic map(
LOC => "SLICE_X32Y19",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_eqn_8,
O => SSeg_count240hz_9_DYMUX_2260
);
SSeg_count240hz_9_CLKINV : X_BUF
generic map(
LOC => "SLICE_X32Y19",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => SSeg_count240hz_9_CLKINV_2250
);
SSeg_Mcount_count240hz_eqn_81 : X_LUT4
generic map(
INIT => X"0A0A",
LOC => "SLICE_X32Y19"
)
port map (
ADR0 => SSeg_Result(8),
ADR1 => VCC,
ADR2 => SSeg_count240hz_cmp_eq0000,
ADR3 => VCC,
O => SSeg_Mcount_count240hz_eqn_8
);
N27_XUSED : X_BUF
generic map(
LOC => "SLICE_X67Y23",
PATHPULSE => 638 ps
)
port map (
I => N27,
O => N27_0
);
N27_YUSED : X_BUF
generic map(
LOC => "SLICE_X67Y23",
PATHPULSE => 638 ps
)
port map (
I => N94,
O => N94_0
);
SSeg_Mmux_hexnum_mux00014312_SW0_SW0 : X_LUT4
generic map(
INIT => X"757F",
LOC => "SLICE_X67Y23"
)
port map (
ADR0 => UXCntl_Unit_VALB(2),
ADR1 => UXCntl_Unit_VALA(0),
ADR2 => UXCntl_Unit_VALB(0),
ADR3 => UXCntl_Unit_VALA(1),
O => N94
);
UXCntl_Unit_VALA_1_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y39",
PATHPULSE => 638 ps
)
port map (
I => SW_1_IBUF_1740,
O => UXCntl_Unit_VALA_1_DXMUX_2313
);
UXCntl_Unit_VALA_1_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y39",
PATHPULSE => 638 ps
)
port map (
I => SW_0_IBUF_1741,
O => UXCntl_Unit_VALA_1_DYMUX_2308
);
UXCntl_Unit_VALA_1_CLKINV : X_INV
generic map(
LOC => "SLICE_X65Y39",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALA_cmp_eq0000_0,
O => UXCntl_Unit_VALA_1_CLKINVNOT
);
UXCntl_Unit_VALA_3_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y23",
PATHPULSE => 638 ps
)
port map (
I => SW_3_IBUF_1743,
O => UXCntl_Unit_VALA_3_DXMUX_2329
);
UXCntl_Unit_VALA_3_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y23",
PATHPULSE => 638 ps
)
port map (
I => SW_2_IBUF_1744,
O => UXCntl_Unit_VALA_3_DYMUX_2324
);
UXCntl_Unit_VALA_3_CLKINV : X_INV
generic map(
LOC => "SLICE_X65Y23",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALA_cmp_eq0000_0,
O => UXCntl_Unit_VALA_3_CLKINVNOT
);
UXCntl_Unit_VALB_1_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y38",
PATHPULSE => 638 ps
)
port map (
I => SW_1_IBUF_1740,
O => UXCntl_Unit_VALB_1_DXMUX_2345
);
UXCntl_Unit_VALB_1_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y38",
PATHPULSE => 638 ps
)
port map (
I => SW_0_IBUF_1741,
O => UXCntl_Unit_VALB_1_DYMUX_2340
);
UXCntl_Unit_VALB_1_CLKINV : X_INV
generic map(
LOC => "SLICE_X65Y38",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALB_cmp_eq0000_0,
O => UXCntl_Unit_VALB_1_CLKINVNOT
);
UXCntl_Unit_VALA_5_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y19",
PATHPULSE => 638 ps
)
port map (
I => SW_5_IBUF_1748,
O => UXCntl_Unit_VALA_5_DXMUX_2361
);
UXCntl_Unit_VALA_5_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y19",
PATHPULSE => 638 ps
)
port map (
I => SW_4_IBUF_1749,
O => UXCntl_Unit_VALA_5_DYMUX_2356
);
UXCntl_Unit_VALA_5_CLKINV : X_INV
generic map(
LOC => "SLICE_X65Y19",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALA_cmp_eq0000_0,
O => UXCntl_Unit_VALA_5_CLKINVNOT
);
UXCntl_Unit_VALB_3_DXMUX : X_BUF
generic map(
LOC => "SLICE_X64Y22",
PATHPULSE => 638 ps
)
port map (
I => SW_3_IBUF_1743,
O => UXCntl_Unit_VALB_3_DXMUX_2377
);
UXCntl_Unit_VALB_3_DYMUX : X_BUF
generic map(
LOC => "SLICE_X64Y22",
PATHPULSE => 638 ps
)
port map (
I => SW_2_IBUF_1744,
O => UXCntl_Unit_VALB_3_DYMUX_2372
);
UXCntl_Unit_VALB_3_CLKINV : X_INV
generic map(
LOC => "SLICE_X64Y22",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALB_cmp_eq0000_0,
O => UXCntl_Unit_VALB_3_CLKINVNOT
);
UXCntl_Unit_VALA_7_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y18",
PATHPULSE => 638 ps
)
port map (
I => SW_7_IBUF_1753,
O => UXCntl_Unit_VALA_7_DXMUX_2393
);
UXCntl_Unit_VALA_7_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y18",
PATHPULSE => 638 ps
)
port map (
I => SW_6_IBUF_1754,
O => UXCntl_Unit_VALA_7_DYMUX_2388
);
UXCntl_Unit_VALA_7_CLKINV : X_INV
generic map(
LOC => "SLICE_X65Y18",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALA_cmp_eq0000_0,
O => UXCntl_Unit_VALA_7_CLKINVNOT
);
UXCntl_Unit_VALB_5_DXMUX : X_BUF
generic map(
LOC => "SLICE_X64Y20",
PATHPULSE => 638 ps
)
port map (
I => SW_5_IBUF_1748,
O => UXCntl_Unit_VALB_5_DXMUX_2409
);
UXCntl_Unit_VALB_5_DYMUX : X_BUF
generic map(
LOC => "SLICE_X64Y20",
PATHPULSE => 638 ps
)
port map (
I => SW_4_IBUF_1749,
O => UXCntl_Unit_VALB_5_DYMUX_2404
);
UXCntl_Unit_VALB_5_CLKINV : X_INV
generic map(
LOC => "SLICE_X64Y20",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALB_cmp_eq0000_0,
O => UXCntl_Unit_VALB_5_CLKINVNOT
);
UXCntl_Unit_VALB_7_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y21",
PATHPULSE => 638 ps
)
port map (
I => SW_7_IBUF_1753,
O => UXCntl_Unit_VALB_7_DXMUX_2425
);
UXCntl_Unit_VALB_7_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y21",
PATHPULSE => 638 ps
)
port map (
I => SW_6_IBUF_1754,
O => UXCntl_Unit_VALB_7_DYMUX_2420
);
UXCntl_Unit_VALB_7_CLKINV : X_INV
generic map(
LOC => "SLICE_X65Y21",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALB_cmp_eq0000_0,
O => UXCntl_Unit_VALB_7_CLKINVNOT
);
Load_Store_Unit_w_en_XUSED : X_BUF
generic map(
LOC => "SLICE_X64Y30",
PATHPULSE => 638 ps
)
port map (
I => Load_Store_Unit_w_en,
O => Load_Store_Unit_w_en_0
);
Load_Store_Unit_w_en_YUSED : X_BUF
generic map(
LOC => "SLICE_X64Y30",
PATHPULSE => 638 ps
)
port map (
I => Arith_Unit_arith_cmp_eq0000,
O => Arith_Unit_arith_cmp_eq0000_0
);
Arith_Unit_arith_cmp_eq00002 : X_LUT4
generic map(
INIT => X"0022",
LOC => "SLICE_X64Y30"
)
port map (
ADR0 => UXCntl_Unit_OPCODE(0),
ADR1 => UXCntl_Unit_OPCODE(2),
ADR2 => VCC,
ADR3 => UXCntl_Unit_OPCODE(1),
O => Arith_Unit_arith_cmp_eq0000
);
SSeg_Mrom_segnum111 : X_LUT4
generic map(
INIT => X"CA28",
LOC => "SLICE_X67Y48"
)
port map (
ADR0 => SSeg_hexnum(2),
ADR1 => SSeg_hexnum(3),
ADR2 => SSeg_hexnum(0),
ADR3 => SSeg_hexnum(1),
O => SEG_1_OBUF_2467
);
BTN_2_OUTPUT_not0001_YUSED : X_BUF
generic map(
LOC => "SLICE_X64Y68",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_and0000,
O => BTN_2_Count_and0000_0
);
BTN_2_Count_and00001 : X_LUT4
generic map(
INIT => X"33CC",
LOC => "SLICE_X64Y68"
)
port map (
ADR0 => VCC,
ADR1 => BTN_2_D_STATE(1),
ADR2 => VCC,
ADR3 => BTN_2_D_STATE(0),
O => BTN_2_Count_and0000
);
UXCntl_Unit_OPCODE_1_DXMUX : X_BUF
generic map(
LOC => "SLICE_X64Y39",
PATHPULSE => 638 ps
)
port map (
I => SW_1_IBUF_1740,
O => UXCntl_Unit_OPCODE_1_DXMUX_2513
);
UXCntl_Unit_OPCODE_1_DYMUX : X_BUF
generic map(
LOC => "SLICE_X64Y39",
PATHPULSE => 638 ps
)
port map (
I => SW_0_IBUF_1741,
O => UXCntl_Unit_OPCODE_1_DYMUX_2508
);
UXCntl_Unit_OPCODE_1_CLKINV : X_INV
generic map(
LOC => "SLICE_X64Y39",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_OPCODE_cmp_eq0000_0,
O => UXCntl_Unit_OPCODE_1_CLKINVNOT
);
UXCntl_Unit_OPCODE_3_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y36",
PATHPULSE => 638 ps
)
port map (
I => SW_3_IBUF_1743,
O => UXCntl_Unit_OPCODE_3_DXMUX_2529
);
UXCntl_Unit_OPCODE_3_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y36",
PATHPULSE => 638 ps
)
port map (
I => SW_2_IBUF_1744,
O => UXCntl_Unit_OPCODE_3_DYMUX_2524
);
UXCntl_Unit_OPCODE_3_CLKINV : X_INV
generic map(
LOC => "SLICE_X65Y36",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_OPCODE_cmp_eq0000_0,
O => UXCntl_Unit_OPCODE_3_CLKINVNOT
);
SSeg_Mmux_hexnum_mux00014201_XUSED : X_BUF
generic map(
LOC => "SLICE_X66Y30",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00014201_2554,
O => SSeg_Mmux_hexnum_mux00014201_0
);
SSeg_Mmux_hexnum_mux00014201_YUSED : X_BUF
generic map(
LOC => "SLICE_X66Y30",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux000126_2547,
O => SSeg_Mmux_hexnum_mux000126_0
);
SSeg_Mmux_hexnum_mux000126 : X_LUT4
generic map(
INIT => X"A8A0",
LOC => "SLICE_X66Y30"
)
port map (
ADR0 => UXCntl_Unit_VALA(0),
ADR1 => N28,
ADR2 => N21,
ADR3 => UXCntl_Unit_VALB(0),
O => SSeg_Mmux_hexnum_mux000126_2547
);
SSeg_Mmux_hexnum_mux0001422_XUSED : X_BUF
generic map(
LOC => "SLICE_X66Y36",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux0001422_2578,
O => SSeg_Mmux_hexnum_mux0001422_0
);
SSeg_Mmux_hexnum_mux0001422_YUSED : X_BUF
generic map(
LOC => "SLICE_X66Y36",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux000146_2570,
O => SSeg_Mmux_hexnum_mux000146_0
);
SSeg_Mmux_hexnum_mux000146 : X_LUT4
generic map(
INIT => X"A888",
LOC => "SLICE_X66Y36"
)
port map (
ADR0 => UXCntl_Unit_VALA(1),
ADR1 => N21,
ADR2 => UXCntl_Unit_VALB(1),
ADR3 => N28,
O => SSeg_Mmux_hexnum_mux000146_2570
);
SSeg_Mmux_hexnum_mux0001476_XUSED : X_BUF
generic map(
LOC => "SLICE_X67Y30",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux0001476_2602,
O => SSeg_Mmux_hexnum_mux0001476_0
);
SSeg_Mmux_hexnum_mux0001476_YUSED : X_BUF
generic map(
LOC => "SLICE_X67Y30",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux000166_2594,
O => SSeg_Mmux_hexnum_mux000166_0
);
SSeg_Mmux_hexnum_mux000166 : X_LUT4
generic map(
INIT => X"E0C0",
LOC => "SLICE_X67Y30"
)
port map (
ADR0 => UXCntl_Unit_VALB(2),
ADR1 => N21,
ADR2 => UXCntl_Unit_VALA(2),
ADR3 => N28,
O => SSeg_Mmux_hexnum_mux000166_2594
);
SSeg_Mmux_hexnum_mux00016157_XUSED : X_BUF
generic map(
LOC => "SLICE_X66Y26",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00016157_2626,
O => SSeg_Mmux_hexnum_mux00016157_0
);
SSeg_Mmux_hexnum_mux00016157_YUSED : X_BUF
generic map(
LOC => "SLICE_X66Y26",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux000186_2619,
O => SSeg_Mmux_hexnum_mux000186_0
);
SSeg_Mmux_hexnum_mux000186 : X_LUT4
generic map(
INIT => X"C8C0",
LOC => "SLICE_X66Y26"
)
port map (
ADR0 => UXCntl_Unit_VALB(3),
ADR1 => UXCntl_Unit_VALA(3),
ADR2 => N21,
ADR3 => N28,
O => SSeg_Mmux_hexnum_mux000186_2619
);
UXCntl_Unit_OPCODE_cmp_eq0000_XUSED : X_BUF
generic map(
LOC => "SLICE_X54Y52",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_OPCODE_cmp_eq0000,
O => UXCntl_Unit_OPCODE_cmp_eq0000_0
);
UXCntl_Unit_OPCODE_cmp_eq0000_YUSED : X_BUF
generic map(
LOC => "SLICE_X54Y52",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALA_cmp_eq0000,
O => UXCntl_Unit_VALA_cmp_eq0000_0
);
UXCntl_Unit_VALA_cmp_eq00001 : X_LUT4
generic map(
INIT => X"0004",
LOC => "SLICE_X54Y52"
)
port map (
ADR0 => BTN_0_OUTPUT_1789,
ADR1 => BTN_3_OUTPUT_1790,
ADR2 => BTN_1_OUTPUT_1792,
ADR3 => BTN_2_OUTPUT_1791,
O => UXCntl_Unit_VALA_cmp_eq0000
);
SSeg_clk240hz_DYMUX : X_INV
generic map(
LOC => "SLICE_X34Y22",
PATHPULSE => 638 ps
)
port map (
I => SSeg_clk240hz_1793,
O => SSeg_clk240hz_DYMUX_2660
);
SSeg_clk240hz_CLKINV : X_BUF
generic map(
LOC => "SLICE_X34Y22",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => SSeg_clk240hz_CLKINV_2658
);
SSeg_clk240hz_CEINV : X_BUF
generic map(
LOC => "SLICE_X34Y22",
PATHPULSE => 638 ps
)
port map (
I => SSeg_count240hz_cmp_eq0000,
O => SSeg_clk240hz_CEINV_2657
);
SSeg_Mmux_hexnum_mux0001222_XUSED : X_BUF
generic map(
LOC => "SLICE_X66Y37",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux0001222_2686,
O => SSeg_Mmux_hexnum_mux0001222_0
);
SSeg_Mmux_hexnum_mux0001222_YUSED : X_BUF
generic map(
LOC => "SLICE_X66Y37",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux0001219_pack_1,
O => SSeg_Mmux_hexnum_mux0001219_1796
);
SSeg_Mmux_hexnum_mux0001219 : X_LUT4
generic map(
INIT => X"2220",
LOC => "SLICE_X66Y37"
)
port map (
ADR0 => N26_0,
ADR1 => UXCntl_Unit_OPCODE(2),
ADR2 => UXCntl_Unit_VALA(0),
ADR3 => UXCntl_Unit_VALB(0),
O => SSeg_Mmux_hexnum_mux0001219_pack_1
);
N82_XUSED : X_BUF
generic map(
LOC => "SLICE_X67Y34",
PATHPULSE => 638 ps
)
port map (
I => N82,
O => N82_0
);
N82_YUSED : X_BUF
generic map(
LOC => "SLICE_X67Y34",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux0001417_2702,
O => SSeg_Mmux_hexnum_mux0001417_0
);
SSeg_Mmux_hexnum_mux0001417 : X_LUT4
generic map(
INIT => X"4400",
LOC => "SLICE_X67Y34"
)
port map (
ADR0 => UXCntl_Unit_VALB(1),
ADR1 => UXCntl_Unit_VALA(0),
ADR2 => VCC,
ADR3 => N25,
O => SSeg_Mmux_hexnum_mux0001417_2702
);
SSeg_Mmux_hexnum_mux00012111_XUSED : X_BUF
generic map(
LOC => "SLICE_X67Y35",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00012111_2734,
O => SSeg_Mmux_hexnum_mux00012111_0
);
SSeg_Mmux_hexnum_mux00012111_YUSED : X_BUF
generic map(
LOC => "SLICE_X67Y35",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux0001285_pack_1,
O => SSeg_Mmux_hexnum_mux0001285_1805
);
SSeg_Mmux_hexnum_mux0001285 : X_LUT4
generic map(
INIT => X"FAF8",
LOC => "SLICE_X67Y35"
)
port map (
ADR0 => UXCntl_Unit_VALB(0),
ADR1 => N82_0,
ADR2 => SSeg_Mmux_hexnum_mux0001257,
ADR3 => SSeg_Mmux_hexnum_mux0001278_0,
O => SSeg_Mmux_hexnum_mux0001285_pack_1
);
SSeg_Mmux_hexnum_mux0001655_XUSED : X_BUF
generic map(
LOC => "SLICE_X64Y31",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux0001655_2758,
O => SSeg_Mmux_hexnum_mux0001655_0
);
SSeg_Mmux_hexnum_mux0001655_YUSED : X_BUF
generic map(
LOC => "SLICE_X64Y31",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux0001643_pack_1,
O => SSeg_Mmux_hexnum_mux0001643_1810
);
SSeg_Mmux_hexnum_mux0001643 : X_LUT4
generic map(
INIT => X"0200",
LOC => "SLICE_X64Y31"
)
port map (
ADR0 => UXCntl_Unit_OPCODE(0),
ADR1 => UXCntl_Unit_OPCODE(3),
ADR2 => N88_0,
ADR3 => UXCntl_Unit_OPCODE(1),
O => SSeg_Mmux_hexnum_mux0001643_pack_1
);
SSeg_Mmux_hexnum_mux0001845_XUSED : X_BUF
generic map(
LOC => "SLICE_X67Y26",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux0001845_2782,
O => SSeg_Mmux_hexnum_mux0001845_0
);
SSeg_Mmux_hexnum_mux0001845_YUSED : X_BUF
generic map(
LOC => "SLICE_X67Y26",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux0001834_pack_1,
O => SSeg_Mmux_hexnum_mux0001834_1814
);
SSeg_Mmux_hexnum_mux0001834 : X_LUT4
generic map(
INIT => X"2000",
LOC => "SLICE_X67Y26"
)
port map (
ADR0 => SSeg_Mmux_hexnum_mux0001828,
ADR1 => UXCntl_Unit_OPCODE(3),
ADR2 => UXCntl_Unit_OPCODE(1),
ADR3 => UXCntl_Unit_OPCODE(0),
O => SSeg_Mmux_hexnum_mux0001834_pack_1
);
SSeg_Mmux_hexnum_mux00014256_XUSED : X_BUF
generic map(
LOC => "SLICE_X66Y20",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00014256_2806,
O => SSeg_Mmux_hexnum_mux00014256_0
);
SSeg_Mmux_hexnum_mux00014256_YUSED : X_BUF
generic map(
LOC => "SLICE_X66Y20",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux0001860_2799,
O => SSeg_Mmux_hexnum_mux0001860_0
);
SSeg_Mmux_hexnum_mux0001860 : X_LUT4
generic map(
INIT => X"EAC0",
LOC => "SLICE_X66Y20"
)
port map (
ADR0 => N24,
ADR1 => UXCntl_Unit_VALA(5),
ADR2 => N22,
ADR3 => UXCntl_Unit_VALA(6),
O => SSeg_Mmux_hexnum_mux0001860_2799
);
N78_XUSED : X_BUF
generic map(
LOC => "SLICE_X66Y21",
PATHPULSE => 638 ps
)
port map (
I => N78,
O => N78_0
);
N78_YUSED : X_BUF
generic map(
LOC => "SLICE_X66Y21",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00014272,
O => SSeg_Mmux_hexnum_mux00014272_0
);
SSeg_Mmux_hexnum_mux0001864 : X_LUT4
generic map(
INIT => X"0020",
LOC => "SLICE_X66Y21"
)
port map (
ADR0 => UXCntl_Unit_VALB(0),
ADR1 => UXCntl_Unit_VALB(1),
ADR2 => UXCntl_Unit_VALA(4),
ADR3 => UXCntl_Unit_VALB(2),
O => SSeg_Mmux_hexnum_mux00014272
);
SSeg_Mmux_hexnum_mux0001878_XUSED : X_BUF
generic map(
LOC => "SLICE_X66Y22",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux0001878_2854,
O => SSeg_Mmux_hexnum_mux0001878_0
);
SSeg_Mmux_hexnum_mux0001878_YUSED : X_BUF
generic map(
LOC => "SLICE_X66Y22",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux0001866_pack_1,
O => SSeg_Mmux_hexnum_mux0001866_1823
);
SSeg_Mmux_hexnum_mux0001866 : X_LUT4
generic map(
INIT => X"FCCC",
LOC => "SLICE_X66Y22"
)
port map (
ADR0 => VCC,
ADR1 => SSeg_Mmux_hexnum_mux00014272_0,
ADR2 => UXCntl_Unit_VALA(7),
ADR3 => N27_0,
O => SSeg_Mmux_hexnum_mux0001866_pack_1
);
N80_XUSED : X_BUF
generic map(
LOC => "SLICE_X64Y24",
PATHPULSE => 638 ps
)
port map (
I => N80,
O => N80_0
);
N80_YUSED : X_BUF
generic map(
LOC => "SLICE_X64Y24",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00012205_2871,
O => SSeg_Mmux_hexnum_mux00012205_0
);
SSeg_Mmux_hexnum_mux00012205 : X_LUT4
generic map(
INIT => X"3330",
LOC => "SLICE_X64Y24"
)
port map (
ADR0 => VCC,
ADR1 => UXCntl_Unit_OPCODE(2),
ADR2 => UXCntl_Unit_VALA(4),
ADR3 => UXCntl_Unit_VALB(4),
O => SSeg_Mmux_hexnum_mux00012205_2871
);
SSeg_Mmux_hexnum_mux00012263_XUSED : X_BUF
generic map(
LOC => "SLICE_X64Y29",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00012263_2902,
O => SSeg_Mmux_hexnum_mux00012263_0
);
SSeg_Mmux_hexnum_mux00012263_YUSED : X_BUF
generic map(
LOC => "SLICE_X64Y29",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00012253_pack_1,
O => SSeg_Mmux_hexnum_mux00012253_1829
);
SSeg_Mmux_hexnum_mux00012253 : X_LUT4
generic map(
INIT => X"ECA0",
LOC => "SLICE_X64Y29"
)
port map (
ADR0 => N30,
ADR1 => N26_0,
ADR2 => arith(4),
ADR3 => SSeg_Mmux_hexnum_mux00012229_0,
O => SSeg_Mmux_hexnum_mux00012253_pack_1
);
SSeg_Mmux_hexnum_mux00012229_XUSED : X_BUF
generic map(
LOC => "SLICE_X66Y24",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00012229_2926,
O => SSeg_Mmux_hexnum_mux00012229_0
);
SSeg_Mmux_hexnum_mux00012229_YUSED : X_BUF
generic map(
LOC => "SLICE_X66Y24",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00012196_pack_1,
O => SSeg_Mmux_hexnum_mux00012196_1833
);
SSeg_Mmux_hexnum_mux00012196 : X_LUT4
generic map(
INIT => X"EAC0",
LOC => "SLICE_X66Y24"
)
port map (
ADR0 => UXCntl_Unit_VALA(0),
ADR1 => UXCntl_Unit_VALA(2),
ADR2 => N22,
ADR3 => N27_0,
O => SSeg_Mmux_hexnum_mux00012196_pack_1
);
SSeg_Mmux_hexnum_mux00018143_XUSED : X_BUF
generic map(
LOC => "SLICE_X65Y24",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00018143_2950,
O => SSeg_Mmux_hexnum_mux00018143_0
);
SSeg_Mmux_hexnum_mux00018143_YUSED : X_BUF
generic map(
LOC => "SLICE_X65Y24",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00014224_2943,
O => SSeg_Mmux_hexnum_mux00014224_0
);
SSeg_Mmux_hexnum_mux00014224 : X_LUT4
generic map(
INIT => X"6420",
LOC => "SLICE_X65Y24"
)
port map (
ADR0 => UXCntl_Unit_VALB(0),
ADR1 => UXCntl_Unit_VALB(1),
ADR2 => UXCntl_Unit_VALA(6),
ADR3 => UXCntl_Unit_VALA(7),
O => SSeg_Mmux_hexnum_mux00014224_2943
);
N62_XUSED : X_BUF
generic map(
LOC => "SLICE_X67Y27",
PATHPULSE => 638 ps
)
port map (
I => N62,
O => N62_0
);
N62_YUSED : X_BUF
generic map(
LOC => "SLICE_X67Y27",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00016107_pack_1,
O => SSeg_Mmux_hexnum_mux00016107_1838
);
SSeg_Mmux_hexnum_mux00016107 : X_LUT4
generic map(
INIT => X"AA88",
LOC => "SLICE_X67Y27"
)
port map (
ADR0 => N23,
ADR1 => SSeg_Mmux_hexnum_mux0001686,
ADR2 => VCC,
ADR3 => SSeg_Mmux_hexnum_mux0001669_0,
O => SSeg_Mmux_hexnum_mux00016107_pack_1
);
BTN_0_Count_and0000_XUSED : X_BUF
generic map(
LOC => "SLICE_X66Y84",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_and0000,
O => BTN_0_Count_and0000_0
);
BTN_0_OUTPUT_not00011 : X_LUT4
generic map(
INIT => X"8822",
LOC => "SLICE_X66Y84"
)
port map (
ADR0 => BTN_0_Count(20),
ADR1 => BTN_0_D_STATE(0),
ADR2 => VCC,
ADR3 => BTN_0_D_STATE(1),
O => BTN_0_OUTPUT_not0001
);
SSeg_Mmux_hexnum_mux00018120_XUSED : X_BUF
generic map(
LOC => "SLICE_X66Y32",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00018120_3022,
O => SSeg_Mmux_hexnum_mux00018120_0
);
SSeg_Mmux_hexnum_mux00018120_YUSED : X_BUF
generic map(
LOC => "SLICE_X66Y32",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00016180_3013,
O => SSeg_Mmux_hexnum_mux00016180_0
);
SSeg_Mmux_hexnum_mux00016180 : X_LUT4
generic map(
INIT => X"FFEC",
LOC => "SLICE_X66Y32"
)
port map (
ADR0 => N31,
ADR1 => SSeg_Mmux_hexnum_mux00016175,
ADR2 => Load_Store_Unit_reg(6),
ADR3 => SSeg_Mmux_hexnum_mux00016157_0,
O => SSeg_Mmux_hexnum_mux00016180_3013
);
SSeg_Mmux_hexnum_mux00016289_XUSED : X_BUF
generic map(
LOC => "SLICE_X64Y28",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00016289_3046,
O => SSeg_Mmux_hexnum_mux00016289_0
);
SSeg_Mmux_hexnum_mux00016289_YUSED : X_BUF
generic map(
LOC => "SLICE_X64Y28",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00016263_pack_1,
O => SSeg_Mmux_hexnum_mux00016263_1853
);
SSeg_Mmux_hexnum_mux00016263 : X_LUT4
generic map(
INIT => X"AAFC",
LOC => "SLICE_X64Y28"
)
port map (
ADR0 => N68,
ADR1 => UXCntl_Unit_VALA(6),
ADR2 => UXCntl_Unit_VALB(6),
ADR3 => UXCntl_Unit_OPCODE(2),
O => SSeg_Mmux_hexnum_mux00016263_pack_1
);
N26_XUSED : X_BUF
generic map(
LOC => "SLICE_X64Y26",
PATHPULSE => 638 ps
)
port map (
I => N26,
O => N26_0
);
N26_YUSED : X_BUF
generic map(
LOC => "SLICE_X64Y26",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00018124_3062,
O => SSeg_Mmux_hexnum_mux00018124_0
);
SSeg_Mmux_hexnum_mux00018124 : X_LUT4
generic map(
INIT => X"0020",
LOC => "SLICE_X64Y26"
)
port map (
ADR0 => UXCntl_Unit_OPCODE(1),
ADR1 => UXCntl_Unit_OPCODE(0),
ADR2 => UXCntl_Unit_VALB(7),
ADR3 => UXCntl_Unit_OPCODE(3),
O => SSeg_Mmux_hexnum_mux00018124_3062
);
SSeg_Mmux_hexnum_mux00018252_XUSED : X_BUF
generic map(
LOC => "SLICE_X64Y25",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00018252_3094,
O => SSeg_Mmux_hexnum_mux00018252_0
);
SSeg_Mmux_hexnum_mux00018252_YUSED : X_BUF
generic map(
LOC => "SLICE_X64Y25",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00018240_pack_1,
O => SSeg_Mmux_hexnum_mux00018240_1857
);
SSeg_Mmux_hexnum_mux00018240 : X_LUT4
generic map(
INIT => X"1000",
LOC => "SLICE_X64Y25"
)
port map (
ADR0 => N80_0,
ADR1 => UXCntl_Unit_OPCODE(3),
ADR2 => UXCntl_Unit_OPCODE(0),
ADR3 => UXCntl_Unit_OPCODE(1),
O => SSeg_Mmux_hexnum_mux00018240_pack_1
);
BTN_3_OUTPUT_not0001_YUSED : X_BUF
generic map(
LOC => "SLICE_X53Y60",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_and0000,
O => BTN_3_Count_and0000_0
);
BTN_3_Count_and00001 : X_LUT4
generic map(
INIT => X"0FF0",
LOC => "SLICE_X53Y60"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => BTN_3_D_STATE(0),
ADR3 => BTN_3_D_STATE(1),
O => BTN_3_Count_and0000
);
SSeg_DP_OUT_DYMUX : X_BUF
generic map(
LOC => "SLICE_X66Y39",
PATHPULSE => 638 ps
)
port map (
I => SSeg_DP_OUT_BYINV_3125,
O => SSeg_DP_OUT_DYMUX_3126
);
SSeg_DP_OUT_BYINV : X_BUF
generic map(
LOC => "SLICE_X66Y39",
PATHPULSE => 638 ps
)
port map (
I => '0',
O => SSeg_DP_OUT_BYINV_3125
);
SSeg_DP_OUT_CLKINV : X_BUF
generic map(
LOC => "SLICE_X66Y39",
PATHPULSE => 638 ps
)
port map (
I => SSeg_clk240hz_1793,
O => SSeg_DP_OUT_CLKINV_3124
);
N88_XUSED : X_BUF
generic map(
LOC => "SLICE_X65Y30",
PATHPULSE => 638 ps
)
port map (
I => N88,
O => N88_0
);
N88_YUSED : X_BUF
generic map(
LOC => "SLICE_X65Y30",
PATHPULSE => 638 ps
)
port map (
I => N92_pack_1,
O => N92
);
SSeg_Mmux_hexnum_mux0001643_SW0_SW0 : X_LUT4
generic map(
INIT => X"F53F",
LOC => "SLICE_X65Y30"
)
port map (
ADR0 => UXCntl_Unit_VALA(1),
ADR1 => UXCntl_Unit_VALA(0),
ADR2 => UXCntl_Unit_VALB(1),
ADR3 => UXCntl_Unit_VALB(0),
O => N92_pack_1
);
Load_Store_Unit_reg_1_DXMUX : X_BUF
generic map(
LOC => "SLICE_X67Y36",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALA(1),
O => Load_Store_Unit_reg_1_DXMUX_3169
);
Load_Store_Unit_reg_1_DYMUX : X_BUF
generic map(
LOC => "SLICE_X67Y36",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALA(0),
O => Load_Store_Unit_reg_1_DYMUX_3163
);
Load_Store_Unit_reg_1_CLKINV : X_BUF
generic map(
LOC => "SLICE_X67Y36",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => Load_Store_Unit_reg_1_CLKINV_3161
);
Load_Store_Unit_reg_1_CEINV : X_BUF
generic map(
LOC => "SLICE_X67Y36",
PATHPULSE => 638 ps
)
port map (
I => Load_Store_Unit_w_en_0,
O => Load_Store_Unit_reg_1_CEINV_3160
);
Load_Store_Unit_reg_3_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y31",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALA(3),
O => Load_Store_Unit_reg_3_DXMUX_3189
);
Load_Store_Unit_reg_3_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y31",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALA(2),
O => Load_Store_Unit_reg_3_DYMUX_3183
);
Load_Store_Unit_reg_3_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y31",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => Load_Store_Unit_reg_3_CLKINV_3181
);
Load_Store_Unit_reg_3_CEINV : X_BUF
generic map(
LOC => "SLICE_X65Y31",
PATHPULSE => 638 ps
)
port map (
I => Load_Store_Unit_w_en_0,
O => Load_Store_Unit_reg_3_CEINV_3180
);
Load_Store_Unit_reg_5_DXMUX : X_BUF
generic map(
LOC => "SLICE_X64Y32",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALA(5),
O => Load_Store_Unit_reg_5_DXMUX_3209
);
Load_Store_Unit_reg_5_DYMUX : X_BUF
generic map(
LOC => "SLICE_X64Y32",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALA(4),
O => Load_Store_Unit_reg_5_DYMUX_3203
);
Load_Store_Unit_reg_5_CLKINV : X_BUF
generic map(
LOC => "SLICE_X64Y32",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => Load_Store_Unit_reg_5_CLKINV_3201
);
Load_Store_Unit_reg_5_CEINV : X_BUF
generic map(
LOC => "SLICE_X64Y32",
PATHPULSE => 638 ps
)
port map (
I => Load_Store_Unit_w_en_0,
O => Load_Store_Unit_reg_5_CEINV_3200
);
Load_Store_Unit_reg_7_DXMUX : X_BUF
generic map(
LOC => "SLICE_X67Y32",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALA(7),
O => Load_Store_Unit_reg_7_DXMUX_3229
);
Load_Store_Unit_reg_7_DYMUX : X_BUF
generic map(
LOC => "SLICE_X67Y32",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALA(6),
O => Load_Store_Unit_reg_7_DYMUX_3223
);
Load_Store_Unit_reg_7_CLKINV : X_BUF
generic map(
LOC => "SLICE_X67Y32",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => Load_Store_Unit_reg_7_CLKINV_3221
);
Load_Store_Unit_reg_7_CEINV : X_BUF
generic map(
LOC => "SLICE_X67Y32",
PATHPULSE => 638 ps
)
port map (
I => Load_Store_Unit_w_en_0,
O => Load_Store_Unit_reg_7_CEINV_3220
);
BTN_0_D_STATE_1_DXMUX : X_BUF
generic map(
LOC => "SLICE_X67Y85",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_D_STATE(0),
O => BTN_0_D_STATE_1_DXMUX_3246
);
BTN_0_D_STATE_1_DYMUX : X_BUF
generic map(
LOC => "SLICE_X67Y85",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_INBUF,
O => BTN_0_D_STATE_1_DYMUX_3241
);
BTN_0_D_STATE_1_CLKINV : X_BUF
generic map(
LOC => "SLICE_X67Y85",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_0_D_STATE_1_CLKINV_3239
);
SSeg_Mmux_hexnum_mux00014147_XUSED : X_BUF
generic map(
LOC => "SLICE_X67Y37",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00014147_3271,
O => SSeg_Mmux_hexnum_mux00014147_0
);
SSeg_Mmux_hexnum_mux00014147_YUSED : X_BUF
generic map(
LOC => "SLICE_X67Y37",
PATHPULSE => 638 ps
)
port map (
I => N74_pack_1,
O => N74
);
SSeg_Mmux_hexnum_mux00014147_SW0 : X_LUT4
generic map(
INIT => X"FEEE",
LOC => "SLICE_X67Y37"
)
port map (
ADR0 => SSeg_Mmux_hexnum_mux000146_0,
ADR1 => SSeg_Mmux_hexnum_mux00014135_0,
ADR2 => N31,
ADR3 => Load_Store_Unit_reg(1),
O => N74_pack_1
);
SSeg_Mmux_hexnum_mux00014346_XUSED : X_BUF
generic map(
LOC => "SLICE_X66Y27",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00014346_3295,
O => SSeg_Mmux_hexnum_mux00014346_0
);
SSeg_Mmux_hexnum_mux00014346_YUSED : X_BUF
generic map(
LOC => "SLICE_X66Y27",
PATHPULSE => 638 ps
)
port map (
I => N76_pack_1,
O => N76
);
SSeg_Mmux_hexnum_mux00014346_SW0 : X_LUT4
generic map(
INIT => X"AEAA",
LOC => "SLICE_X66Y27"
)
port map (
ADR0 => N90_0,
ADR1 => SSeg_Mmux_hexnum_mux00014224_0,
ADR2 => UXCntl_Unit_VALB(2),
ADR3 => N23,
O => N76_pack_1
);
SSeg_Mrom_segnum21 : X_LUT4
generic map(
INIT => X"B002",
LOC => "SLICE_X67Y63"
)
port map (
ADR0 => SSeg_hexnum(1),
ADR1 => SSeg_hexnum(0),
ADR2 => SSeg_hexnum(3),
ADR3 => SSeg_hexnum(2),
O => SEG_2_OBUF_3312
);
SSeg_Mrom_segnum41 : X_LUT4
generic map(
INIT => X"0C4E",
LOC => "SLICE_X67Y52"
)
port map (
ADR0 => SSeg_hexnum(2),
ADR1 => SSeg_hexnum(0),
ADR2 => SSeg_hexnum(3),
ADR3 => SSeg_hexnum(1),
O => SEG_4_OBUF_3336
);
SSeg_Mrom_segnum61 : X_LUT4
generic map(
INIT => X"2019",
LOC => "SLICE_X66Y63"
)
port map (
ADR0 => SSeg_hexnum(2),
ADR1 => SSeg_hexnum(3),
ADR2 => SSeg_hexnum(0),
ADR3 => SSeg_hexnum(1),
O => SEG_6_OBUF_3355
);
BTN_1_D_STATE_1_DXMUX : X_BUF
generic map(
LOC => "SLICE_X64Y80",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_D_STATE(0),
O => BTN_1_D_STATE_1_DXMUX_3370
);
BTN_1_D_STATE_1_DYMUX : X_BUF
generic map(
LOC => "SLICE_X64Y80",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_INBUF,
O => BTN_1_D_STATE_1_DYMUX_3365
);
BTN_1_D_STATE_1_CLKINV : X_BUF
generic map(
LOC => "SLICE_X64Y80",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_1_D_STATE_1_CLKINV_3363
);
UXCntl_Unit_VALB_cmp_eq0000_YUSED : X_BUF
generic map(
LOC => "SLICE_X55Y52",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALB_cmp_eq0000,
O => UXCntl_Unit_VALB_cmp_eq0000_0
);
UXCntl_Unit_VALB_cmp_eq00001 : X_LUT4
generic map(
INIT => X"0002",
LOC => "SLICE_X55Y52"
)
port map (
ADR0 => BTN_2_OUTPUT_1791,
ADR1 => BTN_0_OUTPUT_1789,
ADR2 => BTN_3_OUTPUT_1790,
ADR3 => BTN_1_OUTPUT_1792,
O => UXCntl_Unit_VALB_cmp_eq0000
);
BTN_2_D_STATE_1_DXMUX : X_BUF
generic map(
LOC => "SLICE_X64Y69",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_D_STATE(0),
O => BTN_2_D_STATE_1_DXMUX_3398
);
BTN_2_D_STATE_1_DYMUX : X_BUF
generic map(
LOC => "SLICE_X64Y69",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_INBUF,
O => BTN_2_D_STATE_1_DYMUX_3393
);
BTN_2_D_STATE_1_CLKINV : X_BUF
generic map(
LOC => "SLICE_X64Y69",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_2_D_STATE_1_CLKINV_3391
);
BTN_3_D_STATE_1_DXMUX : X_BUF
generic map(
LOC => "SLICE_X52Y60",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_D_STATE(0),
O => BTN_3_D_STATE_1_DXMUX_3414
);
BTN_3_D_STATE_1_DYMUX : X_BUF
generic map(
LOC => "SLICE_X52Y60",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_INBUF,
O => BTN_3_D_STATE_1_DYMUX_3409
);
BTN_3_D_STATE_1_CLKINV : X_BUF
generic map(
LOC => "SLICE_X52Y60",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_3_D_STATE_1_CLKINV_3407
);
SSeg_pos_0_DXMUX : X_INV
generic map(
LOC => "SLICE_X67Y39",
PATHPULSE => 638 ps
)
port map (
I => SSeg_pos(0),
O => SSeg_pos_0_DXMUX_3439
);
SSeg_pos_0_DYMUX : X_BUF
generic map(
LOC => "SLICE_X67Y39",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_pos1,
O => SSeg_pos_0_DYMUX_3434
);
SSeg_pos_0_CLKINV : X_BUF
generic map(
LOC => "SLICE_X67Y39",
PATHPULSE => 638 ps
)
port map (
I => SSeg_clk240hz_1793,
O => SSeg_pos_0_CLKINV_3424
);
SSeg_Mcount_pos_xor_1_11 : X_LUT4
generic map(
INIT => X"33CC",
LOC => "SLICE_X67Y39"
)
port map (
ADR0 => VCC,
ADR1 => SSeg_pos(0),
ADR2 => VCC,
ADR3 => SSeg_pos(1),
O => SSeg_Mcount_pos1
);
SSeg_Mmux_hexnum_mux00012181_XUSED : X_BUF
generic map(
LOC => "SLICE_X65Y32",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00012181_3464,
O => SSeg_Mmux_hexnum_mux00012181_0
);
SSeg_Mmux_hexnum_mux00012181_YUSED : X_BUF
generic map(
LOC => "SLICE_X65Y32",
PATHPULSE => 638 ps
)
port map (
I => N31_pack_1,
O => N31
);
ALU_Mux_ALU_OUT_0_101 : X_LUT4
generic map(
INIT => X"CCC8",
LOC => "SLICE_X65Y32"
)
port map (
ADR0 => UXCntl_Unit_OPCODE(1),
ADR1 => UXCntl_Unit_OPCODE(3),
ADR2 => UXCntl_Unit_OPCODE(0),
ADR3 => UXCntl_Unit_OPCODE(2),
O => N31_pack_1
);
BTN_1_OUTPUT_not0001_YUSED : X_BUF
generic map(
LOC => "SLICE_X65Y80",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_and0000,
O => BTN_1_Count_and0000_0
);
BTN_1_Count_and00001 : X_LUT4
generic map(
INIT => X"55AA",
LOC => "SLICE_X65Y80"
)
port map (
ADR0 => BTN_1_D_STATE(0),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_1_D_STATE(1),
O => BTN_1_Count_and0000
);
SSeg_Mmux_hexnum_mux00012226_XUSED : X_BUF
generic map(
LOC => "SLICE_X66Y25",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00012226_3512,
O => SSeg_Mmux_hexnum_mux00012226_0
);
SSeg_Mmux_hexnum_mux00012226_YUSED : X_BUF
generic map(
LOC => "SLICE_X66Y25",
PATHPULSE => 638 ps
)
port map (
I => N25_pack_1,
O => N25
);
ALU_Mux_ALU_OUT_4_121 : X_LUT4
generic map(
INIT => X"0A00",
LOC => "SLICE_X66Y25"
)
port map (
ADR0 => UXCntl_Unit_VALB(0),
ADR1 => VCC,
ADR2 => UXCntl_Unit_VALB(2),
ADR3 => UXCntl_Unit_OPCODE(2),
O => N25_pack_1
);
SSeg_Mmux_hexnum_mux0001825_XUSED : X_BUF
generic map(
LOC => "SLICE_X66Y23",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux0001825_3536,
O => SSeg_Mmux_hexnum_mux0001825_0
);
SSeg_Mmux_hexnum_mux0001825_YUSED : X_BUF
generic map(
LOC => "SLICE_X66Y23",
PATHPULSE => 638 ps
)
port map (
I => N22_pack_1,
O => N22
);
ALU_Mux_ALU_OUT_5_111 : X_LUT4
generic map(
INIT => X"0404",
LOC => "SLICE_X66Y23"
)
port map (
ADR0 => UXCntl_Unit_VALB(0),
ADR1 => UXCntl_Unit_VALB(1),
ADR2 => UXCntl_Unit_VALB(2),
ADR3 => VCC,
O => N22_pack_1
);
SSeg_Mmux_hexnum_mux0001669_XUSED : X_BUF
generic map(
LOC => "SLICE_X67Y21",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux0001669_3560,
O => SSeg_Mmux_hexnum_mux0001669_0
);
SSeg_Mmux_hexnum_mux0001669_YUSED : X_BUF
generic map(
LOC => "SLICE_X67Y21",
PATHPULSE => 638 ps
)
port map (
I => N24_pack_1,
O => N24
);
ALU_Mux_ALU_OUT_5_121 : X_LUT4
generic map(
INIT => X"3000",
LOC => "SLICE_X67Y21"
)
port map (
ADR0 => VCC,
ADR1 => UXCntl_Unit_VALB(2),
ADR2 => UXCntl_Unit_VALB(1),
ADR3 => UXCntl_Unit_VALB(0),
O => N24_pack_1
);
SSeg_Mmux_hexnum_mux00018187_XUSED : X_BUF
generic map(
LOC => "SLICE_X65Y22",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00018187_3584,
O => SSeg_Mmux_hexnum_mux00018187_0
);
SSeg_Mmux_hexnum_mux00018187_YUSED : X_BUF
generic map(
LOC => "SLICE_X65Y22",
PATHPULSE => 638 ps
)
port map (
I => N12_pack_2,
O => N12
);
ALU_Mux_ALU_OUT_6_111 : X_LUT4
generic map(
INIT => X"E2E2",
LOC => "SLICE_X65Y22"
)
port map (
ADR0 => UXCntl_Unit_VALA(2),
ADR1 => UXCntl_Unit_VALB(1),
ADR2 => UXCntl_Unit_VALA(0),
ADR3 => VCC,
O => N12_pack_2
);
SSeg_Mmux_hexnum_mux00014135_XUSED : X_BUF
generic map(
LOC => "SLICE_X66Y29",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00014135_3608,
O => SSeg_Mmux_hexnum_mux00014135_0
);
SSeg_Mmux_hexnum_mux00014135_YUSED : X_BUF
generic map(
LOC => "SLICE_X66Y29",
PATHPULSE => 638 ps
)
port map (
I => N23_pack_1,
O => N23
);
ALU_Mux_ALU_OUT_0_61 : X_LUT4
generic map(
INIT => X"0010",
LOC => "SLICE_X66Y29"
)
port map (
ADR0 => UXCntl_Unit_OPCODE(0),
ADR1 => UXCntl_Unit_OPCODE(2),
ADR2 => UXCntl_Unit_OPCODE(3),
ADR3 => UXCntl_Unit_OPCODE(1),
O => N23_pack_1
);
SSeg_Mmux_hexnum_mux00012151_XUSED : X_BUF
generic map(
LOC => "SLICE_X64Y27",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00012151_3632,
O => SSeg_Mmux_hexnum_mux00012151_0
);
SSeg_Mmux_hexnum_mux00012151_YUSED : X_BUF
generic map(
LOC => "SLICE_X64Y27",
PATHPULSE => 638 ps
)
port map (
I => N28_pack_1,
O => N28
);
ALU_Mux_ALU_OUT_0_81 : X_LUT4
generic map(
INIT => X"0022",
LOC => "SLICE_X64Y27"
)
port map (
ADR0 => UXCntl_Unit_OPCODE(1),
ADR1 => UXCntl_Unit_OPCODE(0),
ADR2 => VCC,
ADR3 => UXCntl_Unit_OPCODE(3),
O => N28_pack_1
);
N90_XUSED : X_BUF
generic map(
LOC => "SLICE_X67Y33",
PATHPULSE => 638 ps
)
port map (
I => N90,
O => N90_0
);
N90_YUSED : X_BUF
generic map(
LOC => "SLICE_X67Y33",
PATHPULSE => 638 ps
)
port map (
I => N30_pack_1,
O => N30
);
ALU_Mux_ALU_OUT_0_91 : X_LUT4
generic map(
INIT => X"0023",
LOC => "SLICE_X67Y33"
)
port map (
ADR0 => UXCntl_Unit_OPCODE(0),
ADR1 => UXCntl_Unit_OPCODE(3),
ADR2 => UXCntl_Unit_OPCODE(2),
ADR3 => UXCntl_Unit_OPCODE(1),
O => N30_pack_1
);
SSeg_Mmux_hexnum_mux0001278_XUSED : X_BUF
generic map(
LOC => "SLICE_X66Y34",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux0001278_3680,
O => SSeg_Mmux_hexnum_mux0001278_0
);
SSeg_Mmux_hexnum_mux0001278_YUSED : X_BUF
generic map(
LOC => "SLICE_X66Y34",
PATHPULSE => 638 ps
)
port map (
I => N11_pack_2,
O => N11
);
ALU_Mux_ALU_OUT_4_21 : X_LUT4
generic map(
INIT => X"FA0A",
LOC => "SLICE_X66Y34"
)
port map (
ADR0 => UXCntl_Unit_VALA(5),
ADR1 => VCC,
ADR2 => UXCntl_Unit_VALB(1),
ADR3 => UXCntl_Unit_VALA(7),
O => N11_pack_2
);
BTN_0_OUTPUT_DYMUX : X_BUF
generic map(
LOC => "SLICE_X66Y85",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_D_STATE(1),
O => BTN_0_OUTPUT_DYMUX_3690
);
BTN_0_OUTPUT_CLKINV : X_BUF
generic map(
LOC => "SLICE_X66Y85",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_0_OUTPUT_CLKINV_3688
);
BTN_0_OUTPUT_CEINV : X_BUF
generic map(
LOC => "SLICE_X66Y85",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_OUTPUT_not0001,
O => BTN_0_OUTPUT_CEINV_3687
);
BTN_1_Count_0_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X67Y70"
)
port map (
O => BTN_1_Count_0_LOGIC_ZERO_3717
);
BTN_1_Count_0_LOGIC_ONE : X_ONE
generic map(
LOC => "SLICE_X67Y70"
)
port map (
O => BTN_1_Count_0_LOGIC_ONE_3740
);
BTN_1_Count_0_DXMUX : X_BUF
generic map(
LOC => "SLICE_X67Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_0_XORF_3741,
O => BTN_1_Count_0_DXMUX_3743
);
BTN_1_Count_0_XORF : X_XOR2
generic map(
LOC => "SLICE_X67Y70"
)
port map (
I0 => BTN_1_Count_0_CYINIT_3739,
I1 => BTN_1_Mcount_Count_lut(0),
O => BTN_1_Count_0_XORF_3741
);
BTN_1_Count_0_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X67Y70"
)
port map (
IA => BTN_1_Count_0_LOGIC_ONE_3740,
IB => BTN_1_Count_0_CYINIT_3739,
SEL => BTN_1_Count_0_CYSELF_3730,
O => BTN_1_Mcount_Count_cy(0)
);
BTN_1_Count_0_CYINIT : X_BUF
generic map(
LOC => "SLICE_X67Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_0_BXINV_3728,
O => BTN_1_Count_0_CYINIT_3739
);
BTN_1_Count_0_CYSELF : X_BUF
generic map(
LOC => "SLICE_X67Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Mcount_Count_lut(0),
O => BTN_1_Count_0_CYSELF_3730
);
BTN_1_Count_0_BXINV : X_BUF
generic map(
LOC => "SLICE_X67Y70",
PATHPULSE => 638 ps
)
port map (
I => '0',
O => BTN_1_Count_0_BXINV_3728
);
BTN_1_Count_0_DYMUX : X_BUF
generic map(
LOC => "SLICE_X67Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_0_XORG_3720,
O => BTN_1_Count_0_DYMUX_3722
);
BTN_1_Count_0_XORG : X_XOR2
generic map(
LOC => "SLICE_X67Y70"
)
port map (
I0 => BTN_1_Mcount_Count_cy(0),
I1 => BTN_1_Count_0_G,
O => BTN_1_Count_0_XORG_3720
);
BTN_1_Count_0_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X67Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_0_CYMUXG_3719,
O => BTN_1_Mcount_Count_cy(1)
);
BTN_1_Count_0_CYMUXG : X_MUX2
generic map(
LOC => "SLICE_X67Y70"
)
port map (
IA => BTN_1_Count_0_LOGIC_ZERO_3717,
IB => BTN_1_Mcount_Count_cy(0),
SEL => BTN_1_Count_0_CYSELG_3708,
O => BTN_1_Count_0_CYMUXG_3719
);
BTN_1_Count_0_CYSELG : X_BUF
generic map(
LOC => "SLICE_X67Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_0_G,
O => BTN_1_Count_0_CYSELG_3708
);
BTN_1_Count_0_SRINV : X_BUF
generic map(
LOC => "SLICE_X67Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_and0000_0,
O => BTN_1_Count_0_SRINV_3706
);
BTN_1_Count_0_CLKINV : X_BUF
generic map(
LOC => "SLICE_X67Y70",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_1_Count_0_CLKINV_3705
);
BTN_1_Count_0_CEINV : X_INV
generic map(
LOC => "SLICE_X67Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count(20),
O => BTN_1_Count_0_CEINVNOT
);
BTN_1_OUTPUT_DYMUX : X_BUF
generic map(
LOC => "SLICE_X64Y81",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_D_STATE(1),
O => BTN_1_OUTPUT_DYMUX_3756
);
BTN_1_OUTPUT_CLKINV : X_BUF
generic map(
LOC => "SLICE_X64Y81",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_1_OUTPUT_CLKINV_3754
);
BTN_1_OUTPUT_CEINV : X_BUF
generic map(
LOC => "SLICE_X64Y81",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_OUTPUT_not0001,
O => BTN_1_OUTPUT_CEINV_3753
);
BTN_1_Count_2_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X67Y71"
)
port map (
O => BTN_1_Count_2_LOGIC_ZERO_3783
);
BTN_1_Count_2_DXMUX : X_BUF
generic map(
LOC => "SLICE_X67Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_2_XORF_3809,
O => BTN_1_Count_2_DXMUX_3811
);
BTN_1_Count_2_XORF : X_XOR2
generic map(
LOC => "SLICE_X67Y71"
)
port map (
I0 => BTN_1_Count_2_CYINIT_3808,
I1 => BTN_1_Count_2_F,
O => BTN_1_Count_2_XORF_3809
);
BTN_1_Count_2_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X67Y71"
)
port map (
IA => BTN_1_Count_2_LOGIC_ZERO_3783,
IB => BTN_1_Count_2_CYINIT_3808,
SEL => BTN_1_Count_2_CYSELF_3789,
O => BTN_1_Mcount_Count_cy(2)
);
BTN_1_Count_2_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X67Y71"
)
port map (
IA => BTN_1_Count_2_LOGIC_ZERO_3783,
IB => BTN_1_Count_2_LOGIC_ZERO_3783,
SEL => BTN_1_Count_2_CYSELF_3789,
O => BTN_1_Count_2_CYMUXF2_3784
);
BTN_1_Count_2_CYINIT : X_BUF
generic map(
LOC => "SLICE_X67Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Mcount_Count_cy(1),
O => BTN_1_Count_2_CYINIT_3808
);
BTN_1_Count_2_CYSELF : X_BUF
generic map(
LOC => "SLICE_X67Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_2_F,
O => BTN_1_Count_2_CYSELF_3789
);
BTN_1_Count_2_DYMUX : X_BUF
generic map(
LOC => "SLICE_X67Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_2_XORG_3791,
O => BTN_1_Count_2_DYMUX_3793
);
BTN_1_Count_2_XORG : X_XOR2
generic map(
LOC => "SLICE_X67Y71"
)
port map (
I0 => BTN_1_Mcount_Count_cy(2),
I1 => BTN_1_Count_2_G,
O => BTN_1_Count_2_XORG_3791
);
BTN_1_Count_2_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X67Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_2_CYMUXFAST_3788,
O => BTN_1_Mcount_Count_cy(3)
);
BTN_1_Count_2_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X67Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Mcount_Count_cy(1),
O => BTN_1_Count_2_FASTCARRY_3786
);
BTN_1_Count_2_CYAND : X_AND2
generic map(
LOC => "SLICE_X67Y71"
)
port map (
I0 => BTN_1_Count_2_CYSELG_3774,
I1 => BTN_1_Count_2_CYSELF_3789,
O => BTN_1_Count_2_CYAND_3787
);
BTN_1_Count_2_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X67Y71"
)
port map (
IA => BTN_1_Count_2_CYMUXG2_3785,
IB => BTN_1_Count_2_FASTCARRY_3786,
SEL => BTN_1_Count_2_CYAND_3787,
O => BTN_1_Count_2_CYMUXFAST_3788
);
BTN_1_Count_2_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X67Y71"
)
port map (
IA => BTN_1_Count_2_LOGIC_ZERO_3783,
IB => BTN_1_Count_2_CYMUXF2_3784,
SEL => BTN_1_Count_2_CYSELG_3774,
O => BTN_1_Count_2_CYMUXG2_3785
);
BTN_1_Count_2_CYSELG : X_BUF
generic map(
LOC => "SLICE_X67Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_2_G,
O => BTN_1_Count_2_CYSELG_3774
);
BTN_1_Count_2_SRINV : X_BUF
generic map(
LOC => "SLICE_X67Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_and0000_0,
O => BTN_1_Count_2_SRINV_3772
);
BTN_1_Count_2_CLKINV : X_BUF
generic map(
LOC => "SLICE_X67Y71",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_1_Count_2_CLKINV_3771
);
BTN_1_Count_2_CEINV : X_INV
generic map(
LOC => "SLICE_X67Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count(20),
O => BTN_1_Count_2_CEINVNOT
);
BTN_2_OUTPUT_DYMUX : X_BUF
generic map(
LOC => "SLICE_X64Y66",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_D_STATE(1),
O => BTN_2_OUTPUT_DYMUX_3824
);
BTN_2_OUTPUT_CLKINV : X_BUF
generic map(
LOC => "SLICE_X64Y66",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_2_OUTPUT_CLKINV_3822
);
BTN_2_OUTPUT_CEINV : X_BUF
generic map(
LOC => "SLICE_X64Y66",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_OUTPUT_not0001,
O => BTN_2_OUTPUT_CEINV_3821
);
BTN_1_Count_4_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X67Y72"
)
port map (
O => BTN_1_Count_4_LOGIC_ZERO_3851
);
BTN_1_Count_4_DXMUX : X_BUF
generic map(
LOC => "SLICE_X67Y72",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_4_XORF_3877,
O => BTN_1_Count_4_DXMUX_3879
);
BTN_1_Count_4_XORF : X_XOR2
generic map(
LOC => "SLICE_X67Y72"
)
port map (
I0 => BTN_1_Count_4_CYINIT_3876,
I1 => BTN_1_Count_4_F,
O => BTN_1_Count_4_XORF_3877
);
BTN_1_Count_4_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X67Y72"
)
port map (
IA => BTN_1_Count_4_LOGIC_ZERO_3851,
IB => BTN_1_Count_4_CYINIT_3876,
SEL => BTN_1_Count_4_CYSELF_3857,
O => BTN_1_Mcount_Count_cy(4)
);
BTN_1_Count_4_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X67Y72"
)
port map (
IA => BTN_1_Count_4_LOGIC_ZERO_3851,
IB => BTN_1_Count_4_LOGIC_ZERO_3851,
SEL => BTN_1_Count_4_CYSELF_3857,
O => BTN_1_Count_4_CYMUXF2_3852
);
BTN_1_Count_4_CYINIT : X_BUF
generic map(
LOC => "SLICE_X67Y72",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Mcount_Count_cy(3),
O => BTN_1_Count_4_CYINIT_3876
);
BTN_1_Count_4_CYSELF : X_BUF
generic map(
LOC => "SLICE_X67Y72",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_4_F,
O => BTN_1_Count_4_CYSELF_3857
);
BTN_1_Count_4_DYMUX : X_BUF
generic map(
LOC => "SLICE_X67Y72",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_4_XORG_3859,
O => BTN_1_Count_4_DYMUX_3861
);
BTN_1_Count_4_XORG : X_XOR2
generic map(
LOC => "SLICE_X67Y72"
)
port map (
I0 => BTN_1_Mcount_Count_cy(4),
I1 => BTN_1_Count_4_G,
O => BTN_1_Count_4_XORG_3859
);
BTN_1_Count_4_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X67Y72",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_4_CYMUXFAST_3856,
O => BTN_1_Mcount_Count_cy(5)
);
BTN_1_Count_4_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X67Y72",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Mcount_Count_cy(3),
O => BTN_1_Count_4_FASTCARRY_3854
);
BTN_1_Count_4_CYAND : X_AND2
generic map(
LOC => "SLICE_X67Y72"
)
port map (
I0 => BTN_1_Count_4_CYSELG_3842,
I1 => BTN_1_Count_4_CYSELF_3857,
O => BTN_1_Count_4_CYAND_3855
);
BTN_1_Count_4_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X67Y72"
)
port map (
IA => BTN_1_Count_4_CYMUXG2_3853,
IB => BTN_1_Count_4_FASTCARRY_3854,
SEL => BTN_1_Count_4_CYAND_3855,
O => BTN_1_Count_4_CYMUXFAST_3856
);
BTN_1_Count_4_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X67Y72"
)
port map (
IA => BTN_1_Count_4_LOGIC_ZERO_3851,
IB => BTN_1_Count_4_CYMUXF2_3852,
SEL => BTN_1_Count_4_CYSELG_3842,
O => BTN_1_Count_4_CYMUXG2_3853
);
BTN_1_Count_4_CYSELG : X_BUF
generic map(
LOC => "SLICE_X67Y72",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_4_G,
O => BTN_1_Count_4_CYSELG_3842
);
BTN_1_Count_4_SRINV : X_BUF
generic map(
LOC => "SLICE_X67Y72",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_and0000_0,
O => BTN_1_Count_4_SRINV_3840
);
BTN_1_Count_4_CLKINV : X_BUF
generic map(
LOC => "SLICE_X67Y72",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_1_Count_4_CLKINV_3839
);
BTN_1_Count_4_CEINV : X_INV
generic map(
LOC => "SLICE_X67Y72",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count(20),
O => BTN_1_Count_4_CEINVNOT
);
BTN_3_OUTPUT_DYMUX : X_BUF
generic map(
LOC => "SLICE_X52Y61",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_D_STATE(1),
O => BTN_3_OUTPUT_DYMUX_3892
);
BTN_3_OUTPUT_CLKINV : X_BUF
generic map(
LOC => "SLICE_X52Y61",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_3_OUTPUT_CLKINV_3890
);
BTN_3_OUTPUT_CEINV : X_BUF
generic map(
LOC => "SLICE_X52Y61",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_OUTPUT_not0001,
O => BTN_3_OUTPUT_CEINV_3889
);
BTN_1_Count_6_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X67Y73"
)
port map (
O => BTN_1_Count_6_LOGIC_ZERO_3919
);
BTN_1_Count_6_DXMUX : X_BUF
generic map(
LOC => "SLICE_X67Y73",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_6_XORF_3945,
O => BTN_1_Count_6_DXMUX_3947
);
BTN_1_Count_6_XORF : X_XOR2
generic map(
LOC => "SLICE_X67Y73"
)
port map (
I0 => BTN_1_Count_6_CYINIT_3944,
I1 => BTN_1_Count_6_F,
O => BTN_1_Count_6_XORF_3945
);
BTN_1_Count_6_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X67Y73"
)
port map (
IA => BTN_1_Count_6_LOGIC_ZERO_3919,
IB => BTN_1_Count_6_CYINIT_3944,
SEL => BTN_1_Count_6_CYSELF_3925,
O => BTN_1_Mcount_Count_cy(6)
);
BTN_1_Count_6_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X67Y73"
)
port map (
IA => BTN_1_Count_6_LOGIC_ZERO_3919,
IB => BTN_1_Count_6_LOGIC_ZERO_3919,
SEL => BTN_1_Count_6_CYSELF_3925,
O => BTN_1_Count_6_CYMUXF2_3920
);
BTN_1_Count_6_CYINIT : X_BUF
generic map(
LOC => "SLICE_X67Y73",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Mcount_Count_cy(5),
O => BTN_1_Count_6_CYINIT_3944
);
BTN_1_Count_6_CYSELF : X_BUF
generic map(
LOC => "SLICE_X67Y73",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_6_F,
O => BTN_1_Count_6_CYSELF_3925
);
BTN_1_Count_6_DYMUX : X_BUF
generic map(
LOC => "SLICE_X67Y73",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_6_XORG_3927,
O => BTN_1_Count_6_DYMUX_3929
);
BTN_1_Count_6_XORG : X_XOR2
generic map(
LOC => "SLICE_X67Y73"
)
port map (
I0 => BTN_1_Mcount_Count_cy(6),
I1 => BTN_1_Count_6_G,
O => BTN_1_Count_6_XORG_3927
);
BTN_1_Count_6_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X67Y73",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_6_CYMUXFAST_3924,
O => BTN_1_Mcount_Count_cy(7)
);
BTN_1_Count_6_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X67Y73",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Mcount_Count_cy(5),
O => BTN_1_Count_6_FASTCARRY_3922
);
BTN_1_Count_6_CYAND : X_AND2
generic map(
LOC => "SLICE_X67Y73"
)
port map (
I0 => BTN_1_Count_6_CYSELG_3910,
I1 => BTN_1_Count_6_CYSELF_3925,
O => BTN_1_Count_6_CYAND_3923
);
BTN_1_Count_6_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X67Y73"
)
port map (
IA => BTN_1_Count_6_CYMUXG2_3921,
IB => BTN_1_Count_6_FASTCARRY_3922,
SEL => BTN_1_Count_6_CYAND_3923,
O => BTN_1_Count_6_CYMUXFAST_3924
);
BTN_1_Count_6_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X67Y73"
)
port map (
IA => BTN_1_Count_6_LOGIC_ZERO_3919,
IB => BTN_1_Count_6_CYMUXF2_3920,
SEL => BTN_1_Count_6_CYSELG_3910,
O => BTN_1_Count_6_CYMUXG2_3921
);
BTN_1_Count_6_CYSELG : X_BUF
generic map(
LOC => "SLICE_X67Y73",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_6_G,
O => BTN_1_Count_6_CYSELG_3910
);
BTN_1_Count_6_SRINV : X_BUF
generic map(
LOC => "SLICE_X67Y73",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_and0000_0,
O => BTN_1_Count_6_SRINV_3908
);
BTN_1_Count_6_CLKINV : X_BUF
generic map(
LOC => "SLICE_X67Y73",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_1_Count_6_CLKINV_3907
);
BTN_1_Count_6_CEINV : X_INV
generic map(
LOC => "SLICE_X67Y73",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count(20),
O => BTN_1_Count_6_CEINVNOT
);
BTN_1_Count_8_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X67Y74"
)
port map (
O => BTN_1_Count_8_LOGIC_ZERO_3975
);
BTN_1_Count_8_DXMUX : X_BUF
generic map(
LOC => "SLICE_X67Y74",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_8_XORF_4001,
O => BTN_1_Count_8_DXMUX_4003
);
BTN_1_Count_8_XORF : X_XOR2
generic map(
LOC => "SLICE_X67Y74"
)
port map (
I0 => BTN_1_Count_8_CYINIT_4000,
I1 => BTN_1_Count_8_F,
O => BTN_1_Count_8_XORF_4001
);
BTN_1_Count_8_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X67Y74"
)
port map (
IA => BTN_1_Count_8_LOGIC_ZERO_3975,
IB => BTN_1_Count_8_CYINIT_4000,
SEL => BTN_1_Count_8_CYSELF_3981,
O => BTN_1_Mcount_Count_cy(8)
);
BTN_1_Count_8_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X67Y74"
)
port map (
IA => BTN_1_Count_8_LOGIC_ZERO_3975,
IB => BTN_1_Count_8_LOGIC_ZERO_3975,
SEL => BTN_1_Count_8_CYSELF_3981,
O => BTN_1_Count_8_CYMUXF2_3976
);
BTN_1_Count_8_CYINIT : X_BUF
generic map(
LOC => "SLICE_X67Y74",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Mcount_Count_cy(7),
O => BTN_1_Count_8_CYINIT_4000
);
BTN_1_Count_8_CYSELF : X_BUF
generic map(
LOC => "SLICE_X67Y74",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_8_F,
O => BTN_1_Count_8_CYSELF_3981
);
BTN_1_Count_8_DYMUX : X_BUF
generic map(
LOC => "SLICE_X67Y74",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_8_XORG_3983,
O => BTN_1_Count_8_DYMUX_3985
);
BTN_1_Count_8_XORG : X_XOR2
generic map(
LOC => "SLICE_X67Y74"
)
port map (
I0 => BTN_1_Mcount_Count_cy(8),
I1 => BTN_1_Count_8_G,
O => BTN_1_Count_8_XORG_3983
);
BTN_1_Count_8_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X67Y74",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_8_CYMUXFAST_3980,
O => BTN_1_Mcount_Count_cy(9)
);
BTN_1_Count_8_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X67Y74",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Mcount_Count_cy(7),
O => BTN_1_Count_8_FASTCARRY_3978
);
BTN_1_Count_8_CYAND : X_AND2
generic map(
LOC => "SLICE_X67Y74"
)
port map (
I0 => BTN_1_Count_8_CYSELG_3966,
I1 => BTN_1_Count_8_CYSELF_3981,
O => BTN_1_Count_8_CYAND_3979
);
BTN_1_Count_8_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X67Y74"
)
port map (
IA => BTN_1_Count_8_CYMUXG2_3977,
IB => BTN_1_Count_8_FASTCARRY_3978,
SEL => BTN_1_Count_8_CYAND_3979,
O => BTN_1_Count_8_CYMUXFAST_3980
);
BTN_1_Count_8_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X67Y74"
)
port map (
IA => BTN_1_Count_8_LOGIC_ZERO_3975,
IB => BTN_1_Count_8_CYMUXF2_3976,
SEL => BTN_1_Count_8_CYSELG_3966,
O => BTN_1_Count_8_CYMUXG2_3977
);
BTN_1_Count_8_CYSELG : X_BUF
generic map(
LOC => "SLICE_X67Y74",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_8_G,
O => BTN_1_Count_8_CYSELG_3966
);
BTN_1_Count_8_SRINV : X_BUF
generic map(
LOC => "SLICE_X67Y74",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_and0000_0,
O => BTN_1_Count_8_SRINV_3964
);
BTN_1_Count_8_CLKINV : X_BUF
generic map(
LOC => "SLICE_X67Y74",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_1_Count_8_CLKINV_3963
);
BTN_1_Count_8_CEINV : X_INV
generic map(
LOC => "SLICE_X67Y74",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count(20),
O => BTN_1_Count_8_CEINVNOT
);
BTN_1_Count_10_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X67Y75"
)
port map (
O => BTN_1_Count_10_LOGIC_ZERO_4031
);
BTN_1_Count_10_DXMUX : X_BUF
generic map(
LOC => "SLICE_X67Y75",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_10_XORF_4057,
O => BTN_1_Count_10_DXMUX_4059
);
BTN_1_Count_10_XORF : X_XOR2
generic map(
LOC => "SLICE_X67Y75"
)
port map (
I0 => BTN_1_Count_10_CYINIT_4056,
I1 => BTN_1_Count_10_F,
O => BTN_1_Count_10_XORF_4057
);
BTN_1_Count_10_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X67Y75"
)
port map (
IA => BTN_1_Count_10_LOGIC_ZERO_4031,
IB => BTN_1_Count_10_CYINIT_4056,
SEL => BTN_1_Count_10_CYSELF_4037,
O => BTN_1_Mcount_Count_cy(10)
);
BTN_1_Count_10_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X67Y75"
)
port map (
IA => BTN_1_Count_10_LOGIC_ZERO_4031,
IB => BTN_1_Count_10_LOGIC_ZERO_4031,
SEL => BTN_1_Count_10_CYSELF_4037,
O => BTN_1_Count_10_CYMUXF2_4032
);
BTN_1_Count_10_CYINIT : X_BUF
generic map(
LOC => "SLICE_X67Y75",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Mcount_Count_cy(9),
O => BTN_1_Count_10_CYINIT_4056
);
BTN_1_Count_10_CYSELF : X_BUF
generic map(
LOC => "SLICE_X67Y75",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_10_F,
O => BTN_1_Count_10_CYSELF_4037
);
BTN_1_Count_10_DYMUX : X_BUF
generic map(
LOC => "SLICE_X67Y75",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_10_XORG_4039,
O => BTN_1_Count_10_DYMUX_4041
);
BTN_1_Count_10_XORG : X_XOR2
generic map(
LOC => "SLICE_X67Y75"
)
port map (
I0 => BTN_1_Mcount_Count_cy(10),
I1 => BTN_1_Count_10_G,
O => BTN_1_Count_10_XORG_4039
);
BTN_1_Count_10_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X67Y75",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_10_CYMUXFAST_4036,
O => BTN_1_Mcount_Count_cy(11)
);
BTN_1_Count_10_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X67Y75",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Mcount_Count_cy(9),
O => BTN_1_Count_10_FASTCARRY_4034
);
BTN_1_Count_10_CYAND : X_AND2
generic map(
LOC => "SLICE_X67Y75"
)
port map (
I0 => BTN_1_Count_10_CYSELG_4022,
I1 => BTN_1_Count_10_CYSELF_4037,
O => BTN_1_Count_10_CYAND_4035
);
BTN_1_Count_10_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X67Y75"
)
port map (
IA => BTN_1_Count_10_CYMUXG2_4033,
IB => BTN_1_Count_10_FASTCARRY_4034,
SEL => BTN_1_Count_10_CYAND_4035,
O => BTN_1_Count_10_CYMUXFAST_4036
);
BTN_1_Count_10_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X67Y75"
)
port map (
IA => BTN_1_Count_10_LOGIC_ZERO_4031,
IB => BTN_1_Count_10_CYMUXF2_4032,
SEL => BTN_1_Count_10_CYSELG_4022,
O => BTN_1_Count_10_CYMUXG2_4033
);
BTN_1_Count_10_CYSELG : X_BUF
generic map(
LOC => "SLICE_X67Y75",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_10_G,
O => BTN_1_Count_10_CYSELG_4022
);
BTN_1_Count_10_SRINV : X_BUF
generic map(
LOC => "SLICE_X67Y75",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_and0000_0,
O => BTN_1_Count_10_SRINV_4020
);
BTN_1_Count_10_CLKINV : X_BUF
generic map(
LOC => "SLICE_X67Y75",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_1_Count_10_CLKINV_4019
);
BTN_1_Count_10_CEINV : X_INV
generic map(
LOC => "SLICE_X67Y75",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count(20),
O => BTN_1_Count_10_CEINVNOT
);
BTN_1_Count_12_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X67Y76"
)
port map (
O => BTN_1_Count_12_LOGIC_ZERO_4087
);
BTN_1_Count_12_DXMUX : X_BUF
generic map(
LOC => "SLICE_X67Y76",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_12_XORF_4113,
O => BTN_1_Count_12_DXMUX_4115
);
BTN_1_Count_12_XORF : X_XOR2
generic map(
LOC => "SLICE_X67Y76"
)
port map (
I0 => BTN_1_Count_12_CYINIT_4112,
I1 => BTN_1_Count_12_F,
O => BTN_1_Count_12_XORF_4113
);
BTN_1_Count_12_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X67Y76"
)
port map (
IA => BTN_1_Count_12_LOGIC_ZERO_4087,
IB => BTN_1_Count_12_CYINIT_4112,
SEL => BTN_1_Count_12_CYSELF_4093,
O => BTN_1_Mcount_Count_cy(12)
);
BTN_1_Count_12_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X67Y76"
)
port map (
IA => BTN_1_Count_12_LOGIC_ZERO_4087,
IB => BTN_1_Count_12_LOGIC_ZERO_4087,
SEL => BTN_1_Count_12_CYSELF_4093,
O => BTN_1_Count_12_CYMUXF2_4088
);
BTN_1_Count_12_CYINIT : X_BUF
generic map(
LOC => "SLICE_X67Y76",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Mcount_Count_cy(11),
O => BTN_1_Count_12_CYINIT_4112
);
BTN_1_Count_12_CYSELF : X_BUF
generic map(
LOC => "SLICE_X67Y76",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_12_F,
O => BTN_1_Count_12_CYSELF_4093
);
BTN_1_Count_12_DYMUX : X_BUF
generic map(
LOC => "SLICE_X67Y76",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_12_XORG_4095,
O => BTN_1_Count_12_DYMUX_4097
);
BTN_1_Count_12_XORG : X_XOR2
generic map(
LOC => "SLICE_X67Y76"
)
port map (
I0 => BTN_1_Mcount_Count_cy(12),
I1 => BTN_1_Count_12_G,
O => BTN_1_Count_12_XORG_4095
);
BTN_1_Count_12_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X67Y76",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_12_CYMUXFAST_4092,
O => BTN_1_Mcount_Count_cy(13)
);
BTN_1_Count_12_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X67Y76",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Mcount_Count_cy(11),
O => BTN_1_Count_12_FASTCARRY_4090
);
BTN_1_Count_12_CYAND : X_AND2
generic map(
LOC => "SLICE_X67Y76"
)
port map (
I0 => BTN_1_Count_12_CYSELG_4078,
I1 => BTN_1_Count_12_CYSELF_4093,
O => BTN_1_Count_12_CYAND_4091
);
BTN_1_Count_12_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X67Y76"
)
port map (
IA => BTN_1_Count_12_CYMUXG2_4089,
IB => BTN_1_Count_12_FASTCARRY_4090,
SEL => BTN_1_Count_12_CYAND_4091,
O => BTN_1_Count_12_CYMUXFAST_4092
);
BTN_1_Count_12_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X67Y76"
)
port map (
IA => BTN_1_Count_12_LOGIC_ZERO_4087,
IB => BTN_1_Count_12_CYMUXF2_4088,
SEL => BTN_1_Count_12_CYSELG_4078,
O => BTN_1_Count_12_CYMUXG2_4089
);
BTN_1_Count_12_CYSELG : X_BUF
generic map(
LOC => "SLICE_X67Y76",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_12_G,
O => BTN_1_Count_12_CYSELG_4078
);
BTN_1_Count_12_SRINV : X_BUF
generic map(
LOC => "SLICE_X67Y76",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_and0000_0,
O => BTN_1_Count_12_SRINV_4076
);
BTN_1_Count_12_CLKINV : X_BUF
generic map(
LOC => "SLICE_X67Y76",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_1_Count_12_CLKINV_4075
);
BTN_1_Count_12_CEINV : X_INV
generic map(
LOC => "SLICE_X67Y76",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count(20),
O => BTN_1_Count_12_CEINVNOT
);
BTN_1_Count_14_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X67Y77"
)
port map (
O => BTN_1_Count_14_LOGIC_ZERO_4143
);
BTN_1_Count_14_DXMUX : X_BUF
generic map(
LOC => "SLICE_X67Y77",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_14_XORF_4169,
O => BTN_1_Count_14_DXMUX_4171
);
BTN_1_Count_14_XORF : X_XOR2
generic map(
LOC => "SLICE_X67Y77"
)
port map (
I0 => BTN_1_Count_14_CYINIT_4168,
I1 => BTN_1_Count_14_F,
O => BTN_1_Count_14_XORF_4169
);
BTN_1_Count_14_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X67Y77"
)
port map (
IA => BTN_1_Count_14_LOGIC_ZERO_4143,
IB => BTN_1_Count_14_CYINIT_4168,
SEL => BTN_1_Count_14_CYSELF_4149,
O => BTN_1_Mcount_Count_cy(14)
);
BTN_1_Count_14_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X67Y77"
)
port map (
IA => BTN_1_Count_14_LOGIC_ZERO_4143,
IB => BTN_1_Count_14_LOGIC_ZERO_4143,
SEL => BTN_1_Count_14_CYSELF_4149,
O => BTN_1_Count_14_CYMUXF2_4144
);
BTN_1_Count_14_CYINIT : X_BUF
generic map(
LOC => "SLICE_X67Y77",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Mcount_Count_cy(13),
O => BTN_1_Count_14_CYINIT_4168
);
BTN_1_Count_14_CYSELF : X_BUF
generic map(
LOC => "SLICE_X67Y77",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_14_F,
O => BTN_1_Count_14_CYSELF_4149
);
BTN_1_Count_14_DYMUX : X_BUF
generic map(
LOC => "SLICE_X67Y77",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_14_XORG_4151,
O => BTN_1_Count_14_DYMUX_4153
);
BTN_1_Count_14_XORG : X_XOR2
generic map(
LOC => "SLICE_X67Y77"
)
port map (
I0 => BTN_1_Mcount_Count_cy(14),
I1 => BTN_1_Count_14_G,
O => BTN_1_Count_14_XORG_4151
);
BTN_1_Count_14_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X67Y77",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_14_CYMUXFAST_4148,
O => BTN_1_Mcount_Count_cy(15)
);
BTN_1_Count_14_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X67Y77",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Mcount_Count_cy(13),
O => BTN_1_Count_14_FASTCARRY_4146
);
BTN_1_Count_14_CYAND : X_AND2
generic map(
LOC => "SLICE_X67Y77"
)
port map (
I0 => BTN_1_Count_14_CYSELG_4134,
I1 => BTN_1_Count_14_CYSELF_4149,
O => BTN_1_Count_14_CYAND_4147
);
BTN_1_Count_14_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X67Y77"
)
port map (
IA => BTN_1_Count_14_CYMUXG2_4145,
IB => BTN_1_Count_14_FASTCARRY_4146,
SEL => BTN_1_Count_14_CYAND_4147,
O => BTN_1_Count_14_CYMUXFAST_4148
);
BTN_1_Count_14_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X67Y77"
)
port map (
IA => BTN_1_Count_14_LOGIC_ZERO_4143,
IB => BTN_1_Count_14_CYMUXF2_4144,
SEL => BTN_1_Count_14_CYSELG_4134,
O => BTN_1_Count_14_CYMUXG2_4145
);
BTN_1_Count_14_CYSELG : X_BUF
generic map(
LOC => "SLICE_X67Y77",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_14_G,
O => BTN_1_Count_14_CYSELG_4134
);
BTN_1_Count_14_SRINV : X_BUF
generic map(
LOC => "SLICE_X67Y77",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_and0000_0,
O => BTN_1_Count_14_SRINV_4132
);
BTN_1_Count_14_CLKINV : X_BUF
generic map(
LOC => "SLICE_X67Y77",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_1_Count_14_CLKINV_4131
);
BTN_1_Count_14_CEINV : X_INV
generic map(
LOC => "SLICE_X67Y77",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count(20),
O => BTN_1_Count_14_CEINVNOT
);
BTN_1_Count_16_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X67Y78"
)
port map (
O => BTN_1_Count_16_LOGIC_ZERO_4199
);
BTN_1_Count_16_DXMUX : X_BUF
generic map(
LOC => "SLICE_X67Y78",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_16_XORF_4225,
O => BTN_1_Count_16_DXMUX_4227
);
BTN_1_Count_16_XORF : X_XOR2
generic map(
LOC => "SLICE_X67Y78"
)
port map (
I0 => BTN_1_Count_16_CYINIT_4224,
I1 => BTN_1_Count_16_F,
O => BTN_1_Count_16_XORF_4225
);
BTN_1_Count_16_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X67Y78"
)
port map (
IA => BTN_1_Count_16_LOGIC_ZERO_4199,
IB => BTN_1_Count_16_CYINIT_4224,
SEL => BTN_1_Count_16_CYSELF_4205,
O => BTN_1_Mcount_Count_cy(16)
);
BTN_1_Count_16_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X67Y78"
)
port map (
IA => BTN_1_Count_16_LOGIC_ZERO_4199,
IB => BTN_1_Count_16_LOGIC_ZERO_4199,
SEL => BTN_1_Count_16_CYSELF_4205,
O => BTN_1_Count_16_CYMUXF2_4200
);
BTN_1_Count_16_CYINIT : X_BUF
generic map(
LOC => "SLICE_X67Y78",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Mcount_Count_cy(15),
O => BTN_1_Count_16_CYINIT_4224
);
BTN_1_Count_16_CYSELF : X_BUF
generic map(
LOC => "SLICE_X67Y78",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_16_F,
O => BTN_1_Count_16_CYSELF_4205
);
BTN_1_Count_16_DYMUX : X_BUF
generic map(
LOC => "SLICE_X67Y78",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_16_XORG_4207,
O => BTN_1_Count_16_DYMUX_4209
);
BTN_1_Count_16_XORG : X_XOR2
generic map(
LOC => "SLICE_X67Y78"
)
port map (
I0 => BTN_1_Mcount_Count_cy(16),
I1 => BTN_1_Count_16_G,
O => BTN_1_Count_16_XORG_4207
);
BTN_1_Count_16_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X67Y78",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_16_CYMUXFAST_4204,
O => BTN_1_Mcount_Count_cy(17)
);
BTN_1_Count_16_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X67Y78",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Mcount_Count_cy(15),
O => BTN_1_Count_16_FASTCARRY_4202
);
BTN_1_Count_16_CYAND : X_AND2
generic map(
LOC => "SLICE_X67Y78"
)
port map (
I0 => BTN_1_Count_16_CYSELG_4190,
I1 => BTN_1_Count_16_CYSELF_4205,
O => BTN_1_Count_16_CYAND_4203
);
BTN_1_Count_16_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X67Y78"
)
port map (
IA => BTN_1_Count_16_CYMUXG2_4201,
IB => BTN_1_Count_16_FASTCARRY_4202,
SEL => BTN_1_Count_16_CYAND_4203,
O => BTN_1_Count_16_CYMUXFAST_4204
);
BTN_1_Count_16_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X67Y78"
)
port map (
IA => BTN_1_Count_16_LOGIC_ZERO_4199,
IB => BTN_1_Count_16_CYMUXF2_4200,
SEL => BTN_1_Count_16_CYSELG_4190,
O => BTN_1_Count_16_CYMUXG2_4201
);
BTN_1_Count_16_CYSELG : X_BUF
generic map(
LOC => "SLICE_X67Y78",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_16_G,
O => BTN_1_Count_16_CYSELG_4190
);
BTN_1_Count_16_SRINV : X_BUF
generic map(
LOC => "SLICE_X67Y78",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_and0000_0,
O => BTN_1_Count_16_SRINV_4188
);
BTN_1_Count_16_CLKINV : X_BUF
generic map(
LOC => "SLICE_X67Y78",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_1_Count_16_CLKINV_4187
);
BTN_1_Count_16_CEINV : X_INV
generic map(
LOC => "SLICE_X67Y78",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count(20),
O => BTN_1_Count_16_CEINVNOT
);
BTN_1_Count_18_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X67Y79"
)
port map (
O => BTN_1_Count_18_LOGIC_ZERO_4255
);
BTN_1_Count_18_DXMUX : X_BUF
generic map(
LOC => "SLICE_X67Y79",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_18_XORF_4281,
O => BTN_1_Count_18_DXMUX_4283
);
BTN_1_Count_18_XORF : X_XOR2
generic map(
LOC => "SLICE_X67Y79"
)
port map (
I0 => BTN_1_Count_18_CYINIT_4280,
I1 => BTN_1_Count_18_F,
O => BTN_1_Count_18_XORF_4281
);
BTN_1_Count_18_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X67Y79"
)
port map (
IA => BTN_1_Count_18_LOGIC_ZERO_4255,
IB => BTN_1_Count_18_CYINIT_4280,
SEL => BTN_1_Count_18_CYSELF_4261,
O => BTN_1_Mcount_Count_cy(18)
);
BTN_1_Count_18_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X67Y79"
)
port map (
IA => BTN_1_Count_18_LOGIC_ZERO_4255,
IB => BTN_1_Count_18_LOGIC_ZERO_4255,
SEL => BTN_1_Count_18_CYSELF_4261,
O => BTN_1_Count_18_CYMUXF2_4256
);
BTN_1_Count_18_CYINIT : X_BUF
generic map(
LOC => "SLICE_X67Y79",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Mcount_Count_cy(17),
O => BTN_1_Count_18_CYINIT_4280
);
BTN_1_Count_18_CYSELF : X_BUF
generic map(
LOC => "SLICE_X67Y79",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_18_F,
O => BTN_1_Count_18_CYSELF_4261
);
BTN_1_Count_18_DYMUX : X_BUF
generic map(
LOC => "SLICE_X67Y79",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_18_XORG_4263,
O => BTN_1_Count_18_DYMUX_4265
);
BTN_1_Count_18_XORG : X_XOR2
generic map(
LOC => "SLICE_X67Y79"
)
port map (
I0 => BTN_1_Mcount_Count_cy(18),
I1 => BTN_1_Count_18_G,
O => BTN_1_Count_18_XORG_4263
);
BTN_1_Count_18_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X67Y79",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Mcount_Count_cy(17),
O => BTN_1_Count_18_FASTCARRY_4258
);
BTN_1_Count_18_CYAND : X_AND2
generic map(
LOC => "SLICE_X67Y79"
)
port map (
I0 => BTN_1_Count_18_CYSELG_4246,
I1 => BTN_1_Count_18_CYSELF_4261,
O => BTN_1_Count_18_CYAND_4259
);
BTN_1_Count_18_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X67Y79"
)
port map (
IA => BTN_1_Count_18_CYMUXG2_4257,
IB => BTN_1_Count_18_FASTCARRY_4258,
SEL => BTN_1_Count_18_CYAND_4259,
O => BTN_1_Count_18_CYMUXFAST_4260
);
BTN_1_Count_18_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X67Y79"
)
port map (
IA => BTN_1_Count_18_LOGIC_ZERO_4255,
IB => BTN_1_Count_18_CYMUXF2_4256,
SEL => BTN_1_Count_18_CYSELG_4246,
O => BTN_1_Count_18_CYMUXG2_4257
);
BTN_1_Count_18_CYSELG : X_BUF
generic map(
LOC => "SLICE_X67Y79",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_18_G,
O => BTN_1_Count_18_CYSELG_4246
);
BTN_1_Count_18_SRINV : X_BUF
generic map(
LOC => "SLICE_X67Y79",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_and0000_0,
O => BTN_1_Count_18_SRINV_4244
);
BTN_1_Count_18_CLKINV : X_BUF
generic map(
LOC => "SLICE_X67Y79",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_1_Count_18_CLKINV_4243
);
BTN_1_Count_18_CEINV : X_INV
generic map(
LOC => "SLICE_X67Y79",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count(20),
O => BTN_1_Count_18_CEINVNOT
);
BTN_1_Count_20_DXMUX : X_BUF
generic map(
LOC => "SLICE_X67Y80",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_20_XORF_4308,
O => BTN_1_Count_20_DXMUX_4310
);
BTN_1_Count_20_XORF : X_XOR2
generic map(
LOC => "SLICE_X67Y80"
)
port map (
I0 => BTN_1_Count_20_CYINIT_4307,
I1 => BTN_1_Count_20_rt_4305,
O => BTN_1_Count_20_XORF_4308
);
BTN_1_Count_20_CYINIT : X_BUF
generic map(
LOC => "SLICE_X67Y80",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_18_CYMUXFAST_4260,
O => BTN_1_Count_20_CYINIT_4307
);
BTN_1_Count_20_SRINV : X_BUF
generic map(
LOC => "SLICE_X67Y80",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count_and0000_0,
O => BTN_1_Count_20_SRINV_4297
);
BTN_1_Count_20_CLKINV : X_BUF
generic map(
LOC => "SLICE_X67Y80",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_1_Count_20_CLKINV_4296
);
BTN_1_Count_20_CEINV : X_INV
generic map(
LOC => "SLICE_X67Y80",
PATHPULSE => 638 ps
)
port map (
I => BTN_1_Count(20),
O => BTN_1_Count_20_CEINVNOT
);
arith_0_XUSED : X_BUF
generic map(
LOC => "SLICE_X65Y26",
PATHPULSE => 638 ps
)
port map (
I => arith_0_XORF_4350,
O => arith(0)
);
arith_0_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y26"
)
port map (
I0 => arith_0_CYINIT_4349,
I1 => Arith_Unit_Maddsub_arith_lut(0),
O => arith_0_XORF_4350
);
arith_0_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y26"
)
port map (
IA => arith_0_CY0F_4348,
IB => arith_0_CYINIT_4349,
SEL => arith_0_CYSELF_4341,
O => Arith_Unit_Maddsub_arith_cy_0_Q
);
arith_0_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y26",
PATHPULSE => 638 ps
)
port map (
I => Arith_Unit_arith_cmp_eq0000_0,
O => arith_0_CYINIT_4349
);
arith_0_CY0F : X_BUF
generic map(
LOC => "SLICE_X65Y26",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALA(0),
O => arith_0_CY0F_4348
);
arith_0_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y26",
PATHPULSE => 638 ps
)
port map (
I => Arith_Unit_Maddsub_arith_lut(0),
O => arith_0_CYSELF_4341
);
arith_0_YUSED : X_BUF
generic map(
LOC => "SLICE_X65Y26",
PATHPULSE => 638 ps
)
port map (
I => arith_0_XORG_4337,
O => arith(1)
);
arith_0_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y26"
)
port map (
I0 => Arith_Unit_Maddsub_arith_cy_0_Q,
I1 => Arith_Unit_Maddsub_arith_lut(1),
O => arith_0_XORG_4337
);
arith_0_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X65Y26",
PATHPULSE => 638 ps
)
port map (
I => arith_0_CYMUXG_4336,
O => Arith_Unit_Maddsub_arith_cy_1_Q
);
arith_0_CYMUXG : X_MUX2
generic map(
LOC => "SLICE_X65Y26"
)
port map (
IA => arith_0_CY0G_4334,
IB => Arith_Unit_Maddsub_arith_cy_0_Q,
SEL => arith_0_CYSELG_4327,
O => arith_0_CYMUXG_4336
);
arith_0_CY0G : X_BUF
generic map(
LOC => "SLICE_X65Y26",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALA(1),
O => arith_0_CY0G_4334
);
arith_0_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y26",
PATHPULSE => 638 ps
)
port map (
I => Arith_Unit_Maddsub_arith_lut(1),
O => arith_0_CYSELG_4327
);
Arith_Unit_Maddsub_arith_lut_1_Q : X_LUT4
generic map(
INIT => X"9966",
LOC => "SLICE_X65Y26"
)
port map (
ADR0 => UXCntl_Unit_VALA(1),
ADR1 => UXCntl_Unit_VALB(1),
ADR2 => VCC,
ADR3 => Arith_Unit_arith_cmp_eq0000_0,
O => Arith_Unit_Maddsub_arith_lut(1)
);
arith_2_XUSED : X_BUF
generic map(
LOC => "SLICE_X65Y27",
PATHPULSE => 638 ps
)
port map (
I => arith_2_XORF_4389,
O => arith(2)
);
arith_2_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y27"
)
port map (
I0 => arith_2_CYINIT_4388,
I1 => Arith_Unit_Maddsub_arith_lut(2),
O => arith_2_XORF_4389
);
arith_2_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y27"
)
port map (
IA => arith_2_CY0F_4387,
IB => arith_2_CYINIT_4388,
SEL => arith_2_CYSELF_4376,
O => Arith_Unit_Maddsub_arith_cy_2_Q
);
arith_2_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X65Y27"
)
port map (
IA => arith_2_CY0F_4387,
IB => arith_2_CY0F_4387,
SEL => arith_2_CYSELF_4376,
O => arith_2_CYMUXF2_4371
);
arith_2_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y27",
PATHPULSE => 638 ps
)
port map (
I => Arith_Unit_Maddsub_arith_cy_1_Q,
O => arith_2_CYINIT_4388
);
arith_2_CY0F : X_BUF
generic map(
LOC => "SLICE_X65Y27",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALA(2),
O => arith_2_CY0F_4387
);
arith_2_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y27",
PATHPULSE => 638 ps
)
port map (
I => Arith_Unit_Maddsub_arith_lut(2),
O => arith_2_CYSELF_4376
);
arith_2_YUSED : X_BUF
generic map(
LOC => "SLICE_X65Y27",
PATHPULSE => 638 ps
)
port map (
I => arith_2_XORG_4378,
O => arith(3)
);
arith_2_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y27"
)
port map (
I0 => Arith_Unit_Maddsub_arith_cy_2_Q,
I1 => Arith_Unit_Maddsub_arith_lut(3),
O => arith_2_XORG_4378
);
arith_2_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X65Y27",
PATHPULSE => 638 ps
)
port map (
I => arith_2_CYMUXFAST_4375,
O => Arith_Unit_Maddsub_arith_cy_3_Q
);
arith_2_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X65Y27",
PATHPULSE => 638 ps
)
port map (
I => Arith_Unit_Maddsub_arith_cy_1_Q,
O => arith_2_FASTCARRY_4373
);
arith_2_CYAND : X_AND2
generic map(
LOC => "SLICE_X65Y27"
)
port map (
I0 => arith_2_CYSELG_4363,
I1 => arith_2_CYSELF_4376,
O => arith_2_CYAND_4374
);
arith_2_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X65Y27"
)
port map (
IA => arith_2_CYMUXG2_4372,
IB => arith_2_FASTCARRY_4373,
SEL => arith_2_CYAND_4374,
O => arith_2_CYMUXFAST_4375
);
arith_2_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X65Y27"
)
port map (
IA => arith_2_CY0G_4370,
IB => arith_2_CYMUXF2_4371,
SEL => arith_2_CYSELG_4363,
O => arith_2_CYMUXG2_4372
);
arith_2_CY0G : X_BUF
generic map(
LOC => "SLICE_X65Y27",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALA(3),
O => arith_2_CY0G_4370
);
arith_2_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y27",
PATHPULSE => 638 ps
)
port map (
I => Arith_Unit_Maddsub_arith_lut(3),
O => arith_2_CYSELG_4363
);
Arith_Unit_Maddsub_arith_lut_3_Q : X_LUT4
generic map(
INIT => X"9966",
LOC => "SLICE_X65Y27"
)
port map (
ADR0 => UXCntl_Unit_VALA(3),
ADR1 => UXCntl_Unit_VALB(3),
ADR2 => VCC,
ADR3 => Arith_Unit_arith_cmp_eq0000_0,
O => Arith_Unit_Maddsub_arith_lut(3)
);
arith_4_XUSED : X_BUF
generic map(
LOC => "SLICE_X65Y28",
PATHPULSE => 638 ps
)
port map (
I => arith_4_XORF_4428,
O => arith(4)
);
arith_4_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y28"
)
port map (
I0 => arith_4_CYINIT_4427,
I1 => Arith_Unit_Maddsub_arith_lut(4),
O => arith_4_XORF_4428
);
arith_4_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y28"
)
port map (
IA => arith_4_CY0F_4426,
IB => arith_4_CYINIT_4427,
SEL => arith_4_CYSELF_4415,
O => Arith_Unit_Maddsub_arith_cy_4_Q
);
arith_4_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X65Y28"
)
port map (
IA => arith_4_CY0F_4426,
IB => arith_4_CY0F_4426,
SEL => arith_4_CYSELF_4415,
O => arith_4_CYMUXF2_4410
);
arith_4_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y28",
PATHPULSE => 638 ps
)
port map (
I => Arith_Unit_Maddsub_arith_cy_3_Q,
O => arith_4_CYINIT_4427
);
arith_4_CY0F : X_BUF
generic map(
LOC => "SLICE_X65Y28",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALA(4),
O => arith_4_CY0F_4426
);
arith_4_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y28",
PATHPULSE => 638 ps
)
port map (
I => Arith_Unit_Maddsub_arith_lut(4),
O => arith_4_CYSELF_4415
);
arith_4_YUSED : X_BUF
generic map(
LOC => "SLICE_X65Y28",
PATHPULSE => 638 ps
)
port map (
I => arith_4_XORG_4417,
O => arith(5)
);
arith_4_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y28"
)
port map (
I0 => Arith_Unit_Maddsub_arith_cy_4_Q,
I1 => Arith_Unit_Maddsub_arith_lut(5),
O => arith_4_XORG_4417
);
arith_4_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X65Y28",
PATHPULSE => 638 ps
)
port map (
I => Arith_Unit_Maddsub_arith_cy_3_Q,
O => arith_4_FASTCARRY_4412
);
arith_4_CYAND : X_AND2
generic map(
LOC => "SLICE_X65Y28"
)
port map (
I0 => arith_4_CYSELG_4402,
I1 => arith_4_CYSELF_4415,
O => arith_4_CYAND_4413
);
arith_4_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X65Y28"
)
port map (
IA => arith_4_CYMUXG2_4411,
IB => arith_4_FASTCARRY_4412,
SEL => arith_4_CYAND_4413,
O => arith_4_CYMUXFAST_4414
);
arith_4_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X65Y28"
)
port map (
IA => arith_4_CY0G_4409,
IB => arith_4_CYMUXF2_4410,
SEL => arith_4_CYSELG_4402,
O => arith_4_CYMUXG2_4411
);
arith_4_CY0G : X_BUF
generic map(
LOC => "SLICE_X65Y28",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALA(5),
O => arith_4_CY0G_4409
);
arith_4_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y28",
PATHPULSE => 638 ps
)
port map (
I => Arith_Unit_Maddsub_arith_lut(5),
O => arith_4_CYSELG_4402
);
Arith_Unit_Maddsub_arith_lut_5_Q : X_LUT4
generic map(
INIT => X"9696",
LOC => "SLICE_X65Y28"
)
port map (
ADR0 => UXCntl_Unit_VALB(5),
ADR1 => UXCntl_Unit_VALA(5),
ADR2 => Arith_Unit_arith_cmp_eq0000_0,
ADR3 => VCC,
O => Arith_Unit_Maddsub_arith_lut(5)
);
arith_6_XUSED : X_BUF
generic map(
LOC => "SLICE_X65Y29",
PATHPULSE => 638 ps
)
port map (
I => arith_6_XORF_4459,
O => arith(6)
);
arith_6_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y29"
)
port map (
I0 => arith_6_CYINIT_4458,
I1 => Arith_Unit_Maddsub_arith_lut(6),
O => arith_6_XORF_4459
);
arith_6_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y29"
)
port map (
IA => arith_6_CY0F_4457,
IB => arith_6_CYINIT_4458,
SEL => arith_6_CYSELF_4450,
O => Arith_Unit_Maddsub_arith_cy_6_Q
);
arith_6_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y29",
PATHPULSE => 638 ps
)
port map (
I => arith_4_CYMUXFAST_4414,
O => arith_6_CYINIT_4458
);
arith_6_CY0F : X_BUF
generic map(
LOC => "SLICE_X65Y29",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALA(6),
O => arith_6_CY0F_4457
);
arith_6_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y29",
PATHPULSE => 638 ps
)
port map (
I => Arith_Unit_Maddsub_arith_lut(6),
O => arith_6_CYSELF_4450
);
arith_6_YUSED : X_BUF
generic map(
LOC => "SLICE_X65Y29",
PATHPULSE => 638 ps
)
port map (
I => arith_6_XORG_4447,
O => arith(7)
);
arith_6_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y29"
)
port map (
I0 => Arith_Unit_Maddsub_arith_cy_6_Q,
I1 => Arith_Unit_Maddsub_arith_lut(7),
O => arith_6_XORG_4447
);
Arith_Unit_Maddsub_arith_lut_7_Q : X_LUT4
generic map(
INIT => X"9696",
LOC => "SLICE_X65Y29"
)
port map (
ADR0 => UXCntl_Unit_VALA(7),
ADR1 => UXCntl_Unit_VALB(7),
ADR2 => Arith_Unit_arith_cmp_eq0000_0,
ADR3 => VCC,
O => Arith_Unit_Maddsub_arith_lut(7)
);
BTN_0_Count_0_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X65Y81"
)
port map (
O => BTN_0_Count_0_LOGIC_ZERO_4483
);
BTN_0_Count_0_LOGIC_ONE : X_ONE
generic map(
LOC => "SLICE_X65Y81"
)
port map (
O => BTN_0_Count_0_LOGIC_ONE_4506
);
BTN_0_Count_0_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y81",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_0_XORF_4507,
O => BTN_0_Count_0_DXMUX_4509
);
BTN_0_Count_0_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y81"
)
port map (
I0 => BTN_0_Count_0_CYINIT_4505,
I1 => BTN_0_Mcount_Count_lut(0),
O => BTN_0_Count_0_XORF_4507
);
BTN_0_Count_0_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y81"
)
port map (
IA => BTN_0_Count_0_LOGIC_ONE_4506,
IB => BTN_0_Count_0_CYINIT_4505,
SEL => BTN_0_Count_0_CYSELF_4496,
O => BTN_0_Mcount_Count_cy(0)
);
BTN_0_Count_0_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y81",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_0_BXINV_4494,
O => BTN_0_Count_0_CYINIT_4505
);
BTN_0_Count_0_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y81",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Mcount_Count_lut(0),
O => BTN_0_Count_0_CYSELF_4496
);
BTN_0_Count_0_BXINV : X_BUF
generic map(
LOC => "SLICE_X65Y81",
PATHPULSE => 638 ps
)
port map (
I => '0',
O => BTN_0_Count_0_BXINV_4494
);
BTN_0_Count_0_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y81",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_0_XORG_4486,
O => BTN_0_Count_0_DYMUX_4488
);
BTN_0_Count_0_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y81"
)
port map (
I0 => BTN_0_Mcount_Count_cy(0),
I1 => BTN_0_Count_0_G,
O => BTN_0_Count_0_XORG_4486
);
BTN_0_Count_0_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X65Y81",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_0_CYMUXG_4485,
O => BTN_0_Mcount_Count_cy(1)
);
BTN_0_Count_0_CYMUXG : X_MUX2
generic map(
LOC => "SLICE_X65Y81"
)
port map (
IA => BTN_0_Count_0_LOGIC_ZERO_4483,
IB => BTN_0_Mcount_Count_cy(0),
SEL => BTN_0_Count_0_CYSELG_4474,
O => BTN_0_Count_0_CYMUXG_4485
);
BTN_0_Count_0_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y81",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_0_G,
O => BTN_0_Count_0_CYSELG_4474
);
BTN_0_Count_0_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y81",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_and0000_0,
O => BTN_0_Count_0_SRINV_4472
);
BTN_0_Count_0_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y81",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_0_Count_0_CLKINV_4471
);
BTN_0_Count_0_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y81",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count(20),
O => BTN_0_Count_0_CEINVNOT
);
BTN_0_Count_2_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X65Y82"
)
port map (
O => BTN_0_Count_2_LOGIC_ZERO_4537
);
BTN_0_Count_2_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y82",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_2_XORF_4563,
O => BTN_0_Count_2_DXMUX_4565
);
BTN_0_Count_2_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y82"
)
port map (
I0 => BTN_0_Count_2_CYINIT_4562,
I1 => BTN_0_Count_2_F,
O => BTN_0_Count_2_XORF_4563
);
BTN_0_Count_2_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y82"
)
port map (
IA => BTN_0_Count_2_LOGIC_ZERO_4537,
IB => BTN_0_Count_2_CYINIT_4562,
SEL => BTN_0_Count_2_CYSELF_4543,
O => BTN_0_Mcount_Count_cy(2)
);
BTN_0_Count_2_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X65Y82"
)
port map (
IA => BTN_0_Count_2_LOGIC_ZERO_4537,
IB => BTN_0_Count_2_LOGIC_ZERO_4537,
SEL => BTN_0_Count_2_CYSELF_4543,
O => BTN_0_Count_2_CYMUXF2_4538
);
BTN_0_Count_2_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y82",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Mcount_Count_cy(1),
O => BTN_0_Count_2_CYINIT_4562
);
BTN_0_Count_2_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y82",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_2_F,
O => BTN_0_Count_2_CYSELF_4543
);
BTN_0_Count_2_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y82",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_2_XORG_4545,
O => BTN_0_Count_2_DYMUX_4547
);
BTN_0_Count_2_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y82"
)
port map (
I0 => BTN_0_Mcount_Count_cy(2),
I1 => BTN_0_Count_2_G,
O => BTN_0_Count_2_XORG_4545
);
BTN_0_Count_2_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X65Y82",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_2_CYMUXFAST_4542,
O => BTN_0_Mcount_Count_cy(3)
);
BTN_0_Count_2_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X65Y82",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Mcount_Count_cy(1),
O => BTN_0_Count_2_FASTCARRY_4540
);
BTN_0_Count_2_CYAND : X_AND2
generic map(
LOC => "SLICE_X65Y82"
)
port map (
I0 => BTN_0_Count_2_CYSELG_4528,
I1 => BTN_0_Count_2_CYSELF_4543,
O => BTN_0_Count_2_CYAND_4541
);
BTN_0_Count_2_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X65Y82"
)
port map (
IA => BTN_0_Count_2_CYMUXG2_4539,
IB => BTN_0_Count_2_FASTCARRY_4540,
SEL => BTN_0_Count_2_CYAND_4541,
O => BTN_0_Count_2_CYMUXFAST_4542
);
BTN_0_Count_2_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X65Y82"
)
port map (
IA => BTN_0_Count_2_LOGIC_ZERO_4537,
IB => BTN_0_Count_2_CYMUXF2_4538,
SEL => BTN_0_Count_2_CYSELG_4528,
O => BTN_0_Count_2_CYMUXG2_4539
);
BTN_0_Count_2_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y82",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_2_G,
O => BTN_0_Count_2_CYSELG_4528
);
BTN_0_Count_2_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y82",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_and0000_0,
O => BTN_0_Count_2_SRINV_4526
);
BTN_0_Count_2_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y82",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_0_Count_2_CLKINV_4525
);
BTN_0_Count_2_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y82",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count(20),
O => BTN_0_Count_2_CEINVNOT
);
BTN_0_Count_4_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X65Y83"
)
port map (
O => BTN_0_Count_4_LOGIC_ZERO_4593
);
BTN_0_Count_4_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y83",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_4_XORF_4619,
O => BTN_0_Count_4_DXMUX_4621
);
BTN_0_Count_4_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y83"
)
port map (
I0 => BTN_0_Count_4_CYINIT_4618,
I1 => BTN_0_Count_4_F,
O => BTN_0_Count_4_XORF_4619
);
BTN_0_Count_4_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y83"
)
port map (
IA => BTN_0_Count_4_LOGIC_ZERO_4593,
IB => BTN_0_Count_4_CYINIT_4618,
SEL => BTN_0_Count_4_CYSELF_4599,
O => BTN_0_Mcount_Count_cy(4)
);
BTN_0_Count_4_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X65Y83"
)
port map (
IA => BTN_0_Count_4_LOGIC_ZERO_4593,
IB => BTN_0_Count_4_LOGIC_ZERO_4593,
SEL => BTN_0_Count_4_CYSELF_4599,
O => BTN_0_Count_4_CYMUXF2_4594
);
BTN_0_Count_4_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y83",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Mcount_Count_cy(3),
O => BTN_0_Count_4_CYINIT_4618
);
BTN_0_Count_4_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y83",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_4_F,
O => BTN_0_Count_4_CYSELF_4599
);
BTN_0_Count_4_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y83",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_4_XORG_4601,
O => BTN_0_Count_4_DYMUX_4603
);
BTN_0_Count_4_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y83"
)
port map (
I0 => BTN_0_Mcount_Count_cy(4),
I1 => BTN_0_Count_4_G,
O => BTN_0_Count_4_XORG_4601
);
BTN_0_Count_4_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X65Y83",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_4_CYMUXFAST_4598,
O => BTN_0_Mcount_Count_cy(5)
);
BTN_0_Count_4_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X65Y83",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Mcount_Count_cy(3),
O => BTN_0_Count_4_FASTCARRY_4596
);
BTN_0_Count_4_CYAND : X_AND2
generic map(
LOC => "SLICE_X65Y83"
)
port map (
I0 => BTN_0_Count_4_CYSELG_4584,
I1 => BTN_0_Count_4_CYSELF_4599,
O => BTN_0_Count_4_CYAND_4597
);
BTN_0_Count_4_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X65Y83"
)
port map (
IA => BTN_0_Count_4_CYMUXG2_4595,
IB => BTN_0_Count_4_FASTCARRY_4596,
SEL => BTN_0_Count_4_CYAND_4597,
O => BTN_0_Count_4_CYMUXFAST_4598
);
BTN_0_Count_4_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X65Y83"
)
port map (
IA => BTN_0_Count_4_LOGIC_ZERO_4593,
IB => BTN_0_Count_4_CYMUXF2_4594,
SEL => BTN_0_Count_4_CYSELG_4584,
O => BTN_0_Count_4_CYMUXG2_4595
);
BTN_0_Count_4_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y83",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_4_G,
O => BTN_0_Count_4_CYSELG_4584
);
BTN_0_Count_4_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y83",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_and0000_0,
O => BTN_0_Count_4_SRINV_4582
);
BTN_0_Count_4_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y83",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_0_Count_4_CLKINV_4581
);
BTN_0_Count_4_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y83",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count(20),
O => BTN_0_Count_4_CEINVNOT
);
BTN_0_Count_6_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X65Y84"
)
port map (
O => BTN_0_Count_6_LOGIC_ZERO_4649
);
BTN_0_Count_6_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y84",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_6_XORF_4675,
O => BTN_0_Count_6_DXMUX_4677
);
BTN_0_Count_6_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y84"
)
port map (
I0 => BTN_0_Count_6_CYINIT_4674,
I1 => BTN_0_Count_6_F,
O => BTN_0_Count_6_XORF_4675
);
BTN_0_Count_6_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y84"
)
port map (
IA => BTN_0_Count_6_LOGIC_ZERO_4649,
IB => BTN_0_Count_6_CYINIT_4674,
SEL => BTN_0_Count_6_CYSELF_4655,
O => BTN_0_Mcount_Count_cy(6)
);
BTN_0_Count_6_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X65Y84"
)
port map (
IA => BTN_0_Count_6_LOGIC_ZERO_4649,
IB => BTN_0_Count_6_LOGIC_ZERO_4649,
SEL => BTN_0_Count_6_CYSELF_4655,
O => BTN_0_Count_6_CYMUXF2_4650
);
BTN_0_Count_6_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y84",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Mcount_Count_cy(5),
O => BTN_0_Count_6_CYINIT_4674
);
BTN_0_Count_6_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y84",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_6_F,
O => BTN_0_Count_6_CYSELF_4655
);
BTN_0_Count_6_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y84",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_6_XORG_4657,
O => BTN_0_Count_6_DYMUX_4659
);
BTN_0_Count_6_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y84"
)
port map (
I0 => BTN_0_Mcount_Count_cy(6),
I1 => BTN_0_Count_6_G,
O => BTN_0_Count_6_XORG_4657
);
BTN_0_Count_6_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X65Y84",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_6_CYMUXFAST_4654,
O => BTN_0_Mcount_Count_cy(7)
);
BTN_0_Count_6_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X65Y84",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Mcount_Count_cy(5),
O => BTN_0_Count_6_FASTCARRY_4652
);
BTN_0_Count_6_CYAND : X_AND2
generic map(
LOC => "SLICE_X65Y84"
)
port map (
I0 => BTN_0_Count_6_CYSELG_4640,
I1 => BTN_0_Count_6_CYSELF_4655,
O => BTN_0_Count_6_CYAND_4653
);
BTN_0_Count_6_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X65Y84"
)
port map (
IA => BTN_0_Count_6_CYMUXG2_4651,
IB => BTN_0_Count_6_FASTCARRY_4652,
SEL => BTN_0_Count_6_CYAND_4653,
O => BTN_0_Count_6_CYMUXFAST_4654
);
BTN_0_Count_6_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X65Y84"
)
port map (
IA => BTN_0_Count_6_LOGIC_ZERO_4649,
IB => BTN_0_Count_6_CYMUXF2_4650,
SEL => BTN_0_Count_6_CYSELG_4640,
O => BTN_0_Count_6_CYMUXG2_4651
);
BTN_0_Count_6_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y84",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_6_G,
O => BTN_0_Count_6_CYSELG_4640
);
BTN_0_Count_6_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y84",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_and0000_0,
O => BTN_0_Count_6_SRINV_4638
);
BTN_0_Count_6_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y84",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_0_Count_6_CLKINV_4637
);
BTN_0_Count_6_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y84",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count(20),
O => BTN_0_Count_6_CEINVNOT
);
BTN_0_Count_8_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X65Y85"
)
port map (
O => BTN_0_Count_8_LOGIC_ZERO_4705
);
BTN_0_Count_8_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y85",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_8_XORF_4731,
O => BTN_0_Count_8_DXMUX_4733
);
BTN_0_Count_8_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y85"
)
port map (
I0 => BTN_0_Count_8_CYINIT_4730,
I1 => BTN_0_Count_8_F,
O => BTN_0_Count_8_XORF_4731
);
BTN_0_Count_8_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y85"
)
port map (
IA => BTN_0_Count_8_LOGIC_ZERO_4705,
IB => BTN_0_Count_8_CYINIT_4730,
SEL => BTN_0_Count_8_CYSELF_4711,
O => BTN_0_Mcount_Count_cy(8)
);
BTN_0_Count_8_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X65Y85"
)
port map (
IA => BTN_0_Count_8_LOGIC_ZERO_4705,
IB => BTN_0_Count_8_LOGIC_ZERO_4705,
SEL => BTN_0_Count_8_CYSELF_4711,
O => BTN_0_Count_8_CYMUXF2_4706
);
BTN_0_Count_8_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y85",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Mcount_Count_cy(7),
O => BTN_0_Count_8_CYINIT_4730
);
BTN_0_Count_8_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y85",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_8_F,
O => BTN_0_Count_8_CYSELF_4711
);
BTN_0_Count_8_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y85",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_8_XORG_4713,
O => BTN_0_Count_8_DYMUX_4715
);
BTN_0_Count_8_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y85"
)
port map (
I0 => BTN_0_Mcount_Count_cy(8),
I1 => BTN_0_Count_8_G,
O => BTN_0_Count_8_XORG_4713
);
BTN_0_Count_8_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X65Y85",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_8_CYMUXFAST_4710,
O => BTN_0_Mcount_Count_cy(9)
);
BTN_0_Count_8_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X65Y85",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Mcount_Count_cy(7),
O => BTN_0_Count_8_FASTCARRY_4708
);
BTN_0_Count_8_CYAND : X_AND2
generic map(
LOC => "SLICE_X65Y85"
)
port map (
I0 => BTN_0_Count_8_CYSELG_4696,
I1 => BTN_0_Count_8_CYSELF_4711,
O => BTN_0_Count_8_CYAND_4709
);
BTN_0_Count_8_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X65Y85"
)
port map (
IA => BTN_0_Count_8_CYMUXG2_4707,
IB => BTN_0_Count_8_FASTCARRY_4708,
SEL => BTN_0_Count_8_CYAND_4709,
O => BTN_0_Count_8_CYMUXFAST_4710
);
BTN_0_Count_8_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X65Y85"
)
port map (
IA => BTN_0_Count_8_LOGIC_ZERO_4705,
IB => BTN_0_Count_8_CYMUXF2_4706,
SEL => BTN_0_Count_8_CYSELG_4696,
O => BTN_0_Count_8_CYMUXG2_4707
);
BTN_0_Count_8_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y85",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_8_G,
O => BTN_0_Count_8_CYSELG_4696
);
BTN_0_Count_8_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y85",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_and0000_0,
O => BTN_0_Count_8_SRINV_4694
);
BTN_0_Count_8_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y85",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_0_Count_8_CLKINV_4693
);
BTN_0_Count_8_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y85",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count(20),
O => BTN_0_Count_8_CEINVNOT
);
BTN_0_Count_10_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X65Y86"
)
port map (
O => BTN_0_Count_10_LOGIC_ZERO_4761
);
BTN_0_Count_10_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y86",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_10_XORF_4787,
O => BTN_0_Count_10_DXMUX_4789
);
BTN_0_Count_10_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y86"
)
port map (
I0 => BTN_0_Count_10_CYINIT_4786,
I1 => BTN_0_Count_10_F,
O => BTN_0_Count_10_XORF_4787
);
BTN_0_Count_10_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y86"
)
port map (
IA => BTN_0_Count_10_LOGIC_ZERO_4761,
IB => BTN_0_Count_10_CYINIT_4786,
SEL => BTN_0_Count_10_CYSELF_4767,
O => BTN_0_Mcount_Count_cy(10)
);
BTN_0_Count_10_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X65Y86"
)
port map (
IA => BTN_0_Count_10_LOGIC_ZERO_4761,
IB => BTN_0_Count_10_LOGIC_ZERO_4761,
SEL => BTN_0_Count_10_CYSELF_4767,
O => BTN_0_Count_10_CYMUXF2_4762
);
BTN_0_Count_10_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y86",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Mcount_Count_cy(9),
O => BTN_0_Count_10_CYINIT_4786
);
BTN_0_Count_10_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y86",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_10_F,
O => BTN_0_Count_10_CYSELF_4767
);
BTN_0_Count_10_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y86",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_10_XORG_4769,
O => BTN_0_Count_10_DYMUX_4771
);
BTN_0_Count_10_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y86"
)
port map (
I0 => BTN_0_Mcount_Count_cy(10),
I1 => BTN_0_Count_10_G,
O => BTN_0_Count_10_XORG_4769
);
BTN_0_Count_10_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X65Y86",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_10_CYMUXFAST_4766,
O => BTN_0_Mcount_Count_cy(11)
);
BTN_0_Count_10_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X65Y86",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Mcount_Count_cy(9),
O => BTN_0_Count_10_FASTCARRY_4764
);
BTN_0_Count_10_CYAND : X_AND2
generic map(
LOC => "SLICE_X65Y86"
)
port map (
I0 => BTN_0_Count_10_CYSELG_4752,
I1 => BTN_0_Count_10_CYSELF_4767,
O => BTN_0_Count_10_CYAND_4765
);
BTN_0_Count_10_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X65Y86"
)
port map (
IA => BTN_0_Count_10_CYMUXG2_4763,
IB => BTN_0_Count_10_FASTCARRY_4764,
SEL => BTN_0_Count_10_CYAND_4765,
O => BTN_0_Count_10_CYMUXFAST_4766
);
BTN_0_Count_10_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X65Y86"
)
port map (
IA => BTN_0_Count_10_LOGIC_ZERO_4761,
IB => BTN_0_Count_10_CYMUXF2_4762,
SEL => BTN_0_Count_10_CYSELG_4752,
O => BTN_0_Count_10_CYMUXG2_4763
);
BTN_0_Count_10_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y86",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_10_G,
O => BTN_0_Count_10_CYSELG_4752
);
BTN_0_Count_10_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y86",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_and0000_0,
O => BTN_0_Count_10_SRINV_4750
);
BTN_0_Count_10_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y86",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_0_Count_10_CLKINV_4749
);
BTN_0_Count_10_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y86",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count(20),
O => BTN_0_Count_10_CEINVNOT
);
BTN_0_Count_12_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X65Y87"
)
port map (
O => BTN_0_Count_12_LOGIC_ZERO_4817
);
BTN_0_Count_12_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y87",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_12_XORF_4843,
O => BTN_0_Count_12_DXMUX_4845
);
BTN_0_Count_12_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y87"
)
port map (
I0 => BTN_0_Count_12_CYINIT_4842,
I1 => BTN_0_Count_12_F,
O => BTN_0_Count_12_XORF_4843
);
BTN_0_Count_12_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y87"
)
port map (
IA => BTN_0_Count_12_LOGIC_ZERO_4817,
IB => BTN_0_Count_12_CYINIT_4842,
SEL => BTN_0_Count_12_CYSELF_4823,
O => BTN_0_Mcount_Count_cy(12)
);
BTN_0_Count_12_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X65Y87"
)
port map (
IA => BTN_0_Count_12_LOGIC_ZERO_4817,
IB => BTN_0_Count_12_LOGIC_ZERO_4817,
SEL => BTN_0_Count_12_CYSELF_4823,
O => BTN_0_Count_12_CYMUXF2_4818
);
BTN_0_Count_12_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y87",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Mcount_Count_cy(11),
O => BTN_0_Count_12_CYINIT_4842
);
BTN_0_Count_12_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y87",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_12_F,
O => BTN_0_Count_12_CYSELF_4823
);
BTN_0_Count_12_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y87",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_12_XORG_4825,
O => BTN_0_Count_12_DYMUX_4827
);
BTN_0_Count_12_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y87"
)
port map (
I0 => BTN_0_Mcount_Count_cy(12),
I1 => BTN_0_Count_12_G,
O => BTN_0_Count_12_XORG_4825
);
BTN_0_Count_12_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X65Y87",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_12_CYMUXFAST_4822,
O => BTN_0_Mcount_Count_cy(13)
);
BTN_0_Count_12_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X65Y87",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Mcount_Count_cy(11),
O => BTN_0_Count_12_FASTCARRY_4820
);
BTN_0_Count_12_CYAND : X_AND2
generic map(
LOC => "SLICE_X65Y87"
)
port map (
I0 => BTN_0_Count_12_CYSELG_4808,
I1 => BTN_0_Count_12_CYSELF_4823,
O => BTN_0_Count_12_CYAND_4821
);
BTN_0_Count_12_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X65Y87"
)
port map (
IA => BTN_0_Count_12_CYMUXG2_4819,
IB => BTN_0_Count_12_FASTCARRY_4820,
SEL => BTN_0_Count_12_CYAND_4821,
O => BTN_0_Count_12_CYMUXFAST_4822
);
BTN_0_Count_12_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X65Y87"
)
port map (
IA => BTN_0_Count_12_LOGIC_ZERO_4817,
IB => BTN_0_Count_12_CYMUXF2_4818,
SEL => BTN_0_Count_12_CYSELG_4808,
O => BTN_0_Count_12_CYMUXG2_4819
);
BTN_0_Count_12_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y87",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_12_G,
O => BTN_0_Count_12_CYSELG_4808
);
BTN_0_Count_12_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y87",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_and0000_0,
O => BTN_0_Count_12_SRINV_4806
);
BTN_0_Count_12_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y87",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_0_Count_12_CLKINV_4805
);
BTN_0_Count_12_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y87",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count(20),
O => BTN_0_Count_12_CEINVNOT
);
BTN_0_Count_14_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X65Y88"
)
port map (
O => BTN_0_Count_14_LOGIC_ZERO_4873
);
BTN_0_Count_14_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y88",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_14_XORF_4899,
O => BTN_0_Count_14_DXMUX_4901
);
BTN_0_Count_14_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y88"
)
port map (
I0 => BTN_0_Count_14_CYINIT_4898,
I1 => BTN_0_Count_14_F,
O => BTN_0_Count_14_XORF_4899
);
BTN_0_Count_14_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y88"
)
port map (
IA => BTN_0_Count_14_LOGIC_ZERO_4873,
IB => BTN_0_Count_14_CYINIT_4898,
SEL => BTN_0_Count_14_CYSELF_4879,
O => BTN_0_Mcount_Count_cy(14)
);
BTN_0_Count_14_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X65Y88"
)
port map (
IA => BTN_0_Count_14_LOGIC_ZERO_4873,
IB => BTN_0_Count_14_LOGIC_ZERO_4873,
SEL => BTN_0_Count_14_CYSELF_4879,
O => BTN_0_Count_14_CYMUXF2_4874
);
BTN_0_Count_14_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y88",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Mcount_Count_cy(13),
O => BTN_0_Count_14_CYINIT_4898
);
BTN_0_Count_14_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y88",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_14_F,
O => BTN_0_Count_14_CYSELF_4879
);
BTN_0_Count_14_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y88",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_14_XORG_4881,
O => BTN_0_Count_14_DYMUX_4883
);
BTN_0_Count_14_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y88"
)
port map (
I0 => BTN_0_Mcount_Count_cy(14),
I1 => BTN_0_Count_14_G,
O => BTN_0_Count_14_XORG_4881
);
BTN_0_Count_14_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X65Y88",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_14_CYMUXFAST_4878,
O => BTN_0_Mcount_Count_cy(15)
);
BTN_0_Count_14_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X65Y88",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Mcount_Count_cy(13),
O => BTN_0_Count_14_FASTCARRY_4876
);
BTN_0_Count_14_CYAND : X_AND2
generic map(
LOC => "SLICE_X65Y88"
)
port map (
I0 => BTN_0_Count_14_CYSELG_4864,
I1 => BTN_0_Count_14_CYSELF_4879,
O => BTN_0_Count_14_CYAND_4877
);
BTN_0_Count_14_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X65Y88"
)
port map (
IA => BTN_0_Count_14_CYMUXG2_4875,
IB => BTN_0_Count_14_FASTCARRY_4876,
SEL => BTN_0_Count_14_CYAND_4877,
O => BTN_0_Count_14_CYMUXFAST_4878
);
BTN_0_Count_14_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X65Y88"
)
port map (
IA => BTN_0_Count_14_LOGIC_ZERO_4873,
IB => BTN_0_Count_14_CYMUXF2_4874,
SEL => BTN_0_Count_14_CYSELG_4864,
O => BTN_0_Count_14_CYMUXG2_4875
);
BTN_0_Count_14_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y88",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_14_G,
O => BTN_0_Count_14_CYSELG_4864
);
BTN_0_Count_14_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y88",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_and0000_0,
O => BTN_0_Count_14_SRINV_4862
);
BTN_0_Count_14_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y88",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_0_Count_14_CLKINV_4861
);
BTN_0_Count_14_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y88",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count(20),
O => BTN_0_Count_14_CEINVNOT
);
BTN_0_Count_16_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X65Y89"
)
port map (
O => BTN_0_Count_16_LOGIC_ZERO_4929
);
BTN_0_Count_16_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y89",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_16_XORF_4955,
O => BTN_0_Count_16_DXMUX_4957
);
BTN_0_Count_16_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y89"
)
port map (
I0 => BTN_0_Count_16_CYINIT_4954,
I1 => BTN_0_Count_16_F,
O => BTN_0_Count_16_XORF_4955
);
BTN_0_Count_16_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y89"
)
port map (
IA => BTN_0_Count_16_LOGIC_ZERO_4929,
IB => BTN_0_Count_16_CYINIT_4954,
SEL => BTN_0_Count_16_CYSELF_4935,
O => BTN_0_Mcount_Count_cy(16)
);
BTN_0_Count_16_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X65Y89"
)
port map (
IA => BTN_0_Count_16_LOGIC_ZERO_4929,
IB => BTN_0_Count_16_LOGIC_ZERO_4929,
SEL => BTN_0_Count_16_CYSELF_4935,
O => BTN_0_Count_16_CYMUXF2_4930
);
BTN_0_Count_16_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y89",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Mcount_Count_cy(15),
O => BTN_0_Count_16_CYINIT_4954
);
BTN_0_Count_16_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y89",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_16_F,
O => BTN_0_Count_16_CYSELF_4935
);
BTN_0_Count_16_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y89",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_16_XORG_4937,
O => BTN_0_Count_16_DYMUX_4939
);
BTN_0_Count_16_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y89"
)
port map (
I0 => BTN_0_Mcount_Count_cy(16),
I1 => BTN_0_Count_16_G,
O => BTN_0_Count_16_XORG_4937
);
BTN_0_Count_16_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X65Y89",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_16_CYMUXFAST_4934,
O => BTN_0_Mcount_Count_cy(17)
);
BTN_0_Count_16_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X65Y89",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Mcount_Count_cy(15),
O => BTN_0_Count_16_FASTCARRY_4932
);
BTN_0_Count_16_CYAND : X_AND2
generic map(
LOC => "SLICE_X65Y89"
)
port map (
I0 => BTN_0_Count_16_CYSELG_4920,
I1 => BTN_0_Count_16_CYSELF_4935,
O => BTN_0_Count_16_CYAND_4933
);
BTN_0_Count_16_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X65Y89"
)
port map (
IA => BTN_0_Count_16_CYMUXG2_4931,
IB => BTN_0_Count_16_FASTCARRY_4932,
SEL => BTN_0_Count_16_CYAND_4933,
O => BTN_0_Count_16_CYMUXFAST_4934
);
BTN_0_Count_16_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X65Y89"
)
port map (
IA => BTN_0_Count_16_LOGIC_ZERO_4929,
IB => BTN_0_Count_16_CYMUXF2_4930,
SEL => BTN_0_Count_16_CYSELG_4920,
O => BTN_0_Count_16_CYMUXG2_4931
);
BTN_0_Count_16_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y89",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_16_G,
O => BTN_0_Count_16_CYSELG_4920
);
BTN_0_Count_16_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y89",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_and0000_0,
O => BTN_0_Count_16_SRINV_4918
);
BTN_0_Count_16_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y89",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_0_Count_16_CLKINV_4917
);
BTN_0_Count_16_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y89",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count(20),
O => BTN_0_Count_16_CEINVNOT
);
BTN_0_Count_18_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X65Y90"
)
port map (
O => BTN_0_Count_18_LOGIC_ZERO_4985
);
BTN_0_Count_18_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y90",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_18_XORF_5011,
O => BTN_0_Count_18_DXMUX_5013
);
BTN_0_Count_18_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y90"
)
port map (
I0 => BTN_0_Count_18_CYINIT_5010,
I1 => BTN_0_Count_18_F,
O => BTN_0_Count_18_XORF_5011
);
BTN_0_Count_18_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y90"
)
port map (
IA => BTN_0_Count_18_LOGIC_ZERO_4985,
IB => BTN_0_Count_18_CYINIT_5010,
SEL => BTN_0_Count_18_CYSELF_4991,
O => BTN_0_Mcount_Count_cy(18)
);
BTN_0_Count_18_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X65Y90"
)
port map (
IA => BTN_0_Count_18_LOGIC_ZERO_4985,
IB => BTN_0_Count_18_LOGIC_ZERO_4985,
SEL => BTN_0_Count_18_CYSELF_4991,
O => BTN_0_Count_18_CYMUXF2_4986
);
BTN_0_Count_18_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y90",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Mcount_Count_cy(17),
O => BTN_0_Count_18_CYINIT_5010
);
BTN_0_Count_18_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y90",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_18_F,
O => BTN_0_Count_18_CYSELF_4991
);
BTN_0_Count_18_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y90",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_18_XORG_4993,
O => BTN_0_Count_18_DYMUX_4995
);
BTN_0_Count_18_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y90"
)
port map (
I0 => BTN_0_Mcount_Count_cy(18),
I1 => BTN_0_Count_18_G,
O => BTN_0_Count_18_XORG_4993
);
BTN_0_Count_18_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X65Y90",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Mcount_Count_cy(17),
O => BTN_0_Count_18_FASTCARRY_4988
);
BTN_0_Count_18_CYAND : X_AND2
generic map(
LOC => "SLICE_X65Y90"
)
port map (
I0 => BTN_0_Count_18_CYSELG_4976,
I1 => BTN_0_Count_18_CYSELF_4991,
O => BTN_0_Count_18_CYAND_4989
);
BTN_0_Count_18_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X65Y90"
)
port map (
IA => BTN_0_Count_18_CYMUXG2_4987,
IB => BTN_0_Count_18_FASTCARRY_4988,
SEL => BTN_0_Count_18_CYAND_4989,
O => BTN_0_Count_18_CYMUXFAST_4990
);
BTN_0_Count_18_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X65Y90"
)
port map (
IA => BTN_0_Count_18_LOGIC_ZERO_4985,
IB => BTN_0_Count_18_CYMUXF2_4986,
SEL => BTN_0_Count_18_CYSELG_4976,
O => BTN_0_Count_18_CYMUXG2_4987
);
BTN_0_Count_18_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y90",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_18_G,
O => BTN_0_Count_18_CYSELG_4976
);
BTN_0_Count_18_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y90",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_and0000_0,
O => BTN_0_Count_18_SRINV_4974
);
BTN_0_Count_18_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y90",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_0_Count_18_CLKINV_4973
);
BTN_0_Count_18_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y90",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count(20),
O => BTN_0_Count_18_CEINVNOT
);
BTN_0_Count_20_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y91",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_20_XORF_5038,
O => BTN_0_Count_20_DXMUX_5040
);
BTN_0_Count_20_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y91"
)
port map (
I0 => BTN_0_Count_20_CYINIT_5037,
I1 => BTN_0_Count_20_rt_5035,
O => BTN_0_Count_20_XORF_5038
);
BTN_0_Count_20_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y91",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_18_CYMUXFAST_4990,
O => BTN_0_Count_20_CYINIT_5037
);
BTN_0_Count_20_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y91",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count_and0000_0,
O => BTN_0_Count_20_SRINV_5027
);
BTN_0_Count_20_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y91",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_0_Count_20_CLKINV_5026
);
BTN_0_Count_20_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y91",
PATHPULSE => 638 ps
)
port map (
I => BTN_0_Count(20),
O => BTN_0_Count_20_CEINVNOT
);
SSeg_Result_0_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X33Y14"
)
port map (
O => SSeg_Result_0_LOGIC_ZERO_5062
);
SSeg_Result_0_LOGIC_ONE : X_ONE
generic map(
LOC => "SLICE_X33Y14"
)
port map (
O => SSeg_Result_0_LOGIC_ONE_5079
);
SSeg_Result_0_XUSED : X_BUF
generic map(
LOC => "SLICE_X33Y14",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_0_XORF_5080,
O => SSeg_Result(0)
);
SSeg_Result_0_XORF : X_XOR2
generic map(
LOC => "SLICE_X33Y14"
)
port map (
I0 => SSeg_Result_0_CYINIT_5078,
I1 => SSeg_Mcount_count240hz_lut(0),
O => SSeg_Result_0_XORF_5080
);
SSeg_Result_0_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X33Y14"
)
port map (
IA => SSeg_Result_0_LOGIC_ONE_5079,
IB => SSeg_Result_0_CYINIT_5078,
SEL => SSeg_Result_0_CYSELF_5069,
O => SSeg_Mcount_count240hz_cy(0)
);
SSeg_Result_0_CYINIT : X_BUF
generic map(
LOC => "SLICE_X33Y14",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_0_BXINV_5067,
O => SSeg_Result_0_CYINIT_5078
);
SSeg_Result_0_CYSELF : X_BUF
generic map(
LOC => "SLICE_X33Y14",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_lut(0),
O => SSeg_Result_0_CYSELF_5069
);
SSeg_Result_0_BXINV : X_BUF
generic map(
LOC => "SLICE_X33Y14",
PATHPULSE => 638 ps
)
port map (
I => '0',
O => SSeg_Result_0_BXINV_5067
);
SSeg_Result_0_YUSED : X_BUF
generic map(
LOC => "SLICE_X33Y14",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_0_XORG_5065,
O => SSeg_Result(1)
);
SSeg_Result_0_XORG : X_XOR2
generic map(
LOC => "SLICE_X33Y14"
)
port map (
I0 => SSeg_Mcount_count240hz_cy(0),
I1 => SSeg_Result_0_G,
O => SSeg_Result_0_XORG_5065
);
SSeg_Result_0_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X33Y14",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_0_CYMUXG_5064,
O => SSeg_Mcount_count240hz_cy(1)
);
SSeg_Result_0_CYMUXG : X_MUX2
generic map(
LOC => "SLICE_X33Y14"
)
port map (
IA => SSeg_Result_0_LOGIC_ZERO_5062,
IB => SSeg_Mcount_count240hz_cy(0),
SEL => SSeg_Result_0_CYSELG_5053,
O => SSeg_Result_0_CYMUXG_5064
);
SSeg_Result_0_CYSELG : X_BUF
generic map(
LOC => "SLICE_X33Y14",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_0_G,
O => SSeg_Result_0_CYSELG_5053
);
SSeg_Result_2_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X33Y15"
)
port map (
O => SSeg_Result_2_LOGIC_ZERO_5098
);
SSeg_Result_2_XUSED : X_BUF
generic map(
LOC => "SLICE_X33Y15",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_2_XORF_5118,
O => SSeg_Result(2)
);
SSeg_Result_2_XORF : X_XOR2
generic map(
LOC => "SLICE_X33Y15"
)
port map (
I0 => SSeg_Result_2_CYINIT_5117,
I1 => SSeg_Result_2_F,
O => SSeg_Result_2_XORF_5118
);
SSeg_Result_2_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X33Y15"
)
port map (
IA => SSeg_Result_2_LOGIC_ZERO_5098,
IB => SSeg_Result_2_CYINIT_5117,
SEL => SSeg_Result_2_CYSELF_5104,
O => SSeg_Mcount_count240hz_cy(2)
);
SSeg_Result_2_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X33Y15"
)
port map (
IA => SSeg_Result_2_LOGIC_ZERO_5098,
IB => SSeg_Result_2_LOGIC_ZERO_5098,
SEL => SSeg_Result_2_CYSELF_5104,
O => SSeg_Result_2_CYMUXF2_5099
);
SSeg_Result_2_CYINIT : X_BUF
generic map(
LOC => "SLICE_X33Y15",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_cy(1),
O => SSeg_Result_2_CYINIT_5117
);
SSeg_Result_2_CYSELF : X_BUF
generic map(
LOC => "SLICE_X33Y15",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_2_F,
O => SSeg_Result_2_CYSELF_5104
);
SSeg_Result_2_YUSED : X_BUF
generic map(
LOC => "SLICE_X33Y15",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_2_XORG_5106,
O => SSeg_Result(3)
);
SSeg_Result_2_XORG : X_XOR2
generic map(
LOC => "SLICE_X33Y15"
)
port map (
I0 => SSeg_Mcount_count240hz_cy(2),
I1 => SSeg_Result_2_G,
O => SSeg_Result_2_XORG_5106
);
SSeg_Result_2_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X33Y15",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_2_CYMUXFAST_5103,
O => SSeg_Mcount_count240hz_cy(3)
);
SSeg_Result_2_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X33Y15",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_cy(1),
O => SSeg_Result_2_FASTCARRY_5101
);
SSeg_Result_2_CYAND : X_AND2
generic map(
LOC => "SLICE_X33Y15"
)
port map (
I0 => SSeg_Result_2_CYSELG_5089,
I1 => SSeg_Result_2_CYSELF_5104,
O => SSeg_Result_2_CYAND_5102
);
SSeg_Result_2_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X33Y15"
)
port map (
IA => SSeg_Result_2_CYMUXG2_5100,
IB => SSeg_Result_2_FASTCARRY_5101,
SEL => SSeg_Result_2_CYAND_5102,
O => SSeg_Result_2_CYMUXFAST_5103
);
SSeg_Result_2_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X33Y15"
)
port map (
IA => SSeg_Result_2_LOGIC_ZERO_5098,
IB => SSeg_Result_2_CYMUXF2_5099,
SEL => SSeg_Result_2_CYSELG_5089,
O => SSeg_Result_2_CYMUXG2_5100
);
SSeg_Result_2_CYSELG : X_BUF
generic map(
LOC => "SLICE_X33Y15",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_2_G,
O => SSeg_Result_2_CYSELG_5089
);
SSeg_Result_4_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X33Y16"
)
port map (
O => SSeg_Result_4_LOGIC_ZERO_5136
);
SSeg_Result_4_XUSED : X_BUF
generic map(
LOC => "SLICE_X33Y16",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_4_XORF_5156,
O => SSeg_Result(4)
);
SSeg_Result_4_XORF : X_XOR2
generic map(
LOC => "SLICE_X33Y16"
)
port map (
I0 => SSeg_Result_4_CYINIT_5155,
I1 => SSeg_Result_4_F,
O => SSeg_Result_4_XORF_5156
);
SSeg_Result_4_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X33Y16"
)
port map (
IA => SSeg_Result_4_LOGIC_ZERO_5136,
IB => SSeg_Result_4_CYINIT_5155,
SEL => SSeg_Result_4_CYSELF_5142,
O => SSeg_Mcount_count240hz_cy(4)
);
SSeg_Result_4_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X33Y16"
)
port map (
IA => SSeg_Result_4_LOGIC_ZERO_5136,
IB => SSeg_Result_4_LOGIC_ZERO_5136,
SEL => SSeg_Result_4_CYSELF_5142,
O => SSeg_Result_4_CYMUXF2_5137
);
SSeg_Result_4_CYINIT : X_BUF
generic map(
LOC => "SLICE_X33Y16",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_cy(3),
O => SSeg_Result_4_CYINIT_5155
);
SSeg_Result_4_CYSELF : X_BUF
generic map(
LOC => "SLICE_X33Y16",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_4_F,
O => SSeg_Result_4_CYSELF_5142
);
SSeg_Result_4_YUSED : X_BUF
generic map(
LOC => "SLICE_X33Y16",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_4_XORG_5144,
O => SSeg_Result(5)
);
SSeg_Result_4_XORG : X_XOR2
generic map(
LOC => "SLICE_X33Y16"
)
port map (
I0 => SSeg_Mcount_count240hz_cy(4),
I1 => SSeg_Result_4_G,
O => SSeg_Result_4_XORG_5144
);
SSeg_Result_4_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X33Y16",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_4_CYMUXFAST_5141,
O => SSeg_Mcount_count240hz_cy(5)
);
SSeg_Result_4_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X33Y16",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_cy(3),
O => SSeg_Result_4_FASTCARRY_5139
);
SSeg_Result_4_CYAND : X_AND2
generic map(
LOC => "SLICE_X33Y16"
)
port map (
I0 => SSeg_Result_4_CYSELG_5127,
I1 => SSeg_Result_4_CYSELF_5142,
O => SSeg_Result_4_CYAND_5140
);
SSeg_Result_4_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X33Y16"
)
port map (
IA => SSeg_Result_4_CYMUXG2_5138,
IB => SSeg_Result_4_FASTCARRY_5139,
SEL => SSeg_Result_4_CYAND_5140,
O => SSeg_Result_4_CYMUXFAST_5141
);
SSeg_Result_4_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X33Y16"
)
port map (
IA => SSeg_Result_4_LOGIC_ZERO_5136,
IB => SSeg_Result_4_CYMUXF2_5137,
SEL => SSeg_Result_4_CYSELG_5127,
O => SSeg_Result_4_CYMUXG2_5138
);
SSeg_Result_4_CYSELG : X_BUF
generic map(
LOC => "SLICE_X33Y16",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_4_G,
O => SSeg_Result_4_CYSELG_5127
);
SSeg_Result_6_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X33Y17"
)
port map (
O => SSeg_Result_6_LOGIC_ZERO_5174
);
SSeg_Result_6_XUSED : X_BUF
generic map(
LOC => "SLICE_X33Y17",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_6_XORF_5194,
O => SSeg_Result(6)
);
SSeg_Result_6_XORF : X_XOR2
generic map(
LOC => "SLICE_X33Y17"
)
port map (
I0 => SSeg_Result_6_CYINIT_5193,
I1 => SSeg_Result_6_F,
O => SSeg_Result_6_XORF_5194
);
SSeg_Result_6_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X33Y17"
)
port map (
IA => SSeg_Result_6_LOGIC_ZERO_5174,
IB => SSeg_Result_6_CYINIT_5193,
SEL => SSeg_Result_6_CYSELF_5180,
O => SSeg_Mcount_count240hz_cy(6)
);
SSeg_Result_6_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X33Y17"
)
port map (
IA => SSeg_Result_6_LOGIC_ZERO_5174,
IB => SSeg_Result_6_LOGIC_ZERO_5174,
SEL => SSeg_Result_6_CYSELF_5180,
O => SSeg_Result_6_CYMUXF2_5175
);
SSeg_Result_6_CYINIT : X_BUF
generic map(
LOC => "SLICE_X33Y17",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_cy(5),
O => SSeg_Result_6_CYINIT_5193
);
SSeg_Result_6_CYSELF : X_BUF
generic map(
LOC => "SLICE_X33Y17",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_6_F,
O => SSeg_Result_6_CYSELF_5180
);
SSeg_Result_6_YUSED : X_BUF
generic map(
LOC => "SLICE_X33Y17",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_6_XORG_5182,
O => SSeg_Result(7)
);
SSeg_Result_6_XORG : X_XOR2
generic map(
LOC => "SLICE_X33Y17"
)
port map (
I0 => SSeg_Mcount_count240hz_cy(6),
I1 => SSeg_Result_6_G,
O => SSeg_Result_6_XORG_5182
);
SSeg_Result_6_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X33Y17",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_6_CYMUXFAST_5179,
O => SSeg_Mcount_count240hz_cy(7)
);
SSeg_Result_6_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X33Y17",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_cy(5),
O => SSeg_Result_6_FASTCARRY_5177
);
SSeg_Result_6_CYAND : X_AND2
generic map(
LOC => "SLICE_X33Y17"
)
port map (
I0 => SSeg_Result_6_CYSELG_5165,
I1 => SSeg_Result_6_CYSELF_5180,
O => SSeg_Result_6_CYAND_5178
);
SSeg_Result_6_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X33Y17"
)
port map (
IA => SSeg_Result_6_CYMUXG2_5176,
IB => SSeg_Result_6_FASTCARRY_5177,
SEL => SSeg_Result_6_CYAND_5178,
O => SSeg_Result_6_CYMUXFAST_5179
);
SSeg_Result_6_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X33Y17"
)
port map (
IA => SSeg_Result_6_LOGIC_ZERO_5174,
IB => SSeg_Result_6_CYMUXF2_5175,
SEL => SSeg_Result_6_CYSELG_5165,
O => SSeg_Result_6_CYMUXG2_5176
);
SSeg_Result_6_CYSELG : X_BUF
generic map(
LOC => "SLICE_X33Y17",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_6_G,
O => SSeg_Result_6_CYSELG_5165
);
SSeg_Result_8_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X33Y18"
)
port map (
O => SSeg_Result_8_LOGIC_ZERO_5212
);
SSeg_Result_8_XUSED : X_BUF
generic map(
LOC => "SLICE_X33Y18",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_8_XORF_5232,
O => SSeg_Result(8)
);
SSeg_Result_8_XORF : X_XOR2
generic map(
LOC => "SLICE_X33Y18"
)
port map (
I0 => SSeg_Result_8_CYINIT_5231,
I1 => SSeg_Result_8_F,
O => SSeg_Result_8_XORF_5232
);
SSeg_Result_8_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X33Y18"
)
port map (
IA => SSeg_Result_8_LOGIC_ZERO_5212,
IB => SSeg_Result_8_CYINIT_5231,
SEL => SSeg_Result_8_CYSELF_5218,
O => SSeg_Mcount_count240hz_cy(8)
);
SSeg_Result_8_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X33Y18"
)
port map (
IA => SSeg_Result_8_LOGIC_ZERO_5212,
IB => SSeg_Result_8_LOGIC_ZERO_5212,
SEL => SSeg_Result_8_CYSELF_5218,
O => SSeg_Result_8_CYMUXF2_5213
);
SSeg_Result_8_CYINIT : X_BUF
generic map(
LOC => "SLICE_X33Y18",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_cy(7),
O => SSeg_Result_8_CYINIT_5231
);
SSeg_Result_8_CYSELF : X_BUF
generic map(
LOC => "SLICE_X33Y18",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_8_F,
O => SSeg_Result_8_CYSELF_5218
);
SSeg_Result_8_YUSED : X_BUF
generic map(
LOC => "SLICE_X33Y18",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_8_XORG_5220,
O => SSeg_Result(9)
);
SSeg_Result_8_XORG : X_XOR2
generic map(
LOC => "SLICE_X33Y18"
)
port map (
I0 => SSeg_Mcount_count240hz_cy(8),
I1 => SSeg_Result_8_G,
O => SSeg_Result_8_XORG_5220
);
SSeg_Result_8_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X33Y18",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_8_CYMUXFAST_5217,
O => SSeg_Mcount_count240hz_cy(9)
);
SSeg_Result_8_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X33Y18",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_cy(7),
O => SSeg_Result_8_FASTCARRY_5215
);
SSeg_Result_8_CYAND : X_AND2
generic map(
LOC => "SLICE_X33Y18"
)
port map (
I0 => SSeg_Result_8_CYSELG_5203,
I1 => SSeg_Result_8_CYSELF_5218,
O => SSeg_Result_8_CYAND_5216
);
SSeg_Result_8_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X33Y18"
)
port map (
IA => SSeg_Result_8_CYMUXG2_5214,
IB => SSeg_Result_8_FASTCARRY_5215,
SEL => SSeg_Result_8_CYAND_5216,
O => SSeg_Result_8_CYMUXFAST_5217
);
SSeg_Result_8_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X33Y18"
)
port map (
IA => SSeg_Result_8_LOGIC_ZERO_5212,
IB => SSeg_Result_8_CYMUXF2_5213,
SEL => SSeg_Result_8_CYSELG_5203,
O => SSeg_Result_8_CYMUXG2_5214
);
SSeg_Result_8_CYSELG : X_BUF
generic map(
LOC => "SLICE_X33Y18",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_8_G,
O => SSeg_Result_8_CYSELG_5203
);
SSeg_Result_10_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X33Y19"
)
port map (
O => SSeg_Result_10_LOGIC_ZERO_5250
);
SSeg_Result_10_XUSED : X_BUF
generic map(
LOC => "SLICE_X33Y19",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_10_XORF_5270,
O => SSeg_Result(10)
);
SSeg_Result_10_XORF : X_XOR2
generic map(
LOC => "SLICE_X33Y19"
)
port map (
I0 => SSeg_Result_10_CYINIT_5269,
I1 => SSeg_Result_10_F,
O => SSeg_Result_10_XORF_5270
);
SSeg_Result_10_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X33Y19"
)
port map (
IA => SSeg_Result_10_LOGIC_ZERO_5250,
IB => SSeg_Result_10_CYINIT_5269,
SEL => SSeg_Result_10_CYSELF_5256,
O => SSeg_Mcount_count240hz_cy(10)
);
SSeg_Result_10_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X33Y19"
)
port map (
IA => SSeg_Result_10_LOGIC_ZERO_5250,
IB => SSeg_Result_10_LOGIC_ZERO_5250,
SEL => SSeg_Result_10_CYSELF_5256,
O => SSeg_Result_10_CYMUXF2_5251
);
SSeg_Result_10_CYINIT : X_BUF
generic map(
LOC => "SLICE_X33Y19",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_cy(9),
O => SSeg_Result_10_CYINIT_5269
);
SSeg_Result_10_CYSELF : X_BUF
generic map(
LOC => "SLICE_X33Y19",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_10_F,
O => SSeg_Result_10_CYSELF_5256
);
SSeg_Result_10_YUSED : X_BUF
generic map(
LOC => "SLICE_X33Y19",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_10_XORG_5258,
O => SSeg_Result(11)
);
SSeg_Result_10_XORG : X_XOR2
generic map(
LOC => "SLICE_X33Y19"
)
port map (
I0 => SSeg_Mcount_count240hz_cy(10),
I1 => SSeg_Result_10_G,
O => SSeg_Result_10_XORG_5258
);
SSeg_Result_10_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X33Y19",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_10_CYMUXFAST_5255,
O => SSeg_Mcount_count240hz_cy(11)
);
SSeg_Result_10_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X33Y19",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_cy(9),
O => SSeg_Result_10_FASTCARRY_5253
);
SSeg_Result_10_CYAND : X_AND2
generic map(
LOC => "SLICE_X33Y19"
)
port map (
I0 => SSeg_Result_10_CYSELG_5241,
I1 => SSeg_Result_10_CYSELF_5256,
O => SSeg_Result_10_CYAND_5254
);
SSeg_Result_10_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X33Y19"
)
port map (
IA => SSeg_Result_10_CYMUXG2_5252,
IB => SSeg_Result_10_FASTCARRY_5253,
SEL => SSeg_Result_10_CYAND_5254,
O => SSeg_Result_10_CYMUXFAST_5255
);
SSeg_Result_10_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X33Y19"
)
port map (
IA => SSeg_Result_10_LOGIC_ZERO_5250,
IB => SSeg_Result_10_CYMUXF2_5251,
SEL => SSeg_Result_10_CYSELG_5241,
O => SSeg_Result_10_CYMUXG2_5252
);
SSeg_Result_10_CYSELG : X_BUF
generic map(
LOC => "SLICE_X33Y19",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_10_G,
O => SSeg_Result_10_CYSELG_5241
);
SSeg_Result_12_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X33Y20"
)
port map (
O => SSeg_Result_12_LOGIC_ZERO_5288
);
SSeg_Result_12_XUSED : X_BUF
generic map(
LOC => "SLICE_X33Y20",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_12_XORF_5308,
O => SSeg_Result(12)
);
SSeg_Result_12_XORF : X_XOR2
generic map(
LOC => "SLICE_X33Y20"
)
port map (
I0 => SSeg_Result_12_CYINIT_5307,
I1 => SSeg_Result_12_F,
O => SSeg_Result_12_XORF_5308
);
SSeg_Result_12_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X33Y20"
)
port map (
IA => SSeg_Result_12_LOGIC_ZERO_5288,
IB => SSeg_Result_12_CYINIT_5307,
SEL => SSeg_Result_12_CYSELF_5294,
O => SSeg_Mcount_count240hz_cy(12)
);
SSeg_Result_12_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X33Y20"
)
port map (
IA => SSeg_Result_12_LOGIC_ZERO_5288,
IB => SSeg_Result_12_LOGIC_ZERO_5288,
SEL => SSeg_Result_12_CYSELF_5294,
O => SSeg_Result_12_CYMUXF2_5289
);
SSeg_Result_12_CYINIT : X_BUF
generic map(
LOC => "SLICE_X33Y20",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_cy(11),
O => SSeg_Result_12_CYINIT_5307
);
SSeg_Result_12_CYSELF : X_BUF
generic map(
LOC => "SLICE_X33Y20",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_12_F,
O => SSeg_Result_12_CYSELF_5294
);
SSeg_Result_12_YUSED : X_BUF
generic map(
LOC => "SLICE_X33Y20",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_12_XORG_5296,
O => SSeg_Result(13)
);
SSeg_Result_12_XORG : X_XOR2
generic map(
LOC => "SLICE_X33Y20"
)
port map (
I0 => SSeg_Mcount_count240hz_cy(12),
I1 => SSeg_Result_12_G,
O => SSeg_Result_12_XORG_5296
);
SSeg_Result_12_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X33Y20",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_12_CYMUXFAST_5293,
O => SSeg_Mcount_count240hz_cy(13)
);
SSeg_Result_12_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X33Y20",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_cy(11),
O => SSeg_Result_12_FASTCARRY_5291
);
SSeg_Result_12_CYAND : X_AND2
generic map(
LOC => "SLICE_X33Y20"
)
port map (
I0 => SSeg_Result_12_CYSELG_5279,
I1 => SSeg_Result_12_CYSELF_5294,
O => SSeg_Result_12_CYAND_5292
);
SSeg_Result_12_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X33Y20"
)
port map (
IA => SSeg_Result_12_CYMUXG2_5290,
IB => SSeg_Result_12_FASTCARRY_5291,
SEL => SSeg_Result_12_CYAND_5292,
O => SSeg_Result_12_CYMUXFAST_5293
);
SSeg_Result_12_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X33Y20"
)
port map (
IA => SSeg_Result_12_LOGIC_ZERO_5288,
IB => SSeg_Result_12_CYMUXF2_5289,
SEL => SSeg_Result_12_CYSELG_5279,
O => SSeg_Result_12_CYMUXG2_5290
);
SSeg_Result_12_CYSELG : X_BUF
generic map(
LOC => "SLICE_X33Y20",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_12_G,
O => SSeg_Result_12_CYSELG_5279
);
SSeg_Result_14_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X33Y21"
)
port map (
O => SSeg_Result_14_LOGIC_ZERO_5326
);
SSeg_Result_14_XUSED : X_BUF
generic map(
LOC => "SLICE_X33Y21",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_14_XORF_5346,
O => SSeg_Result(14)
);
SSeg_Result_14_XORF : X_XOR2
generic map(
LOC => "SLICE_X33Y21"
)
port map (
I0 => SSeg_Result_14_CYINIT_5345,
I1 => SSeg_Result_14_F,
O => SSeg_Result_14_XORF_5346
);
SSeg_Result_14_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X33Y21"
)
port map (
IA => SSeg_Result_14_LOGIC_ZERO_5326,
IB => SSeg_Result_14_CYINIT_5345,
SEL => SSeg_Result_14_CYSELF_5332,
O => SSeg_Mcount_count240hz_cy(14)
);
SSeg_Result_14_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X33Y21"
)
port map (
IA => SSeg_Result_14_LOGIC_ZERO_5326,
IB => SSeg_Result_14_LOGIC_ZERO_5326,
SEL => SSeg_Result_14_CYSELF_5332,
O => SSeg_Result_14_CYMUXF2_5327
);
SSeg_Result_14_CYINIT : X_BUF
generic map(
LOC => "SLICE_X33Y21",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_cy(13),
O => SSeg_Result_14_CYINIT_5345
);
SSeg_Result_14_CYSELF : X_BUF
generic map(
LOC => "SLICE_X33Y21",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_14_F,
O => SSeg_Result_14_CYSELF_5332
);
SSeg_Result_14_YUSED : X_BUF
generic map(
LOC => "SLICE_X33Y21",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_14_XORG_5334,
O => SSeg_Result(15)
);
SSeg_Result_14_XORG : X_XOR2
generic map(
LOC => "SLICE_X33Y21"
)
port map (
I0 => SSeg_Mcount_count240hz_cy(14),
I1 => SSeg_Result_14_G,
O => SSeg_Result_14_XORG_5334
);
SSeg_Result_14_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X33Y21",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_cy(13),
O => SSeg_Result_14_FASTCARRY_5329
);
SSeg_Result_14_CYAND : X_AND2
generic map(
LOC => "SLICE_X33Y21"
)
port map (
I0 => SSeg_Result_14_CYSELG_5317,
I1 => SSeg_Result_14_CYSELF_5332,
O => SSeg_Result_14_CYAND_5330
);
SSeg_Result_14_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X33Y21"
)
port map (
IA => SSeg_Result_14_CYMUXG2_5328,
IB => SSeg_Result_14_FASTCARRY_5329,
SEL => SSeg_Result_14_CYAND_5330,
O => SSeg_Result_14_CYMUXFAST_5331
);
SSeg_Result_14_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X33Y21"
)
port map (
IA => SSeg_Result_14_LOGIC_ZERO_5326,
IB => SSeg_Result_14_CYMUXF2_5327,
SEL => SSeg_Result_14_CYSELG_5317,
O => SSeg_Result_14_CYMUXG2_5328
);
SSeg_Result_14_CYSELG : X_BUF
generic map(
LOC => "SLICE_X33Y21",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_14_G,
O => SSeg_Result_14_CYSELG_5317
);
SSeg_Result_16_XUSED : X_BUF
generic map(
LOC => "SLICE_X33Y22",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_16_XORF_5361,
O => SSeg_Result(16)
);
SSeg_Result_16_XORF : X_XOR2
generic map(
LOC => "SLICE_X33Y22"
)
port map (
I0 => SSeg_Result_16_CYINIT_5360,
I1 => SSeg_count240hz_16_rt_5358,
O => SSeg_Result_16_XORF_5361
);
SSeg_Result_16_CYINIT : X_BUF
generic map(
LOC => "SLICE_X33Y22",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Result_14_CYMUXFAST_5331,
O => SSeg_Result_16_CYINIT_5360
);
SSeg_count240hz_16_rt : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X33Y22"
)
port map (
ADR0 => VCC,
ADR1 => SSeg_count240hz(16),
ADR2 => VCC,
ADR3 => VCC,
O => SSeg_count240hz_16_rt_5358
);
BTN_2_Count_0_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X65Y67"
)
port map (
O => BTN_2_Count_0_LOGIC_ZERO_5385
);
BTN_2_Count_0_LOGIC_ONE : X_ONE
generic map(
LOC => "SLICE_X65Y67"
)
port map (
O => BTN_2_Count_0_LOGIC_ONE_5408
);
BTN_2_Count_0_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y67",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_0_XORF_5409,
O => BTN_2_Count_0_DXMUX_5411
);
BTN_2_Count_0_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y67"
)
port map (
I0 => BTN_2_Count_0_CYINIT_5407,
I1 => BTN_2_Mcount_Count_lut(0),
O => BTN_2_Count_0_XORF_5409
);
BTN_2_Count_0_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y67"
)
port map (
IA => BTN_2_Count_0_LOGIC_ONE_5408,
IB => BTN_2_Count_0_CYINIT_5407,
SEL => BTN_2_Count_0_CYSELF_5398,
O => BTN_2_Mcount_Count_cy(0)
);
BTN_2_Count_0_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y67",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_0_BXINV_5396,
O => BTN_2_Count_0_CYINIT_5407
);
BTN_2_Count_0_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y67",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Mcount_Count_lut(0),
O => BTN_2_Count_0_CYSELF_5398
);
BTN_2_Count_0_BXINV : X_BUF
generic map(
LOC => "SLICE_X65Y67",
PATHPULSE => 638 ps
)
port map (
I => '0',
O => BTN_2_Count_0_BXINV_5396
);
BTN_2_Count_0_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y67",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_0_XORG_5388,
O => BTN_2_Count_0_DYMUX_5390
);
BTN_2_Count_0_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y67"
)
port map (
I0 => BTN_2_Mcount_Count_cy(0),
I1 => BTN_2_Count_0_G,
O => BTN_2_Count_0_XORG_5388
);
BTN_2_Count_0_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X65Y67",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_0_CYMUXG_5387,
O => BTN_2_Mcount_Count_cy(1)
);
BTN_2_Count_0_CYMUXG : X_MUX2
generic map(
LOC => "SLICE_X65Y67"
)
port map (
IA => BTN_2_Count_0_LOGIC_ZERO_5385,
IB => BTN_2_Mcount_Count_cy(0),
SEL => BTN_2_Count_0_CYSELG_5376,
O => BTN_2_Count_0_CYMUXG_5387
);
BTN_2_Count_0_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y67",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_0_G,
O => BTN_2_Count_0_CYSELG_5376
);
BTN_2_Count_0_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y67",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_and0000_0,
O => BTN_2_Count_0_SRINV_5374
);
BTN_2_Count_0_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y67",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_2_Count_0_CLKINV_5373
);
BTN_2_Count_0_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y67",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count(20),
O => BTN_2_Count_0_CEINVNOT
);
BTN_2_Count_2_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X65Y68"
)
port map (
O => BTN_2_Count_2_LOGIC_ZERO_5439
);
BTN_2_Count_2_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y68",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_2_XORF_5465,
O => BTN_2_Count_2_DXMUX_5467
);
BTN_2_Count_2_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y68"
)
port map (
I0 => BTN_2_Count_2_CYINIT_5464,
I1 => BTN_2_Count_2_F,
O => BTN_2_Count_2_XORF_5465
);
BTN_2_Count_2_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y68"
)
port map (
IA => BTN_2_Count_2_LOGIC_ZERO_5439,
IB => BTN_2_Count_2_CYINIT_5464,
SEL => BTN_2_Count_2_CYSELF_5445,
O => BTN_2_Mcount_Count_cy(2)
);
BTN_2_Count_2_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X65Y68"
)
port map (
IA => BTN_2_Count_2_LOGIC_ZERO_5439,
IB => BTN_2_Count_2_LOGIC_ZERO_5439,
SEL => BTN_2_Count_2_CYSELF_5445,
O => BTN_2_Count_2_CYMUXF2_5440
);
BTN_2_Count_2_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y68",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Mcount_Count_cy(1),
O => BTN_2_Count_2_CYINIT_5464
);
BTN_2_Count_2_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y68",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_2_F,
O => BTN_2_Count_2_CYSELF_5445
);
BTN_2_Count_2_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y68",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_2_XORG_5447,
O => BTN_2_Count_2_DYMUX_5449
);
BTN_2_Count_2_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y68"
)
port map (
I0 => BTN_2_Mcount_Count_cy(2),
I1 => BTN_2_Count_2_G,
O => BTN_2_Count_2_XORG_5447
);
BTN_2_Count_2_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X65Y68",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_2_CYMUXFAST_5444,
O => BTN_2_Mcount_Count_cy(3)
);
BTN_2_Count_2_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X65Y68",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Mcount_Count_cy(1),
O => BTN_2_Count_2_FASTCARRY_5442
);
BTN_2_Count_2_CYAND : X_AND2
generic map(
LOC => "SLICE_X65Y68"
)
port map (
I0 => BTN_2_Count_2_CYSELG_5430,
I1 => BTN_2_Count_2_CYSELF_5445,
O => BTN_2_Count_2_CYAND_5443
);
BTN_2_Count_2_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X65Y68"
)
port map (
IA => BTN_2_Count_2_CYMUXG2_5441,
IB => BTN_2_Count_2_FASTCARRY_5442,
SEL => BTN_2_Count_2_CYAND_5443,
O => BTN_2_Count_2_CYMUXFAST_5444
);
BTN_2_Count_2_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X65Y68"
)
port map (
IA => BTN_2_Count_2_LOGIC_ZERO_5439,
IB => BTN_2_Count_2_CYMUXF2_5440,
SEL => BTN_2_Count_2_CYSELG_5430,
O => BTN_2_Count_2_CYMUXG2_5441
);
BTN_2_Count_2_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y68",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_2_G,
O => BTN_2_Count_2_CYSELG_5430
);
BTN_2_Count_2_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y68",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_and0000_0,
O => BTN_2_Count_2_SRINV_5428
);
BTN_2_Count_2_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y68",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_2_Count_2_CLKINV_5427
);
BTN_2_Count_2_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y68",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count(20),
O => BTN_2_Count_2_CEINVNOT
);
BTN_2_Count_4_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X65Y69"
)
port map (
O => BTN_2_Count_4_LOGIC_ZERO_5495
);
BTN_2_Count_4_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y69",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_4_XORF_5521,
O => BTN_2_Count_4_DXMUX_5523
);
BTN_2_Count_4_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y69"
)
port map (
I0 => BTN_2_Count_4_CYINIT_5520,
I1 => BTN_2_Count_4_F,
O => BTN_2_Count_4_XORF_5521
);
BTN_2_Count_4_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y69"
)
port map (
IA => BTN_2_Count_4_LOGIC_ZERO_5495,
IB => BTN_2_Count_4_CYINIT_5520,
SEL => BTN_2_Count_4_CYSELF_5501,
O => BTN_2_Mcount_Count_cy(4)
);
BTN_2_Count_4_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X65Y69"
)
port map (
IA => BTN_2_Count_4_LOGIC_ZERO_5495,
IB => BTN_2_Count_4_LOGIC_ZERO_5495,
SEL => BTN_2_Count_4_CYSELF_5501,
O => BTN_2_Count_4_CYMUXF2_5496
);
BTN_2_Count_4_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y69",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Mcount_Count_cy(3),
O => BTN_2_Count_4_CYINIT_5520
);
BTN_2_Count_4_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y69",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_4_F,
O => BTN_2_Count_4_CYSELF_5501
);
BTN_2_Count_4_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y69",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_4_XORG_5503,
O => BTN_2_Count_4_DYMUX_5505
);
BTN_2_Count_4_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y69"
)
port map (
I0 => BTN_2_Mcount_Count_cy(4),
I1 => BTN_2_Count_4_G,
O => BTN_2_Count_4_XORG_5503
);
BTN_2_Count_4_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X65Y69",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_4_CYMUXFAST_5500,
O => BTN_2_Mcount_Count_cy(5)
);
BTN_2_Count_4_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X65Y69",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Mcount_Count_cy(3),
O => BTN_2_Count_4_FASTCARRY_5498
);
BTN_2_Count_4_CYAND : X_AND2
generic map(
LOC => "SLICE_X65Y69"
)
port map (
I0 => BTN_2_Count_4_CYSELG_5486,
I1 => BTN_2_Count_4_CYSELF_5501,
O => BTN_2_Count_4_CYAND_5499
);
BTN_2_Count_4_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X65Y69"
)
port map (
IA => BTN_2_Count_4_CYMUXG2_5497,
IB => BTN_2_Count_4_FASTCARRY_5498,
SEL => BTN_2_Count_4_CYAND_5499,
O => BTN_2_Count_4_CYMUXFAST_5500
);
BTN_2_Count_4_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X65Y69"
)
port map (
IA => BTN_2_Count_4_LOGIC_ZERO_5495,
IB => BTN_2_Count_4_CYMUXF2_5496,
SEL => BTN_2_Count_4_CYSELG_5486,
O => BTN_2_Count_4_CYMUXG2_5497
);
BTN_2_Count_4_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y69",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_4_G,
O => BTN_2_Count_4_CYSELG_5486
);
BTN_2_Count_4_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y69",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_and0000_0,
O => BTN_2_Count_4_SRINV_5484
);
BTN_2_Count_4_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y69",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_2_Count_4_CLKINV_5483
);
BTN_2_Count_4_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y69",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count(20),
O => BTN_2_Count_4_CEINVNOT
);
BTN_2_Count_6_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X65Y70"
)
port map (
O => BTN_2_Count_6_LOGIC_ZERO_5551
);
BTN_2_Count_6_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_6_XORF_5577,
O => BTN_2_Count_6_DXMUX_5579
);
BTN_2_Count_6_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y70"
)
port map (
I0 => BTN_2_Count_6_CYINIT_5576,
I1 => BTN_2_Count_6_F,
O => BTN_2_Count_6_XORF_5577
);
BTN_2_Count_6_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y70"
)
port map (
IA => BTN_2_Count_6_LOGIC_ZERO_5551,
IB => BTN_2_Count_6_CYINIT_5576,
SEL => BTN_2_Count_6_CYSELF_5557,
O => BTN_2_Mcount_Count_cy(6)
);
BTN_2_Count_6_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X65Y70"
)
port map (
IA => BTN_2_Count_6_LOGIC_ZERO_5551,
IB => BTN_2_Count_6_LOGIC_ZERO_5551,
SEL => BTN_2_Count_6_CYSELF_5557,
O => BTN_2_Count_6_CYMUXF2_5552
);
BTN_2_Count_6_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Mcount_Count_cy(5),
O => BTN_2_Count_6_CYINIT_5576
);
BTN_2_Count_6_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_6_F,
O => BTN_2_Count_6_CYSELF_5557
);
BTN_2_Count_6_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_6_XORG_5559,
O => BTN_2_Count_6_DYMUX_5561
);
BTN_2_Count_6_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y70"
)
port map (
I0 => BTN_2_Mcount_Count_cy(6),
I1 => BTN_2_Count_6_G,
O => BTN_2_Count_6_XORG_5559
);
BTN_2_Count_6_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X65Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_6_CYMUXFAST_5556,
O => BTN_2_Mcount_Count_cy(7)
);
BTN_2_Count_6_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X65Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Mcount_Count_cy(5),
O => BTN_2_Count_6_FASTCARRY_5554
);
BTN_2_Count_6_CYAND : X_AND2
generic map(
LOC => "SLICE_X65Y70"
)
port map (
I0 => BTN_2_Count_6_CYSELG_5542,
I1 => BTN_2_Count_6_CYSELF_5557,
O => BTN_2_Count_6_CYAND_5555
);
BTN_2_Count_6_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X65Y70"
)
port map (
IA => BTN_2_Count_6_CYMUXG2_5553,
IB => BTN_2_Count_6_FASTCARRY_5554,
SEL => BTN_2_Count_6_CYAND_5555,
O => BTN_2_Count_6_CYMUXFAST_5556
);
BTN_2_Count_6_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X65Y70"
)
port map (
IA => BTN_2_Count_6_LOGIC_ZERO_5551,
IB => BTN_2_Count_6_CYMUXF2_5552,
SEL => BTN_2_Count_6_CYSELG_5542,
O => BTN_2_Count_6_CYMUXG2_5553
);
BTN_2_Count_6_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_6_G,
O => BTN_2_Count_6_CYSELG_5542
);
BTN_2_Count_6_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_and0000_0,
O => BTN_2_Count_6_SRINV_5540
);
BTN_2_Count_6_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y70",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_2_Count_6_CLKINV_5539
);
BTN_2_Count_6_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count(20),
O => BTN_2_Count_6_CEINVNOT
);
BTN_2_Count_8_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X65Y71"
)
port map (
O => BTN_2_Count_8_LOGIC_ZERO_5607
);
BTN_2_Count_8_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_8_XORF_5633,
O => BTN_2_Count_8_DXMUX_5635
);
BTN_2_Count_8_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y71"
)
port map (
I0 => BTN_2_Count_8_CYINIT_5632,
I1 => BTN_2_Count_8_F,
O => BTN_2_Count_8_XORF_5633
);
BTN_2_Count_8_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y71"
)
port map (
IA => BTN_2_Count_8_LOGIC_ZERO_5607,
IB => BTN_2_Count_8_CYINIT_5632,
SEL => BTN_2_Count_8_CYSELF_5613,
O => BTN_2_Mcount_Count_cy(8)
);
BTN_2_Count_8_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X65Y71"
)
port map (
IA => BTN_2_Count_8_LOGIC_ZERO_5607,
IB => BTN_2_Count_8_LOGIC_ZERO_5607,
SEL => BTN_2_Count_8_CYSELF_5613,
O => BTN_2_Count_8_CYMUXF2_5608
);
BTN_2_Count_8_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Mcount_Count_cy(7),
O => BTN_2_Count_8_CYINIT_5632
);
BTN_2_Count_8_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_8_F,
O => BTN_2_Count_8_CYSELF_5613
);
BTN_2_Count_8_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_8_XORG_5615,
O => BTN_2_Count_8_DYMUX_5617
);
BTN_2_Count_8_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y71"
)
port map (
I0 => BTN_2_Mcount_Count_cy(8),
I1 => BTN_2_Count_8_G,
O => BTN_2_Count_8_XORG_5615
);
BTN_2_Count_8_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X65Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_8_CYMUXFAST_5612,
O => BTN_2_Mcount_Count_cy(9)
);
BTN_2_Count_8_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X65Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Mcount_Count_cy(7),
O => BTN_2_Count_8_FASTCARRY_5610
);
BTN_2_Count_8_CYAND : X_AND2
generic map(
LOC => "SLICE_X65Y71"
)
port map (
I0 => BTN_2_Count_8_CYSELG_5598,
I1 => BTN_2_Count_8_CYSELF_5613,
O => BTN_2_Count_8_CYAND_5611
);
BTN_2_Count_8_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X65Y71"
)
port map (
IA => BTN_2_Count_8_CYMUXG2_5609,
IB => BTN_2_Count_8_FASTCARRY_5610,
SEL => BTN_2_Count_8_CYAND_5611,
O => BTN_2_Count_8_CYMUXFAST_5612
);
BTN_2_Count_8_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X65Y71"
)
port map (
IA => BTN_2_Count_8_LOGIC_ZERO_5607,
IB => BTN_2_Count_8_CYMUXF2_5608,
SEL => BTN_2_Count_8_CYSELG_5598,
O => BTN_2_Count_8_CYMUXG2_5609
);
BTN_2_Count_8_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_8_G,
O => BTN_2_Count_8_CYSELG_5598
);
BTN_2_Count_8_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_and0000_0,
O => BTN_2_Count_8_SRINV_5596
);
BTN_2_Count_8_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y71",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_2_Count_8_CLKINV_5595
);
BTN_2_Count_8_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count(20),
O => BTN_2_Count_8_CEINVNOT
);
BTN_2_Count_10_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X65Y72"
)
port map (
O => BTN_2_Count_10_LOGIC_ZERO_5663
);
BTN_2_Count_10_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y72",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_10_XORF_5689,
O => BTN_2_Count_10_DXMUX_5691
);
BTN_2_Count_10_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y72"
)
port map (
I0 => BTN_2_Count_10_CYINIT_5688,
I1 => BTN_2_Count_10_F,
O => BTN_2_Count_10_XORF_5689
);
BTN_2_Count_10_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y72"
)
port map (
IA => BTN_2_Count_10_LOGIC_ZERO_5663,
IB => BTN_2_Count_10_CYINIT_5688,
SEL => BTN_2_Count_10_CYSELF_5669,
O => BTN_2_Mcount_Count_cy(10)
);
BTN_2_Count_10_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X65Y72"
)
port map (
IA => BTN_2_Count_10_LOGIC_ZERO_5663,
IB => BTN_2_Count_10_LOGIC_ZERO_5663,
SEL => BTN_2_Count_10_CYSELF_5669,
O => BTN_2_Count_10_CYMUXF2_5664
);
BTN_2_Count_10_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y72",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Mcount_Count_cy(9),
O => BTN_2_Count_10_CYINIT_5688
);
BTN_2_Count_10_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y72",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_10_F,
O => BTN_2_Count_10_CYSELF_5669
);
BTN_2_Count_10_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y72",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_10_XORG_5671,
O => BTN_2_Count_10_DYMUX_5673
);
BTN_2_Count_10_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y72"
)
port map (
I0 => BTN_2_Mcount_Count_cy(10),
I1 => BTN_2_Count_10_G,
O => BTN_2_Count_10_XORG_5671
);
BTN_2_Count_10_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X65Y72",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_10_CYMUXFAST_5668,
O => BTN_2_Mcount_Count_cy(11)
);
BTN_2_Count_10_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X65Y72",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Mcount_Count_cy(9),
O => BTN_2_Count_10_FASTCARRY_5666
);
BTN_2_Count_10_CYAND : X_AND2
generic map(
LOC => "SLICE_X65Y72"
)
port map (
I0 => BTN_2_Count_10_CYSELG_5654,
I1 => BTN_2_Count_10_CYSELF_5669,
O => BTN_2_Count_10_CYAND_5667
);
BTN_2_Count_10_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X65Y72"
)
port map (
IA => BTN_2_Count_10_CYMUXG2_5665,
IB => BTN_2_Count_10_FASTCARRY_5666,
SEL => BTN_2_Count_10_CYAND_5667,
O => BTN_2_Count_10_CYMUXFAST_5668
);
BTN_2_Count_10_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X65Y72"
)
port map (
IA => BTN_2_Count_10_LOGIC_ZERO_5663,
IB => BTN_2_Count_10_CYMUXF2_5664,
SEL => BTN_2_Count_10_CYSELG_5654,
O => BTN_2_Count_10_CYMUXG2_5665
);
BTN_2_Count_10_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y72",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_10_G,
O => BTN_2_Count_10_CYSELG_5654
);
BTN_2_Count_10_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y72",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_and0000_0,
O => BTN_2_Count_10_SRINV_5652
);
BTN_2_Count_10_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y72",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_2_Count_10_CLKINV_5651
);
BTN_2_Count_10_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y72",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count(20),
O => BTN_2_Count_10_CEINVNOT
);
BTN_2_Count_12_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X65Y73"
)
port map (
O => BTN_2_Count_12_LOGIC_ZERO_5719
);
BTN_2_Count_12_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y73",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_12_XORF_5745,
O => BTN_2_Count_12_DXMUX_5747
);
BTN_2_Count_12_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y73"
)
port map (
I0 => BTN_2_Count_12_CYINIT_5744,
I1 => BTN_2_Count_12_F,
O => BTN_2_Count_12_XORF_5745
);
BTN_2_Count_12_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y73"
)
port map (
IA => BTN_2_Count_12_LOGIC_ZERO_5719,
IB => BTN_2_Count_12_CYINIT_5744,
SEL => BTN_2_Count_12_CYSELF_5725,
O => BTN_2_Mcount_Count_cy(12)
);
BTN_2_Count_12_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X65Y73"
)
port map (
IA => BTN_2_Count_12_LOGIC_ZERO_5719,
IB => BTN_2_Count_12_LOGIC_ZERO_5719,
SEL => BTN_2_Count_12_CYSELF_5725,
O => BTN_2_Count_12_CYMUXF2_5720
);
BTN_2_Count_12_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y73",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Mcount_Count_cy(11),
O => BTN_2_Count_12_CYINIT_5744
);
BTN_2_Count_12_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y73",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_12_F,
O => BTN_2_Count_12_CYSELF_5725
);
BTN_2_Count_12_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y73",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_12_XORG_5727,
O => BTN_2_Count_12_DYMUX_5729
);
BTN_2_Count_12_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y73"
)
port map (
I0 => BTN_2_Mcount_Count_cy(12),
I1 => BTN_2_Count_12_G,
O => BTN_2_Count_12_XORG_5727
);
BTN_2_Count_12_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X65Y73",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_12_CYMUXFAST_5724,
O => BTN_2_Mcount_Count_cy(13)
);
BTN_2_Count_12_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X65Y73",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Mcount_Count_cy(11),
O => BTN_2_Count_12_FASTCARRY_5722
);
BTN_2_Count_12_CYAND : X_AND2
generic map(
LOC => "SLICE_X65Y73"
)
port map (
I0 => BTN_2_Count_12_CYSELG_5710,
I1 => BTN_2_Count_12_CYSELF_5725,
O => BTN_2_Count_12_CYAND_5723
);
BTN_2_Count_12_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X65Y73"
)
port map (
IA => BTN_2_Count_12_CYMUXG2_5721,
IB => BTN_2_Count_12_FASTCARRY_5722,
SEL => BTN_2_Count_12_CYAND_5723,
O => BTN_2_Count_12_CYMUXFAST_5724
);
BTN_2_Count_12_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X65Y73"
)
port map (
IA => BTN_2_Count_12_LOGIC_ZERO_5719,
IB => BTN_2_Count_12_CYMUXF2_5720,
SEL => BTN_2_Count_12_CYSELG_5710,
O => BTN_2_Count_12_CYMUXG2_5721
);
BTN_2_Count_12_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y73",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_12_G,
O => BTN_2_Count_12_CYSELG_5710
);
BTN_2_Count_12_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y73",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_and0000_0,
O => BTN_2_Count_12_SRINV_5708
);
BTN_2_Count_12_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y73",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_2_Count_12_CLKINV_5707
);
BTN_2_Count_12_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y73",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count(20),
O => BTN_2_Count_12_CEINVNOT
);
BTN_2_Count_14_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X65Y74"
)
port map (
O => BTN_2_Count_14_LOGIC_ZERO_5775
);
BTN_2_Count_14_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y74",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_14_XORF_5801,
O => BTN_2_Count_14_DXMUX_5803
);
BTN_2_Count_14_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y74"
)
port map (
I0 => BTN_2_Count_14_CYINIT_5800,
I1 => BTN_2_Count_14_F,
O => BTN_2_Count_14_XORF_5801
);
BTN_2_Count_14_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y74"
)
port map (
IA => BTN_2_Count_14_LOGIC_ZERO_5775,
IB => BTN_2_Count_14_CYINIT_5800,
SEL => BTN_2_Count_14_CYSELF_5781,
O => BTN_2_Mcount_Count_cy(14)
);
BTN_2_Count_14_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X65Y74"
)
port map (
IA => BTN_2_Count_14_LOGIC_ZERO_5775,
IB => BTN_2_Count_14_LOGIC_ZERO_5775,
SEL => BTN_2_Count_14_CYSELF_5781,
O => BTN_2_Count_14_CYMUXF2_5776
);
BTN_2_Count_14_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y74",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Mcount_Count_cy(13),
O => BTN_2_Count_14_CYINIT_5800
);
BTN_2_Count_14_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y74",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_14_F,
O => BTN_2_Count_14_CYSELF_5781
);
BTN_2_Count_14_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y74",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_14_XORG_5783,
O => BTN_2_Count_14_DYMUX_5785
);
BTN_2_Count_14_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y74"
)
port map (
I0 => BTN_2_Mcount_Count_cy(14),
I1 => BTN_2_Count_14_G,
O => BTN_2_Count_14_XORG_5783
);
BTN_2_Count_14_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X65Y74",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_14_CYMUXFAST_5780,
O => BTN_2_Mcount_Count_cy(15)
);
BTN_2_Count_14_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X65Y74",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Mcount_Count_cy(13),
O => BTN_2_Count_14_FASTCARRY_5778
);
BTN_2_Count_14_CYAND : X_AND2
generic map(
LOC => "SLICE_X65Y74"
)
port map (
I0 => BTN_2_Count_14_CYSELG_5766,
I1 => BTN_2_Count_14_CYSELF_5781,
O => BTN_2_Count_14_CYAND_5779
);
BTN_2_Count_14_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X65Y74"
)
port map (
IA => BTN_2_Count_14_CYMUXG2_5777,
IB => BTN_2_Count_14_FASTCARRY_5778,
SEL => BTN_2_Count_14_CYAND_5779,
O => BTN_2_Count_14_CYMUXFAST_5780
);
BTN_2_Count_14_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X65Y74"
)
port map (
IA => BTN_2_Count_14_LOGIC_ZERO_5775,
IB => BTN_2_Count_14_CYMUXF2_5776,
SEL => BTN_2_Count_14_CYSELG_5766,
O => BTN_2_Count_14_CYMUXG2_5777
);
BTN_2_Count_14_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y74",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_14_G,
O => BTN_2_Count_14_CYSELG_5766
);
BTN_2_Count_14_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y74",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_and0000_0,
O => BTN_2_Count_14_SRINV_5764
);
BTN_2_Count_14_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y74",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_2_Count_14_CLKINV_5763
);
BTN_2_Count_14_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y74",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count(20),
O => BTN_2_Count_14_CEINVNOT
);
BTN_2_Count_16_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X65Y75"
)
port map (
O => BTN_2_Count_16_LOGIC_ZERO_5831
);
BTN_2_Count_16_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y75",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_16_XORF_5857,
O => BTN_2_Count_16_DXMUX_5859
);
BTN_2_Count_16_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y75"
)
port map (
I0 => BTN_2_Count_16_CYINIT_5856,
I1 => BTN_2_Count_16_F,
O => BTN_2_Count_16_XORF_5857
);
BTN_2_Count_16_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y75"
)
port map (
IA => BTN_2_Count_16_LOGIC_ZERO_5831,
IB => BTN_2_Count_16_CYINIT_5856,
SEL => BTN_2_Count_16_CYSELF_5837,
O => BTN_2_Mcount_Count_cy(16)
);
BTN_2_Count_16_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X65Y75"
)
port map (
IA => BTN_2_Count_16_LOGIC_ZERO_5831,
IB => BTN_2_Count_16_LOGIC_ZERO_5831,
SEL => BTN_2_Count_16_CYSELF_5837,
O => BTN_2_Count_16_CYMUXF2_5832
);
BTN_2_Count_16_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y75",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Mcount_Count_cy(15),
O => BTN_2_Count_16_CYINIT_5856
);
BTN_2_Count_16_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y75",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_16_F,
O => BTN_2_Count_16_CYSELF_5837
);
BTN_2_Count_16_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y75",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_16_XORG_5839,
O => BTN_2_Count_16_DYMUX_5841
);
BTN_2_Count_16_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y75"
)
port map (
I0 => BTN_2_Mcount_Count_cy(16),
I1 => BTN_2_Count_16_G,
O => BTN_2_Count_16_XORG_5839
);
BTN_2_Count_16_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X65Y75",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_16_CYMUXFAST_5836,
O => BTN_2_Mcount_Count_cy(17)
);
BTN_2_Count_16_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X65Y75",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Mcount_Count_cy(15),
O => BTN_2_Count_16_FASTCARRY_5834
);
BTN_2_Count_16_CYAND : X_AND2
generic map(
LOC => "SLICE_X65Y75"
)
port map (
I0 => BTN_2_Count_16_CYSELG_5822,
I1 => BTN_2_Count_16_CYSELF_5837,
O => BTN_2_Count_16_CYAND_5835
);
BTN_2_Count_16_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X65Y75"
)
port map (
IA => BTN_2_Count_16_CYMUXG2_5833,
IB => BTN_2_Count_16_FASTCARRY_5834,
SEL => BTN_2_Count_16_CYAND_5835,
O => BTN_2_Count_16_CYMUXFAST_5836
);
BTN_2_Count_16_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X65Y75"
)
port map (
IA => BTN_2_Count_16_LOGIC_ZERO_5831,
IB => BTN_2_Count_16_CYMUXF2_5832,
SEL => BTN_2_Count_16_CYSELG_5822,
O => BTN_2_Count_16_CYMUXG2_5833
);
BTN_2_Count_16_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y75",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_16_G,
O => BTN_2_Count_16_CYSELG_5822
);
BTN_2_Count_16_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y75",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_and0000_0,
O => BTN_2_Count_16_SRINV_5820
);
BTN_2_Count_16_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y75",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_2_Count_16_CLKINV_5819
);
BTN_2_Count_16_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y75",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count(20),
O => BTN_2_Count_16_CEINVNOT
);
BTN_2_Count_18_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X65Y76"
)
port map (
O => BTN_2_Count_18_LOGIC_ZERO_5887
);
BTN_2_Count_18_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y76",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_18_XORF_5913,
O => BTN_2_Count_18_DXMUX_5915
);
BTN_2_Count_18_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y76"
)
port map (
I0 => BTN_2_Count_18_CYINIT_5912,
I1 => BTN_2_Count_18_F,
O => BTN_2_Count_18_XORF_5913
);
BTN_2_Count_18_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X65Y76"
)
port map (
IA => BTN_2_Count_18_LOGIC_ZERO_5887,
IB => BTN_2_Count_18_CYINIT_5912,
SEL => BTN_2_Count_18_CYSELF_5893,
O => BTN_2_Mcount_Count_cy(18)
);
BTN_2_Count_18_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X65Y76"
)
port map (
IA => BTN_2_Count_18_LOGIC_ZERO_5887,
IB => BTN_2_Count_18_LOGIC_ZERO_5887,
SEL => BTN_2_Count_18_CYSELF_5893,
O => BTN_2_Count_18_CYMUXF2_5888
);
BTN_2_Count_18_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y76",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Mcount_Count_cy(17),
O => BTN_2_Count_18_CYINIT_5912
);
BTN_2_Count_18_CYSELF : X_BUF
generic map(
LOC => "SLICE_X65Y76",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_18_F,
O => BTN_2_Count_18_CYSELF_5893
);
BTN_2_Count_18_DYMUX : X_BUF
generic map(
LOC => "SLICE_X65Y76",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_18_XORG_5895,
O => BTN_2_Count_18_DYMUX_5897
);
BTN_2_Count_18_XORG : X_XOR2
generic map(
LOC => "SLICE_X65Y76"
)
port map (
I0 => BTN_2_Mcount_Count_cy(18),
I1 => BTN_2_Count_18_G,
O => BTN_2_Count_18_XORG_5895
);
BTN_2_Count_18_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X65Y76",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Mcount_Count_cy(17),
O => BTN_2_Count_18_FASTCARRY_5890
);
BTN_2_Count_18_CYAND : X_AND2
generic map(
LOC => "SLICE_X65Y76"
)
port map (
I0 => BTN_2_Count_18_CYSELG_5878,
I1 => BTN_2_Count_18_CYSELF_5893,
O => BTN_2_Count_18_CYAND_5891
);
BTN_2_Count_18_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X65Y76"
)
port map (
IA => BTN_2_Count_18_CYMUXG2_5889,
IB => BTN_2_Count_18_FASTCARRY_5890,
SEL => BTN_2_Count_18_CYAND_5891,
O => BTN_2_Count_18_CYMUXFAST_5892
);
BTN_2_Count_18_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X65Y76"
)
port map (
IA => BTN_2_Count_18_LOGIC_ZERO_5887,
IB => BTN_2_Count_18_CYMUXF2_5888,
SEL => BTN_2_Count_18_CYSELG_5878,
O => BTN_2_Count_18_CYMUXG2_5889
);
BTN_2_Count_18_CYSELG : X_BUF
generic map(
LOC => "SLICE_X65Y76",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_18_G,
O => BTN_2_Count_18_CYSELG_5878
);
BTN_2_Count_18_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y76",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_and0000_0,
O => BTN_2_Count_18_SRINV_5876
);
BTN_2_Count_18_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y76",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_2_Count_18_CLKINV_5875
);
BTN_2_Count_18_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y76",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count(20),
O => BTN_2_Count_18_CEINVNOT
);
BTN_2_Count_20_DXMUX : X_BUF
generic map(
LOC => "SLICE_X65Y77",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_20_XORF_5940,
O => BTN_2_Count_20_DXMUX_5942
);
BTN_2_Count_20_XORF : X_XOR2
generic map(
LOC => "SLICE_X65Y77"
)
port map (
I0 => BTN_2_Count_20_CYINIT_5939,
I1 => BTN_2_Count_20_rt_5937,
O => BTN_2_Count_20_XORF_5940
);
BTN_2_Count_20_CYINIT : X_BUF
generic map(
LOC => "SLICE_X65Y77",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_18_CYMUXFAST_5892,
O => BTN_2_Count_20_CYINIT_5939
);
BTN_2_Count_20_SRINV : X_BUF
generic map(
LOC => "SLICE_X65Y77",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count_and0000_0,
O => BTN_2_Count_20_SRINV_5929
);
BTN_2_Count_20_CLKINV : X_BUF
generic map(
LOC => "SLICE_X65Y77",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_2_Count_20_CLKINV_5928
);
BTN_2_Count_20_CEINV : X_INV
generic map(
LOC => "SLICE_X65Y77",
PATHPULSE => 638 ps
)
port map (
I => BTN_2_Count(20),
O => BTN_2_Count_20_CEINVNOT
);
BTN_3_Count_0_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X53Y61"
)
port map (
O => BTN_3_Count_0_LOGIC_ZERO_5970
);
BTN_3_Count_0_LOGIC_ONE : X_ONE
generic map(
LOC => "SLICE_X53Y61"
)
port map (
O => BTN_3_Count_0_LOGIC_ONE_5993
);
BTN_3_Count_0_DXMUX : X_BUF
generic map(
LOC => "SLICE_X53Y61",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_0_XORF_5994,
O => BTN_3_Count_0_DXMUX_5996
);
BTN_3_Count_0_XORF : X_XOR2
generic map(
LOC => "SLICE_X53Y61"
)
port map (
I0 => BTN_3_Count_0_CYINIT_5992,
I1 => BTN_3_Mcount_Count_lut(0),
O => BTN_3_Count_0_XORF_5994
);
BTN_3_Count_0_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X53Y61"
)
port map (
IA => BTN_3_Count_0_LOGIC_ONE_5993,
IB => BTN_3_Count_0_CYINIT_5992,
SEL => BTN_3_Count_0_CYSELF_5983,
O => BTN_3_Mcount_Count_cy(0)
);
BTN_3_Count_0_CYINIT : X_BUF
generic map(
LOC => "SLICE_X53Y61",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_0_BXINV_5981,
O => BTN_3_Count_0_CYINIT_5992
);
BTN_3_Count_0_CYSELF : X_BUF
generic map(
LOC => "SLICE_X53Y61",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Mcount_Count_lut(0),
O => BTN_3_Count_0_CYSELF_5983
);
BTN_3_Count_0_BXINV : X_BUF
generic map(
LOC => "SLICE_X53Y61",
PATHPULSE => 638 ps
)
port map (
I => '0',
O => BTN_3_Count_0_BXINV_5981
);
BTN_3_Count_0_DYMUX : X_BUF
generic map(
LOC => "SLICE_X53Y61",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_0_XORG_5973,
O => BTN_3_Count_0_DYMUX_5975
);
BTN_3_Count_0_XORG : X_XOR2
generic map(
LOC => "SLICE_X53Y61"
)
port map (
I0 => BTN_3_Mcount_Count_cy(0),
I1 => BTN_3_Count_0_G,
O => BTN_3_Count_0_XORG_5973
);
BTN_3_Count_0_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X53Y61",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_0_CYMUXG_5972,
O => BTN_3_Mcount_Count_cy(1)
);
BTN_3_Count_0_CYMUXG : X_MUX2
generic map(
LOC => "SLICE_X53Y61"
)
port map (
IA => BTN_3_Count_0_LOGIC_ZERO_5970,
IB => BTN_3_Mcount_Count_cy(0),
SEL => BTN_3_Count_0_CYSELG_5961,
O => BTN_3_Count_0_CYMUXG_5972
);
BTN_3_Count_0_CYSELG : X_BUF
generic map(
LOC => "SLICE_X53Y61",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_0_G,
O => BTN_3_Count_0_CYSELG_5961
);
BTN_3_Count_0_SRINV : X_BUF
generic map(
LOC => "SLICE_X53Y61",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_and0000_0,
O => BTN_3_Count_0_SRINV_5959
);
BTN_3_Count_0_CLKINV : X_BUF
generic map(
LOC => "SLICE_X53Y61",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_3_Count_0_CLKINV_5958
);
BTN_3_Count_0_CEINV : X_INV
generic map(
LOC => "SLICE_X53Y61",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count(20),
O => BTN_3_Count_0_CEINVNOT
);
BTN_3_Count_2_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X53Y62"
)
port map (
O => BTN_3_Count_2_LOGIC_ZERO_6024
);
BTN_3_Count_2_DXMUX : X_BUF
generic map(
LOC => "SLICE_X53Y62",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_2_XORF_6050,
O => BTN_3_Count_2_DXMUX_6052
);
BTN_3_Count_2_XORF : X_XOR2
generic map(
LOC => "SLICE_X53Y62"
)
port map (
I0 => BTN_3_Count_2_CYINIT_6049,
I1 => BTN_3_Count_2_F,
O => BTN_3_Count_2_XORF_6050
);
BTN_3_Count_2_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X53Y62"
)
port map (
IA => BTN_3_Count_2_LOGIC_ZERO_6024,
IB => BTN_3_Count_2_CYINIT_6049,
SEL => BTN_3_Count_2_CYSELF_6030,
O => BTN_3_Mcount_Count_cy(2)
);
BTN_3_Count_2_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X53Y62"
)
port map (
IA => BTN_3_Count_2_LOGIC_ZERO_6024,
IB => BTN_3_Count_2_LOGIC_ZERO_6024,
SEL => BTN_3_Count_2_CYSELF_6030,
O => BTN_3_Count_2_CYMUXF2_6025
);
BTN_3_Count_2_CYINIT : X_BUF
generic map(
LOC => "SLICE_X53Y62",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Mcount_Count_cy(1),
O => BTN_3_Count_2_CYINIT_6049
);
BTN_3_Count_2_CYSELF : X_BUF
generic map(
LOC => "SLICE_X53Y62",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_2_F,
O => BTN_3_Count_2_CYSELF_6030
);
BTN_3_Count_2_DYMUX : X_BUF
generic map(
LOC => "SLICE_X53Y62",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_2_XORG_6032,
O => BTN_3_Count_2_DYMUX_6034
);
BTN_3_Count_2_XORG : X_XOR2
generic map(
LOC => "SLICE_X53Y62"
)
port map (
I0 => BTN_3_Mcount_Count_cy(2),
I1 => BTN_3_Count_2_G,
O => BTN_3_Count_2_XORG_6032
);
BTN_3_Count_2_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X53Y62",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_2_CYMUXFAST_6029,
O => BTN_3_Mcount_Count_cy(3)
);
BTN_3_Count_2_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X53Y62",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Mcount_Count_cy(1),
O => BTN_3_Count_2_FASTCARRY_6027
);
BTN_3_Count_2_CYAND : X_AND2
generic map(
LOC => "SLICE_X53Y62"
)
port map (
I0 => BTN_3_Count_2_CYSELG_6015,
I1 => BTN_3_Count_2_CYSELF_6030,
O => BTN_3_Count_2_CYAND_6028
);
BTN_3_Count_2_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X53Y62"
)
port map (
IA => BTN_3_Count_2_CYMUXG2_6026,
IB => BTN_3_Count_2_FASTCARRY_6027,
SEL => BTN_3_Count_2_CYAND_6028,
O => BTN_3_Count_2_CYMUXFAST_6029
);
BTN_3_Count_2_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X53Y62"
)
port map (
IA => BTN_3_Count_2_LOGIC_ZERO_6024,
IB => BTN_3_Count_2_CYMUXF2_6025,
SEL => BTN_3_Count_2_CYSELG_6015,
O => BTN_3_Count_2_CYMUXG2_6026
);
BTN_3_Count_2_CYSELG : X_BUF
generic map(
LOC => "SLICE_X53Y62",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_2_G,
O => BTN_3_Count_2_CYSELG_6015
);
BTN_3_Count_2_SRINV : X_BUF
generic map(
LOC => "SLICE_X53Y62",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_and0000_0,
O => BTN_3_Count_2_SRINV_6013
);
BTN_3_Count_2_CLKINV : X_BUF
generic map(
LOC => "SLICE_X53Y62",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_3_Count_2_CLKINV_6012
);
BTN_3_Count_2_CEINV : X_INV
generic map(
LOC => "SLICE_X53Y62",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count(20),
O => BTN_3_Count_2_CEINVNOT
);
BTN_3_Count_4_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X53Y63"
)
port map (
O => BTN_3_Count_4_LOGIC_ZERO_6080
);
BTN_3_Count_4_DXMUX : X_BUF
generic map(
LOC => "SLICE_X53Y63",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_4_XORF_6106,
O => BTN_3_Count_4_DXMUX_6108
);
BTN_3_Count_4_XORF : X_XOR2
generic map(
LOC => "SLICE_X53Y63"
)
port map (
I0 => BTN_3_Count_4_CYINIT_6105,
I1 => BTN_3_Count_4_F,
O => BTN_3_Count_4_XORF_6106
);
BTN_3_Count_4_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X53Y63"
)
port map (
IA => BTN_3_Count_4_LOGIC_ZERO_6080,
IB => BTN_3_Count_4_CYINIT_6105,
SEL => BTN_3_Count_4_CYSELF_6086,
O => BTN_3_Mcount_Count_cy(4)
);
BTN_3_Count_4_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X53Y63"
)
port map (
IA => BTN_3_Count_4_LOGIC_ZERO_6080,
IB => BTN_3_Count_4_LOGIC_ZERO_6080,
SEL => BTN_3_Count_4_CYSELF_6086,
O => BTN_3_Count_4_CYMUXF2_6081
);
BTN_3_Count_4_CYINIT : X_BUF
generic map(
LOC => "SLICE_X53Y63",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Mcount_Count_cy(3),
O => BTN_3_Count_4_CYINIT_6105
);
BTN_3_Count_4_CYSELF : X_BUF
generic map(
LOC => "SLICE_X53Y63",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_4_F,
O => BTN_3_Count_4_CYSELF_6086
);
BTN_3_Count_4_DYMUX : X_BUF
generic map(
LOC => "SLICE_X53Y63",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_4_XORG_6088,
O => BTN_3_Count_4_DYMUX_6090
);
BTN_3_Count_4_XORG : X_XOR2
generic map(
LOC => "SLICE_X53Y63"
)
port map (
I0 => BTN_3_Mcount_Count_cy(4),
I1 => BTN_3_Count_4_G,
O => BTN_3_Count_4_XORG_6088
);
BTN_3_Count_4_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X53Y63",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_4_CYMUXFAST_6085,
O => BTN_3_Mcount_Count_cy(5)
);
BTN_3_Count_4_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X53Y63",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Mcount_Count_cy(3),
O => BTN_3_Count_4_FASTCARRY_6083
);
BTN_3_Count_4_CYAND : X_AND2
generic map(
LOC => "SLICE_X53Y63"
)
port map (
I0 => BTN_3_Count_4_CYSELG_6071,
I1 => BTN_3_Count_4_CYSELF_6086,
O => BTN_3_Count_4_CYAND_6084
);
BTN_3_Count_4_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X53Y63"
)
port map (
IA => BTN_3_Count_4_CYMUXG2_6082,
IB => BTN_3_Count_4_FASTCARRY_6083,
SEL => BTN_3_Count_4_CYAND_6084,
O => BTN_3_Count_4_CYMUXFAST_6085
);
BTN_3_Count_4_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X53Y63"
)
port map (
IA => BTN_3_Count_4_LOGIC_ZERO_6080,
IB => BTN_3_Count_4_CYMUXF2_6081,
SEL => BTN_3_Count_4_CYSELG_6071,
O => BTN_3_Count_4_CYMUXG2_6082
);
BTN_3_Count_4_CYSELG : X_BUF
generic map(
LOC => "SLICE_X53Y63",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_4_G,
O => BTN_3_Count_4_CYSELG_6071
);
BTN_3_Count_4_SRINV : X_BUF
generic map(
LOC => "SLICE_X53Y63",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_and0000_0,
O => BTN_3_Count_4_SRINV_6069
);
BTN_3_Count_4_CLKINV : X_BUF
generic map(
LOC => "SLICE_X53Y63",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_3_Count_4_CLKINV_6068
);
BTN_3_Count_4_CEINV : X_INV
generic map(
LOC => "SLICE_X53Y63",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count(20),
O => BTN_3_Count_4_CEINVNOT
);
BTN_3_Count_6_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X53Y64"
)
port map (
O => BTN_3_Count_6_LOGIC_ZERO_6136
);
BTN_3_Count_6_DXMUX : X_BUF
generic map(
LOC => "SLICE_X53Y64",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_6_XORF_6162,
O => BTN_3_Count_6_DXMUX_6164
);
BTN_3_Count_6_XORF : X_XOR2
generic map(
LOC => "SLICE_X53Y64"
)
port map (
I0 => BTN_3_Count_6_CYINIT_6161,
I1 => BTN_3_Count_6_F,
O => BTN_3_Count_6_XORF_6162
);
BTN_3_Count_6_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X53Y64"
)
port map (
IA => BTN_3_Count_6_LOGIC_ZERO_6136,
IB => BTN_3_Count_6_CYINIT_6161,
SEL => BTN_3_Count_6_CYSELF_6142,
O => BTN_3_Mcount_Count_cy(6)
);
BTN_3_Count_6_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X53Y64"
)
port map (
IA => BTN_3_Count_6_LOGIC_ZERO_6136,
IB => BTN_3_Count_6_LOGIC_ZERO_6136,
SEL => BTN_3_Count_6_CYSELF_6142,
O => BTN_3_Count_6_CYMUXF2_6137
);
BTN_3_Count_6_CYINIT : X_BUF
generic map(
LOC => "SLICE_X53Y64",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Mcount_Count_cy(5),
O => BTN_3_Count_6_CYINIT_6161
);
BTN_3_Count_6_CYSELF : X_BUF
generic map(
LOC => "SLICE_X53Y64",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_6_F,
O => BTN_3_Count_6_CYSELF_6142
);
BTN_3_Count_6_DYMUX : X_BUF
generic map(
LOC => "SLICE_X53Y64",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_6_XORG_6144,
O => BTN_3_Count_6_DYMUX_6146
);
BTN_3_Count_6_XORG : X_XOR2
generic map(
LOC => "SLICE_X53Y64"
)
port map (
I0 => BTN_3_Mcount_Count_cy(6),
I1 => BTN_3_Count_6_G,
O => BTN_3_Count_6_XORG_6144
);
BTN_3_Count_6_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X53Y64",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_6_CYMUXFAST_6141,
O => BTN_3_Mcount_Count_cy(7)
);
BTN_3_Count_6_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X53Y64",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Mcount_Count_cy(5),
O => BTN_3_Count_6_FASTCARRY_6139
);
BTN_3_Count_6_CYAND : X_AND2
generic map(
LOC => "SLICE_X53Y64"
)
port map (
I0 => BTN_3_Count_6_CYSELG_6127,
I1 => BTN_3_Count_6_CYSELF_6142,
O => BTN_3_Count_6_CYAND_6140
);
BTN_3_Count_6_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X53Y64"
)
port map (
IA => BTN_3_Count_6_CYMUXG2_6138,
IB => BTN_3_Count_6_FASTCARRY_6139,
SEL => BTN_3_Count_6_CYAND_6140,
O => BTN_3_Count_6_CYMUXFAST_6141
);
BTN_3_Count_6_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X53Y64"
)
port map (
IA => BTN_3_Count_6_LOGIC_ZERO_6136,
IB => BTN_3_Count_6_CYMUXF2_6137,
SEL => BTN_3_Count_6_CYSELG_6127,
O => BTN_3_Count_6_CYMUXG2_6138
);
BTN_3_Count_6_CYSELG : X_BUF
generic map(
LOC => "SLICE_X53Y64",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_6_G,
O => BTN_3_Count_6_CYSELG_6127
);
BTN_3_Count_6_SRINV : X_BUF
generic map(
LOC => "SLICE_X53Y64",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_and0000_0,
O => BTN_3_Count_6_SRINV_6125
);
BTN_3_Count_6_CLKINV : X_BUF
generic map(
LOC => "SLICE_X53Y64",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_3_Count_6_CLKINV_6124
);
BTN_3_Count_6_CEINV : X_INV
generic map(
LOC => "SLICE_X53Y64",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count(20),
O => BTN_3_Count_6_CEINVNOT
);
BTN_3_Count_8_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X53Y65"
)
port map (
O => BTN_3_Count_8_LOGIC_ZERO_6192
);
BTN_3_Count_8_DXMUX : X_BUF
generic map(
LOC => "SLICE_X53Y65",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_8_XORF_6218,
O => BTN_3_Count_8_DXMUX_6220
);
BTN_3_Count_8_XORF : X_XOR2
generic map(
LOC => "SLICE_X53Y65"
)
port map (
I0 => BTN_3_Count_8_CYINIT_6217,
I1 => BTN_3_Count_8_F,
O => BTN_3_Count_8_XORF_6218
);
BTN_3_Count_8_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X53Y65"
)
port map (
IA => BTN_3_Count_8_LOGIC_ZERO_6192,
IB => BTN_3_Count_8_CYINIT_6217,
SEL => BTN_3_Count_8_CYSELF_6198,
O => BTN_3_Mcount_Count_cy(8)
);
BTN_3_Count_8_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X53Y65"
)
port map (
IA => BTN_3_Count_8_LOGIC_ZERO_6192,
IB => BTN_3_Count_8_LOGIC_ZERO_6192,
SEL => BTN_3_Count_8_CYSELF_6198,
O => BTN_3_Count_8_CYMUXF2_6193
);
BTN_3_Count_8_CYINIT : X_BUF
generic map(
LOC => "SLICE_X53Y65",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Mcount_Count_cy(7),
O => BTN_3_Count_8_CYINIT_6217
);
BTN_3_Count_8_CYSELF : X_BUF
generic map(
LOC => "SLICE_X53Y65",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_8_F,
O => BTN_3_Count_8_CYSELF_6198
);
BTN_3_Count_8_DYMUX : X_BUF
generic map(
LOC => "SLICE_X53Y65",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_8_XORG_6200,
O => BTN_3_Count_8_DYMUX_6202
);
BTN_3_Count_8_XORG : X_XOR2
generic map(
LOC => "SLICE_X53Y65"
)
port map (
I0 => BTN_3_Mcount_Count_cy(8),
I1 => BTN_3_Count_8_G,
O => BTN_3_Count_8_XORG_6200
);
BTN_3_Count_8_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X53Y65",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_8_CYMUXFAST_6197,
O => BTN_3_Mcount_Count_cy(9)
);
BTN_3_Count_8_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X53Y65",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Mcount_Count_cy(7),
O => BTN_3_Count_8_FASTCARRY_6195
);
BTN_3_Count_8_CYAND : X_AND2
generic map(
LOC => "SLICE_X53Y65"
)
port map (
I0 => BTN_3_Count_8_CYSELG_6183,
I1 => BTN_3_Count_8_CYSELF_6198,
O => BTN_3_Count_8_CYAND_6196
);
BTN_3_Count_8_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X53Y65"
)
port map (
IA => BTN_3_Count_8_CYMUXG2_6194,
IB => BTN_3_Count_8_FASTCARRY_6195,
SEL => BTN_3_Count_8_CYAND_6196,
O => BTN_3_Count_8_CYMUXFAST_6197
);
BTN_3_Count_8_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X53Y65"
)
port map (
IA => BTN_3_Count_8_LOGIC_ZERO_6192,
IB => BTN_3_Count_8_CYMUXF2_6193,
SEL => BTN_3_Count_8_CYSELG_6183,
O => BTN_3_Count_8_CYMUXG2_6194
);
BTN_3_Count_8_CYSELG : X_BUF
generic map(
LOC => "SLICE_X53Y65",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_8_G,
O => BTN_3_Count_8_CYSELG_6183
);
BTN_3_Count_8_SRINV : X_BUF
generic map(
LOC => "SLICE_X53Y65",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_and0000_0,
O => BTN_3_Count_8_SRINV_6181
);
BTN_3_Count_8_CLKINV : X_BUF
generic map(
LOC => "SLICE_X53Y65",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_3_Count_8_CLKINV_6180
);
BTN_3_Count_8_CEINV : X_INV
generic map(
LOC => "SLICE_X53Y65",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count(20),
O => BTN_3_Count_8_CEINVNOT
);
BTN_3_Count_10_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X53Y66"
)
port map (
O => BTN_3_Count_10_LOGIC_ZERO_6248
);
BTN_3_Count_10_DXMUX : X_BUF
generic map(
LOC => "SLICE_X53Y66",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_10_XORF_6274,
O => BTN_3_Count_10_DXMUX_6276
);
BTN_3_Count_10_XORF : X_XOR2
generic map(
LOC => "SLICE_X53Y66"
)
port map (
I0 => BTN_3_Count_10_CYINIT_6273,
I1 => BTN_3_Count_10_F,
O => BTN_3_Count_10_XORF_6274
);
BTN_3_Count_10_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X53Y66"
)
port map (
IA => BTN_3_Count_10_LOGIC_ZERO_6248,
IB => BTN_3_Count_10_CYINIT_6273,
SEL => BTN_3_Count_10_CYSELF_6254,
O => BTN_3_Mcount_Count_cy(10)
);
BTN_3_Count_10_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X53Y66"
)
port map (
IA => BTN_3_Count_10_LOGIC_ZERO_6248,
IB => BTN_3_Count_10_LOGIC_ZERO_6248,
SEL => BTN_3_Count_10_CYSELF_6254,
O => BTN_3_Count_10_CYMUXF2_6249
);
BTN_3_Count_10_CYINIT : X_BUF
generic map(
LOC => "SLICE_X53Y66",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Mcount_Count_cy(9),
O => BTN_3_Count_10_CYINIT_6273
);
BTN_3_Count_10_CYSELF : X_BUF
generic map(
LOC => "SLICE_X53Y66",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_10_F,
O => BTN_3_Count_10_CYSELF_6254
);
BTN_3_Count_10_DYMUX : X_BUF
generic map(
LOC => "SLICE_X53Y66",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_10_XORG_6256,
O => BTN_3_Count_10_DYMUX_6258
);
BTN_3_Count_10_XORG : X_XOR2
generic map(
LOC => "SLICE_X53Y66"
)
port map (
I0 => BTN_3_Mcount_Count_cy(10),
I1 => BTN_3_Count_10_G,
O => BTN_3_Count_10_XORG_6256
);
BTN_3_Count_10_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X53Y66",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_10_CYMUXFAST_6253,
O => BTN_3_Mcount_Count_cy(11)
);
BTN_3_Count_10_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X53Y66",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Mcount_Count_cy(9),
O => BTN_3_Count_10_FASTCARRY_6251
);
BTN_3_Count_10_CYAND : X_AND2
generic map(
LOC => "SLICE_X53Y66"
)
port map (
I0 => BTN_3_Count_10_CYSELG_6239,
I1 => BTN_3_Count_10_CYSELF_6254,
O => BTN_3_Count_10_CYAND_6252
);
BTN_3_Count_10_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X53Y66"
)
port map (
IA => BTN_3_Count_10_CYMUXG2_6250,
IB => BTN_3_Count_10_FASTCARRY_6251,
SEL => BTN_3_Count_10_CYAND_6252,
O => BTN_3_Count_10_CYMUXFAST_6253
);
BTN_3_Count_10_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X53Y66"
)
port map (
IA => BTN_3_Count_10_LOGIC_ZERO_6248,
IB => BTN_3_Count_10_CYMUXF2_6249,
SEL => BTN_3_Count_10_CYSELG_6239,
O => BTN_3_Count_10_CYMUXG2_6250
);
BTN_3_Count_10_CYSELG : X_BUF
generic map(
LOC => "SLICE_X53Y66",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_10_G,
O => BTN_3_Count_10_CYSELG_6239
);
BTN_3_Count_10_SRINV : X_BUF
generic map(
LOC => "SLICE_X53Y66",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_and0000_0,
O => BTN_3_Count_10_SRINV_6237
);
BTN_3_Count_10_CLKINV : X_BUF
generic map(
LOC => "SLICE_X53Y66",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_3_Count_10_CLKINV_6236
);
BTN_3_Count_10_CEINV : X_INV
generic map(
LOC => "SLICE_X53Y66",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count(20),
O => BTN_3_Count_10_CEINVNOT
);
BTN_3_Count_12_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X53Y67"
)
port map (
O => BTN_3_Count_12_LOGIC_ZERO_6304
);
BTN_3_Count_12_DXMUX : X_BUF
generic map(
LOC => "SLICE_X53Y67",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_12_XORF_6330,
O => BTN_3_Count_12_DXMUX_6332
);
BTN_3_Count_12_XORF : X_XOR2
generic map(
LOC => "SLICE_X53Y67"
)
port map (
I0 => BTN_3_Count_12_CYINIT_6329,
I1 => BTN_3_Count_12_F,
O => BTN_3_Count_12_XORF_6330
);
BTN_3_Count_12_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X53Y67"
)
port map (
IA => BTN_3_Count_12_LOGIC_ZERO_6304,
IB => BTN_3_Count_12_CYINIT_6329,
SEL => BTN_3_Count_12_CYSELF_6310,
O => BTN_3_Mcount_Count_cy(12)
);
BTN_3_Count_12_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X53Y67"
)
port map (
IA => BTN_3_Count_12_LOGIC_ZERO_6304,
IB => BTN_3_Count_12_LOGIC_ZERO_6304,
SEL => BTN_3_Count_12_CYSELF_6310,
O => BTN_3_Count_12_CYMUXF2_6305
);
BTN_3_Count_12_CYINIT : X_BUF
generic map(
LOC => "SLICE_X53Y67",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Mcount_Count_cy(11),
O => BTN_3_Count_12_CYINIT_6329
);
BTN_3_Count_12_CYSELF : X_BUF
generic map(
LOC => "SLICE_X53Y67",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_12_F,
O => BTN_3_Count_12_CYSELF_6310
);
BTN_3_Count_12_DYMUX : X_BUF
generic map(
LOC => "SLICE_X53Y67",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_12_XORG_6312,
O => BTN_3_Count_12_DYMUX_6314
);
BTN_3_Count_12_XORG : X_XOR2
generic map(
LOC => "SLICE_X53Y67"
)
port map (
I0 => BTN_3_Mcount_Count_cy(12),
I1 => BTN_3_Count_12_G,
O => BTN_3_Count_12_XORG_6312
);
BTN_3_Count_12_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X53Y67",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_12_CYMUXFAST_6309,
O => BTN_3_Mcount_Count_cy(13)
);
BTN_3_Count_12_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X53Y67",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Mcount_Count_cy(11),
O => BTN_3_Count_12_FASTCARRY_6307
);
BTN_3_Count_12_CYAND : X_AND2
generic map(
LOC => "SLICE_X53Y67"
)
port map (
I0 => BTN_3_Count_12_CYSELG_6295,
I1 => BTN_3_Count_12_CYSELF_6310,
O => BTN_3_Count_12_CYAND_6308
);
BTN_3_Count_12_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X53Y67"
)
port map (
IA => BTN_3_Count_12_CYMUXG2_6306,
IB => BTN_3_Count_12_FASTCARRY_6307,
SEL => BTN_3_Count_12_CYAND_6308,
O => BTN_3_Count_12_CYMUXFAST_6309
);
BTN_3_Count_12_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X53Y67"
)
port map (
IA => BTN_3_Count_12_LOGIC_ZERO_6304,
IB => BTN_3_Count_12_CYMUXF2_6305,
SEL => BTN_3_Count_12_CYSELG_6295,
O => BTN_3_Count_12_CYMUXG2_6306
);
BTN_3_Count_12_CYSELG : X_BUF
generic map(
LOC => "SLICE_X53Y67",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_12_G,
O => BTN_3_Count_12_CYSELG_6295
);
BTN_3_Count_12_SRINV : X_BUF
generic map(
LOC => "SLICE_X53Y67",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_and0000_0,
O => BTN_3_Count_12_SRINV_6293
);
BTN_3_Count_12_CLKINV : X_BUF
generic map(
LOC => "SLICE_X53Y67",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_3_Count_12_CLKINV_6292
);
BTN_3_Count_12_CEINV : X_INV
generic map(
LOC => "SLICE_X53Y67",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count(20),
O => BTN_3_Count_12_CEINVNOT
);
BTN_3_Count_14_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X53Y68"
)
port map (
O => BTN_3_Count_14_LOGIC_ZERO_6360
);
BTN_3_Count_14_DXMUX : X_BUF
generic map(
LOC => "SLICE_X53Y68",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_14_XORF_6386,
O => BTN_3_Count_14_DXMUX_6388
);
BTN_3_Count_14_XORF : X_XOR2
generic map(
LOC => "SLICE_X53Y68"
)
port map (
I0 => BTN_3_Count_14_CYINIT_6385,
I1 => BTN_3_Count_14_F,
O => BTN_3_Count_14_XORF_6386
);
BTN_3_Count_14_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X53Y68"
)
port map (
IA => BTN_3_Count_14_LOGIC_ZERO_6360,
IB => BTN_3_Count_14_CYINIT_6385,
SEL => BTN_3_Count_14_CYSELF_6366,
O => BTN_3_Mcount_Count_cy(14)
);
BTN_3_Count_14_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X53Y68"
)
port map (
IA => BTN_3_Count_14_LOGIC_ZERO_6360,
IB => BTN_3_Count_14_LOGIC_ZERO_6360,
SEL => BTN_3_Count_14_CYSELF_6366,
O => BTN_3_Count_14_CYMUXF2_6361
);
BTN_3_Count_14_CYINIT : X_BUF
generic map(
LOC => "SLICE_X53Y68",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Mcount_Count_cy(13),
O => BTN_3_Count_14_CYINIT_6385
);
BTN_3_Count_14_CYSELF : X_BUF
generic map(
LOC => "SLICE_X53Y68",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_14_F,
O => BTN_3_Count_14_CYSELF_6366
);
BTN_3_Count_14_DYMUX : X_BUF
generic map(
LOC => "SLICE_X53Y68",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_14_XORG_6368,
O => BTN_3_Count_14_DYMUX_6370
);
BTN_3_Count_14_XORG : X_XOR2
generic map(
LOC => "SLICE_X53Y68"
)
port map (
I0 => BTN_3_Mcount_Count_cy(14),
I1 => BTN_3_Count_14_G,
O => BTN_3_Count_14_XORG_6368
);
BTN_3_Count_14_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X53Y68",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_14_CYMUXFAST_6365,
O => BTN_3_Mcount_Count_cy(15)
);
BTN_3_Count_14_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X53Y68",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Mcount_Count_cy(13),
O => BTN_3_Count_14_FASTCARRY_6363
);
BTN_3_Count_14_CYAND : X_AND2
generic map(
LOC => "SLICE_X53Y68"
)
port map (
I0 => BTN_3_Count_14_CYSELG_6351,
I1 => BTN_3_Count_14_CYSELF_6366,
O => BTN_3_Count_14_CYAND_6364
);
BTN_3_Count_14_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X53Y68"
)
port map (
IA => BTN_3_Count_14_CYMUXG2_6362,
IB => BTN_3_Count_14_FASTCARRY_6363,
SEL => BTN_3_Count_14_CYAND_6364,
O => BTN_3_Count_14_CYMUXFAST_6365
);
BTN_3_Count_14_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X53Y68"
)
port map (
IA => BTN_3_Count_14_LOGIC_ZERO_6360,
IB => BTN_3_Count_14_CYMUXF2_6361,
SEL => BTN_3_Count_14_CYSELG_6351,
O => BTN_3_Count_14_CYMUXG2_6362
);
BTN_3_Count_14_CYSELG : X_BUF
generic map(
LOC => "SLICE_X53Y68",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_14_G,
O => BTN_3_Count_14_CYSELG_6351
);
BTN_3_Count_14_SRINV : X_BUF
generic map(
LOC => "SLICE_X53Y68",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_and0000_0,
O => BTN_3_Count_14_SRINV_6349
);
BTN_3_Count_14_CLKINV : X_BUF
generic map(
LOC => "SLICE_X53Y68",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_3_Count_14_CLKINV_6348
);
BTN_3_Count_14_CEINV : X_INV
generic map(
LOC => "SLICE_X53Y68",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count(20),
O => BTN_3_Count_14_CEINVNOT
);
BTN_3_Count_16_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X53Y69"
)
port map (
O => BTN_3_Count_16_LOGIC_ZERO_6416
);
BTN_3_Count_16_DXMUX : X_BUF
generic map(
LOC => "SLICE_X53Y69",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_16_XORF_6442,
O => BTN_3_Count_16_DXMUX_6444
);
BTN_3_Count_16_XORF : X_XOR2
generic map(
LOC => "SLICE_X53Y69"
)
port map (
I0 => BTN_3_Count_16_CYINIT_6441,
I1 => BTN_3_Count_16_F,
O => BTN_3_Count_16_XORF_6442
);
BTN_3_Count_16_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X53Y69"
)
port map (
IA => BTN_3_Count_16_LOGIC_ZERO_6416,
IB => BTN_3_Count_16_CYINIT_6441,
SEL => BTN_3_Count_16_CYSELF_6422,
O => BTN_3_Mcount_Count_cy(16)
);
BTN_3_Count_16_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X53Y69"
)
port map (
IA => BTN_3_Count_16_LOGIC_ZERO_6416,
IB => BTN_3_Count_16_LOGIC_ZERO_6416,
SEL => BTN_3_Count_16_CYSELF_6422,
O => BTN_3_Count_16_CYMUXF2_6417
);
BTN_3_Count_16_CYINIT : X_BUF
generic map(
LOC => "SLICE_X53Y69",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Mcount_Count_cy(15),
O => BTN_3_Count_16_CYINIT_6441
);
BTN_3_Count_16_CYSELF : X_BUF
generic map(
LOC => "SLICE_X53Y69",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_16_F,
O => BTN_3_Count_16_CYSELF_6422
);
BTN_3_Count_16_DYMUX : X_BUF
generic map(
LOC => "SLICE_X53Y69",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_16_XORG_6424,
O => BTN_3_Count_16_DYMUX_6426
);
BTN_3_Count_16_XORG : X_XOR2
generic map(
LOC => "SLICE_X53Y69"
)
port map (
I0 => BTN_3_Mcount_Count_cy(16),
I1 => BTN_3_Count_16_G,
O => BTN_3_Count_16_XORG_6424
);
BTN_3_Count_16_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X53Y69",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_16_CYMUXFAST_6421,
O => BTN_3_Mcount_Count_cy(17)
);
BTN_3_Count_16_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X53Y69",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Mcount_Count_cy(15),
O => BTN_3_Count_16_FASTCARRY_6419
);
BTN_3_Count_16_CYAND : X_AND2
generic map(
LOC => "SLICE_X53Y69"
)
port map (
I0 => BTN_3_Count_16_CYSELG_6407,
I1 => BTN_3_Count_16_CYSELF_6422,
O => BTN_3_Count_16_CYAND_6420
);
BTN_3_Count_16_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X53Y69"
)
port map (
IA => BTN_3_Count_16_CYMUXG2_6418,
IB => BTN_3_Count_16_FASTCARRY_6419,
SEL => BTN_3_Count_16_CYAND_6420,
O => BTN_3_Count_16_CYMUXFAST_6421
);
BTN_3_Count_16_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X53Y69"
)
port map (
IA => BTN_3_Count_16_LOGIC_ZERO_6416,
IB => BTN_3_Count_16_CYMUXF2_6417,
SEL => BTN_3_Count_16_CYSELG_6407,
O => BTN_3_Count_16_CYMUXG2_6418
);
BTN_3_Count_16_CYSELG : X_BUF
generic map(
LOC => "SLICE_X53Y69",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_16_G,
O => BTN_3_Count_16_CYSELG_6407
);
BTN_3_Count_16_SRINV : X_BUF
generic map(
LOC => "SLICE_X53Y69",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_and0000_0,
O => BTN_3_Count_16_SRINV_6405
);
BTN_3_Count_16_CLKINV : X_BUF
generic map(
LOC => "SLICE_X53Y69",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_3_Count_16_CLKINV_6404
);
BTN_3_Count_16_CEINV : X_INV
generic map(
LOC => "SLICE_X53Y69",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count(20),
O => BTN_3_Count_16_CEINVNOT
);
BTN_3_Count_18_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X53Y70"
)
port map (
O => BTN_3_Count_18_LOGIC_ZERO_6472
);
BTN_3_Count_18_DXMUX : X_BUF
generic map(
LOC => "SLICE_X53Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_18_XORF_6498,
O => BTN_3_Count_18_DXMUX_6500
);
BTN_3_Count_18_XORF : X_XOR2
generic map(
LOC => "SLICE_X53Y70"
)
port map (
I0 => BTN_3_Count_18_CYINIT_6497,
I1 => BTN_3_Count_18_F,
O => BTN_3_Count_18_XORF_6498
);
BTN_3_Count_18_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X53Y70"
)
port map (
IA => BTN_3_Count_18_LOGIC_ZERO_6472,
IB => BTN_3_Count_18_CYINIT_6497,
SEL => BTN_3_Count_18_CYSELF_6478,
O => BTN_3_Mcount_Count_cy(18)
);
BTN_3_Count_18_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X53Y70"
)
port map (
IA => BTN_3_Count_18_LOGIC_ZERO_6472,
IB => BTN_3_Count_18_LOGIC_ZERO_6472,
SEL => BTN_3_Count_18_CYSELF_6478,
O => BTN_3_Count_18_CYMUXF2_6473
);
BTN_3_Count_18_CYINIT : X_BUF
generic map(
LOC => "SLICE_X53Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Mcount_Count_cy(17),
O => BTN_3_Count_18_CYINIT_6497
);
BTN_3_Count_18_CYSELF : X_BUF
generic map(
LOC => "SLICE_X53Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_18_F,
O => BTN_3_Count_18_CYSELF_6478
);
BTN_3_Count_18_DYMUX : X_BUF
generic map(
LOC => "SLICE_X53Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_18_XORG_6480,
O => BTN_3_Count_18_DYMUX_6482
);
BTN_3_Count_18_XORG : X_XOR2
generic map(
LOC => "SLICE_X53Y70"
)
port map (
I0 => BTN_3_Mcount_Count_cy(18),
I1 => BTN_3_Count_18_G,
O => BTN_3_Count_18_XORG_6480
);
BTN_3_Count_18_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X53Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Mcount_Count_cy(17),
O => BTN_3_Count_18_FASTCARRY_6475
);
BTN_3_Count_18_CYAND : X_AND2
generic map(
LOC => "SLICE_X53Y70"
)
port map (
I0 => BTN_3_Count_18_CYSELG_6463,
I1 => BTN_3_Count_18_CYSELF_6478,
O => BTN_3_Count_18_CYAND_6476
);
BTN_3_Count_18_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X53Y70"
)
port map (
IA => BTN_3_Count_18_CYMUXG2_6474,
IB => BTN_3_Count_18_FASTCARRY_6475,
SEL => BTN_3_Count_18_CYAND_6476,
O => BTN_3_Count_18_CYMUXFAST_6477
);
BTN_3_Count_18_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X53Y70"
)
port map (
IA => BTN_3_Count_18_LOGIC_ZERO_6472,
IB => BTN_3_Count_18_CYMUXF2_6473,
SEL => BTN_3_Count_18_CYSELG_6463,
O => BTN_3_Count_18_CYMUXG2_6474
);
BTN_3_Count_18_CYSELG : X_BUF
generic map(
LOC => "SLICE_X53Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_18_G,
O => BTN_3_Count_18_CYSELG_6463
);
BTN_3_Count_18_SRINV : X_BUF
generic map(
LOC => "SLICE_X53Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_and0000_0,
O => BTN_3_Count_18_SRINV_6461
);
BTN_3_Count_18_CLKINV : X_BUF
generic map(
LOC => "SLICE_X53Y70",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_3_Count_18_CLKINV_6460
);
BTN_3_Count_18_CEINV : X_INV
generic map(
LOC => "SLICE_X53Y70",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count(20),
O => BTN_3_Count_18_CEINVNOT
);
BTN_3_Count_20_DXMUX : X_BUF
generic map(
LOC => "SLICE_X53Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_20_XORF_6525,
O => BTN_3_Count_20_DXMUX_6527
);
BTN_3_Count_20_XORF : X_XOR2
generic map(
LOC => "SLICE_X53Y71"
)
port map (
I0 => BTN_3_Count_20_CYINIT_6524,
I1 => BTN_3_Count_20_rt_6522,
O => BTN_3_Count_20_XORF_6525
);
BTN_3_Count_20_CYINIT : X_BUF
generic map(
LOC => "SLICE_X53Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_18_CYMUXFAST_6477,
O => BTN_3_Count_20_CYINIT_6524
);
BTN_3_Count_20_SRINV : X_BUF
generic map(
LOC => "SLICE_X53Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count_and0000_0,
O => BTN_3_Count_20_SRINV_6514
);
BTN_3_Count_20_CLKINV : X_BUF
generic map(
LOC => "SLICE_X53Y71",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => BTN_3_Count_20_CLKINV_6513
);
BTN_3_Count_20_CEINV : X_INV
generic map(
LOC => "SLICE_X53Y71",
PATHPULSE => 638 ps
)
port map (
I => BTN_3_Count(20),
O => BTN_3_Count_20_CEINVNOT
);
SSeg_count240hz_cmp_eq0000_wg_cy_1_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X35Y18"
)
port map (
O => SSeg_count240hz_cmp_eq0000_wg_cy_1_LOGIC_ZERO_6547
);
SSeg_count240hz_cmp_eq0000_wg_cy_1_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X35Y18"
)
port map (
IA => SSeg_count240hz_cmp_eq0000_wg_cy_1_LOGIC_ZERO_6547,
IB => SSeg_count240hz_cmp_eq0000_wg_cy_1_CYINIT_6561,
SEL => SSeg_count240hz_cmp_eq0000_wg_cy_1_CYSELF_6552,
O => SSeg_count240hz_cmp_eq0000_wg_cy(0)
);
SSeg_count240hz_cmp_eq0000_wg_cy_1_CYINIT : X_BUF
generic map(
LOC => "SLICE_X35Y18",
PATHPULSE => 638 ps
)
port map (
I => SSeg_count240hz_cmp_eq0000_wg_cy_1_BXINV_6550,
O => SSeg_count240hz_cmp_eq0000_wg_cy_1_CYINIT_6561
);
SSeg_count240hz_cmp_eq0000_wg_cy_1_CYSELF : X_BUF
generic map(
LOC => "SLICE_X35Y18",
PATHPULSE => 638 ps
)
port map (
I => SSeg_count240hz_cmp_eq0000_wg_lut(0),
O => SSeg_count240hz_cmp_eq0000_wg_cy_1_CYSELF_6552
);
SSeg_count240hz_cmp_eq0000_wg_cy_1_BXINV : X_BUF
generic map(
LOC => "SLICE_X35Y18",
PATHPULSE => 638 ps
)
port map (
I => '1',
O => SSeg_count240hz_cmp_eq0000_wg_cy_1_BXINV_6550
);
SSeg_count240hz_cmp_eq0000_wg_cy_1_CYMUXG : X_MUX2
generic map(
LOC => "SLICE_X35Y18"
)
port map (
IA => SSeg_count240hz_cmp_eq0000_wg_cy_1_LOGIC_ZERO_6547,
IB => SSeg_count240hz_cmp_eq0000_wg_cy(0),
SEL => SSeg_count240hz_cmp_eq0000_wg_cy_1_CYSELG_6541,
O => SSeg_count240hz_cmp_eq0000_wg_cy_1_CYMUXG_6549
);
SSeg_count240hz_cmp_eq0000_wg_cy_1_CYSELG : X_BUF
generic map(
LOC => "SLICE_X35Y18",
PATHPULSE => 638 ps
)
port map (
I => SSeg_count240hz_cmp_eq0000_wg_lut(1),
O => SSeg_count240hz_cmp_eq0000_wg_cy_1_CYSELG_6541
);
SSeg_count240hz_cmp_eq0000_wg_lut_1_Q : X_LUT4
generic map(
INIT => X"2000",
LOC => "SLICE_X35Y18"
)
port map (
ADR0 => SSeg_count240hz(5),
ADR1 => SSeg_count240hz(3),
ADR2 => SSeg_count240hz(7),
ADR3 => SSeg_count240hz(6),
O => SSeg_count240hz_cmp_eq0000_wg_lut(1)
);
SSeg_count240hz_cmp_eq0000_wg_cy_3_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X35Y19"
)
port map (
O => SSeg_count240hz_cmp_eq0000_wg_cy_3_LOGIC_ZERO_6579
);
SSeg_count240hz_cmp_eq0000_wg_cy_3_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X35Y19"
)
port map (
IA => SSeg_count240hz_cmp_eq0000_wg_cy_3_LOGIC_ZERO_6579,
IB => SSeg_count240hz_cmp_eq0000_wg_cy_3_LOGIC_ZERO_6579,
SEL => SSeg_count240hz_cmp_eq0000_wg_cy_3_CYSELF_6585,
O => SSeg_count240hz_cmp_eq0000_wg_cy_3_CYMUXF2_6580
);
SSeg_count240hz_cmp_eq0000_wg_cy_3_CYSELF : X_BUF
generic map(
LOC => "SLICE_X35Y19",
PATHPULSE => 638 ps
)
port map (
I => SSeg_count240hz_cmp_eq0000_wg_lut(2),
O => SSeg_count240hz_cmp_eq0000_wg_cy_3_CYSELF_6585
);
SSeg_count240hz_cmp_eq0000_wg_cy_3_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X35Y19",
PATHPULSE => 638 ps
)
port map (
I => SSeg_count240hz_cmp_eq0000_wg_cy_1_CYMUXG_6549,
O => SSeg_count240hz_cmp_eq0000_wg_cy_3_FASTCARRY_6582
);
SSeg_count240hz_cmp_eq0000_wg_cy_3_CYAND : X_AND2
generic map(
LOC => "SLICE_X35Y19"
)
port map (
I0 => SSeg_count240hz_cmp_eq0000_wg_cy_3_CYSELG_6573,
I1 => SSeg_count240hz_cmp_eq0000_wg_cy_3_CYSELF_6585,
O => SSeg_count240hz_cmp_eq0000_wg_cy_3_CYAND_6583
);
SSeg_count240hz_cmp_eq0000_wg_cy_3_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X35Y19"
)
port map (
IA => SSeg_count240hz_cmp_eq0000_wg_cy_3_CYMUXG2_6581,
IB => SSeg_count240hz_cmp_eq0000_wg_cy_3_FASTCARRY_6582,
SEL => SSeg_count240hz_cmp_eq0000_wg_cy_3_CYAND_6583,
O => SSeg_count240hz_cmp_eq0000_wg_cy_3_CYMUXFAST_6584
);
SSeg_count240hz_cmp_eq0000_wg_cy_3_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X35Y19"
)
port map (
IA => SSeg_count240hz_cmp_eq0000_wg_cy_3_LOGIC_ZERO_6579,
IB => SSeg_count240hz_cmp_eq0000_wg_cy_3_CYMUXF2_6580,
SEL => SSeg_count240hz_cmp_eq0000_wg_cy_3_CYSELG_6573,
O => SSeg_count240hz_cmp_eq0000_wg_cy_3_CYMUXG2_6581
);
SSeg_count240hz_cmp_eq0000_wg_cy_3_CYSELG : X_BUF
generic map(
LOC => "SLICE_X35Y19",
PATHPULSE => 638 ps
)
port map (
I => SSeg_count240hz_cmp_eq0000_wg_lut(3),
O => SSeg_count240hz_cmp_eq0000_wg_cy_3_CYSELG_6573
);
SSeg_count240hz_cmp_eq0000_wg_lut_3_Q : X_LUT4
generic map(
INIT => X"0100",
LOC => "SLICE_X35Y19"
)
port map (
ADR0 => SSeg_count240hz(0),
ADR1 => SSeg_count240hz(13),
ADR2 => SSeg_count240hz(11),
ADR3 => SSeg_count240hz(12),
O => SSeg_count240hz_cmp_eq0000_wg_lut(3)
);
SSeg_count240hz_cmp_eq0000_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X35Y20"
)
port map (
O => SSeg_count240hz_cmp_eq0000_LOGIC_ZERO_6606
);
SSeg_count240hz_cmp_eq0000_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X35Y20"
)
port map (
IA => SSeg_count240hz_cmp_eq0000_LOGIC_ZERO_6606,
IB => SSeg_count240hz_cmp_eq0000_CYINIT_6605,
SEL => SSeg_count240hz_cmp_eq0000_CYSELF_6599,
O => SSeg_count240hz_cmp_eq0000
);
SSeg_count240hz_cmp_eq0000_CYINIT : X_BUF
generic map(
LOC => "SLICE_X35Y20",
PATHPULSE => 638 ps
)
port map (
I => SSeg_count240hz_cmp_eq0000_wg_cy_3_CYMUXFAST_6584,
O => SSeg_count240hz_cmp_eq0000_CYINIT_6605
);
SSeg_count240hz_cmp_eq0000_CYSELF : X_BUF
generic map(
LOC => "SLICE_X35Y20",
PATHPULSE => 638 ps
)
port map (
I => SSeg_count240hz_cmp_eq0000_wg_lut(4),
O => SSeg_count240hz_cmp_eq0000_CYSELF_6599
);
SSeg_count240hz_cmp_eq0000_wg_lut_4_Q : X_LUT4
generic map(
INIT => X"0080",
LOC => "SLICE_X35Y20"
)
port map (
ADR0 => SSeg_count240hz(16),
ADR1 => SSeg_count240hz(2),
ADR2 => SSeg_count240hz(15),
ADR3 => SSeg_count240hz(14),
O => SSeg_count240hz_cmp_eq0000_wg_lut(4)
);
CLK_BUFGP_IBUFG : X_BUF
generic map(
LOC => "IPAD29",
PATHPULSE => 638 ps
)
port map (
I => CLK,
O => CLK_INBUF
);
AN_0_OBUF : X_OBUF
generic map(
LOC => "PAD71"
)
port map (
I => AN_0_O,
O => AN(0)
);
AN_1_OBUF : X_OBUF
generic map(
LOC => "PAD79"
)
port map (
I => AN_1_O,
O => AN(1)
);
AN_2_OBUF : X_OBUF
generic map(
LOC => "PAD61"
)
port map (
I => AN_2_O,
O => AN(2)
);
AN_3_OBUF : X_OBUF
generic map(
LOC => "PAD67"
)
port map (
I => AN_3_O,
O => AN(3)
);
SW_0_IBUF : X_BUF
generic map(
LOC => "IPAD78",
PATHPULSE => 638 ps
)
port map (
I => SW(0),
O => SW_0_INBUF
);
SW_0_IFF_IMUX : X_BUF
generic map(
LOC => "IPAD78",
PATHPULSE => 638 ps
)
port map (
I => SW_0_INBUF,
O => SW_0_IBUF_1741
);
SW_1_IBUF : X_BUF
generic map(
LOC => "IPAD83",
PATHPULSE => 638 ps
)
port map (
I => SW(1),
O => SW_1_INBUF
);
SW_1_IFF_IMUX : X_BUF
generic map(
LOC => "IPAD83",
PATHPULSE => 638 ps
)
port map (
I => SW_1_INBUF,
O => SW_1_IBUF_1740
);
SW_2_IBUF : X_BUF
generic map(
LOC => "IPAD88",
PATHPULSE => 638 ps
)
port map (
I => SW(2),
O => SW_2_INBUF
);
SW_2_IFF_IMUX : X_BUF
generic map(
LOC => "IPAD88",
PATHPULSE => 638 ps
)
port map (
I => SW_2_INBUF,
O => SW_2_IBUF_1744
);
SW_3_IBUF : X_BUF
generic map(
LOC => "IPAD93",
PATHPULSE => 638 ps
)
port map (
I => SW(3),
O => SW_3_INBUF
);
SW_3_IFF_IMUX : X_BUF
generic map(
LOC => "IPAD93",
PATHPULSE => 638 ps
)
port map (
I => SW_3_INBUF,
O => SW_3_IBUF_1743
);
SW_4_IBUF : X_BUF
generic map(
LOC => "IPAD103",
PATHPULSE => 638 ps
)
port map (
I => SW(4),
O => SW_4_INBUF
);
SW_4_IFF_IMUX : X_BUF
generic map(
LOC => "IPAD103",
PATHPULSE => 638 ps
)
port map (
I => SW_4_INBUF,
O => SW_4_IBUF_1749
);
SW_5_IBUF : X_BUF
generic map(
LOC => "IPAD98",
PATHPULSE => 638 ps
)
port map (
I => SW(5),
O => SW_5_INBUF
);
SW_5_IFF_IMUX : X_BUF
generic map(
LOC => "IPAD98",
PATHPULSE => 638 ps
)
port map (
I => SW_5_INBUF,
O => SW_5_IBUF_1748
);
SW_6_IBUF : X_BUF
generic map(
LOC => "IPAD108",
PATHPULSE => 638 ps
)
port map (
I => SW(6),
O => SW_6_INBUF
);
SW_6_IFF_IMUX : X_BUF
generic map(
LOC => "IPAD108",
PATHPULSE => 638 ps
)
port map (
I => SW_6_INBUF,
O => SW_6_IBUF_1754
);
SW_7_IBUF : X_BUF
generic map(
LOC => "IPAD112",
PATHPULSE => 638 ps
)
port map (
I => SW(7),
O => SW_7_INBUF
);
SW_7_IFF_IMUX : X_BUF
generic map(
LOC => "IPAD112",
PATHPULSE => 638 ps
)
port map (
I => SW_7_INBUF,
O => SW_7_IBUF_1753
);
BTN_0_IBUF : X_BUF
generic map(
LOC => "IPAD59",
PATHPULSE => 638 ps
)
port map (
I => BTN(0),
O => BTN_0_INBUF
);
BTN_1_IBUF : X_BUF
generic map(
LOC => "IPAD64",
PATHPULSE => 638 ps
)
port map (
I => BTN(1),
O => BTN_1_INBUF
);
BTN_2_IBUF : X_BUF
generic map(
LOC => "IPAD68",
PATHPULSE => 638 ps
)
port map (
I => BTN(2),
O => BTN_2_INBUF
);
BTN_3_IBUF : X_BUF
generic map(
LOC => "IPAD73",
PATHPULSE => 638 ps
)
port map (
I => BTN(3),
O => BTN_3_INBUF
);
SEG_0_OBUF : X_OBUF
generic map(
LOC => "PAD95"
)
port map (
I => SEG_0_O,
O => SEG(0)
);
SEG_1_OBUF : X_OBUF
generic map(
LOC => "PAD72"
)
port map (
I => SEG_1_O,
O => SEG(1)
);
SEG_2_OBUF : X_OBUF
generic map(
LOC => "PAD63"
)
port map (
I => SEG_2_O,
O => SEG(2)
);
SEG_3_OBUF : X_OBUF
generic map(
LOC => "PAD62"
)
port map (
I => SEG_3_O,
O => SEG(3)
);
SEG_4_OBUF : X_OBUF
generic map(
LOC => "PAD70"
)
port map (
I => SEG_4_O,
O => SEG(4)
);
SEG_5_OBUF : X_OBUF
generic map(
LOC => "PAD87"
)
port map (
I => SEG_5_O,
O => SEG(5)
);
SEG_6_OBUF : X_OBUF
generic map(
LOC => "PAD77"
)
port map (
I => SEG_6_O,
O => SEG(6)
);
CLK_BUFGP_BUFG : X_BUFGMUX
generic map(
LOC => "BUFGMUX_X2Y11"
)
port map (
I0 => CLK_BUFGP_BUFG_I0_INV,
I1 => GND,
S => CLK_BUFGP_BUFG_S_INVNOT,
O => CLK_BUFGP
);
CLK_BUFGP_BUFG_SINV : X_INV
generic map(
LOC => "BUFGMUX_X2Y11",
PATHPULSE => 638 ps
)
port map (
I => '1',
O => CLK_BUFGP_BUFG_S_INVNOT
);
CLK_BUFGP_BUFG_I0_USED : X_BUF
generic map(
LOC => "BUFGMUX_X2Y11",
PATHPULSE => 638 ps
)
port map (
I => CLK_INBUF,
O => CLK_BUFGP_BUFG_I0_INV
);
N21_XUSED : X_BUF
generic map(
LOC => "SLICE_X66Y79",
PATHPULSE => 638 ps
)
port map (
I => N21_F5MUX_6801,
O => N21
);
N21_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X66Y79"
)
port map (
IA => ALU_Mux_ALU_OUT_0_51,
IB => N21_F,
SEL => N21_BXINV_6790,
O => N21_F5MUX_6801
);
N21_BXINV : X_BUF
generic map(
LOC => "SLICE_X66Y79",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALB(2),
O => N21_BXINV_6790
);
ALU_Mux_ALU_OUT_0_511 : X_LUT4
generic map(
INIT => X"0032",
LOC => "SLICE_X66Y79"
)
port map (
ADR0 => N26_0,
ADR1 => UXCntl_Unit_VALB(0),
ADR2 => N23,
ADR3 => UXCntl_Unit_VALB(1),
O => ALU_Mux_ALU_OUT_0_51
);
SSeg_Mmux_hexnum_mux0001257_XUSED : X_BUF
generic map(
LOC => "SLICE_X66Y35",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux0001257_F5MUX_6826,
O => SSeg_Mmux_hexnum_mux0001257
);
SSeg_Mmux_hexnum_mux0001257_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X66Y35"
)
port map (
IA => N100,
IB => N101,
SEL => SSeg_Mmux_hexnum_mux0001257_BXINV_6819,
O => SSeg_Mmux_hexnum_mux0001257_F5MUX_6826
);
SSeg_Mmux_hexnum_mux0001257_BXINV : X_BUF
generic map(
LOC => "SLICE_X66Y35",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALB(1),
O => SSeg_Mmux_hexnum_mux0001257_BXINV_6819
);
SSeg_Mmux_hexnum_mux0001257_F : X_LUT4
generic map(
INIT => X"0C00",
LOC => "SLICE_X66Y35"
)
port map (
ADR0 => VCC,
ADR1 => UXCntl_Unit_VALB(2),
ADR2 => UXCntl_Unit_VALB(0),
ADR3 => UXCntl_Unit_VALA(4),
O => N100
);
SSeg_Mmux_hexnum_mux0001828_XUSED : X_BUF
generic map(
LOC => "SLICE_X64Y23",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux0001828_F5MUX_6851,
O => SSeg_Mmux_hexnum_mux0001828
);
SSeg_Mmux_hexnum_mux0001828_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X64Y23"
)
port map (
IA => N98,
IB => N99,
SEL => SSeg_Mmux_hexnum_mux0001828_BXINV_6844,
O => SSeg_Mmux_hexnum_mux0001828_F5MUX_6851
);
SSeg_Mmux_hexnum_mux0001828_BXINV : X_BUF
generic map(
LOC => "SLICE_X64Y23",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_OPCODE(2),
O => SSeg_Mmux_hexnum_mux0001828_BXINV_6844
);
SSeg_Mmux_hexnum_mux0001828_F : X_LUT4
generic map(
INIT => X"FFEE",
LOC => "SLICE_X64Y23"
)
port map (
ADR0 => UXCntl_Unit_VALA(3),
ADR1 => UXCntl_Unit_VALB(3),
ADR2 => VCC,
ADR3 => SSeg_Mmux_hexnum_mux0001825_0,
O => N98
);
SSeg_Mmux_hexnum_mux0001686_XUSED : X_BUF
generic map(
LOC => "SLICE_X67Y25",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux0001686_F5MUX_6876,
O => SSeg_Mmux_hexnum_mux0001686
);
SSeg_Mmux_hexnum_mux0001686_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X67Y25"
)
port map (
IA => N108,
IB => N109,
SEL => SSeg_Mmux_hexnum_mux0001686_BXINV_6869,
O => SSeg_Mmux_hexnum_mux0001686_F5MUX_6876
);
SSeg_Mmux_hexnum_mux0001686_BXINV : X_BUF
generic map(
LOC => "SLICE_X67Y25",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALB(2),
O => SSeg_Mmux_hexnum_mux0001686_BXINV_6869
);
SSeg_Mmux_hexnum_mux0001686_F : X_LUT4
generic map(
INIT => X"0C00",
LOC => "SLICE_X67Y25"
)
port map (
ADR0 => VCC,
ADR1 => UXCntl_Unit_VALA(3),
ADR2 => UXCntl_Unit_VALB(1),
ADR3 => UXCntl_Unit_VALB(0),
O => N108
);
SSeg_Mmux_hexnum_mux00014107_XUSED : X_BUF
generic map(
LOC => "SLICE_X66Y31",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00014107_F5MUX_6901,
O => SSeg_Mmux_hexnum_mux00014107
);
SSeg_Mmux_hexnum_mux00014107_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X66Y31"
)
port map (
IA => N102,
IB => N103,
SEL => SSeg_Mmux_hexnum_mux00014107_BXINV_6894,
O => SSeg_Mmux_hexnum_mux00014107_F5MUX_6901
);
SSeg_Mmux_hexnum_mux00014107_BXINV : X_BUF
generic map(
LOC => "SLICE_X66Y31",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALB(0),
O => SSeg_Mmux_hexnum_mux00014107_BXINV_6894
);
SSeg_Mmux_hexnum_mux00014107_F : X_LUT4
generic map(
INIT => X"EC20",
LOC => "SLICE_X66Y31"
)
port map (
ADR0 => UXCntl_Unit_VALB(1),
ADR1 => UXCntl_Unit_VALB(2),
ADR2 => UXCntl_Unit_VALA(3),
ADR3 => N11,
O => N102
);
SSeg_Mmux_hexnum_mux00014107_G : X_LUT4
generic map(
INIT => X"7250",
LOC => "SLICE_X66Y31"
)
port map (
ADR0 => UXCntl_Unit_VALB(1),
ADR1 => UXCntl_Unit_VALB(2),
ADR2 => SSeg_Mmux_hexnum_mux0001476_0,
ADR3 => UXCntl_Unit_VALA(4),
O => N103
);
SSeg_Mmux_hexnum_mux00018194_XUSED : X_BUF
generic map(
LOC => "SLICE_X65Y25",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00018194_F5MUX_6926,
O => SSeg_Mmux_hexnum_mux00018194
);
SSeg_Mmux_hexnum_mux00018194_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X65Y25"
)
port map (
IA => N104,
IB => N105,
SEL => SSeg_Mmux_hexnum_mux00018194_BXINV_6919,
O => SSeg_Mmux_hexnum_mux00018194_F5MUX_6926
);
SSeg_Mmux_hexnum_mux00018194_BXINV : X_BUF
generic map(
LOC => "SLICE_X65Y25",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALB(0),
O => SSeg_Mmux_hexnum_mux00018194_BXINV_6919
);
SSeg_Mmux_hexnum_mux00018194_F : X_LUT4
generic map(
INIT => X"F2F0",
LOC => "SLICE_X65Y25"
)
port map (
ADR0 => UXCntl_Unit_VALA(3),
ADR1 => UXCntl_Unit_VALB(1),
ADR2 => SSeg_Mmux_hexnum_mux00018143_0,
ADR3 => UXCntl_Unit_VALB(2),
O => N104
);
SSeg_Mmux_hexnum_mux00018194_G : X_LUT4
generic map(
INIT => X"F0F8",
LOC => "SLICE_X65Y25"
)
port map (
ADR0 => UXCntl_Unit_VALA(4),
ADR1 => UXCntl_Unit_VALB(1),
ADR2 => SSeg_Mmux_hexnum_mux00018187_0,
ADR3 => UXCntl_Unit_VALB(2),
O => N105
);
SSeg_Mmux_hexnum_mux00018290_XUSED : X_BUF
generic map(
LOC => "SLICE_X67Y28",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00018290_F5MUX_6951,
O => SSeg_Mmux_hexnum_mux00018290
);
SSeg_Mmux_hexnum_mux00018290_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X67Y28"
)
port map (
IA => N96,
IB => N97,
SEL => SSeg_Mmux_hexnum_mux00018290_BXINV_6944,
O => SSeg_Mmux_hexnum_mux00018290_F5MUX_6951
);
SSeg_Mmux_hexnum_mux00018290_BXINV : X_BUF
generic map(
LOC => "SLICE_X67Y28",
PATHPULSE => 638 ps
)
port map (
I => SSeg_pos(0),
O => SSeg_Mmux_hexnum_mux00018290_BXINV_6944
);
SSeg_Mmux_hexnum_mux00018290_G : X_LUT4
generic map(
INIT => X"FFEC",
LOC => "SLICE_X67Y28"
)
port map (
ADR0 => arith(3),
ADR1 => SSeg_Mmux_hexnum_mux0001878_0,
ADR2 => N30,
ADR3 => SSeg_Mmux_hexnum_mux0001845_0,
O => N97
);
SSeg_Mmux_hexnum_mux00018290_F : X_LUT4
generic map(
INIT => X"FFEC",
LOC => "SLICE_X67Y28"
)
port map (
ADR0 => arith(7),
ADR1 => SSeg_Mmux_hexnum_mux00018252_0,
ADR2 => N30,
ADR3 => SSeg_Mmux_hexnum_mux00018120_0,
O => N96
);
N68_XUSED : X_BUF
generic map(
LOC => "SLICE_X65Y20",
PATHPULSE => 638 ps
)
port map (
I => N68_F5MUX_6976,
O => N68
);
N68_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X65Y20"
)
port map (
IA => N106,
IB => N107,
SEL => N68_BXINV_6969,
O => N68_F5MUX_6976
);
N68_BXINV : X_BUF
generic map(
LOC => "SLICE_X65Y20",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALB(2),
O => N68_BXINV_6969
);
SSeg_Mmux_hexnum_mux00016263_SW0_F : X_LUT4
generic map(
INIT => X"08FF",
LOC => "SLICE_X65Y20"
)
port map (
ADR0 => UXCntl_Unit_VALA(5),
ADR1 => UXCntl_Unit_VALB(0),
ADR2 => UXCntl_Unit_VALB(1),
ADR3 => N78_0,
O => N106
);
SSeg_Mmux_hexnum_mux00016263_SW0_G : X_LUT4
generic map(
INIT => X"0ACC",
LOC => "SLICE_X65Y20"
)
port map (
ADR0 => UXCntl_Unit_VALA(1),
ADR1 => N12,
ADR2 => UXCntl_Unit_VALB(1),
ADR3 => UXCntl_Unit_VALB(0),
O => N107
);
SSeg_Mmux_hexnum_mux00012171_XUSED : X_BUF
generic map(
LOC => "SLICE_X64Y34",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00012171_F5MUX_7001,
O => SSeg_Mmux_hexnum_mux00012171
);
SSeg_Mmux_hexnum_mux00012171_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X64Y34"
)
port map (
IA => SSeg_Mmux_hexnum_mux000121712_6991,
IB => SSeg_Mmux_hexnum_mux000121711_6999,
SEL => SSeg_Mmux_hexnum_mux00012171_BXINV_6993,
O => SSeg_Mmux_hexnum_mux00012171_F5MUX_7001
);
SSeg_Mmux_hexnum_mux00012171_BXINV : X_BUF
generic map(
LOC => "SLICE_X64Y34",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALB(0),
O => SSeg_Mmux_hexnum_mux00012171_BXINV_6993
);
SSeg_Mmux_hexnum_mux000121712 : X_LUT4
generic map(
INIT => X"4000",
LOC => "SLICE_X64Y34"
)
port map (
ADR0 => UXCntl_Unit_VALB(2),
ADR1 => UXCntl_Unit_VALA(6),
ADR2 => N23,
ADR3 => UXCntl_Unit_VALB(1),
O => SSeg_Mmux_hexnum_mux000121712_6991
);
SSeg_Mmux_hexnum_mux00014312_XUSED : X_BUF
generic map(
LOC => "SLICE_X67Y20",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00014312_F5MUX_7026,
O => SSeg_Mmux_hexnum_mux00014312
);
SSeg_Mmux_hexnum_mux00014312_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X67Y20"
)
port map (
IA => SSeg_Mmux_hexnum_mux000143122_7017,
IB => SSeg_Mmux_hexnum_mux000143121_7024,
SEL => SSeg_Mmux_hexnum_mux00014312_BXINV_7019,
O => SSeg_Mmux_hexnum_mux00014312_F5MUX_7026
);
SSeg_Mmux_hexnum_mux00014312_BXINV : X_BUF
generic map(
LOC => "SLICE_X67Y20",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_OPCODE(2),
O => SSeg_Mmux_hexnum_mux00014312_BXINV_7019
);
SSeg_Mmux_hexnum_mux000143122 : X_LUT4
generic map(
INIT => X"FFCC",
LOC => "SLICE_X67Y20"
)
port map (
ADR0 => VCC,
ADR1 => UXCntl_Unit_VALB(5),
ADR2 => VCC,
ADR3 => UXCntl_Unit_VALA(5),
O => SSeg_Mmux_hexnum_mux000143122_7017
);
SSeg_Mmux_hexnum_mux00016175_XUSED : X_BUF
generic map(
LOC => "SLICE_X66Y33",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00016175_F5MUX_7051,
O => SSeg_Mmux_hexnum_mux00016175
);
SSeg_Mmux_hexnum_mux00016175_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X66Y33"
)
port map (
IA => SSeg_Mmux_hexnum_mux000161751_7038,
IB => SSeg_Mmux_hexnum_mux00016175_F,
SEL => SSeg_Mmux_hexnum_mux00016175_BXINV_7040,
O => SSeg_Mmux_hexnum_mux00016175_F5MUX_7051
);
SSeg_Mmux_hexnum_mux00016175_BXINV : X_BUF
generic map(
LOC => "SLICE_X66Y33",
PATHPULSE => 638 ps
)
port map (
I => UXCntl_Unit_VALB(2),
O => SSeg_Mmux_hexnum_mux00016175_BXINV_7040
);
SSeg_Mmux_hexnum_mux000161751 : X_LUT4
generic map(
INIT => X"0800",
LOC => "SLICE_X66Y33"
)
port map (
ADR0 => N23,
ADR1 => UXCntl_Unit_VALB(0),
ADR2 => UXCntl_Unit_VALB(1),
ADR3 => UXCntl_Unit_VALA(7),
O => SSeg_Mmux_hexnum_mux000161751_7038
);
SSeg_hexnum_0_DXMUX : X_BUF
generic map(
LOC => "SLICE_X67Y29",
PATHPULSE => 638 ps
)
port map (
I => SSeg_hexnum_mux0001(0),
O => SSeg_hexnum_0_DXMUX_7079
);
SSeg_hexnum_0_YUSED : X_BUF
generic map(
LOC => "SLICE_X67Y29",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00012292_O_pack_1,
O => SSeg_Mmux_hexnum_mux00012292_O
);
SSeg_hexnum_0_CLKINV : X_BUF
generic map(
LOC => "SLICE_X67Y29",
PATHPULSE => 638 ps
)
port map (
I => SSeg_clk240hz_1793,
O => SSeg_hexnum_0_CLKINV_7062
);
SSeg_Mmux_hexnum_mux00012292 : X_LUT4
generic map(
INIT => X"FCB8",
LOC => "SLICE_X67Y29"
)
port map (
ADR0 => SSeg_Mmux_hexnum_mux00012111_0,
ADR1 => SSeg_pos(0),
ADR2 => SSeg_Mmux_hexnum_mux00012263_0,
ADR3 => SSeg_Mmux_hexnum_mux0001222_0,
O => SSeg_Mmux_hexnum_mux00012292_O_pack_1
);
SSeg_hexnum_1_DXMUX : X_BUF
generic map(
LOC => "SLICE_X66Y38",
PATHPULSE => 638 ps
)
port map (
I => SSeg_hexnum_mux0001(1),
O => SSeg_hexnum_1_DXMUX_7109
);
SSeg_hexnum_1_YUSED : X_BUF
generic map(
LOC => "SLICE_X66Y38",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00014384_SW0_O_pack_2,
O => SSeg_Mmux_hexnum_mux00014384_SW0_O
);
SSeg_hexnum_1_CLKINV : X_BUF
generic map(
LOC => "SLICE_X66Y38",
PATHPULSE => 638 ps
)
port map (
I => SSeg_clk240hz_1793,
O => SSeg_hexnum_1_CLKINV_7093
);
SSeg_Mmux_hexnum_mux00014384_SW0 : X_LUT4
generic map(
INIT => X"AFA0",
LOC => "SLICE_X66Y38"
)
port map (
ADR0 => SSeg_Mmux_hexnum_mux00014147_0,
ADR1 => VCC,
ADR2 => SSeg_pos(1),
ADR3 => SSeg_DP_OUT_1865,
O => SSeg_Mmux_hexnum_mux00014384_SW0_O_pack_2
);
SSeg_hexnum_2_DXMUX : X_BUF
generic map(
LOC => "SLICE_X66Y28",
PATHPULSE => 638 ps
)
port map (
I => SSeg_hexnum_mux0001(2),
O => SSeg_hexnum_2_DXMUX_7139
);
SSeg_hexnum_2_YUSED : X_BUF
generic map(
LOC => "SLICE_X66Y28",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mmux_hexnum_mux00016330_O_pack_1,
O => SSeg_Mmux_hexnum_mux00016330_O
);
SSeg_hexnum_2_CLKINV : X_BUF
generic map(
LOC => "SLICE_X66Y28",
PATHPULSE => 638 ps
)
port map (
I => SSeg_clk240hz_1793,
O => SSeg_hexnum_2_CLKINV_7122
);
SSeg_Mmux_hexnum_mux00016330 : X_LUT4
generic map(
INIT => X"FE0E",
LOC => "SLICE_X66Y28"
)
port map (
ADR0 => SSeg_Mmux_hexnum_mux00016180_0,
ADR1 => SSeg_Mmux_hexnum_mux00016289_0,
ADR2 => SSeg_pos(0),
ADR3 => N62_0,
O => SSeg_Mmux_hexnum_mux00016330_O_pack_1
);
SSeg_hexnum_3_DYMUX : X_BUF
generic map(
LOC => "SLICE_X67Y31",
PATHPULSE => 638 ps
)
port map (
I => SSeg_hexnum_mux0001(3),
O => SSeg_hexnum_3_DYMUX_7157
);
SSeg_hexnum_3_CLKINV : X_BUF
generic map(
LOC => "SLICE_X67Y31",
PATHPULSE => 638 ps
)
port map (
I => SSeg_clk240hz_1793,
O => SSeg_hexnum_3_CLKINV_7147
);
SSeg_Mmux_hexnum_mux00018298 : X_LUT4
generic map(
INIT => X"AA00",
LOC => "SLICE_X67Y31"
)
port map (
ADR0 => SSeg_pos(1),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => SSeg_Mmux_hexnum_mux00018290,
O => SSeg_hexnum_mux0001(3)
);
SSeg_AN_OUT_1_DXMUX : X_BUF
generic map(
LOC => "SLICE_X67Y61",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mrom_AN_OUT_mux00011,
O => SSeg_AN_OUT_1_DXMUX_7191
);
SSeg_AN_OUT_1_DYMUX : X_BUF
generic map(
LOC => "SLICE_X67Y61",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mrom_AN_OUT_mux0001,
O => SSeg_AN_OUT_1_DYMUX_7178
);
SSeg_AN_OUT_1_CLKINV : X_BUF
generic map(
LOC => "SLICE_X67Y61",
PATHPULSE => 638 ps
)
port map (
I => SSeg_clk240hz_1793,
O => SSeg_AN_OUT_1_CLKINV_7168
);
SSeg_Mrom_AN_OUT_mux000111 : X_LUT4
generic map(
INIT => X"0FFF",
LOC => "SLICE_X67Y61"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => SSeg_pos(0),
ADR3 => SSeg_pos(1),
O => SSeg_Mrom_AN_OUT_mux0001
);
SSeg_AN_OUT_3_DXMUX : X_BUF
generic map(
LOC => "SLICE_X66Y81",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mrom_AN_OUT_mux00013,
O => SSeg_AN_OUT_3_DXMUX_7225
);
SSeg_AN_OUT_3_DYMUX : X_BUF
generic map(
LOC => "SLICE_X66Y81",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mrom_AN_OUT_mux00012,
O => SSeg_AN_OUT_3_DYMUX_7212
);
SSeg_AN_OUT_3_CLKINV : X_BUF
generic map(
LOC => "SLICE_X66Y81",
PATHPULSE => 638 ps
)
port map (
I => SSeg_clk240hz_1793,
O => SSeg_AN_OUT_3_CLKINV_7202
);
SSeg_Mrom_AN_OUT_mux000121 : X_LUT4
generic map(
INIT => X"CCFF",
LOC => "SLICE_X66Y81"
)
port map (
ADR0 => VCC,
ADR1 => SSeg_pos(1),
ADR2 => VCC,
ADR3 => SSeg_pos(0),
O => SSeg_Mrom_AN_OUT_mux00012
);
SSeg_count240hz_11_DXMUX : X_BUF
generic map(
LOC => "SLICE_X32Y18",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_eqn_11,
O => SSeg_count240hz_11_DXMUX_7259
);
SSeg_count240hz_11_DYMUX : X_BUF
generic map(
LOC => "SLICE_X32Y18",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_eqn_10,
O => SSeg_count240hz_11_DYMUX_7246
);
SSeg_count240hz_11_CLKINV : X_BUF
generic map(
LOC => "SLICE_X32Y18",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => SSeg_count240hz_11_CLKINV_7236
);
SSeg_Mcount_count240hz_eqn_101 : X_LUT4
generic map(
INIT => X"0F00",
LOC => "SLICE_X32Y18"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => SSeg_count240hz_cmp_eq0000,
ADR3 => SSeg_Result(10),
O => SSeg_Mcount_count240hz_eqn_10
);
SSeg_count240hz_13_DXMUX : X_BUF
generic map(
LOC => "SLICE_X32Y20",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_eqn_13,
O => SSeg_count240hz_13_DXMUX_7293
);
SSeg_count240hz_13_DYMUX : X_BUF
generic map(
LOC => "SLICE_X32Y20",
PATHPULSE => 638 ps
)
port map (
I => SSeg_Mcount_count240hz_eqn_12,
O => SSeg_count240hz_13_DYMUX_7280
);
SSeg_count240hz_13_CLKINV : X_BUF
generic map(
LOC => "SLICE_X32Y20",
PATHPULSE => 638 ps
)
port map (
I => CLK_BUFGP,
O => SSeg_count240hz_13_CLKINV_7270
);
SSeg_Mcount_count240hz_eqn_121 : X_LUT4
generic map(
INIT => X"0C0C",
LOC => "SLICE_X32Y20"
)
port map (
ADR0 => VCC,
ADR1 => SSeg_Result(12),
ADR2 => SSeg_count240hz_cmp_eq0000,
ADR3 => VCC,
O => SSeg_Mcount_count240hz_eqn_12
);
SSeg_count240hz_14 : X_FF
generic map(
LOC => "SLICE_X32Y21",
INIT => '0'
)
port map (
I => SSeg_count240hz_15_DYMUX_2072,
CE => VCC,
CLK => SSeg_count240hz_15_CLKINV_2062,
SET => GND,
RST => GND,
O => SSeg_count240hz(14)
);
SSeg_Mcount_count240hz_eqn_151 : X_LUT4
generic map(
INIT => X"00AA",
LOC => "SLICE_X32Y21"
)
port map (
ADR0 => SSeg_Result(15),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => SSeg_count240hz_cmp_eq0000,
O => SSeg_Mcount_count240hz_eqn_15
);
SSeg_count240hz_15 : X_FF
generic map(
LOC => "SLICE_X32Y21",
INIT => '0'
)
port map (
I => SSeg_count240hz_15_DXMUX_2085,
CE => VCC,
CLK => SSeg_count240hz_15_CLKINV_2062,
SET => GND,
RST => GND,
O => SSeg_count240hz(15)
);
SSeg_count240hz_16 : X_FF
generic map(
LOC => "SLICE_X35Y22",
INIT => '0'
)
port map (
I => SSeg_count240hz_16_DYMUX_2103,
CE => VCC,
CLK => SSeg_count240hz_16_CLKINV_2093,
SET => GND,
RST => GND,
O => SSeg_count240hz(16)
);
SSeg_Mmux_hexnum_mux0001845 : X_LUT4
generic map(
INIT => X"FEFA",
LOC => "SLICE_X67Y26"
)
port map (
ADR0 => SSeg_Mmux_hexnum_mux000186_0,
ADR1 => Load_Store_Unit_reg(3),
ADR2 => SSeg_Mmux_hexnum_mux0001834_1814,
ADR3 => N31,
O => SSeg_Mmux_hexnum_mux0001845_2782
);
SSeg_Mmux_hexnum_mux00014256 : X_LUT4
generic map(
INIT => X"EAC0",
LOC => "SLICE_X66Y20"
)
port map (
ADR0 => N24,
ADR1 => N22,
ADR2 => UXCntl_Unit_VALA(3),
ADR3 => UXCntl_Unit_VALA(2),
O => SSeg_Mmux_hexnum_mux00014256_2806
);
SSeg_Mmux_hexnum_mux00016215_SW0 : X_LUT4
generic map(
INIT => X"47FF",
LOC => "SLICE_X66Y21"
)
port map (
ADR0 => UXCntl_Unit_VALA(3),
ADR1 => UXCntl_Unit_VALB(0),
ADR2 => UXCntl_Unit_VALA(4),
ADR3 => UXCntl_Unit_VALB(1),
O => N78
);
SSeg_Mmux_hexnum_mux0001878 : X_LUT4
generic map(
INIT => X"CC88",
LOC => "SLICE_X66Y22"
)
port map (
ADR0 => SSeg_Mmux_hexnum_mux0001860_0,
ADR1 => N23,
ADR2 => VCC,
ADR3 => SSeg_Mmux_hexnum_mux0001866_1823,
O => SSeg_Mmux_hexnum_mux0001878_2854
);
SSeg_Mmux_hexnum_mux00018240_SW0 : X_LUT4
generic map(
INIT => X"01CD",
LOC => "SLICE_X64Y24"
)
port map (
ADR0 => UXCntl_Unit_VALB(7),
ADR1 => UXCntl_Unit_OPCODE(2),
ADR2 => UXCntl_Unit_VALA(7),
ADR3 => SSeg_Mmux_hexnum_mux00018194,
O => N80
);
SSeg_Mmux_hexnum_mux00012263 : X_LUT4
generic map(
INIT => X"FCFC",
LOC => "SLICE_X64Y29"
)
port map (
ADR0 => VCC,
ADR1 => SSeg_Mmux_hexnum_mux00012181_0,
ADR2 => SSeg_Mmux_hexnum_mux00012253_1829,
ADR3 => VCC,
O => SSeg_Mmux_hexnum_mux00012263_2902
);
SSeg_Mmux_hexnum_mux00012229 : X_LUT4
generic map(
INIT => X"FEEE",
LOC => "SLICE_X66Y24"
)
port map (
ADR0 => SSeg_Mmux_hexnum_mux00012205_0,
ADR1 => SSeg_Mmux_hexnum_mux00012226_0,
ADR2 => UXCntl_Unit_OPCODE(2),
ADR3 => SSeg_Mmux_hexnum_mux00012196_1833,
O => SSeg_Mmux_hexnum_mux00012229_2926
);
UXCntl_Unit_VALB_2 : X_LATCHE
generic map(
LOC => "SLICE_X64Y22",
INIT => '0'
)
port map (
I => UXCntl_Unit_VALB_3_DYMUX_2372,
GE => VCC,
CLK => NlwInverterSignal_UXCntl_Unit_VALB_2_CLK,
SET => GND,
RST => GND,
O => UXCntl_Unit_VALB(2)
);
UXCntl_Unit_VALB_3 : X_LATCHE
generic map(
LOC => "SLICE_X64Y22",
INIT => '0'
)
port map (
I => UXCntl_Unit_VALB_3_DXMUX_2377,
GE => VCC,
CLK => NlwInverterSignal_UXCntl_Unit_VALB_3_CLK,
SET => GND,
RST => GND,
O => UXCntl_Unit_VALB(3)
);
UXCntl_Unit_VALA_6 : X_LATCHE
generic map(
LOC => "SLICE_X65Y18",
INIT => '0'
)
port map (
I => UXCntl_Unit_VALA_7_DYMUX_2388,
GE => VCC,
CLK => NlwInverterSignal_UXCntl_Unit_VALA_6_CLK,
SET => GND,
RST => GND,
O => UXCntl_Unit_VALA(6)
);
UXCntl_Unit_VALA_7 : X_LATCHE
generic map(
LOC => "SLICE_X65Y18",
INIT => '0'
)
port map (
I => UXCntl_Unit_VALA_7_DXMUX_2393,
GE => VCC,
CLK => NlwInverterSignal_UXCntl_Unit_VALA_7_CLK,
SET => GND,
RST => GND,
O => UXCntl_Unit_VALA(7)
);
UXCntl_Unit_VALB_4 : X_LATCHE
generic map(
LOC => "SLICE_X64Y20",
INIT => '0'
)
port map (
I => UXCntl_Unit_VALB_5_DYMUX_2404,
GE => VCC,
CLK => NlwInverterSignal_UXCntl_Unit_VALB_4_CLK,
SET => GND,
RST => GND,
O => UXCntl_Unit_VALB(4)
);
UXCntl_Unit_VALB_5 : X_LATCHE
generic map(
LOC => "SLICE_X64Y20",
INIT => '0'
)
port map (
I => UXCntl_Unit_VALB_5_DXMUX_2409,
GE => VCC,
CLK => NlwInverterSignal_UXCntl_Unit_VALB_5_CLK,
SET => GND,
RST => GND,
O => UXCntl_Unit_VALB(5)
);
UXCntl_Unit_VALB_6 : X_LATCHE
generic map(
LOC => "SLICE_X65Y21",
INIT => '0'
)
port map (
I => UXCntl_Unit_VALB_7_DYMUX_2420,
GE => VCC,
CLK => NlwInverterSignal_UXCntl_Unit_VALB_6_CLK,
SET => GND,
RST => GND,
O => UXCntl_Unit_VALB(6)
);
UXCntl_Unit_VALB_7 : X_LATCHE
generic map(
LOC => "SLICE_X65Y21",
INIT => '0'
)
port map (
I => UXCntl_Unit_VALB_7_DXMUX_2425,
GE => VCC,
CLK => NlwInverterSignal_UXCntl_Unit_VALB_7_CLK,
SET => GND,
RST => GND,
O => UXCntl_Unit_VALB(7)
);
Load_Store_Unit_w_en_cmp_eq00001 : X_LUT4
generic map(
INIT => X"1000",
LOC => "SLICE_X64Y30"
)
port map (
ADR0 => UXCntl_Unit_OPCODE(0),
ADR1 => UXCntl_Unit_OPCODE(2),
ADR2 => UXCntl_Unit_OPCODE(3),
ADR3 => UXCntl_Unit_OPCODE(1),
O => Load_Store_Unit_w_en
);
SSeg_Mrom_segnum11 : X_LUT4
generic map(
INIT => X"4086",
LOC => "SLICE_X67Y48"
)
port map (
ADR0 => SSeg_hexnum(2),
ADR1 => SSeg_hexnum(0),
ADR2 => SSeg_hexnum(3),
ADR3 => SSeg_hexnum(1),
O => SEG_0_OBUF_2474
);
BTN_2_OUTPUT_not00011 : X_LUT4
generic map(
INIT => X"C030",
LOC => "SLICE_X64Y68"
)
port map (
ADR0 => VCC,
ADR1 => BTN_2_D_STATE(1),
ADR2 => BTN_2_Count(20),
ADR3 => BTN_2_D_STATE(0),
O => BTN_2_OUTPUT_not0001
);
UXCntl_Unit_OPCODE_0 : X_LATCHE
generic map(
LOC => "SLICE_X64Y39",
INIT => '0'
)
port map (
I => UXCntl_Unit_OPCODE_1_DYMUX_2508,
GE => VCC,
CLK => NlwInverterSignal_UXCntl_Unit_OPCODE_0_CLK,
SET => GND,
RST => GND,
O => UXCntl_Unit_OPCODE(0)
);
UXCntl_Unit_OPCODE_1 : X_LATCHE
generic map(
LOC => "SLICE_X64Y39",
INIT => '0'
)
port map (
I => UXCntl_Unit_OPCODE_1_DXMUX_2513,
GE => VCC,
CLK => NlwInverterSignal_UXCntl_Unit_OPCODE_1_CLK,
SET => GND,
RST => GND,
O => UXCntl_Unit_OPCODE(1)
);
UXCntl_Unit_OPCODE_2 : X_LATCHE
generic map(
LOC => "SLICE_X65Y36",
INIT => '0'
)
port map (
I => UXCntl_Unit_OPCODE_3_DYMUX_2524,
GE => VCC,
CLK => NlwInverterSignal_UXCntl_Unit_OPCODE_2_CLK,
SET => GND,
RST => GND,
O => UXCntl_Unit_OPCODE(2)
);
UXCntl_Unit_OPCODE_3 : X_LATCHE
generic map(
LOC => "SLICE_X65Y36",
INIT => '0'
)
port map (
I => UXCntl_Unit_OPCODE_3_DXMUX_2529,
GE => VCC,
CLK => NlwInverterSignal_UXCntl_Unit_OPCODE_3_CLK,
SET => GND,
RST => GND,
O => UXCntl_Unit_OPCODE(3)
);
SSeg_Mmux_hexnum_mux00014201 : X_LUT4
generic map(
INIT => X"F800",
LOC => "SLICE_X66Y30"
)
port map (
ADR0 => N28,
ADR1 => UXCntl_Unit_VALB(5),
ADR2 => N21,
ADR3 => UXCntl_Unit_VALA(5),
O => SSeg_Mmux_hexnum_mux00014201_2554
);
SSeg_Mmux_hexnum_mux0001422 : X_LUT4
generic map(
INIT => X"3232",
LOC => "SLICE_X66Y36"
)
port map (
ADR0 => UXCntl_Unit_VALA(1),
ADR1 => UXCntl_Unit_OPCODE(2),
ADR2 => UXCntl_Unit_VALB(1),
ADR3 => VCC,
O => SSeg_Mmux_hexnum_mux0001422_2578
);
SSeg_Mmux_hexnum_mux0001476 : X_LUT4
generic map(
INIT => X"E4E4",
LOC => "SLICE_X67Y30"
)
port map (
ADR0 => UXCntl_Unit_VALB(2),
ADR1 => UXCntl_Unit_VALA(2),
ADR2 => UXCntl_Unit_VALA(6),
ADR3 => VCC,
O => SSeg_Mmux_hexnum_mux0001476_2602
);
SSeg_Mmux_hexnum_mux00016157 : X_LUT4
generic map(
INIT => X"F800",
LOC => "SLICE_X66Y26"
)
port map (
ADR0 => N28,
ADR1 => UXCntl_Unit_VALB(6),
ADR2 => N21,
ADR3 => UXCntl_Unit_VALA(6),
O => SSeg_Mmux_hexnum_mux00016157_2626
);
SSeg_count240hz_0 : X_FF
generic map(
LOC => "SLICE_X35Y15",
INIT => '0'
)
port map (
I => SSeg_count240hz_1_DYMUX_2124,
CE => VCC,
CLK => SSeg_count240hz_1_CLKINV_2114,
SET => GND,
RST => GND,
O => SSeg_count240hz(0)
);
SSeg_Mcount_count240hz_eqn_17 : X_LUT4
generic map(
INIT => X"0A0A",
LOC => "SLICE_X35Y15"
)
port map (
ADR0 => SSeg_Result(1),
ADR1 => VCC,
ADR2 => SSeg_count240hz_cmp_eq0000,
ADR3 => VCC,
O => SSeg_Mcount_count240hz_eqn_1
);
SSeg_count240hz_1 : X_FF
generic map(
LOC => "SLICE_X35Y15",
INIT => '0'
)
port map (
I => SSeg_count240hz_1_DXMUX_2137,
CE => VCC,
CLK => SSeg_count240hz_1_CLKINV_2114,
SET => GND,
RST => GND,
O => SSeg_count240hz(1)
);
SSeg_count240hz_2 : X_FF
generic map(
LOC => "SLICE_X35Y14",
INIT => '0'
)
port map (
I => SSeg_count240hz_3_DYMUX_2158,
CE => VCC,
CLK => SSeg_count240hz_3_CLKINV_2148,
SET => GND,
RST => GND,
O => SSeg_count240hz(2)
);
SSeg_Mcount_count240hz_eqn_31 : X_LUT4
generic map(
INIT => X"0A0A",
LOC => "SLICE_X35Y14"
)
port map (
ADR0 => SSeg_Result(3),
ADR1 => VCC,
ADR2 => SSeg_count240hz_cmp_eq0000,
ADR3 => VCC,
O => SSeg_Mcount_count240hz_eqn_3
);
SSeg_count240hz_3 : X_FF
generic map(
LOC => "SLICE_X35Y14",
INIT => '0'
)
port map (
I => SSeg_count240hz_3_DXMUX_2171,
CE => VCC,
CLK => SSeg_count240hz_3_CLKINV_2148,
SET => GND,
RST => GND,
O => SSeg_count240hz(3)
);
SSeg_count240hz_4 : X_FF
generic map(
LOC => "SLICE_X34Y19",
INIT => '0'
)
port map (
I => SSeg_count240hz_5_DYMUX_2192,
CE => VCC,
CLK => SSeg_count240hz_5_CLKINV_2182,
SET => GND,
RST => GND,
O => SSeg_count240hz(4)
);
SSeg_Mcount_count240hz_eqn_51 : X_LUT4
generic map(
INIT => X"3300",
LOC => "SLICE_X34Y19"
)
port map (
ADR0 => VCC,
ADR1 => SSeg_count240hz_cmp_eq0000,
ADR2 => VCC,
ADR3 => SSeg_Result(5),
O => SSeg_Mcount_count240hz_eqn_5
);
SSeg_count240hz_5 : X_FF
generic map(
LOC => "SLICE_X34Y19",
INIT => '0'
)
port map (
I => SSeg_count240hz_5_DXMUX_2205,
CE => VCC,
CLK => SSeg_count240hz_5_CLKINV_2182,
SET => GND,
RST => GND,
O => SSeg_count240hz(5)
);
SSeg_count240hz_6 : X_FF
generic map(
LOC => "SLICE_X35Y17",
INIT => '0'
)
port map (
I => SSeg_count240hz_7_DYMUX_2226,
CE => VCC,
CLK => SSeg_count240hz_7_CLKINV_2216,
SET => GND,
RST => GND,
O => SSeg_count240hz(6)
);
SSeg_Mcount_count240hz_eqn_71 : X_LUT4
generic map(
INIT => X"00CC",
LOC => "SLICE_X35Y17"
)
port map (
ADR0 => VCC,
ADR1 => SSeg_Result(7),
ADR2 => VCC,
ADR3 => SSeg_count240hz_cmp_eq0000,
O => SSeg_Mcount_count240hz_eqn_7
);
SSeg_count240hz_7 : X_FF
generic map(
LOC => "SLICE_X35Y17",
INIT => '0'
)
port map (
I => SSeg_count240hz_7_DXMUX_2239,
CE => VCC,
CLK => SSeg_count240hz_7_CLKINV_2216,
SET => GND,
RST => GND,
O => SSeg_count240hz(7)
);
SSeg_count240hz_8 : X_FF
generic map(
LOC => "SLICE_X32Y19",
INIT => '0'
)
port map (
I => SSeg_count240hz_9_DYMUX_2260,
CE => VCC,
CLK => SSeg_count240hz_9_CLKINV_2250,
SET => GND,
RST => GND,
O => SSeg_count240hz(8)
);
SSeg_Mcount_count240hz_eqn_91 : X_LUT4
generic map(
INIT => X"0C0C",
LOC => "SLICE_X32Y19"
)
port map (
ADR0 => VCC,
ADR1 => SSeg_Result(9),
ADR2 => SSeg_count240hz_cmp_eq0000,
ADR3 => VCC,
O => SSeg_Mcount_count240hz_eqn_9
);
SSeg_count240hz_9 : X_FF
generic map(
LOC => "SLICE_X32Y19",
INIT => '0'
)
port map (
I => SSeg_count240hz_9_DXMUX_2273,
CE => VCC,
CLK => SSeg_count240hz_9_CLKINV_2250,
SET => GND,
RST => GND,
O => SSeg_count240hz(9)
);
ALU_Mux_ALU_OUT_5_131 : X_LUT4
generic map(
INIT => X"000C",
LOC => "SLICE_X67Y23"
)
port map (
ADR0 => VCC,
ADR1 => UXCntl_Unit_VALB(2),
ADR2 => UXCntl_Unit_VALB(1),
ADR3 => UXCntl_Unit_VALB(0),
O => N27
);
UXCntl_Unit_VALA_0 : X_LATCHE
generic map(
LOC => "SLICE_X65Y39",
INIT => '0'
)
port map (
I => UXCntl_Unit_VALA_1_DYMUX_2308,
GE => VCC,
CLK => NlwInverterSignal_UXCntl_Unit_VALA_0_CLK,
SET => GND,
RST => GND,
O => UXCntl_Unit_VALA(0)
);
UXCntl_Unit_VALA_1 : X_LATCHE
generic map(
LOC => "SLICE_X65Y39",
INIT => '0'
)
port map (
I => UXCntl_Unit_VALA_1_DXMUX_2313,
GE => VCC,
CLK => NlwInverterSignal_UXCntl_Unit_VALA_1_CLK,
SET => GND,
RST => GND,
O => UXCntl_Unit_VALA(1)
);
UXCntl_Unit_VALA_2 : X_LATCHE
generic map(
LOC => "SLICE_X65Y23",
INIT => '0'
)
port map (
I => UXCntl_Unit_VALA_3_DYMUX_2324,
GE => VCC,
CLK => NlwInverterSignal_UXCntl_Unit_VALA_2_CLK,
SET => GND,
RST => GND,
O => UXCntl_Unit_VALA(2)
);
UXCntl_Unit_VALA_3 : X_LATCHE
generic map(
LOC => "SLICE_X65Y23",
INIT => '0'
)
port map (
I => UXCntl_Unit_VALA_3_DXMUX_2329,
GE => VCC,
CLK => NlwInverterSignal_UXCntl_Unit_VALA_3_CLK,
SET => GND,
RST => GND,
O => UXCntl_Unit_VALA(3)
);
UXCntl_Unit_VALB_0 : X_LATCHE
generic map(
LOC => "SLICE_X65Y38",
INIT => '0'
)
port map (
I => UXCntl_Unit_VALB_1_DYMUX_2340,
GE => VCC,
CLK => NlwInverterSignal_UXCntl_Unit_VALB_0_CLK,
SET => GND,
RST => GND,
O => UXCntl_Unit_VALB(0)
);
UXCntl_Unit_VALB_1 : X_LATCHE
generic map(
LOC => "SLICE_X65Y38",
INIT => '0'
)
port map (
I => UXCntl_Unit_VALB_1_DXMUX_2345,
GE => VCC,
CLK => NlwInverterSignal_UXCntl_Unit_VALB_1_CLK,
SET => GND,
RST => GND,
O => UXCntl_Unit_VALB(1)
);
UXCntl_Unit_VALA_4 : X_LATCHE
generic map(
LOC => "SLICE_X65Y19",
INIT => '0'
)
port map (
I => UXCntl_Unit_VALA_5_DYMUX_2356,
GE => VCC,
CLK => NlwInverterSignal_UXCntl_Unit_VALA_4_CLK,
SET => GND,
RST => GND,
O => UXCntl_Unit_VALA(4)
);
UXCntl_Unit_VALA_5 : X_LATCHE
generic map(
LOC => "SLICE_X65Y19",
INIT => '0'
)
port map (
I => UXCntl_Unit_VALA_5_DXMUX_2361,
GE => VCC,
CLK => NlwInverterSignal_UXCntl_Unit_VALA_5_CLK,
SET => GND,
RST => GND,
O => UXCntl_Unit_VALA(5)
);
UXCntl_Unit_OPCODE_cmp_eq00001 : X_LUT4
generic map(
INIT => X"0002",
LOC => "SLICE_X54Y52"
)
port map (
ADR0 => BTN_1_OUTPUT_1792,
ADR1 => BTN_3_OUTPUT_1790,
ADR2 => BTN_2_OUTPUT_1791,
ADR3 => BTN_0_OUTPUT_1789,
O => UXCntl_Unit_OPCODE_cmp_eq0000
);
SSeg_clk240hz : X_FF
generic map(
LOC => "SLICE_X34Y22",
INIT => '0'
)
port map (
I => SSeg_clk240hz_DYMUX_2660,
CE => SSeg_clk240hz_CEINV_2657,
CLK => SSeg_clk240hz_CLKINV_2658,
SET => GND,
RST => GND,
O => SSeg_clk240hz_1793
);
SSeg_Mmux_hexnum_mux0001222 : X_LUT4
generic map(
INIT => X"FEFA",
LOC => "SLICE_X66Y37"
)
port map (
ADR0 => SSeg_Mmux_hexnum_mux000126_0,
ADR1 => N31,
ADR2 => SSeg_Mmux_hexnum_mux0001219_1796,
ADR3 => Load_Store_Unit_reg(0),
O => SSeg_Mmux_hexnum_mux0001222_2686
);
SSeg_Mmux_hexnum_mux0001285_SW0 : X_LUT4
generic map(
INIT => X"0C00",
LOC => "SLICE_X67Y34"
)
port map (
ADR0 => VCC,
ADR1 => UXCntl_Unit_VALB(1),
ADR2 => UXCntl_Unit_VALB(2),
ADR3 => UXCntl_Unit_VALA(3),
O => N82
);
SSeg_Mmux_hexnum_mux00012111 : X_LUT4
generic map(
INIT => X"ECA0",
LOC => "SLICE_X67Y35"
)
port map (
ADR0 => N30,
ADR1 => N23,
ADR2 => arith(0),
ADR3 => SSeg_Mmux_hexnum_mux0001285_1805,
O => SSeg_Mmux_hexnum_mux00012111_2734
);
SSeg_Mmux_hexnum_mux0001655 : X_LUT4
generic map(
INIT => X"FEFC",
LOC => "SLICE_X64Y31"
)
port map (
ADR0 => Load_Store_Unit_reg(2),
ADR1 => SSeg_Mmux_hexnum_mux000166_0,
ADR2 => SSeg_Mmux_hexnum_mux0001643_1810,
ADR3 => N31,
O => SSeg_Mmux_hexnum_mux0001655_2758
);
SSeg_Mmux_hexnum_mux00018143 : X_LUT4
generic map(
INIT => X"88C0",
LOC => "SLICE_X65Y24"
)
port map (
ADR0 => UXCntl_Unit_VALA(1),
ADR1 => UXCntl_Unit_VALB(1),
ADR2 => UXCntl_Unit_VALA(5),
ADR3 => UXCntl_Unit_VALB(2),
O => SSeg_Mmux_hexnum_mux00018143_2950
);
SSeg_Mmux_hexnum_mux00016330_SW0 : X_LUT4
generic map(
INIT => X"FFEC",
LOC => "SLICE_X67Y27"
)
port map (
ADR0 => N30,
ADR1 => SSeg_Mmux_hexnum_mux0001655_0,
ADR2 => arith(2),
ADR3 => SSeg_Mmux_hexnum_mux00016107_1838,
O => N62
);
BTN_0_Count_and00001 : X_LUT4
generic map(
INIT => X"33CC",
LOC => "SLICE_X66Y84"
)
port map (
ADR0 => VCC,
ADR1 => BTN_0_D_STATE(0),
ADR2 => VCC,
ADR3 => BTN_0_D_STATE(1),
O => BTN_0_Count_and0000
);
SSeg_Mmux_hexnum_mux00018120 : X_LUT4
generic map(
INIT => X"CC00",
LOC => "SLICE_X66Y32"
)
port map (
ADR0 => VCC,
ADR1 => N31,
ADR2 => VCC,
ADR3 => Load_Store_Unit_reg(7),
O => SSeg_Mmux_hexnum_mux00018120_3022
);
SSeg_Mmux_hexnum_mux00016289 : X_LUT4
generic map(
INIT => X"EAC0",
LOC => "SLICE_X64Y28"
)
port map (
ADR0 => N26_0,
ADR1 => arith(6),
ADR2 => N30,
ADR3 => SSeg_Mmux_hexnum_mux00016263_1853,
O => SSeg_Mmux_hexnum_mux00016289_3046
);
ALU_Mux_ALU_OUT_0_71 : X_LUT4
generic map(
INIT => X"0808",
LOC => "SLICE_X64Y26"
)
port map (
ADR0 => UXCntl_Unit_OPCODE(1),
ADR1 => UXCntl_Unit_OPCODE(0),
ADR2 => UXCntl_Unit_OPCODE(3),
ADR3 => VCC,
O => N26
);
SSeg_Mmux_hexnum_mux00018252 : X_LUT4
generic map(
INIT => X"FAF8",
LOC => "SLICE_X64Y25"
)
port map (
ADR0 => UXCntl_Unit_VALA(7),
ADR1 => N21,
ADR2 => SSeg_Mmux_hexnum_mux00018240_1857,
ADR3 => SSeg_Mmux_hexnum_mux00018124_0,
O => SSeg_Mmux_hexnum_mux00018252_3094
);
BTN_1_Count_15 : X_SFF
generic map(
LOC => "SLICE_X67Y77",
INIT => '0'
)
port map (
I => BTN_1_Count_14_DYMUX_4153,
CE => BTN_1_Count_14_CEINVNOT,
CLK => BTN_1_Count_14_CLKINV_4131,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_1_Count_14_SRINV_4132,
O => BTN_1_Count(15)
);
BTN_1_Count_14 : X_SFF
generic map(
LOC => "SLICE_X67Y77",
INIT => '0'
)
port map (
I => BTN_1_Count_14_DXMUX_4171,
CE => BTN_1_Count_14_CEINVNOT,
CLK => BTN_1_Count_14_CLKINV_4131,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_1_Count_14_SRINV_4132,
O => BTN_1_Count(14)
);
BTN_1_Count_17 : X_SFF
generic map(
LOC => "SLICE_X67Y78",
INIT => '0'
)
port map (
I => BTN_1_Count_16_DYMUX_4209,
CE => BTN_1_Count_16_CEINVNOT,
CLK => BTN_1_Count_16_CLKINV_4187,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_1_Count_16_SRINV_4188,
O => BTN_1_Count(17)
);
BTN_1_Count_16 : X_SFF
generic map(
LOC => "SLICE_X67Y78",
INIT => '0'
)
port map (
I => BTN_1_Count_16_DXMUX_4227,
CE => BTN_1_Count_16_CEINVNOT,
CLK => BTN_1_Count_16_CLKINV_4187,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_1_Count_16_SRINV_4188,
O => BTN_1_Count(16)
);
BTN_3_OUTPUT_not00011 : X_LUT4
generic map(
INIT => X"A00A",
LOC => "SLICE_X53Y60"
)
port map (
ADR0 => BTN_3_Count(20),
ADR1 => VCC,
ADR2 => BTN_3_D_STATE(0),
ADR3 => BTN_3_D_STATE(1),
O => BTN_3_OUTPUT_not0001
);
SSeg_DP_OUT : X_FF
generic map(
LOC => "SLICE_X66Y39",
INIT => '1'
)
port map (
I => SSeg_DP_OUT_DYMUX_3126,
CE => VCC,
CLK => SSeg_DP_OUT_CLKINV_3124,
SET => GND,
RST => GND,
O => SSeg_DP_OUT_1865
);
SSeg_Mmux_hexnum_mux0001643_SW0 : X_LUT4
generic map(
INIT => X"FA11",
LOC => "SLICE_X65Y30"
)
port map (
ADR0 => UXCntl_Unit_VALB(2),
ADR1 => UXCntl_Unit_VALA(2),
ADR2 => N92,
ADR3 => UXCntl_Unit_OPCODE(2),
O => N88
);
Load_Store_Unit_reg_0 : X_FF
generic map(
LOC => "SLICE_X67Y36",
INIT => '0'
)
port map (
I => Load_Store_Unit_reg_1_DYMUX_3163,
CE => Load_Store_Unit_reg_1_CEINV_3160,
CLK => Load_Store_Unit_reg_1_CLKINV_3161,
SET => GND,
RST => GND,
O => Load_Store_Unit_reg(0)
);
Load_Store_Unit_reg_1 : X_FF
generic map(
LOC => "SLICE_X67Y36",
INIT => '0'
)
port map (
I => Load_Store_Unit_reg_1_DXMUX_3169,
CE => Load_Store_Unit_reg_1_CEINV_3160,
CLK => Load_Store_Unit_reg_1_CLKINV_3161,
SET => GND,
RST => GND,
O => Load_Store_Unit_reg(1)
);
Load_Store_Unit_reg_2 : X_FF
generic map(
LOC => "SLICE_X65Y31",
INIT => '0'
)
port map (
I => Load_Store_Unit_reg_3_DYMUX_3183,
CE => Load_Store_Unit_reg_3_CEINV_3180,
CLK => Load_Store_Unit_reg_3_CLKINV_3181,
SET => GND,
RST => GND,
O => Load_Store_Unit_reg(2)
);
Load_Store_Unit_reg_3 : X_FF
generic map(
LOC => "SLICE_X65Y31",
INIT => '0'
)
port map (
I => Load_Store_Unit_reg_3_DXMUX_3189,
CE => Load_Store_Unit_reg_3_CEINV_3180,
CLK => Load_Store_Unit_reg_3_CLKINV_3181,
SET => GND,
RST => GND,
O => Load_Store_Unit_reg(3)
);
Load_Store_Unit_reg_4 : X_FF
generic map(
LOC => "SLICE_X64Y32",
INIT => '0'
)
port map (
I => Load_Store_Unit_reg_5_DYMUX_3203,
CE => Load_Store_Unit_reg_5_CEINV_3200,
CLK => Load_Store_Unit_reg_5_CLKINV_3201,
SET => GND,
RST => GND,
O => Load_Store_Unit_reg(4)
);
BTN_1_OUTPUT_not00011 : X_LUT4
generic map(
INIT => X"8844",
LOC => "SLICE_X65Y80"
)
port map (
ADR0 => BTN_1_D_STATE(0),
ADR1 => BTN_1_Count(20),
ADR2 => VCC,
ADR3 => BTN_1_D_STATE(1),
O => BTN_1_OUTPUT_not0001
);
SSeg_Mmux_hexnum_mux00012226 : X_LUT4
generic map(
INIT => X"B080",
LOC => "SLICE_X66Y25"
)
port map (
ADR0 => UXCntl_Unit_VALA(1),
ADR1 => UXCntl_Unit_VALB(1),
ADR2 => N25,
ADR3 => UXCntl_Unit_VALA(3),
O => SSeg_Mmux_hexnum_mux00012226_3512
);
SSeg_Mmux_hexnum_mux0001825 : X_LUT4
generic map(
INIT => X"A000",
LOC => "SLICE_X66Y23"
)
port map (
ADR0 => UXCntl_Unit_VALA(1),
ADR1 => VCC,
ADR2 => N22,
ADR3 => UXCntl_Unit_OPCODE(2),
O => SSeg_Mmux_hexnum_mux0001825_3536
);
SSeg_Mmux_hexnum_mux0001669 : X_LUT4
generic map(
INIT => X"F888",
LOC => "SLICE_X67Y21"
)
port map (
ADR0 => N22,
ADR1 => UXCntl_Unit_VALA(4),
ADR2 => UXCntl_Unit_VALA(5),
ADR3 => N24,
O => SSeg_Mmux_hexnum_mux0001669_3560
);
SSeg_Mmux_hexnum_mux00018187 : X_LUT4
generic map(
INIT => X"D1C0",
LOC => "SLICE_X65Y22"
)
port map (
ADR0 => UXCntl_Unit_VALB(1),
ADR1 => UXCntl_Unit_VALB(2),
ADR2 => N12,
ADR3 => UXCntl_Unit_VALA(6),
O => SSeg_Mmux_hexnum_mux00018187_3584
);
SSeg_Mmux_hexnum_mux00014135 : X_LUT4
generic map(
INIT => X"ECA0",
LOC => "SLICE_X66Y29"
)
port map (
ADR0 => SSeg_Mmux_hexnum_mux00014107,
ADR1 => N30,
ADR2 => N23,
ADR3 => arith(1),
O => SSeg_Mmux_hexnum_mux00014135_3608
);
Load_Store_Unit_reg_5 : X_FF
generic map(
LOC => "SLICE_X64Y32",
INIT => '0'
)
port map (
I => Load_Store_Unit_reg_5_DXMUX_3209,
CE => Load_Store_Unit_reg_5_CEINV_3200,
CLK => Load_Store_Unit_reg_5_CLKINV_3201,
SET => GND,
RST => GND,
O => Load_Store_Unit_reg(5)
);
Load_Store_Unit_reg_6 : X_FF
generic map(
LOC => "SLICE_X67Y32",
INIT => '0'
)
port map (
I => Load_Store_Unit_reg_7_DYMUX_3223,
CE => Load_Store_Unit_reg_7_CEINV_3220,
CLK => Load_Store_Unit_reg_7_CLKINV_3221,
SET => GND,
RST => GND,
O => Load_Store_Unit_reg(6)
);
Load_Store_Unit_reg_7 : X_FF
generic map(
LOC => "SLICE_X67Y32",
INIT => '0'
)
port map (
I => Load_Store_Unit_reg_7_DXMUX_3229,
CE => Load_Store_Unit_reg_7_CEINV_3220,
CLK => Load_Store_Unit_reg_7_CLKINV_3221,
SET => GND,
RST => GND,
O => Load_Store_Unit_reg(7)
);
BTN_0_D_STATE_0 : X_FF
generic map(
LOC => "SLICE_X67Y85",
INIT => '0'
)
port map (
I => BTN_0_D_STATE_1_DYMUX_3241,
CE => VCC,
CLK => BTN_0_D_STATE_1_CLKINV_3239,
SET => GND,
RST => GND,
O => BTN_0_D_STATE(0)
);
BTN_0_D_STATE_1 : X_FF
generic map(
LOC => "SLICE_X67Y85",
INIT => '0'
)
port map (
I => BTN_0_D_STATE_1_DXMUX_3246,
CE => VCC,
CLK => BTN_0_D_STATE_1_CLKINV_3239,
SET => GND,
RST => GND,
O => BTN_0_D_STATE(1)
);
SSeg_Mmux_hexnum_mux00014147 : X_LUT4
generic map(
INIT => X"FEF0",
LOC => "SLICE_X67Y37"
)
port map (
ADR0 => SSeg_Mmux_hexnum_mux0001417_0,
ADR1 => SSeg_Mmux_hexnum_mux0001422_0,
ADR2 => N74,
ADR3 => N26_0,
O => SSeg_Mmux_hexnum_mux00014147_3271
);
SSeg_Mmux_hexnum_mux00014346 : X_LUT4
generic map(
INIT => X"FFF8",
LOC => "SLICE_X66Y27"
)
port map (
ADR0 => Load_Store_Unit_reg(5),
ADR1 => N31,
ADR2 => N76,
ADR3 => SSeg_Mmux_hexnum_mux00014201_0,
O => SSeg_Mmux_hexnum_mux00014346_3295
);
SSeg_Mrom_segnum31 : X_LUT4
generic map(
INIT => X"8924",
LOC => "SLICE_X67Y63"
)
port map (
ADR0 => SSeg_hexnum(1),
ADR1 => SSeg_hexnum(0),
ADR2 => SSeg_hexnum(3),
ADR3 => SSeg_hexnum(2),
O => SEG_3_OBUF_3319
);
SSeg_Mrom_segnum51 : X_LUT4
generic map(
INIT => X"0D84",
LOC => "SLICE_X67Y52"
)
port map (
ADR0 => SSeg_hexnum(2),
ADR1 => SSeg_hexnum(0),
ADR2 => SSeg_hexnum(3),
ADR3 => SSeg_hexnum(1),
O => SEG_5_OBUF_3343
);
BTN_1_D_STATE_0 : X_FF
generic map(
LOC => "SLICE_X64Y80",
INIT => '0'
)
port map (
I => BTN_1_D_STATE_1_DYMUX_3365,
CE => VCC,
CLK => BTN_1_D_STATE_1_CLKINV_3363,
SET => GND,
RST => GND,
O => BTN_1_D_STATE(0)
);
BTN_1_D_STATE_1 : X_FF
generic map(
LOC => "SLICE_X64Y80",
INIT => '0'
)
port map (
I => BTN_1_D_STATE_1_DXMUX_3370,
CE => VCC,
CLK => BTN_1_D_STATE_1_CLKINV_3363,
SET => GND,
RST => GND,
O => BTN_1_D_STATE(1)
);
BTN_2_D_STATE_0 : X_FF
generic map(
LOC => "SLICE_X64Y69",
INIT => '0'
)
port map (
I => BTN_2_D_STATE_1_DYMUX_3393,
CE => VCC,
CLK => BTN_2_D_STATE_1_CLKINV_3391,
SET => GND,
RST => GND,
O => BTN_2_D_STATE(0)
);
BTN_2_D_STATE_1 : X_FF
generic map(
LOC => "SLICE_X64Y69",
INIT => '0'
)
port map (
I => BTN_2_D_STATE_1_DXMUX_3398,
CE => VCC,
CLK => BTN_2_D_STATE_1_CLKINV_3391,
SET => GND,
RST => GND,
O => BTN_2_D_STATE(1)
);
BTN_3_D_STATE_0 : X_FF
generic map(
LOC => "SLICE_X52Y60",
INIT => '0'
)
port map (
I => BTN_3_D_STATE_1_DYMUX_3409,
CE => VCC,
CLK => BTN_3_D_STATE_1_CLKINV_3407,
SET => GND,
RST => GND,
O => BTN_3_D_STATE(0)
);
BTN_3_D_STATE_1 : X_FF
generic map(
LOC => "SLICE_X52Y60",
INIT => '0'
)
port map (
I => BTN_3_D_STATE_1_DXMUX_3414,
CE => VCC,
CLK => BTN_3_D_STATE_1_CLKINV_3407,
SET => GND,
RST => GND,
O => BTN_3_D_STATE(1)
);
SSeg_pos_1 : X_FF
generic map(
LOC => "SLICE_X67Y39",
INIT => '0'
)
port map (
I => SSeg_pos_0_DYMUX_3434,
CE => VCC,
CLK => SSeg_pos_0_CLKINV_3424,
SET => GND,
RST => GND,
O => SSeg_pos(1)
);
SSeg_pos_0 : X_FF
generic map(
LOC => "SLICE_X67Y39",
INIT => '0'
)
port map (
I => SSeg_pos_0_DXMUX_3439,
CE => VCC,
CLK => SSeg_pos_0_CLKINV_3424,
SET => GND,
RST => GND,
O => SSeg_pos(0)
);
SSeg_Mmux_hexnum_mux00012181 : X_LUT4
generic map(
INIT => X"FFEC",
LOC => "SLICE_X65Y32"
)
port map (
ADR0 => Load_Store_Unit_reg(4),
ADR1 => SSeg_Mmux_hexnum_mux00012171,
ADR2 => N31,
ADR3 => SSeg_Mmux_hexnum_mux00012151_0,
O => SSeg_Mmux_hexnum_mux00012181_3464
);
SSeg_Mmux_hexnum_mux00012151 : X_LUT4
generic map(
INIT => X"C888",
LOC => "SLICE_X64Y27"
)
port map (
ADR0 => N21,
ADR1 => UXCntl_Unit_VALA(4),
ADR2 => N28,
ADR3 => UXCntl_Unit_VALB(4),
O => SSeg_Mmux_hexnum_mux00012151_3632
);
SSeg_Mmux_hexnum_mux00014346_SW0_SW0 : X_LUT4
generic map(
INIT => X"ECA0",
LOC => "SLICE_X67Y33"
)
port map (
ADR0 => arith(5),
ADR1 => SSeg_Mmux_hexnum_mux00014312,
ADR2 => N30,
ADR3 => N26_0,
O => N90
);
SSeg_Mmux_hexnum_mux0001278 : X_LUT4
generic map(
INIT => X"CE02",
LOC => "SLICE_X66Y34"
)
port map (
ADR0 => UXCntl_Unit_VALA(1),
ADR1 => UXCntl_Unit_VALB(2),
ADR2 => UXCntl_Unit_VALB(1),
ADR3 => N11,
O => SSeg_Mmux_hexnum_mux0001278_3680
);
BTN_0_OUTPUT : X_FF
generic map(
LOC => "SLICE_X66Y85",
INIT => '0'
)
port map (
I => BTN_0_OUTPUT_DYMUX_3690,
CE => BTN_0_OUTPUT_CEINV_3687,
CLK => BTN_0_OUTPUT_CLKINV_3688,
SET => GND,
RST => GND,
O => BTN_0_OUTPUT_1789
);
BTN_1_Count_1 : X_SFF
generic map(
LOC => "SLICE_X67Y70",
INIT => '0'
)
port map (
I => BTN_1_Count_0_DYMUX_3722,
CE => BTN_1_Count_0_CEINVNOT,
CLK => BTN_1_Count_0_CLKINV_3705,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_1_Count_0_SRINV_3706,
O => BTN_1_Count(1)
);
BTN_1_Mcount_Count_lut_0_INV_0 : X_LUT4
generic map(
INIT => X"5555",
LOC => "SLICE_X67Y70"
)
port map (
ADR0 => BTN_1_Count(0),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_1_Mcount_Count_lut(0)
);
BTN_1_Count_0 : X_SFF
generic map(
LOC => "SLICE_X67Y70",
INIT => '0'
)
port map (
I => BTN_1_Count_0_DXMUX_3743,
CE => BTN_1_Count_0_CEINVNOT,
CLK => BTN_1_Count_0_CLKINV_3705,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_1_Count_0_SRINV_3706,
O => BTN_1_Count(0)
);
BTN_1_Count_4 : X_SFF
generic map(
LOC => "SLICE_X67Y72",
INIT => '0'
)
port map (
I => BTN_1_Count_4_DXMUX_3879,
CE => BTN_1_Count_4_CEINVNOT,
CLK => BTN_1_Count_4_CLKINV_3839,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_1_Count_4_SRINV_3840,
O => BTN_1_Count(4)
);
BTN_3_OUTPUT : X_FF
generic map(
LOC => "SLICE_X52Y61",
INIT => '0'
)
port map (
I => BTN_3_OUTPUT_DYMUX_3892,
CE => BTN_3_OUTPUT_CEINV_3889,
CLK => BTN_3_OUTPUT_CLKINV_3890,
SET => GND,
RST => GND,
O => BTN_3_OUTPUT_1790
);
BTN_1_Count_7 : X_SFF
generic map(
LOC => "SLICE_X67Y73",
INIT => '0'
)
port map (
I => BTN_1_Count_6_DYMUX_3929,
CE => BTN_1_Count_6_CEINVNOT,
CLK => BTN_1_Count_6_CLKINV_3907,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_1_Count_6_SRINV_3908,
O => BTN_1_Count(7)
);
BTN_1_Count_6 : X_SFF
generic map(
LOC => "SLICE_X67Y73",
INIT => '0'
)
port map (
I => BTN_1_Count_6_DXMUX_3947,
CE => BTN_1_Count_6_CEINVNOT,
CLK => BTN_1_Count_6_CLKINV_3907,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_1_Count_6_SRINV_3908,
O => BTN_1_Count(6)
);
BTN_1_Count_9 : X_SFF
generic map(
LOC => "SLICE_X67Y74",
INIT => '0'
)
port map (
I => BTN_1_Count_8_DYMUX_3985,
CE => BTN_1_Count_8_CEINVNOT,
CLK => BTN_1_Count_8_CLKINV_3963,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_1_Count_8_SRINV_3964,
O => BTN_1_Count(9)
);
BTN_1_OUTPUT : X_FF
generic map(
LOC => "SLICE_X64Y81",
INIT => '0'
)
port map (
I => BTN_1_OUTPUT_DYMUX_3756,
CE => BTN_1_OUTPUT_CEINV_3753,
CLK => BTN_1_OUTPUT_CLKINV_3754,
SET => GND,
RST => GND,
O => BTN_1_OUTPUT_1792
);
BTN_1_Count_3 : X_SFF
generic map(
LOC => "SLICE_X67Y71",
INIT => '0'
)
port map (
I => BTN_1_Count_2_DYMUX_3793,
CE => BTN_1_Count_2_CEINVNOT,
CLK => BTN_1_Count_2_CLKINV_3771,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_1_Count_2_SRINV_3772,
O => BTN_1_Count(3)
);
BTN_1_Count_2 : X_SFF
generic map(
LOC => "SLICE_X67Y71",
INIT => '0'
)
port map (
I => BTN_1_Count_2_DXMUX_3811,
CE => BTN_1_Count_2_CEINVNOT,
CLK => BTN_1_Count_2_CLKINV_3771,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_1_Count_2_SRINV_3772,
O => BTN_1_Count(2)
);
BTN_2_OUTPUT : X_FF
generic map(
LOC => "SLICE_X64Y66",
INIT => '0'
)
port map (
I => BTN_2_OUTPUT_DYMUX_3824,
CE => BTN_2_OUTPUT_CEINV_3821,
CLK => BTN_2_OUTPUT_CLKINV_3822,
SET => GND,
RST => GND,
O => BTN_2_OUTPUT_1791
);
BTN_1_Count_5 : X_SFF
generic map(
LOC => "SLICE_X67Y72",
INIT => '0'
)
port map (
I => BTN_1_Count_4_DYMUX_3861,
CE => BTN_1_Count_4_CEINVNOT,
CLK => BTN_1_Count_4_CLKINV_3839,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_1_Count_4_SRINV_3840,
O => BTN_1_Count(5)
);
BTN_3_Count_11 : X_SFF
generic map(
LOC => "SLICE_X53Y66",
INIT => '0'
)
port map (
I => BTN_3_Count_10_DYMUX_6258,
CE => BTN_3_Count_10_CEINVNOT,
CLK => BTN_3_Count_10_CLKINV_6236,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_3_Count_10_SRINV_6237,
O => BTN_3_Count(11)
);
BTN_3_Count_10 : X_SFF
generic map(
LOC => "SLICE_X53Y66",
INIT => '0'
)
port map (
I => BTN_3_Count_10_DXMUX_6276,
CE => BTN_3_Count_10_CEINVNOT,
CLK => BTN_3_Count_10_CLKINV_6236,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_3_Count_10_SRINV_6237,
O => BTN_3_Count(10)
);
BTN_3_Count_13 : X_SFF
generic map(
LOC => "SLICE_X53Y67",
INIT => '0'
)
port map (
I => BTN_3_Count_12_DYMUX_6314,
CE => BTN_3_Count_12_CEINVNOT,
CLK => BTN_3_Count_12_CLKINV_6292,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_3_Count_12_SRINV_6293,
O => BTN_3_Count(13)
);
BTN_3_Count_12 : X_SFF
generic map(
LOC => "SLICE_X53Y67",
INIT => '0'
)
port map (
I => BTN_3_Count_12_DXMUX_6332,
CE => BTN_3_Count_12_CEINVNOT,
CLK => BTN_3_Count_12_CLKINV_6292,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_3_Count_12_SRINV_6293,
O => BTN_3_Count(12)
);
BTN_1_Count_8 : X_SFF
generic map(
LOC => "SLICE_X67Y74",
INIT => '0'
)
port map (
I => BTN_1_Count_8_DXMUX_4003,
CE => BTN_1_Count_8_CEINVNOT,
CLK => BTN_1_Count_8_CLKINV_3963,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_1_Count_8_SRINV_3964,
O => BTN_1_Count(8)
);
BTN_1_Count_11 : X_SFF
generic map(
LOC => "SLICE_X67Y75",
INIT => '0'
)
port map (
I => BTN_1_Count_10_DYMUX_4041,
CE => BTN_1_Count_10_CEINVNOT,
CLK => BTN_1_Count_10_CLKINV_4019,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_1_Count_10_SRINV_4020,
O => BTN_1_Count(11)
);
BTN_1_Count_10 : X_SFF
generic map(
LOC => "SLICE_X67Y75",
INIT => '0'
)
port map (
I => BTN_1_Count_10_DXMUX_4059,
CE => BTN_1_Count_10_CEINVNOT,
CLK => BTN_1_Count_10_CLKINV_4019,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_1_Count_10_SRINV_4020,
O => BTN_1_Count(10)
);
BTN_1_Count_13 : X_SFF
generic map(
LOC => "SLICE_X67Y76",
INIT => '0'
)
port map (
I => BTN_1_Count_12_DYMUX_4097,
CE => BTN_1_Count_12_CEINVNOT,
CLK => BTN_1_Count_12_CLKINV_4075,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_1_Count_12_SRINV_4076,
O => BTN_1_Count(13)
);
BTN_1_Count_12 : X_SFF
generic map(
LOC => "SLICE_X67Y76",
INIT => '0'
)
port map (
I => BTN_1_Count_12_DXMUX_4115,
CE => BTN_1_Count_12_CEINVNOT,
CLK => BTN_1_Count_12_CLKINV_4075,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_1_Count_12_SRINV_4076,
O => BTN_1_Count(12)
);
BTN_1_Count_19 : X_SFF
generic map(
LOC => "SLICE_X67Y79",
INIT => '0'
)
port map (
I => BTN_1_Count_18_DYMUX_4265,
CE => BTN_1_Count_18_CEINVNOT,
CLK => BTN_1_Count_18_CLKINV_4243,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_1_Count_18_SRINV_4244,
O => BTN_1_Count(19)
);
BTN_1_Count_18 : X_SFF
generic map(
LOC => "SLICE_X67Y79",
INIT => '0'
)
port map (
I => BTN_1_Count_18_DXMUX_4283,
CE => BTN_1_Count_18_CEINVNOT,
CLK => BTN_1_Count_18_CLKINV_4243,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_1_Count_18_SRINV_4244,
O => BTN_1_Count(18)
);
BTN_1_Count_20_rt : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X67Y80"
)
port map (
ADR0 => BTN_1_Count(20),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_1_Count_20_rt_4305
);
BTN_1_Count_20 : X_SFF
generic map(
LOC => "SLICE_X67Y80",
INIT => '0'
)
port map (
I => BTN_1_Count_20_DXMUX_4310,
CE => BTN_1_Count_20_CEINVNOT,
CLK => BTN_1_Count_20_CLKINV_4296,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_1_Count_20_SRINV_4297,
O => BTN_1_Count(20)
);
Arith_Unit_Maddsub_arith_lut_0_Q : X_LUT4
generic map(
INIT => X"9966",
LOC => "SLICE_X65Y26"
)
port map (
ADR0 => UXCntl_Unit_VALB(0),
ADR1 => UXCntl_Unit_VALA(0),
ADR2 => VCC,
ADR3 => Arith_Unit_arith_cmp_eq0000_0,
O => Arith_Unit_Maddsub_arith_lut(0)
);
Arith_Unit_Maddsub_arith_lut_2_Q : X_LUT4
generic map(
INIT => X"9966",
LOC => "SLICE_X65Y27"
)
port map (
ADR0 => UXCntl_Unit_VALA(2),
ADR1 => UXCntl_Unit_VALB(2),
ADR2 => VCC,
ADR3 => Arith_Unit_arith_cmp_eq0000_0,
O => Arith_Unit_Maddsub_arith_lut(2)
);
Arith_Unit_Maddsub_arith_lut_4_Q : X_LUT4
generic map(
INIT => X"9696",
LOC => "SLICE_X65Y28"
)
port map (
ADR0 => UXCntl_Unit_VALB(4),
ADR1 => UXCntl_Unit_VALA(4),
ADR2 => Arith_Unit_arith_cmp_eq0000_0,
ADR3 => VCC,
O => Arith_Unit_Maddsub_arith_lut(4)
);
Arith_Unit_Maddsub_arith_lut_6_Q : X_LUT4
generic map(
INIT => X"9696",
LOC => "SLICE_X65Y29"
)
port map (
ADR0 => UXCntl_Unit_VALA(6),
ADR1 => UXCntl_Unit_VALB(6),
ADR2 => Arith_Unit_arith_cmp_eq0000_0,
ADR3 => VCC,
O => Arith_Unit_Maddsub_arith_lut(6)
);
BTN_0_Count_1 : X_SFF
generic map(
LOC => "SLICE_X65Y81",
INIT => '0'
)
port map (
I => BTN_0_Count_0_DYMUX_4488,
CE => BTN_0_Count_0_CEINVNOT,
CLK => BTN_0_Count_0_CLKINV_4471,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_0_Count_0_SRINV_4472,
O => BTN_0_Count(1)
);
BTN_0_Count_8 : X_SFF
generic map(
LOC => "SLICE_X65Y85",
INIT => '0'
)
port map (
I => BTN_0_Count_8_DXMUX_4733,
CE => BTN_0_Count_8_CEINVNOT,
CLK => BTN_0_Count_8_CLKINV_4693,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_0_Count_8_SRINV_4694,
O => BTN_0_Count(8)
);
BTN_0_Count_11 : X_SFF
generic map(
LOC => "SLICE_X65Y86",
INIT => '0'
)
port map (
I => BTN_0_Count_10_DYMUX_4771,
CE => BTN_0_Count_10_CEINVNOT,
CLK => BTN_0_Count_10_CLKINV_4749,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_0_Count_10_SRINV_4750,
O => BTN_0_Count(11)
);
BTN_0_Count_10 : X_SFF
generic map(
LOC => "SLICE_X65Y86",
INIT => '0'
)
port map (
I => BTN_0_Count_10_DXMUX_4789,
CE => BTN_0_Count_10_CEINVNOT,
CLK => BTN_0_Count_10_CLKINV_4749,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_0_Count_10_SRINV_4750,
O => BTN_0_Count(10)
);
BTN_0_Count_13 : X_SFF
generic map(
LOC => "SLICE_X65Y87",
INIT => '0'
)
port map (
I => BTN_0_Count_12_DYMUX_4827,
CE => BTN_0_Count_12_CEINVNOT,
CLK => BTN_0_Count_12_CLKINV_4805,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_0_Count_12_SRINV_4806,
O => BTN_0_Count(13)
);
BTN_0_Count_12 : X_SFF
generic map(
LOC => "SLICE_X65Y87",
INIT => '0'
)
port map (
I => BTN_0_Count_12_DXMUX_4845,
CE => BTN_0_Count_12_CEINVNOT,
CLK => BTN_0_Count_12_CLKINV_4805,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_0_Count_12_SRINV_4806,
O => BTN_0_Count(12)
);
BTN_0_Count_4 : X_SFF
generic map(
LOC => "SLICE_X65Y83",
INIT => '0'
)
port map (
I => BTN_0_Count_4_DXMUX_4621,
CE => BTN_0_Count_4_CEINVNOT,
CLK => BTN_0_Count_4_CLKINV_4581,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_0_Count_4_SRINV_4582,
O => BTN_0_Count(4)
);
BTN_0_Count_7 : X_SFF
generic map(
LOC => "SLICE_X65Y84",
INIT => '0'
)
port map (
I => BTN_0_Count_6_DYMUX_4659,
CE => BTN_0_Count_6_CEINVNOT,
CLK => BTN_0_Count_6_CLKINV_4637,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_0_Count_6_SRINV_4638,
O => BTN_0_Count(7)
);
BTN_0_Count_6 : X_SFF
generic map(
LOC => "SLICE_X65Y84",
INIT => '0'
)
port map (
I => BTN_0_Count_6_DXMUX_4677,
CE => BTN_0_Count_6_CEINVNOT,
CLK => BTN_0_Count_6_CLKINV_4637,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_0_Count_6_SRINV_4638,
O => BTN_0_Count(6)
);
BTN_0_Count_9 : X_SFF
generic map(
LOC => "SLICE_X65Y85",
INIT => '0'
)
port map (
I => BTN_0_Count_8_DYMUX_4715,
CE => BTN_0_Count_8_CEINVNOT,
CLK => BTN_0_Count_8_CLKINV_4693,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_0_Count_8_SRINV_4694,
O => BTN_0_Count(9)
);
BTN_0_Mcount_Count_lut_0_INV_0 : X_LUT4
generic map(
INIT => X"5555",
LOC => "SLICE_X65Y81"
)
port map (
ADR0 => BTN_0_Count(0),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_0_Mcount_Count_lut(0)
);
BTN_0_Count_0 : X_SFF
generic map(
LOC => "SLICE_X65Y81",
INIT => '0'
)
port map (
I => BTN_0_Count_0_DXMUX_4509,
CE => BTN_0_Count_0_CEINVNOT,
CLK => BTN_0_Count_0_CLKINV_4471,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_0_Count_0_SRINV_4472,
O => BTN_0_Count(0)
);
BTN_0_Count_3 : X_SFF
generic map(
LOC => "SLICE_X65Y82",
INIT => '0'
)
port map (
I => BTN_0_Count_2_DYMUX_4547,
CE => BTN_0_Count_2_CEINVNOT,
CLK => BTN_0_Count_2_CLKINV_4525,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_0_Count_2_SRINV_4526,
O => BTN_0_Count(3)
);
BTN_0_Count_2 : X_SFF
generic map(
LOC => "SLICE_X65Y82",
INIT => '0'
)
port map (
I => BTN_0_Count_2_DXMUX_4565,
CE => BTN_0_Count_2_CEINVNOT,
CLK => BTN_0_Count_2_CLKINV_4525,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_0_Count_2_SRINV_4526,
O => BTN_0_Count(2)
);
BTN_0_Count_5 : X_SFF
generic map(
LOC => "SLICE_X65Y83",
INIT => '0'
)
port map (
I => BTN_0_Count_4_DYMUX_4603,
CE => BTN_0_Count_4_CEINVNOT,
CLK => BTN_0_Count_4_CLKINV_4581,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_0_Count_4_SRINV_4582,
O => BTN_0_Count(5)
);
BTN_2_Count_5 : X_SFF
generic map(
LOC => "SLICE_X65Y69",
INIT => '0'
)
port map (
I => BTN_2_Count_4_DYMUX_5505,
CE => BTN_2_Count_4_CEINVNOT,
CLK => BTN_2_Count_4_CLKINV_5483,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_2_Count_4_SRINV_5484,
O => BTN_2_Count(5)
);
BTN_2_Count_4 : X_SFF
generic map(
LOC => "SLICE_X65Y69",
INIT => '0'
)
port map (
I => BTN_2_Count_4_DXMUX_5523,
CE => BTN_2_Count_4_CEINVNOT,
CLK => BTN_2_Count_4_CLKINV_5483,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_2_Count_4_SRINV_5484,
O => BTN_2_Count(4)
);
BTN_2_Count_7 : X_SFF
generic map(
LOC => "SLICE_X65Y70",
INIT => '0'
)
port map (
I => BTN_2_Count_6_DYMUX_5561,
CE => BTN_2_Count_6_CEINVNOT,
CLK => BTN_2_Count_6_CLKINV_5539,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_2_Count_6_SRINV_5540,
O => BTN_2_Count(7)
);
BTN_2_Count_6 : X_SFF
generic map(
LOC => "SLICE_X65Y70",
INIT => '0'
)
port map (
I => BTN_2_Count_6_DXMUX_5579,
CE => BTN_2_Count_6_CEINVNOT,
CLK => BTN_2_Count_6_CLKINV_5539,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_2_Count_6_SRINV_5540,
O => BTN_2_Count(6)
);
BTN_0_Count_18 : X_SFF
generic map(
LOC => "SLICE_X65Y90",
INIT => '0'
)
port map (
I => BTN_0_Count_18_DXMUX_5013,
CE => BTN_0_Count_18_CEINVNOT,
CLK => BTN_0_Count_18_CLKINV_4973,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_0_Count_18_SRINV_4974,
O => BTN_0_Count(18)
);
BTN_0_Count_20_rt : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X65Y91"
)
port map (
ADR0 => VCC,
ADR1 => BTN_0_Count(20),
ADR2 => VCC,
ADR3 => VCC,
O => BTN_0_Count_20_rt_5035
);
BTN_0_Count_20 : X_SFF
generic map(
LOC => "SLICE_X65Y91",
INIT => '0'
)
port map (
I => BTN_0_Count_20_DXMUX_5040,
CE => BTN_0_Count_20_CEINVNOT,
CLK => BTN_0_Count_20_CLKINV_5026,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_0_Count_20_SRINV_5027,
O => BTN_0_Count(20)
);
SSeg_Mcount_count240hz_lut_0_INV_0 : X_LUT4
generic map(
INIT => X"00FF",
LOC => "SLICE_X33Y14"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => SSeg_count240hz(0),
O => SSeg_Mcount_count240hz_lut(0)
);
BTN_0_Count_15 : X_SFF
generic map(
LOC => "SLICE_X65Y88",
INIT => '0'
)
port map (
I => BTN_0_Count_14_DYMUX_4883,
CE => BTN_0_Count_14_CEINVNOT,
CLK => BTN_0_Count_14_CLKINV_4861,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_0_Count_14_SRINV_4862,
O => BTN_0_Count(15)
);
BTN_0_Count_14 : X_SFF
generic map(
LOC => "SLICE_X65Y88",
INIT => '0'
)
port map (
I => BTN_0_Count_14_DXMUX_4901,
CE => BTN_0_Count_14_CEINVNOT,
CLK => BTN_0_Count_14_CLKINV_4861,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_0_Count_14_SRINV_4862,
O => BTN_0_Count(14)
);
BTN_0_Count_17 : X_SFF
generic map(
LOC => "SLICE_X65Y89",
INIT => '0'
)
port map (
I => BTN_0_Count_16_DYMUX_4939,
CE => BTN_0_Count_16_CEINVNOT,
CLK => BTN_0_Count_16_CLKINV_4917,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_0_Count_16_SRINV_4918,
O => BTN_0_Count(17)
);
BTN_0_Count_16 : X_SFF
generic map(
LOC => "SLICE_X65Y89",
INIT => '0'
)
port map (
I => BTN_0_Count_16_DXMUX_4957,
CE => BTN_0_Count_16_CEINVNOT,
CLK => BTN_0_Count_16_CLKINV_4917,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_0_Count_16_SRINV_4918,
O => BTN_0_Count(16)
);
BTN_0_Count_19 : X_SFF
generic map(
LOC => "SLICE_X65Y90",
INIT => '0'
)
port map (
I => BTN_0_Count_18_DYMUX_4995,
CE => BTN_0_Count_18_CEINVNOT,
CLK => BTN_0_Count_18_CLKINV_4973,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_0_Count_18_SRINV_4974,
O => BTN_0_Count(19)
);
BTN_2_Count_12 : X_SFF
generic map(
LOC => "SLICE_X65Y73",
INIT => '0'
)
port map (
I => BTN_2_Count_12_DXMUX_5747,
CE => BTN_2_Count_12_CEINVNOT,
CLK => BTN_2_Count_12_CLKINV_5707,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_2_Count_12_SRINV_5708,
O => BTN_2_Count(12)
);
BTN_2_Count_15 : X_SFF
generic map(
LOC => "SLICE_X65Y74",
INIT => '0'
)
port map (
I => BTN_2_Count_14_DYMUX_5785,
CE => BTN_2_Count_14_CEINVNOT,
CLK => BTN_2_Count_14_CLKINV_5763,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_2_Count_14_SRINV_5764,
O => BTN_2_Count(15)
);
BTN_2_Count_14 : X_SFF
generic map(
LOC => "SLICE_X65Y74",
INIT => '0'
)
port map (
I => BTN_2_Count_14_DXMUX_5803,
CE => BTN_2_Count_14_CEINVNOT,
CLK => BTN_2_Count_14_CLKINV_5763,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_2_Count_14_SRINV_5764,
O => BTN_2_Count(14)
);
BTN_2_Count_17 : X_SFF
generic map(
LOC => "SLICE_X65Y75",
INIT => '0'
)
port map (
I => BTN_2_Count_16_DYMUX_5841,
CE => BTN_2_Count_16_CEINVNOT,
CLK => BTN_2_Count_16_CLKINV_5819,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_2_Count_16_SRINV_5820,
O => BTN_2_Count(17)
);
BTN_2_Count_1 : X_SFF
generic map(
LOC => "SLICE_X65Y67",
INIT => '0'
)
port map (
I => BTN_2_Count_0_DYMUX_5390,
CE => BTN_2_Count_0_CEINVNOT,
CLK => BTN_2_Count_0_CLKINV_5373,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_2_Count_0_SRINV_5374,
O => BTN_2_Count(1)
);
BTN_2_Mcount_Count_lut_0_INV_0 : X_LUT4
generic map(
INIT => X"00FF",
LOC => "SLICE_X65Y67"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_2_Count(0),
O => BTN_2_Mcount_Count_lut(0)
);
BTN_2_Count_0 : X_SFF
generic map(
LOC => "SLICE_X65Y67",
INIT => '0'
)
port map (
I => BTN_2_Count_0_DXMUX_5411,
CE => BTN_2_Count_0_CEINVNOT,
CLK => BTN_2_Count_0_CLKINV_5373,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_2_Count_0_SRINV_5374,
O => BTN_2_Count(0)
);
BTN_2_Count_3 : X_SFF
generic map(
LOC => "SLICE_X65Y68",
INIT => '0'
)
port map (
I => BTN_2_Count_2_DYMUX_5449,
CE => BTN_2_Count_2_CEINVNOT,
CLK => BTN_2_Count_2_CLKINV_5427,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_2_Count_2_SRINV_5428,
O => BTN_2_Count(3)
);
BTN_2_Count_2 : X_SFF
generic map(
LOC => "SLICE_X65Y68",
INIT => '0'
)
port map (
I => BTN_2_Count_2_DXMUX_5467,
CE => BTN_2_Count_2_CEINVNOT,
CLK => BTN_2_Count_2_CLKINV_5427,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_2_Count_2_SRINV_5428,
O => BTN_2_Count(2)
);
BTN_3_Count_18 : X_SFF
generic map(
LOC => "SLICE_X53Y70",
INIT => '0'
)
port map (
I => BTN_3_Count_18_DXMUX_6500,
CE => BTN_3_Count_18_CEINVNOT,
CLK => BTN_3_Count_18_CLKINV_6460,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_3_Count_18_SRINV_6461,
O => BTN_3_Count(18)
);
BTN_3_Count_20_rt : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X53Y71"
)
port map (
ADR0 => VCC,
ADR1 => BTN_3_Count(20),
ADR2 => VCC,
ADR3 => VCC,
O => BTN_3_Count_20_rt_6522
);
BTN_3_Count_20 : X_SFF
generic map(
LOC => "SLICE_X53Y71",
INIT => '0'
)
port map (
I => BTN_3_Count_20_DXMUX_6527,
CE => BTN_3_Count_20_CEINVNOT,
CLK => BTN_3_Count_20_CLKINV_6513,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_3_Count_20_SRINV_6514,
O => BTN_3_Count(20)
);
SSeg_count240hz_cmp_eq0000_wg_lut_0_INV_0 : X_LUT4
generic map(
INIT => X"00FF",
LOC => "SLICE_X35Y18"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => SSeg_count240hz(4),
O => SSeg_count240hz_cmp_eq0000_wg_lut(0)
);
SSeg_count240hz_cmp_eq0000_wg_lut_2_Q : X_LUT4
generic map(
INIT => X"0800",
LOC => "SLICE_X35Y19"
)
port map (
ADR0 => SSeg_count240hz(9),
ADR1 => SSeg_count240hz(10),
ADR2 => SSeg_count240hz(8),
ADR3 => SSeg_count240hz(1),
O => SSeg_count240hz_cmp_eq0000_wg_lut(2)
);
BTN_2_Count_9 : X_SFF
generic map(
LOC => "SLICE_X65Y71",
INIT => '0'
)
port map (
I => BTN_2_Count_8_DYMUX_5617,
CE => BTN_2_Count_8_CEINVNOT,
CLK => BTN_2_Count_8_CLKINV_5595,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_2_Count_8_SRINV_5596,
O => BTN_2_Count(9)
);
BTN_2_Count_8 : X_SFF
generic map(
LOC => "SLICE_X65Y71",
INIT => '0'
)
port map (
I => BTN_2_Count_8_DXMUX_5635,
CE => BTN_2_Count_8_CEINVNOT,
CLK => BTN_2_Count_8_CLKINV_5595,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_2_Count_8_SRINV_5596,
O => BTN_2_Count(8)
);
BTN_2_Count_11 : X_SFF
generic map(
LOC => "SLICE_X65Y72",
INIT => '0'
)
port map (
I => BTN_2_Count_10_DYMUX_5673,
CE => BTN_2_Count_10_CEINVNOT,
CLK => BTN_2_Count_10_CLKINV_5651,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_2_Count_10_SRINV_5652,
O => BTN_2_Count(11)
);
BTN_2_Count_10 : X_SFF
generic map(
LOC => "SLICE_X65Y72",
INIT => '0'
)
port map (
I => BTN_2_Count_10_DXMUX_5691,
CE => BTN_2_Count_10_CEINVNOT,
CLK => BTN_2_Count_10_CLKINV_5651,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_2_Count_10_SRINV_5652,
O => BTN_2_Count(10)
);
BTN_2_Count_13 : X_SFF
generic map(
LOC => "SLICE_X65Y73",
INIT => '0'
)
port map (
I => BTN_2_Count_12_DYMUX_5729,
CE => BTN_2_Count_12_CEINVNOT,
CLK => BTN_2_Count_12_CLKINV_5707,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_2_Count_12_SRINV_5708,
O => BTN_2_Count(13)
);
BTN_2_Count_16 : X_SFF
generic map(
LOC => "SLICE_X65Y75",
INIT => '0'
)
port map (
I => BTN_2_Count_16_DXMUX_5859,
CE => BTN_2_Count_16_CEINVNOT,
CLK => BTN_2_Count_16_CLKINV_5819,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_2_Count_16_SRINV_5820,
O => BTN_2_Count(16)
);
BTN_2_Count_19 : X_SFF
generic map(
LOC => "SLICE_X65Y76",
INIT => '0'
)
port map (
I => BTN_2_Count_18_DYMUX_5897,
CE => BTN_2_Count_18_CEINVNOT,
CLK => BTN_2_Count_18_CLKINV_5875,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_2_Count_18_SRINV_5876,
O => BTN_2_Count(19)
);
BTN_2_Count_18 : X_SFF
generic map(
LOC => "SLICE_X65Y76",
INIT => '0'
)
port map (
I => BTN_2_Count_18_DXMUX_5915,
CE => BTN_2_Count_18_CEINVNOT,
CLK => BTN_2_Count_18_CLKINV_5875,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_2_Count_18_SRINV_5876,
O => BTN_2_Count(18)
);
BTN_2_Count_20_rt : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X65Y77"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_2_Count(20),
O => BTN_2_Count_20_rt_5937
);
BTN_2_Count_20 : X_SFF
generic map(
LOC => "SLICE_X65Y77",
INIT => '0'
)
port map (
I => BTN_2_Count_20_DXMUX_5942,
CE => BTN_2_Count_20_CEINVNOT,
CLK => BTN_2_Count_20_CLKINV_5928,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_2_Count_20_SRINV_5929,
O => BTN_2_Count(20)
);
BTN_3_Count_1 : X_SFF
generic map(
LOC => "SLICE_X53Y61",
INIT => '0'
)
port map (
I => BTN_3_Count_0_DYMUX_5975,
CE => BTN_3_Count_0_CEINVNOT,
CLK => BTN_3_Count_0_CLKINV_5958,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_3_Count_0_SRINV_5959,
O => BTN_3_Count(1)
);
BTN_3_Mcount_Count_lut_0_INV_0 : X_LUT4
generic map(
INIT => X"3333",
LOC => "SLICE_X53Y61"
)
port map (
ADR0 => VCC,
ADR1 => BTN_3_Count(0),
ADR2 => VCC,
ADR3 => VCC,
O => BTN_3_Mcount_Count_lut(0)
);
BTN_3_Count_0 : X_SFF
generic map(
LOC => "SLICE_X53Y61",
INIT => '0'
)
port map (
I => BTN_3_Count_0_DXMUX_5996,
CE => BTN_3_Count_0_CEINVNOT,
CLK => BTN_3_Count_0_CLKINV_5958,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_3_Count_0_SRINV_5959,
O => BTN_3_Count(0)
);
BTN_3_Count_3 : X_SFF
generic map(
LOC => "SLICE_X53Y62",
INIT => '0'
)
port map (
I => BTN_3_Count_2_DYMUX_6034,
CE => BTN_3_Count_2_CEINVNOT,
CLK => BTN_3_Count_2_CLKINV_6012,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_3_Count_2_SRINV_6013,
O => BTN_3_Count(3)
);
BTN_3_Count_2 : X_SFF
generic map(
LOC => "SLICE_X53Y62",
INIT => '0'
)
port map (
I => BTN_3_Count_2_DXMUX_6052,
CE => BTN_3_Count_2_CEINVNOT,
CLK => BTN_3_Count_2_CLKINV_6012,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_3_Count_2_SRINV_6013,
O => BTN_3_Count(2)
);
BTN_3_Count_5 : X_SFF
generic map(
LOC => "SLICE_X53Y63",
INIT => '0'
)
port map (
I => BTN_3_Count_4_DYMUX_6090,
CE => BTN_3_Count_4_CEINVNOT,
CLK => BTN_3_Count_4_CLKINV_6068,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_3_Count_4_SRINV_6069,
O => BTN_3_Count(5)
);
BTN_3_Count_4 : X_SFF
generic map(
LOC => "SLICE_X53Y63",
INIT => '0'
)
port map (
I => BTN_3_Count_4_DXMUX_6108,
CE => BTN_3_Count_4_CEINVNOT,
CLK => BTN_3_Count_4_CLKINV_6068,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_3_Count_4_SRINV_6069,
O => BTN_3_Count(4)
);
BTN_3_Count_7 : X_SFF
generic map(
LOC => "SLICE_X53Y64",
INIT => '0'
)
port map (
I => BTN_3_Count_6_DYMUX_6146,
CE => BTN_3_Count_6_CEINVNOT,
CLK => BTN_3_Count_6_CLKINV_6124,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_3_Count_6_SRINV_6125,
O => BTN_3_Count(7)
);
BTN_3_Count_6 : X_SFF
generic map(
LOC => "SLICE_X53Y64",
INIT => '0'
)
port map (
I => BTN_3_Count_6_DXMUX_6164,
CE => BTN_3_Count_6_CEINVNOT,
CLK => BTN_3_Count_6_CLKINV_6124,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_3_Count_6_SRINV_6125,
O => BTN_3_Count(6)
);
BTN_3_Count_9 : X_SFF
generic map(
LOC => "SLICE_X53Y65",
INIT => '0'
)
port map (
I => BTN_3_Count_8_DYMUX_6202,
CE => BTN_3_Count_8_CEINVNOT,
CLK => BTN_3_Count_8_CLKINV_6180,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_3_Count_8_SRINV_6181,
O => BTN_3_Count(9)
);
BTN_3_Count_8 : X_SFF
generic map(
LOC => "SLICE_X53Y65",
INIT => '0'
)
port map (
I => BTN_3_Count_8_DXMUX_6220,
CE => BTN_3_Count_8_CEINVNOT,
CLK => BTN_3_Count_8_CLKINV_6180,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_3_Count_8_SRINV_6181,
O => BTN_3_Count(8)
);
BTN_3_Count_15 : X_SFF
generic map(
LOC => "SLICE_X53Y68",
INIT => '0'
)
port map (
I => BTN_3_Count_14_DYMUX_6370,
CE => BTN_3_Count_14_CEINVNOT,
CLK => BTN_3_Count_14_CLKINV_6348,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_3_Count_14_SRINV_6349,
O => BTN_3_Count(15)
);
BTN_3_Count_14 : X_SFF
generic map(
LOC => "SLICE_X53Y68",
INIT => '0'
)
port map (
I => BTN_3_Count_14_DXMUX_6388,
CE => BTN_3_Count_14_CEINVNOT,
CLK => BTN_3_Count_14_CLKINV_6348,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_3_Count_14_SRINV_6349,
O => BTN_3_Count(14)
);
BTN_3_Count_17 : X_SFF
generic map(
LOC => "SLICE_X53Y69",
INIT => '0'
)
port map (
I => BTN_3_Count_16_DYMUX_6426,
CE => BTN_3_Count_16_CEINVNOT,
CLK => BTN_3_Count_16_CLKINV_6404,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_3_Count_16_SRINV_6405,
O => BTN_3_Count(17)
);
BTN_3_Count_16 : X_SFF
generic map(
LOC => "SLICE_X53Y69",
INIT => '0'
)
port map (
I => BTN_3_Count_16_DXMUX_6444,
CE => BTN_3_Count_16_CEINVNOT,
CLK => BTN_3_Count_16_CLKINV_6404,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_3_Count_16_SRINV_6405,
O => BTN_3_Count(16)
);
BTN_3_Count_19 : X_SFF
generic map(
LOC => "SLICE_X53Y70",
INIT => '0'
)
port map (
I => BTN_3_Count_18_DYMUX_6482,
CE => BTN_3_Count_18_CEINVNOT,
CLK => BTN_3_Count_18_CLKINV_6460,
SET => GND,
RST => GND,
SSET => GND,
SRST => BTN_3_Count_18_SRINV_6461,
O => BTN_3_Count(19)
);
SSeg_Mmux_hexnum_mux0001257_G : X_LUT4
generic map(
INIT => X"5410",
LOC => "SLICE_X66Y35"
)
port map (
ADR0 => UXCntl_Unit_VALB(0),
ADR1 => UXCntl_Unit_VALB(2),
ADR2 => UXCntl_Unit_VALA(2),
ADR3 => UXCntl_Unit_VALA(6),
O => N101
);
SSeg_Mmux_hexnum_mux0001828_G : X_LUT4
generic map(
INIT => X"FF08",
LOC => "SLICE_X64Y23"
)
port map (
ADR0 => UXCntl_Unit_VALB(0),
ADR1 => N12,
ADR2 => UXCntl_Unit_VALB(2),
ADR3 => SSeg_Mmux_hexnum_mux0001825_0,
O => N99
);
SSeg_Mmux_hexnum_mux0001686_G : X_LUT4
generic map(
INIT => X"4450",
LOC => "SLICE_X67Y25"
)
port map (
ADR0 => UXCntl_Unit_VALB(1),
ADR1 => UXCntl_Unit_VALA(7),
ADR2 => UXCntl_Unit_VALA(6),
ADR3 => UXCntl_Unit_VALB(0),
O => N109
);
SSeg_Mmux_hexnum_mux000121711 : X_LUT4
generic map(
INIT => X"3000",
LOC => "SLICE_X64Y34"
)
port map (
ADR0 => VCC,
ADR1 => UXCntl_Unit_VALB(2),
ADR2 => N23,
ADR3 => N11,
O => SSeg_Mmux_hexnum_mux000121711_6999
);
SSeg_Mmux_hexnum_mux000143121 : X_LUT4
generic map(
INIT => X"FFCD",
LOC => "SLICE_X67Y20"
)
port map (
ADR0 => UXCntl_Unit_VALB(1),
ADR1 => SSeg_Mmux_hexnum_mux00014272_0,
ADR2 => N94_0,
ADR3 => SSeg_Mmux_hexnum_mux00014256_0,
O => SSeg_Mmux_hexnum_mux000143121_7024
);
SSeg_Mrom_AN_OUT_mux0001111 : X_LUT4
generic map(
INIT => X"F0FF",
LOC => "SLICE_X67Y61"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => SSeg_pos(0),
ADR3 => SSeg_pos(1),
O => SSeg_Mrom_AN_OUT_mux00011
);
SSeg_AN_OUT_1 : X_FF
generic map(
LOC => "SLICE_X67Y61",
INIT => '0'
)
port map (
I => SSeg_AN_OUT_1_DXMUX_7191,
CE => VCC,
CLK => SSeg_AN_OUT_1_CLKINV_7168,
SET => GND,
RST => GND,
O => SSeg_AN_OUT(1)
);
SSeg_AN_OUT_2 : X_FF
generic map(
LOC => "SLICE_X66Y81",
INIT => '0'
)
port map (
I => SSeg_AN_OUT_3_DYMUX_7212,
CE => VCC,
CLK => SSeg_AN_OUT_3_CLKINV_7202,
SET => GND,
RST => GND,
O => SSeg_AN_OUT(2)
);
SSeg_Mrom_AN_OUT_mux000131 : X_LUT4
generic map(
INIT => X"FFCC",
LOC => "SLICE_X66Y81"
)
port map (
ADR0 => VCC,
ADR1 => SSeg_pos(1),
ADR2 => VCC,
ADR3 => SSeg_pos(0),
O => SSeg_Mrom_AN_OUT_mux00013
);
SSeg_AN_OUT_3 : X_FF
generic map(
LOC => "SLICE_X66Y81",
INIT => '0'
)
port map (
I => SSeg_AN_OUT_3_DXMUX_7225,
CE => VCC,
CLK => SSeg_AN_OUT_3_CLKINV_7202,
SET => GND,
RST => GND,
O => SSeg_AN_OUT(3)
);
SSeg_count240hz_10 : X_FF
generic map(
LOC => "SLICE_X32Y18",
INIT => '0'
)
port map (
I => SSeg_count240hz_11_DYMUX_7246,
CE => VCC,
CLK => SSeg_count240hz_11_CLKINV_7236,
SET => GND,
RST => GND,
O => SSeg_count240hz(10)
);
SSeg_Mcount_count240hz_eqn_111 : X_LUT4
generic map(
INIT => X"0A0A",
LOC => "SLICE_X32Y18"
)
port map (
ADR0 => SSeg_Result(11),
ADR1 => VCC,
ADR2 => SSeg_count240hz_cmp_eq0000,
ADR3 => VCC,
O => SSeg_Mcount_count240hz_eqn_11
);
SSeg_count240hz_11 : X_FF
generic map(
LOC => "SLICE_X32Y18",
INIT => '0'
)
port map (
I => SSeg_count240hz_11_DXMUX_7259,
CE => VCC,
CLK => SSeg_count240hz_11_CLKINV_7236,
SET => GND,
RST => GND,
O => SSeg_count240hz(11)
);
SSeg_count240hz_12 : X_FF
generic map(
LOC => "SLICE_X32Y20",
INIT => '0'
)
port map (
I => SSeg_count240hz_13_DYMUX_7280,
CE => VCC,
CLK => SSeg_count240hz_13_CLKINV_7270,
SET => GND,
RST => GND,
O => SSeg_count240hz(12)
);
SSeg_Mcount_count240hz_eqn_131 : X_LUT4
generic map(
INIT => X"00CC",
LOC => "SLICE_X32Y20"
)
port map (
ADR0 => VCC,
ADR1 => SSeg_Result(13),
ADR2 => VCC,
ADR3 => SSeg_count240hz_cmp_eq0000,
O => SSeg_Mcount_count240hz_eqn_13
);
SSeg_count240hz_13 : X_FF
generic map(
LOC => "SLICE_X32Y20",
INIT => '0'
)
port map (
I => SSeg_count240hz_13_DXMUX_7293,
CE => VCC,
CLK => SSeg_count240hz_13_CLKINV_7270,
SET => GND,
RST => GND,
O => SSeg_count240hz(13)
);
SSeg_Mmux_hexnum_mux00012300 : X_LUT4
generic map(
INIT => X"AA00",
LOC => "SLICE_X67Y29"
)
port map (
ADR0 => SSeg_pos(1),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => SSeg_Mmux_hexnum_mux00012292_O,
O => SSeg_hexnum_mux0001(0)
);
SSeg_hexnum_0 : X_FF
generic map(
LOC => "SLICE_X67Y29",
INIT => '0'
)
port map (
I => SSeg_hexnum_0_DXMUX_7079,
CE => VCC,
CLK => SSeg_hexnum_0_CLKINV_7062,
SET => GND,
RST => GND,
O => SSeg_hexnum(0)
);
SSeg_Mmux_hexnum_mux00014384 : X_LUT4
generic map(
INIT => X"F808",
LOC => "SLICE_X66Y38"
)
port map (
ADR0 => SSeg_pos(1),
ADR1 => SSeg_Mmux_hexnum_mux00014346_0,
ADR2 => SSeg_pos(0),
ADR3 => SSeg_Mmux_hexnum_mux00014384_SW0_O,
O => SSeg_hexnum_mux0001(1)
);
SSeg_hexnum_1 : X_FF
generic map(
LOC => "SLICE_X66Y38",
INIT => '0'
)
port map (
I => SSeg_hexnum_1_DXMUX_7109,
CE => VCC,
CLK => SSeg_hexnum_1_CLKINV_7093,
SET => GND,
RST => GND,
O => SSeg_hexnum(1)
);
SSeg_Mmux_hexnum_mux00016338 : X_LUT4
generic map(
INIT => X"CC00",
LOC => "SLICE_X66Y28"
)
port map (
ADR0 => VCC,
ADR1 => SSeg_pos(1),
ADR2 => VCC,
ADR3 => SSeg_Mmux_hexnum_mux00016330_O,
O => SSeg_hexnum_mux0001(2)
);
SSeg_hexnum_2 : X_FF
generic map(
LOC => "SLICE_X66Y28",
INIT => '0'
)
port map (
I => SSeg_hexnum_2_DXMUX_7139,
CE => VCC,
CLK => SSeg_hexnum_2_CLKINV_7122,
SET => GND,
RST => GND,
O => SSeg_hexnum(2)
);
SSeg_hexnum_3 : X_FF
generic map(
LOC => "SLICE_X67Y31",
INIT => '0'
)
port map (
I => SSeg_hexnum_3_DYMUX_7157,
CE => VCC,
CLK => SSeg_hexnum_3_CLKINV_7147,
SET => GND,
RST => GND,
O => SSeg_hexnum(3)
);
SSeg_AN_OUT_0 : X_FF
generic map(
LOC => "SLICE_X67Y61",
INIT => '0'
)
port map (
I => SSeg_AN_OUT_1_DYMUX_7178,
CE => VCC,
CLK => SSeg_AN_OUT_1_CLKINV_7168,
SET => GND,
RST => GND,
O => SSeg_AN_OUT(0)
);
BTN_1_Count_0_G_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X67Y70"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_1_Count(1),
O => BTN_1_Count_0_G
);
BTN_1_Count_2_F_X_LUT4 : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X67Y71"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => BTN_1_Count(2),
ADR3 => VCC,
O => BTN_1_Count_2_F
);
BTN_1_Count_2_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X67Y71"
)
port map (
ADR0 => VCC,
ADR1 => BTN_1_Count(3),
ADR2 => VCC,
ADR3 => VCC,
O => BTN_1_Count_2_G
);
BTN_1_Count_4_F_X_LUT4 : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X67Y72"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => BTN_1_Count(4),
ADR3 => VCC,
O => BTN_1_Count_4_F
);
BTN_1_Count_4_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X67Y72"
)
port map (
ADR0 => VCC,
ADR1 => BTN_1_Count(5),
ADR2 => VCC,
ADR3 => VCC,
O => BTN_1_Count_4_G
);
BTN_1_Count_6_F_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X67Y73"
)
port map (
ADR0 => BTN_1_Count(6),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_1_Count_6_F
);
BTN_1_Count_6_G_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X67Y73"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_1_Count(7),
O => BTN_1_Count_6_G
);
BTN_1_Count_8_F_X_LUT4 : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X67Y74"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => BTN_1_Count(8),
ADR3 => VCC,
O => BTN_1_Count_8_F
);
BTN_1_Count_8_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X67Y74"
)
port map (
ADR0 => VCC,
ADR1 => BTN_1_Count(9),
ADR2 => VCC,
ADR3 => VCC,
O => BTN_1_Count_8_G
);
BTN_1_Count_10_F_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X67Y75"
)
port map (
ADR0 => BTN_1_Count(10),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_1_Count_10_F
);
BTN_1_Count_10_G_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X67Y75"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_1_Count(11),
O => BTN_1_Count_10_G
);
BTN_1_Count_12_F_X_LUT4 : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X67Y76"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => BTN_1_Count(12),
ADR3 => VCC,
O => BTN_1_Count_12_F
);
BTN_1_Count_12_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X67Y76"
)
port map (
ADR0 => VCC,
ADR1 => BTN_1_Count(13),
ADR2 => VCC,
ADR3 => VCC,
O => BTN_1_Count_12_G
);
BTN_1_Count_14_F_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X67Y77"
)
port map (
ADR0 => BTN_1_Count(14),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_1_Count_14_F
);
BTN_1_Count_14_G_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X67Y77"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_1_Count(15),
O => BTN_1_Count_14_G
);
BTN_1_Count_16_F_X_LUT4 : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X67Y78"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => BTN_1_Count(16),
ADR3 => VCC,
O => BTN_1_Count_16_F
);
BTN_1_Count_16_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X67Y78"
)
port map (
ADR0 => VCC,
ADR1 => BTN_1_Count(17),
ADR2 => VCC,
ADR3 => VCC,
O => BTN_1_Count_16_G
);
BTN_1_Count_18_F_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X67Y79"
)
port map (
ADR0 => BTN_1_Count(18),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_1_Count_18_F
);
BTN_1_Count_18_G_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X67Y79"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_1_Count(19),
O => BTN_1_Count_18_G
);
BTN_0_Count_0_G_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X65Y81"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_0_Count(1),
O => BTN_0_Count_0_G
);
BTN_0_Count_2_F_X_LUT4 : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X65Y82"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => BTN_0_Count(2),
ADR3 => VCC,
O => BTN_0_Count_2_F
);
BTN_0_Count_2_G_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X65Y82"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_0_Count(3),
O => BTN_0_Count_2_G
);
BTN_0_Count_4_F_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X65Y83"
)
port map (
ADR0 => BTN_0_Count(4),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_0_Count_4_F
);
BTN_0_Count_4_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X65Y83"
)
port map (
ADR0 => VCC,
ADR1 => BTN_0_Count(5),
ADR2 => VCC,
ADR3 => VCC,
O => BTN_0_Count_4_G
);
BTN_0_Count_6_F_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X65Y84"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_0_Count(6),
O => BTN_0_Count_6_F
);
BTN_0_Count_6_G_X_LUT4 : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X65Y84"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => BTN_0_Count(7),
ADR3 => VCC,
O => BTN_0_Count_6_G
);
BTN_0_Count_8_F_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X65Y85"
)
port map (
ADR0 => BTN_0_Count(8),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_0_Count_8_F
);
BTN_0_Count_8_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X65Y85"
)
port map (
ADR0 => VCC,
ADR1 => BTN_0_Count(9),
ADR2 => VCC,
ADR3 => VCC,
O => BTN_0_Count_8_G
);
BTN_0_Count_10_F_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X65Y86"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_0_Count(10),
O => BTN_0_Count_10_F
);
BTN_0_Count_10_G_X_LUT4 : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X65Y86"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => BTN_0_Count(11),
ADR3 => VCC,
O => BTN_0_Count_10_G
);
BTN_0_Count_12_F_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X65Y87"
)
port map (
ADR0 => BTN_0_Count(12),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_0_Count_12_F
);
BTN_0_Count_12_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X65Y87"
)
port map (
ADR0 => VCC,
ADR1 => BTN_0_Count(13),
ADR2 => VCC,
ADR3 => VCC,
O => BTN_0_Count_12_G
);
BTN_0_Count_14_F_X_LUT4 : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X65Y88"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => BTN_0_Count(14),
ADR3 => VCC,
O => BTN_0_Count_14_F
);
BTN_0_Count_14_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X65Y88"
)
port map (
ADR0 => VCC,
ADR1 => BTN_0_Count(15),
ADR2 => VCC,
ADR3 => VCC,
O => BTN_0_Count_14_G
);
BTN_0_Count_16_F_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X65Y89"
)
port map (
ADR0 => BTN_0_Count(16),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_0_Count_16_F
);
BTN_0_Count_16_G_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X65Y89"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_0_Count(17),
O => BTN_0_Count_16_G
);
BTN_0_Count_18_F_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X65Y90"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_0_Count(18),
O => BTN_0_Count_18_F
);
BTN_0_Count_18_G_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X65Y90"
)
port map (
ADR0 => BTN_0_Count(19),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_0_Count_18_G
);
SSeg_Result_0_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X33Y14"
)
port map (
ADR0 => VCC,
ADR1 => SSeg_count240hz(1),
ADR2 => VCC,
ADR3 => VCC,
O => SSeg_Result_0_G
);
SSeg_Result_2_F_X_LUT4 : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X33Y15"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => SSeg_count240hz(2),
ADR3 => VCC,
O => SSeg_Result_2_F
);
SSeg_Result_2_G_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X33Y15"
)
port map (
ADR0 => SSeg_count240hz(3),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => SSeg_Result_2_G
);
SSeg_Result_4_F_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X33Y16"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => SSeg_count240hz(4),
O => SSeg_Result_4_F
);
SSeg_Result_4_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X33Y16"
)
port map (
ADR0 => VCC,
ADR1 => SSeg_count240hz(5),
ADR2 => VCC,
ADR3 => VCC,
O => SSeg_Result_4_G
);
SSeg_Result_6_F_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X33Y17"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => SSeg_count240hz(6),
O => SSeg_Result_6_F
);
SSeg_Result_6_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X33Y17"
)
port map (
ADR0 => VCC,
ADR1 => SSeg_count240hz(7),
ADR2 => VCC,
ADR3 => VCC,
O => SSeg_Result_6_G
);
SSeg_Result_8_F_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X33Y18"
)
port map (
ADR0 => VCC,
ADR1 => SSeg_count240hz(8),
ADR2 => VCC,
ADR3 => VCC,
O => SSeg_Result_8_F
);
SSeg_Result_8_G_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X33Y18"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => SSeg_count240hz(9),
O => SSeg_Result_8_G
);
SSeg_Result_10_F_X_LUT4 : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X33Y19"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => SSeg_count240hz(10),
ADR3 => VCC,
O => SSeg_Result_10_F
);
SSeg_Result_10_G_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X33Y19"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => SSeg_count240hz(11),
O => SSeg_Result_10_G
);
SSeg_Result_12_F_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X33Y20"
)
port map (
ADR0 => SSeg_count240hz(12),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => SSeg_Result_12_F
);
SSeg_Result_12_G_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X33Y20"
)
port map (
ADR0 => SSeg_count240hz(13),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => SSeg_Result_12_G
);
SSeg_Result_14_F_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X33Y21"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => SSeg_count240hz(14),
O => SSeg_Result_14_F
);
SSeg_Result_14_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X33Y21"
)
port map (
ADR0 => VCC,
ADR1 => SSeg_count240hz(15),
ADR2 => VCC,
ADR3 => VCC,
O => SSeg_Result_14_G
);
BTN_2_Count_0_G_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X65Y67"
)
port map (
ADR0 => BTN_2_Count(1),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_2_Count_0_G
);
BTN_2_Count_2_F_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X65Y68"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_2_Count(2),
O => BTN_2_Count_2_F
);
BTN_2_Count_2_G_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X65Y68"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_2_Count(3),
O => BTN_2_Count_2_G
);
BTN_2_Count_4_F_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X65Y69"
)
port map (
ADR0 => BTN_2_Count(4),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_2_Count_4_F
);
BTN_2_Count_4_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X65Y69"
)
port map (
ADR0 => VCC,
ADR1 => BTN_2_Count(5),
ADR2 => VCC,
ADR3 => VCC,
O => BTN_2_Count_4_G
);
BTN_2_Count_6_F_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X65Y70"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_2_Count(6),
O => BTN_2_Count_6_F
);
BTN_2_Count_6_G_X_LUT4 : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X65Y70"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => BTN_2_Count(7),
ADR3 => VCC,
O => BTN_2_Count_6_G
);
BTN_2_Count_8_F_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X65Y71"
)
port map (
ADR0 => BTN_2_Count(8),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_2_Count_8_F
);
BTN_2_Count_8_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X65Y71"
)
port map (
ADR0 => VCC,
ADR1 => BTN_2_Count(9),
ADR2 => VCC,
ADR3 => VCC,
O => BTN_2_Count_8_G
);
BTN_2_Count_10_F_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X65Y72"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_2_Count(10),
O => BTN_2_Count_10_F
);
BTN_2_Count_10_G_X_LUT4 : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X65Y72"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => BTN_2_Count(11),
ADR3 => VCC,
O => BTN_2_Count_10_G
);
BTN_2_Count_12_F_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X65Y73"
)
port map (
ADR0 => BTN_2_Count(12),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_2_Count_12_F
);
BTN_2_Count_12_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X65Y73"
)
port map (
ADR0 => VCC,
ADR1 => BTN_2_Count(13),
ADR2 => VCC,
ADR3 => VCC,
O => BTN_2_Count_12_G
);
BTN_2_Count_14_F_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X65Y74"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_2_Count(14),
O => BTN_2_Count_14_F
);
BTN_2_Count_14_G_X_LUT4 : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X65Y74"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => BTN_2_Count(15),
ADR3 => VCC,
O => BTN_2_Count_14_G
);
BTN_2_Count_16_F_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X65Y75"
)
port map (
ADR0 => BTN_2_Count(16),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_2_Count_16_F
);
BTN_2_Count_16_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X65Y75"
)
port map (
ADR0 => VCC,
ADR1 => BTN_2_Count(17),
ADR2 => VCC,
ADR3 => VCC,
O => BTN_2_Count_16_G
);
BTN_2_Count_18_F_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X65Y76"
)
port map (
ADR0 => BTN_2_Count(18),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_2_Count_18_F
);
BTN_2_Count_18_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X65Y76"
)
port map (
ADR0 => VCC,
ADR1 => BTN_2_Count(19),
ADR2 => VCC,
ADR3 => VCC,
O => BTN_2_Count_18_G
);
BTN_3_Count_0_G_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X53Y61"
)
port map (
ADR0 => BTN_3_Count(1),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_3_Count_0_G
);
BTN_3_Count_2_F_X_LUT4 : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X53Y62"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => BTN_3_Count(2),
ADR3 => VCC,
O => BTN_3_Count_2_F
);
BTN_3_Count_2_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X53Y62"
)
port map (
ADR0 => VCC,
ADR1 => BTN_3_Count(3),
ADR2 => VCC,
ADR3 => VCC,
O => BTN_3_Count_2_G
);
BTN_3_Count_4_F_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X53Y63"
)
port map (
ADR0 => BTN_3_Count(4),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_3_Count_4_F
);
BTN_3_Count_4_G_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X53Y63"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_3_Count(5),
O => BTN_3_Count_4_G
);
BTN_3_Count_6_F_X_LUT4 : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X53Y64"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => BTN_3_Count(6),
ADR3 => VCC,
O => BTN_3_Count_6_F
);
BTN_3_Count_6_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X53Y64"
)
port map (
ADR0 => VCC,
ADR1 => BTN_3_Count(7),
ADR2 => VCC,
ADR3 => VCC,
O => BTN_3_Count_6_G
);
BTN_3_Count_8_F_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X53Y65"
)
port map (
ADR0 => BTN_3_Count(8),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_3_Count_8_F
);
BTN_3_Count_8_G_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X53Y65"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_3_Count(9),
O => BTN_3_Count_8_G
);
BTN_3_Count_10_F_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X53Y66"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_3_Count(10),
O => BTN_3_Count_10_F
);
BTN_3_Count_10_G_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X53Y66"
)
port map (
ADR0 => BTN_3_Count(11),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_3_Count_10_G
);
BTN_3_Count_12_F_X_LUT4 : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X53Y67"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => BTN_3_Count(12),
ADR3 => VCC,
O => BTN_3_Count_12_F
);
BTN_3_Count_12_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X53Y67"
)
port map (
ADR0 => VCC,
ADR1 => BTN_3_Count(13),
ADR2 => VCC,
ADR3 => VCC,
O => BTN_3_Count_12_G
);
BTN_3_Count_14_F_X_LUT4 : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X53Y68"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => BTN_3_Count(14),
ADR3 => VCC,
O => BTN_3_Count_14_F
);
BTN_3_Count_14_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X53Y68"
)
port map (
ADR0 => VCC,
ADR1 => BTN_3_Count(15),
ADR2 => VCC,
ADR3 => VCC,
O => BTN_3_Count_14_G
);
BTN_3_Count_16_F_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X53Y69"
)
port map (
ADR0 => BTN_3_Count(16),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_3_Count_16_F
);
BTN_3_Count_16_G_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X53Y69"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_3_Count(17),
O => BTN_3_Count_16_G
);
BTN_3_Count_18_F_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X53Y70"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => BTN_3_Count(18),
O => BTN_3_Count_18_F
);
BTN_3_Count_18_G_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X53Y70"
)
port map (
ADR0 => BTN_3_Count(19),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => BTN_3_Count_18_G
);
AN_0_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD71",
PATHPULSE => 638 ps
)
port map (
I => SSeg_AN_OUT(0),
O => AN_0_O
);
AN_1_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD79",
PATHPULSE => 638 ps
)
port map (
I => SSeg_AN_OUT(1),
O => AN_1_O
);
AN_2_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD61",
PATHPULSE => 638 ps
)
port map (
I => SSeg_AN_OUT(2),
O => AN_2_O
);
AN_3_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD67",
PATHPULSE => 638 ps
)
port map (
I => SSeg_AN_OUT(3),
O => AN_3_O
);
SEG_0_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD95",
PATHPULSE => 638 ps
)
port map (
I => SEG_0_OBUF_2474,
O => SEG_0_O
);
SEG_1_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD72",
PATHPULSE => 638 ps
)
port map (
I => SEG_1_OBUF_2467,
O => SEG_1_O
);
SEG_2_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD63",
PATHPULSE => 638 ps
)
port map (
I => SEG_2_OBUF_3312,
O => SEG_2_O
);
SEG_3_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD62",
PATHPULSE => 638 ps
)
port map (
I => SEG_3_OBUF_3319,
O => SEG_3_O
);
SEG_4_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD70",
PATHPULSE => 638 ps
)
port map (
I => SEG_4_OBUF_3336,
O => SEG_4_O
);
SEG_5_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD87",
PATHPULSE => 638 ps
)
port map (
I => SEG_5_OBUF_3343,
O => SEG_5_O
);
SEG_6_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD77",
PATHPULSE => 638 ps
)
port map (
I => SEG_6_OBUF_3355,
O => SEG_6_O
);
N21_F_X_LUT4 : X_LUT4
generic map(
INIT => X"0000",
LOC => "SLICE_X66Y79"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => N21_F
);
SSeg_Mmux_hexnum_mux00016175_F_X_LUT4 : X_LUT4
generic map(
INIT => X"0000",
LOC => "SLICE_X66Y33"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => SSeg_Mmux_hexnum_mux00016175_F
);
NlwBlock_RPN_toplevel_VCC : X_ONE
port map (
O => VCC
);
NlwBlock_RPN_toplevel_GND : X_ZERO
port map (
O => GND
);
NlwInverterBlock_UXCntl_Unit_VALB_2_CLK : X_INV
port map (
I => UXCntl_Unit_VALB_3_CLKINVNOT,
O => NlwInverterSignal_UXCntl_Unit_VALB_2_CLK
);
NlwInverterBlock_UXCntl_Unit_VALB_3_CLK : X_INV
port map (
I => UXCntl_Unit_VALB_3_CLKINVNOT,
O => NlwInverterSignal_UXCntl_Unit_VALB_3_CLK
);
NlwInverterBlock_UXCntl_Unit_VALA_6_CLK : X_INV
port map (
I => UXCntl_Unit_VALA_7_CLKINVNOT,
O => NlwInverterSignal_UXCntl_Unit_VALA_6_CLK
);
NlwInverterBlock_UXCntl_Unit_VALA_7_CLK : X_INV
port map (
I => UXCntl_Unit_VALA_7_CLKINVNOT,
O => NlwInverterSignal_UXCntl_Unit_VALA_7_CLK
);
NlwInverterBlock_UXCntl_Unit_VALB_4_CLK : X_INV
port map (
I => UXCntl_Unit_VALB_5_CLKINVNOT,
O => NlwInverterSignal_UXCntl_Unit_VALB_4_CLK
);
NlwInverterBlock_UXCntl_Unit_VALB_5_CLK : X_INV
port map (
I => UXCntl_Unit_VALB_5_CLKINVNOT,
O => NlwInverterSignal_UXCntl_Unit_VALB_5_CLK
);
NlwInverterBlock_UXCntl_Unit_VALB_6_CLK : X_INV
port map (
I => UXCntl_Unit_VALB_7_CLKINVNOT,
O => NlwInverterSignal_UXCntl_Unit_VALB_6_CLK
);
NlwInverterBlock_UXCntl_Unit_VALB_7_CLK : X_INV
port map (
I => UXCntl_Unit_VALB_7_CLKINVNOT,
O => NlwInverterSignal_UXCntl_Unit_VALB_7_CLK
);
NlwInverterBlock_UXCntl_Unit_OPCODE_0_CLK : X_INV
port map (
I => UXCntl_Unit_OPCODE_1_CLKINVNOT,
O => NlwInverterSignal_UXCntl_Unit_OPCODE_0_CLK
);
NlwInverterBlock_UXCntl_Unit_OPCODE_1_CLK : X_INV
port map (
I => UXCntl_Unit_OPCODE_1_CLKINVNOT,
O => NlwInverterSignal_UXCntl_Unit_OPCODE_1_CLK
);
NlwInverterBlock_UXCntl_Unit_OPCODE_2_CLK : X_INV
port map (
I => UXCntl_Unit_OPCODE_3_CLKINVNOT,
O => NlwInverterSignal_UXCntl_Unit_OPCODE_2_CLK
);
NlwInverterBlock_UXCntl_Unit_OPCODE_3_CLK : X_INV
port map (
I => UXCntl_Unit_OPCODE_3_CLKINVNOT,
O => NlwInverterSignal_UXCntl_Unit_OPCODE_3_CLK
);
NlwInverterBlock_UXCntl_Unit_VALA_0_CLK : X_INV
port map (
I => UXCntl_Unit_VALA_1_CLKINVNOT,
O => NlwInverterSignal_UXCntl_Unit_VALA_0_CLK
);
NlwInverterBlock_UXCntl_Unit_VALA_1_CLK : X_INV
port map (
I => UXCntl_Unit_VALA_1_CLKINVNOT,
O => NlwInverterSignal_UXCntl_Unit_VALA_1_CLK
);
NlwInverterBlock_UXCntl_Unit_VALA_2_CLK : X_INV
port map (
I => UXCntl_Unit_VALA_3_CLKINVNOT,
O => NlwInverterSignal_UXCntl_Unit_VALA_2_CLK
);
NlwInverterBlock_UXCntl_Unit_VALA_3_CLK : X_INV
port map (
I => UXCntl_Unit_VALA_3_CLKINVNOT,
O => NlwInverterSignal_UXCntl_Unit_VALA_3_CLK
);
NlwInverterBlock_UXCntl_Unit_VALB_0_CLK : X_INV
port map (
I => UXCntl_Unit_VALB_1_CLKINVNOT,
O => NlwInverterSignal_UXCntl_Unit_VALB_0_CLK
);
NlwInverterBlock_UXCntl_Unit_VALB_1_CLK : X_INV
port map (
I => UXCntl_Unit_VALB_1_CLKINVNOT,
O => NlwInverterSignal_UXCntl_Unit_VALB_1_CLK
);
NlwInverterBlock_UXCntl_Unit_VALA_4_CLK : X_INV
port map (
I => UXCntl_Unit_VALA_5_CLKINVNOT,
O => NlwInverterSignal_UXCntl_Unit_VALA_4_CLK
);
NlwInverterBlock_UXCntl_Unit_VALA_5_CLK : X_INV
port map (
I => UXCntl_Unit_VALA_5_CLKINVNOT,
O => NlwInverterSignal_UXCntl_Unit_VALA_5_CLK
);
NlwBlockROC : X_ROC
generic map (ROC_WIDTH => 100 ns)
port map (O => GSR);
NlwBlockTOC : X_TOC
port map (O => GTS);
end Structure;
| gpl-3.0 | 044007abd47b4bd133757e9fb980b969 | 0.545215 | 2.817438 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_log/flt_log_shift_msb_first.vhd | 2 | 18,279 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VR74xwUYoxBllH6x/ZEgD7OPqBbx2794wf3sm6LV7IaAICA3M/GaBfO9S5Y+7+uxoS9TJ8Z3KUwC
5UBPk7kjWw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NCe/Z/XVNtRjgJhPkKa06nHfABzS2EBPhgl7Wi8Hi2ezxwxSV+tI9ZoB5MsjS7XATCDXmeFFjviy
WkW/tv0b0sK7M4HpOySdsgXIpHG4Ng3hF3SOwjR+WFXv7Xdq6wkvQxVSVZJKn+Rc/xmNVKfy/pZp
ZkqpZJ3sl7MUr8f3sMc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GWiSPWRlrW/wcKExV8fDOJwIZ5NncYezm6dnCPZAJ9fF9hmca2JKX1aTg0WyxJJ8dCdwH42yJSjV
HFCzBQzT9JyhLP3MatqnZ9EUaGE4Yxll5DlMufMhWY2f5uWC/ZvwyTwS+u8+e8KodsnxDlQoFIhH
G0x2XHm07r3MjINJNPN2Ka5l7S+6jEhkPqcHcEa0mN7yU1G6Tcsp7HOFLj/ei9F3q0F9ZX7tN94+
klEZGMScylhled52uaTZSV0FSmIEqp9Arhc1LWkToXWobTxXs12U6oLnnoa6Mdjdekrg7QBC/6N6
YvSFkewExfvTBQytsdayXZrY7vxLK2y/P+XcYA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
xLkrnlCQICmx4c2wKCaPWskUf+mZOaLP6la+e6sGQEPaB34qC5PZmlSwZ+oew52ifjVN1p+rZNro
6aRcmTnG4O056QOyBeIEnCWD+QB0VnGjWlK608Et7a/cb+Wd3JZRDW41VdPTrhv414rnKPY9HLlF
cdsdS7OIfpIZ8oMNufk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jA4S7XZHhvkfyKfJam6exkv8i+stPvGCjnJ4uFwChG/MYOhwQs/ss7WDx2ol6w4WbpYKqSWHrqyB
K4+ynftYonHwrWyOxLeHzdvnzOT0/q6ClKVb4a8u1yWkJVLSG9fI2rTyG9FNnVI1ZaKUXsISlynz
GEH4iIogbKDAnR9ub0MmA4zfm8W8hQi+9voGhWnHGiiq5wAp/v3PMwTwVS1OwR3KTKZC6OVBYIiv
2G8KPBzJdWJ1NI2KR1Ne/P52a4x38qB/BxMiZhj9dRVZBxTlmBxKB64vpcZcnxe8NVWbJLJTwFvD
tM1uI69GR+rKmwoN2NwTzfYhj+5z8U5mYrTvag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11792)
`protect data_block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=
`protect end_protected
| gpl-2.0 | 03c534d3cbd775eadad74c659efe24fe | 0.939001 | 1.857811 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/so_xk_counter.vhd | 2 | 301,985 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QEOyl4x11pbzxABGjvX1YCb2j+BZ42gAUcCcpF2C/O3sHH9W2cV3VCTD8NDyEs/Ha5XKIruHZQdP
OHjNcdGa+Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
lyT0AxRc4U47eUYgFMSsKI7N+a1YXDuSqv/6s6+9PLk4qi3bu/d2rLZKYfgg1sve3etQgOeCWoeZ
e31nu0Jw2LKrf/FH55qG+HP7d0QzXyMsS24PQUJsVT8bqojh9Koo9Wq09X3AKc+NFRr8iYQiI+tc
7BQH2DdtMXsNE2V3wJU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cn84t69oosM5FcsQmQ/jYzT5KlznRlZQ0k95sdChfRy4UeIhzUdD6B2SzgORbS6fwIb7IJtrdLCJ
SexC7s9loXTqh1Nq+qDzIvp24LlqT1+d4+q9ziPjCbYFKYVcNWIsxIFvHTRurEPysOjQ/CCS2w5G
BKCkRmiitMVAjhsjxnxBSXx9o2KdFwZtI9e8o85IpXPKdDS8wLCQdFG8sucNJxuOYZiCUr1zBwmc
1yEDeqxf4YkVt2LutuuXPqyIPvnmkTTDKvAdpEkGKS8yMJ282C+Szy2U3tSmczx2xQcQa2FRwOMo
B/WuiTAh88z3NetN0OhbY3kB8BihOBQAz1JdCQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
esvxE7dCrAO1HO36PXDtp8OwTU8HGUT+9zP2h4MyHib0IBfNMIIvD/xwtRf71/aVKXMDOg/d/PLT
+xWLtTfO0YTB/5+EhGLARSPl50Pw3zgZJ6qUZrnBqGl8OddKdzaZurVdeXlL/xY1qNdhCY64//rA
HY/ZX0FwL/DkMmC3qdo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Jp5gMfCacdgXtWEeEVXPMgVHDX/xxYZjWFKONZEnVhZPBsyhGnT2LgEYQ2GNq2i3/iJ0yXaeCF7j
VD0Fxh/Sn97i1FiWk2I7YpDJPQZdC3+VmJuflhiZOqF/7Q8sthq5PQWxxDf1D6w2cEYQigixYNZ4
4fQwyAtBv5VXxp6WrofRSPPOJkAUaoP9/+sR3hCpTkzJiWoiHRQXVYdKWYiMvX+bl7nEFWPm9ADE
UuzQyimsYlqQ2Rdkscfxg8PBRYebsqJ4b1i3TI8oSklqhTPE+7qXmwoqqmEtIQN5zUKH4vUCVWPC
gpDtgz0n1Pt1h53h4KFuVw+TacAu6HnTrDYvKg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 221808)
`protect data_block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`protect end_protected
| gpl-2.0 | a9d53cee1dd37e9c492c878ea7405ce2 | 0.955703 | 1.809041 | false | false | false | false |
fafaldo/ethernet | ethernet4b/CU.vhd | 1 | 3,283 |
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CU is
port( clk : in std_logic;
data_in : in std_logic_vector(7 downto 0);
empty : in std_logic;
EOF : in std_logic;
ICMP_recognized : in std_logic;
reset : in std_logic;
frame_reset : out std_logic;
enable : out std_logic;
POP : out std_logic
);
end CU;
architecture Behavioral of CU is
type state_type is (IDLE, POP_ON, ENABLE_ON, WAIT_FOR_NEXT, UNKNOWN_WAIT_FOR_NEXT, UNKNOWN_POP_ON, UNKNOWN_ENABLE_ON, RESET_ON);
signal state, next_state : state_type;
signal address_counter : std_logic_vector(10 downto 0) := (others=>'0');
begin
process (clk)
begin
if rising_edge(clk) then
if reset = '1' then
enable <= '0';
elsif state = ENABLE_ON then
enable <= '1';
else
enable <= '0';
end if;
end if;
end process;
process (clk)
begin
if rising_edge(clk) then
if reset = '1' then
frame_reset <= '0';
elsif state = RESET_ON then
frame_reset <= '1';
else
frame_reset <= '0';
end if;
end if;
end process;
process (clk)
begin
if rising_edge(clk) then
if reset = '1' then
POP <= '0';
elsif state = POP_ON or state = UNKNOWN_POP_ON then
POP <= '1';
else
POP <= '0';
end if;
end if;
end process;
process (clk)
begin
if rising_edge(clk) then
if reset = '1' then
state <= IDLE;
else
state <= next_state;
end if;
end if;
end process;
process (clk)
begin
if rising_edge(clk) then
if reset = '1' then
address_counter <= (others=>'0');
elsif state = ENABLE_ON or state = UNKNOWN_ENABLE_ON then
address_counter <= address_counter+1;
end if;
end if;
end process;
process (state, empty, EOF, address_counter, ICMP_recognized)
begin
next_state <= state;
case (state) is
when IDLE =>
if empty = '0' then
next_state <= POP_ON;
end if;
when POP_ON =>
next_state <= ENABLE_ON;
when ENABLE_ON =>
next_state <= WAIT_FOR_NEXT;
when WAIT_FOR_NEXT =>
if (address_counter < 30 or (address_counter >= 30 and ICMP_recognized = '1')) and empty = '0' and EOF = '0' then
next_state <= POP_ON;
elsif EOF = '1' then
next_state <= RESET_ON;
elsif address_counter >= 30 and ICMP_recognized = '0' then
next_state <= UNKNOWN_WAIT_FOR_NEXT;
end if;
when RESET_ON =>
next_state <= IDLE;
when UNKNOWN_WAIT_FOR_NEXT =>
if EOF = '1' then
next_state <= RESET_ON;
elsif empty = '0' and EOF = '0' then
next_state <= UNKNOWN_POP_ON;
end if;
when UNKNOWN_POP_ON =>
next_state <= UNKNOWN_ENABLE_ON;
when UNKNOWN_ENABLE_ON =>
next_state <= UNKNOWN_WAIT_FOR_NEXT;
when others =>
next_state <= IDLE;
end case;
end process;
end Behavioral; | apache-2.0 | 0d33abd3c5b42e444981c92f61439877 | 0.587268 | 3.260179 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/multi_fft/mult_gen_v12_0/hdl/dsp.vhd | 12 | 181,273 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
mPawqQN/gOXDU6zsccAj1aq4BYEYxxFhhUjJlaBJdStaOlhyZuVZrjwTcwY9QcaxvA8HcIQXSqkl
FxudgfY5xw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ETO4Bu3DwYASF+yGQINNl1qR7RnR/rkfwvmAKfpwUNFt5HDnBDWM/qbvRHphZJnkBDCyGNPNlq4m
itKKKWIGpFGTPVS/BQX6T/QMxnZ2Up4MlL7B7VbSW0XTCCOKl3JOmnLXGH82Ct5vYp//Fkrrr/qt
AmvtDtBVHJT/x317EJw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UkX2abocOLxRHcp3+Z3snkW1y9wMnFsg+IRzmJ0gnXb33/0CVy9VZ8xERv75IzpYHqsSXfAPmzdB
Z8T/P8VGEk8pp56YZAbkHHYBHFcDDbkxj+T8vz+7w+cn5uLx7mEF6NlAf9AzNbCOpKw3b+5CPP2R
LkGtZqJi+lDUWOMX84t5KH/ciZKZGjrcPkfvwsUt7a9lDM7FlZGRxdWZlZRRCCHpV2kYO7bPmAan
NRyyAjUyt+OC2KUuPUOaog6olO+2+RhFvxATLwSZUDX85KuhZk+0tQU7H5PalsCpA0u0NZhyYie7
CAq7t4EYNztRScDN9hDfskVaFZ/oTe1Vf0jY+w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BRP2eOyzP18kec1HWRKxP+ua/ur33aSCVB4d/3J3rmC1wybbXUr6nO29V920cHKI6pcERdpv//Q7
Obd44HjxdaEelav+xhkEi1Ao6pW/5XifnwHDBUEVhL3+xa6luZSm/glkhwMKboEfvIDKVtWIfrvI
9bo33qN+yGuHmLW/jMc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
kuAQo83f1lgB2uaOfyuoMm4YzO2rWag41Xnn/92Zw90SlVirQIlCh29sfdCpiOl9AMo1Vq44oCmo
oJBaUCEMDgivL6SB9QHc1h1pLJ4KpEN9mnU8GMbvb2WjFSxkBuGeoAYT1+RUJegRdjom3P409pq1
6t1Hln41j0ZzFxQFOaQLehk6MP9bxQFJLnLGxsher5tIi1+Oxunti1AU1YAWnGdvGDiw25feCRhH
reN2I+DzHG8pA6xvaLPVCHKmBpRvWOs3xBeN7RMdTeNGSoW3QchRU/wgUR+vNVPu9YFBBKvwd5wL
5Wc0HOaAw4OQMphosl+RcdDWEHDWX896yX+Q5w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 132448)
`protect data_block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`protect end_protected
| gpl-2.0 | 6fdbc9caeb0a04aa2a3daeb754ceba4e | 0.954042 | 1.812114 | false | false | false | false |
UVVM/UVVM_All | uvvm_vvc_framework/src_target_dependent/td_queue_pkg.vhd | 1 | 2,295 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
--===============================================================================================
-- td_cmd_queue_pkg
-- - Target dependent command queue package
--===============================================================================================
library uvvm_util;
use uvvm_util.generic_queue_pkg;
use work.vvc_cmd_pkg.all;
package td_cmd_queue_pkg is new uvvm_util.generic_queue_pkg
generic map (t_generic_element => t_vvc_cmd_record);
--===============================================================================================
-- td_result_queue_pkg
-- - Target dependent result queue package
--===============================================================================================
library uvvm_util;
use uvvm_util.generic_queue_pkg;
use work.vvc_cmd_pkg.all;
package td_result_queue_pkg is new uvvm_util.generic_queue_pkg
generic map (t_generic_element => t_vvc_result_queue_element);
| mit | 5196f85d77c509207f82da82febaebc1 | 0.437473 | 6.136364 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_wishbone/src/vvc_cmd_pkg.vhd | 1 | 7,455 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
--========================================================================================================================
--========================================================================================================================
package vvc_cmd_pkg is
--========================================================================================================================
-- t_operation
-- - VVC and BFM operations
--========================================================================================================================
type t_operation is (
NO_OPERATION,
AWAIT_COMPLETION,
AWAIT_ANY_COMPLETION,
ENABLE_LOG_MSG,
DISABLE_LOG_MSG,
FLUSH_COMMAND_QUEUE,
FETCH_RESULT,
INSERT_DELAY,
TERMINATE_CURRENT_COMMAND,
-- VVC local
WRITE, READ, CHECK
);
--<USER_INPUT> Create constants for the maximum sizes to use in this VVC.
-- You can create VVCs with smaller sizes than these constants, but not larger.
-- For example, given a VVC with parallel data bus and address bus, constraints should be added for maximum data length
-- and address length
-- Example:
constant C_VVC_CMD_DATA_MAX_LENGTH : natural := 1024;
constant C_VVC_CMD_ADDR_MAX_LENGTH : natural := 64;
constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300;
--========================================================================================================================
-- t_vvc_cmd_record
-- - Record type used for communication with the VVC
--========================================================================================================================
type t_vvc_cmd_record is record
-- VVC dedicated fields
addr : unsigned(C_VVC_CMD_ADDR_MAX_LENGTH-1 downto 0);
data : std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0);
max_receptions : integer;
-- Common VVC fields
operation : t_operation;
proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH);
msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH);
data_routing : t_data_routing;
cmd_idx : natural;
command_type : t_immediate_or_queued;
msg_id : t_msg_id;
gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed
gen_boolean : boolean; -- Generic boolean
timeout : time;
alert_level : t_alert_level;
delay : time;
quietness : t_quietness;
parent_msg_id_panel : t_msg_id_panel;
end record;
constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := (
addr => (others => '0'),
data => (others => '0'),
max_receptions => 1,
-- Common VVC fields
operation => NO_OPERATION,
proc_call => (others => NUL),
msg => (others => NUL),
data_routing => NA,
cmd_idx => 0,
command_type => NO_COMMAND_TYPE,
msg_id => NO_ID,
gen_integer_array => (others => -1),
gen_boolean => false,
timeout => 0 ns,
alert_level => FAILURE,
delay => 0 ns,
quietness => NON_QUIET,
parent_msg_id_panel => C_UNUSED_MSG_ID_PANEL
);
--========================================================================================================================
-- shared_vvc_cmd
-- - Shared variable used for transmitting VVC commands
--========================================================================================================================
shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT;
--===============================================================================================
-- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response :
--
-- - These are used for storing the result of the read/receive BFM commands issued by the VVC,
-- - so that the result can be transported from the VVC to the sequencer via a
-- a fetch_result() call as described in VVC_Framework_common_methods_QuickRef
--
-- - t_vvc_result matches the return value of read/receive procedure in the BFM.
-- It can also be defined as a record if multiple return values shall be transported from the BFM
--===============================================================================================
subtype t_vvc_result is std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0);
type t_vvc_result_queue_element is record
cmd_idx : natural; -- from UVVM handshake mechanism
result : t_vvc_result;
end record;
type t_vvc_response is record
fetch_is_accepted : boolean;
transaction_result : t_transaction_result;
result : t_vvc_result;
end record;
shared variable shared_vvc_response : t_vvc_response;
--===============================================================================================
-- t_last_received_cmd_idx :
-- - Used to store the last queued cmd in vvc interpreter.
--===============================================================================================
type t_last_received_cmd_idx is array (t_channel range <>,natural range <>) of integer;
--===============================================================================================
-- shared_vvc_last_received_cmd_idx
-- - Shared variable used to get last queued index from vvc to sequencer
--===============================================================================================
shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => (others => -1));
end package vvc_cmd_pkg;
package body vvc_cmd_pkg is
end package body vvc_cmd_pkg;
| mit | 50c9c0316b26b5d9464964edb797dfec | 0.452046 | 5.187891 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/Shadow_Reg_No_VGA/Shadow_EX_NoVGA/ipcore_dir/Instr_Mem1/simulation/bmg_stim_gen.vhd | 8 | 7,566 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Stimulus Generator For Single Port Ram
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: bmg_stim_gen.vhd
--
-- Description:
-- Stimulus Generation For SRAM
-- 100 Writes and 100 Reads will be performed in a repeatitive loop till the
-- simulation ends
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY work;
USE work.ALL;
USE work.BMG_TB_PKG.ALL;
ENTITY REGISTER_LOGIC_SRAM IS
PORT(
Q : OUT STD_LOGIC;
CLK : IN STD_LOGIC;
RST : IN STD_LOGIC;
D : IN STD_LOGIC
);
END REGISTER_LOGIC_SRAM;
ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_SRAM IS
SIGNAL Q_O : STD_LOGIC :='0';
BEGIN
Q <= Q_O;
FF_BEH: PROCESS(CLK)
BEGIN
IF(RISING_EDGE(CLK)) THEN
IF(RST ='1') THEN
Q_O <= '0';
ELSE
Q_O <= D;
END IF;
END IF;
END PROCESS;
END REGISTER_ARCH;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY work;
USE work.ALL;
USE work.BMG_TB_PKG.ALL;
ENTITY BMG_STIM_GEN IS
PORT (
CLK : IN STD_LOGIC;
RST : IN STD_LOGIC;
ADDRA : OUT STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0');
DINA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0');
WEA : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0');
CHECK_DATA: OUT STD_LOGIC:='0'
);
END BMG_STIM_GEN;
ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS
CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
CONSTANT DATA_PART_CNT_A: INTEGER:= DIVROUNDUP(16,16);
SIGNAL WRITE_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL WRITE_ADDR_INT : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0');
SIGNAL READ_ADDR_INT : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0');
SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL DINA_INT : STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0');
SIGNAL DO_WRITE : STD_LOGIC := '0';
SIGNAL DO_READ : STD_LOGIC := '0';
SIGNAL COUNT_NO : INTEGER :=0;
SIGNAL DO_READ_REG : STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0');
BEGIN
WRITE_ADDR_INT(4 DOWNTO 0) <= WRITE_ADDR(4 DOWNTO 0);
READ_ADDR_INT(4 DOWNTO 0) <= READ_ADDR(4 DOWNTO 0);
ADDRA <= IF_THEN_ELSE(DO_WRITE='1',WRITE_ADDR_INT,READ_ADDR_INT) ;
DINA <= DINA_INT ;
CHECK_DATA <= DO_READ;
RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN
GENERIC MAP(
C_MAX_DEPTH => 32
)
PORT MAP(
CLK => CLK,
RST => RST,
EN => DO_READ,
LOAD => '0',
LOAD_VALUE => ZERO,
ADDR_OUT => READ_ADDR
);
WR_ADDR_GEN_INST:ENTITY work.ADDR_GEN
GENERIC MAP(
C_MAX_DEPTH => 32 )
PORT MAP(
CLK => CLK,
RST => RST,
EN => DO_WRITE,
LOAD => '0',
LOAD_VALUE => ZERO,
ADDR_OUT => WRITE_ADDR
);
WR_DATA_GEN_INST:ENTITY work.DATA_GEN
GENERIC MAP (
DATA_GEN_WIDTH => 16,
DOUT_WIDTH => 16,
DATA_PART_CNT => DATA_PART_CNT_A,
SEED => 2
)
PORT MAP (
CLK => CLK,
RST => RST,
EN => DO_WRITE,
DATA_OUT => DINA_INT
);
WR_RD_PROCESS: PROCESS (CLK)
BEGIN
IF(RISING_EDGE(CLK)) THEN
IF(RST='1') THEN
DO_WRITE <= '0';
DO_READ <= '0';
COUNT_NO <= 0 ;
ELSIF(COUNT_NO < 4) THEN
DO_WRITE <= '1';
DO_READ <= '0';
COUNT_NO <= COUNT_NO + 1;
ELSIF(COUNT_NO< 8) THEN
DO_WRITE <= '0';
DO_READ <= '1';
COUNT_NO <= COUNT_NO + 1;
ELSIF(COUNT_NO=8) THEN
DO_WRITE <= '0';
DO_READ <= '0';
COUNT_NO <= 0 ;
END IF;
END IF;
END PROCESS;
BEGIN_SHIFT_REG: FOR I IN 0 TO 4 GENERATE
BEGIN
DFF_RIGHT: IF I=0 GENERATE
BEGIN
SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_SRAM
PORT MAP(
Q => DO_READ_REG(0),
CLK => CLK,
RST => RST,
D => DO_READ
);
END GENERATE DFF_RIGHT;
DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE
BEGIN
SHIFT_INST: ENTITY work.REGISTER_LOGIC_SRAM
PORT MAP(
Q => DO_READ_REG(I),
CLK => CLK,
RST => RST,
D => DO_READ_REG(I-1)
);
END GENERATE DFF_OTHERS;
END GENERATE BEGIN_SHIFT_REG;
WEA(0) <= IF_THEN_ELSE(DO_WRITE='1','1','0') ;
END ARCHITECTURE;
| gpl-3.0 | 966c8dcc187a12f37a20a4cd7b0f688c | 0.557891 | 3.773566 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/c_addsub_v12_0/hdl/c_addsub_v12_0_pkg_legacy.vhd | 3 | 54,417 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
WUiJesRqhvOMtUBNad+nGU10jn0KoYaV42oX8GDV9/8LfLiW+fRywaMeMMXu6Aq98SXKI2JzAmBn
4CtmSGyLCg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
cVnNjYvmEOEr8wU3+7pJUK4P4c0L+XAgTkBxHkuen3ZT0OiSKO4ahsESBeIHeJDF9/6S3Zo4NwLP
V11R3WjwrNeJovTmzRn7qmzt14nzfEP6ePB/VOZw84/8EQhpr7ouH3PDqpHB3FXf7GAe3MMDY09o
SWYzyjNHcaI8VAA+N0Q=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cltxy15ddYbg8/zD9xqz+ZndgGaMHRGrJdUSkyWf4QcqeLazo2+qXxZVX76SgX60pPuknzE9Cu4k
NNJDkhEKx+30nHFEJ70vKN6EsE1KhbgwTd88R2MkrNfDaR3fVH+NwRkLIzXCJDKHr382IhtCbVPm
lN4NIElsbe/6OVAIXMpId8D96CrwBBAySiq4Ow1sTmIIlcd2GoWWZh+3m0uFjMnG3hF2UQU1TaUB
+6iTFKtTroqYps6YzQLE3tmQoynZ7c9Snf/DIEWjlxETIKL5oJvB0eiq3aJ61XWVfU3nNQ2ejatX
3AFSdEH7JIysOB2u+m8DHMCOYQM+KCsOt/9TDQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
F+6jBw1azFSrkKvM5ftxSWx4RPNX56W08bU6N/0qmy4MoqQjfAV6ByeqhJOCPTZPYc1W4fEj/0AU
RViyMNO/IDn7tenL8GzcwDFcR88u1tPG6QyEN4YXR1I6ghnWdcrMWckA0J34MaMugwPjJ/El9uyt
roG5E9jp6zca8zadF3U=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Z4keRLOcz/ti6FqriO9umPWu6YulBRM38DUWPCWVtTDFFBwsjX0eZEgsPfy2uKFnxKJIzUG63DWb
aFDkEYZhiAbNuVSei0rv9o/T8PeIVgefJ8+anNuTbM7DuJKq0ZbIqnXl+aNMXI5W0mVaXlNIURRV
lI1n6iEcTOl/2F+Ds7jfA8AznV22pa60K4HA2c3dDMMWXASR4Ru7icThgcCoYWBpM9mP+PW+InTc
3s0llfGFL2/CVFJkYy0YrmlaIt27nKOurpRVEbgPEm/wbVTUzaG7cqQfGr+sk8aKhYgvodcLt/bD
S/aiIk3Md2BP0Gj70HWPy72UC1s3WdC1uvPrUg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38544)
`protect data_block
n19z7G+CJU7187CDdb/6G4BGMJchdoj6uGq38iv8iZc3Mn1BC3+SuaadVDfxY75yuYSk8OuA/tSD
JiL8yrRrc8Dxo/JvPQmp7jHvvJ/hAk+6ZS+gr2FrfXubXTXNgNSKet6ygXEQq52sZ06tq9+Gcjsa
A5LWV8Edd1WC5cpIIL22mlyZ9wvgVKqBMlCoyjgwFuG+Z7iOJ8AnvWxcSxNYZQDkGjqE9wBvpNzM
OUCiipD3nsUWd2VrLoQeanms9dmJ0biHMFn7+TStMGJektz4FTm5rl2SWxbW2Sxp3FMaaq8wFZK9
U6ictoDwyu0KqvQwHO7h78G4XXf6suDLkL6D1sFGPfIDgTfi2o0LBy9iof2+gBIinpIrHpt+SS9S
V6yymPKXEuFm21q4xvYq0NkqLWRmM46wviD9KA38ovZv2KtampkDDsdlW3xFzj475ub68vBwm2lo
pLKhrP+sz/bnoO9QUTbw9qUmKxYC8D/Kj4RiaMA0rM5NefZMh8PMROtamQ2FXfvy7vq+rMgQGisL
ZgJDEl5YgItz8fat7gb714N/HmMIC4sMhVFIrsybZ+7D1FMveETpiymCKne+6pcReXvhBxXqyZ5g
eJn6EjqNg/sRD7NjreerZIi7UL8CLA/CcrxhPXgwTluCx5E3bNm+9/QbyolzRJ7Reau/0bMbJAD8
udzG/Ry8bzm4GeYoDBkQA1H/cVHxKOesoJ1S/Dc/SFvfYe9kKZ2ZXGMUeAPSaFNtEa6PxV9V/Tfc
Ihxkt2WZ6j2LnFwU4Afx8kns/ajyuzdYMHlr1NLpD6CWWzBV2RYUoMhXZy08uatn546WpulPF5lw
uQoH3Sl1KAw4COgVpyoZyGwgrLdVgX8Wh2OdeTnYy6WZMAR1FXpErpD3YTiRbs7bURgIGqYAZS7X
bucKQvL+6EX3OBXV1sC93dS2pZACBWJIXhuoIyq2AWIjilee2SSvE4UvCM5DbFR02k+iASmw7xWz
nz0BcwuJZvq8mO3FAXJldw0YkCUs6Kn5LSqX+FwQIa1u8t9FhnMNCEApzcJuxYfA9geOuv1Wlk2U
TZf73cGp3ClOwVuCov8dwJj1EizM+n3twkPvnCY3ZiszKL2byabQUp/gMqcnXPLPu6epsZA59Ifl
VWr7Vc8BmY8LfbKEtVvnUjGSGhhQutWJOobs3xWPySKL5GGUhhYXK/4vckMMS1azYdzl7rCwBX4o
WV2VirSgPVuTWitUZ7VNVI0fDcg5SyWEqnpvoHtBje+cNZ1n+SDRP9XAW8OVN6oWRC7ewfZeIA/D
4COCqEsMz/e6nGskYby5AeDyBQcMTcWhWsPvQ1JYoJ6gLtmOXqdv2GHQ+CqDJSS0zEOSarL4A44Q
KMAMi2XpsjFeWzslGj/GK24aECyLle9Y9pc5INFT3Q97ITzcbhydB9IVc29juWtx6A6fy1/pU3e2
bVHRG3o1ll2ZkvsOBWnU2U51lc5J0IitYnLo+iPz5LlxBNoGVUud9PdZN21ELMAGUyeVP33LwB0p
3lGoIte65n/2Sl9ze0uh3Sh53HF8HLqrg73iB7iqP4AhGI8bJV1TtVUSSzAxiEAxtpAXLPLYP5ur
g0fnJillc7Jh4mmI2fqri7QoRpAfjLDhUFgBcnZdliqw7PlK3Kx9ldhYGhDOrnFV3Z9KSfNQOzh4
UVdGbpv55Qo40hI1fwahHMX9U50oBLQ8J0b0f239kf2XV3XPQJhc8a8U/4FuYOpKiPq3H9de1BV3
oIXXDJCja3/lPup+1qc6Oio1kKlpZfk16YhOxw/HaRlHOCkglXhaLX2nTKXVhsyCT8V/fzfrb9Xr
NoxWjnAuCZH8U0heG+HLLwqDP5QeKZM3QZTmc9FNzzx5pcBtrluaFlweRDxkvW2LjBYsoWBNE4/C
dFeEdSaQLUbspLFIZzaGuY3NwMqJH5kQsjXus9AJ6pANOwoaIbBHnvEfEYoCBffYJUYqSCi9RhZq
ygcFpKILDlPMDnXRs+LI4s6xO1KeLvg5qzj5awy24EurbT0ccXFEGocTF9x7j+dBZ55Apr6/MrEt
AN/Zr1g7KOXSf4uUARuF5qb2REKCsEdf9yzr4uq6wunUxRGNsDvmN6TIq0/TYGTydeHdAPjak5Ho
1U3TLizGVukMWnB/aZVwcns7nMvQc2ijO16bW16myAKG5LdDhC3B6pAgh0ZOqlM9atq+n6kCFKaj
fwIwjg/ImDgCmfV4+N8BTDpUSOTI3YZX4v4EBtpUVDJK9jeoMkKPy8eVt+zHx3Zg2tsREACpOzy0
FzvarfC01VEDa/VN88icyNRlL8yMd9kjn1hE+Fzn1dDgOtL8c3O7uDKnvEf9CwwWvVtAeovJFl/G
R+Eb7aDfUx+7gqPiZz/AYrU5khD2SsYMWaw1CbGVN7AFui83e9lsDh7Mxfae0GtdHxeWohZn+TK2
8SPqdt1kZLWTcXHBSUdOv4vL9kWJgxSHvxIeJZF9fx5l4atUjwAw5/m0X7Sf+9sJ3ebux8It1Qv0
BUBEhcmsgGj+CtoeLQMOk8wcK8QfwgUr08xyhws+fWl3JZnR5XabAXsgRngc9yhlMXFVSX0lydHF
4mupixkQnS+Pjcutv6F3B9G0BP0Juv9O2/8pP4QDDOW4k5Fbi1+Z/fun/JBAIIzWdz32pvHK0E8l
IU03G0m5x5fgh1JthrdwWGfJBiJoUihdb3zn5kniIO53nMcMtAU5IxoRiyjCwXQufKbeQ/HzvlpJ
6RZFkXgmzZZmY0q5hsiFh0PHRwjvHib5gPybkcgkSEqsQ/XYXeO3oBk9vjzfiDSddhP5K/Bpq2wB
A2ao+RwUOGLMQsAyJf+O62Hd1zcY6u1xb64SDiuCKY1uyA+/2pbKYHhhkRtTZyjxTtqcWkfkQKy+
TwEhxeDm/qy7oQWpuX4HGAgrkc348wBKmXbJFgp+AQdXKB52s56cONn2wPe9mKLer5xdU6QXY1Bl
oMVAJODPOtDmGfRYr5P7PHJQknfAevya4LB5GdbuMpJwrMRUrDT4AP35IMJinlYmG0NqIAdcWwKO
B4125NlBaNEKzEOuAkRAyP4xI9EG0gtWe7JTdmRD6gMNLyWp6ix8aEubK2ucUNobKyvRvuoZhx6A
nV93z/JVKls0Qzrem4D/NL5Ng0g9pErKEI8iz0JMM9BxFptWluQnnbeMGE1UHl5iLE+E26Y+iZJM
5xqy3YHw/GsdSSOcUqJx9jW2AY8P+AOLwqZ5xj2fgNhHb06mJgt8+xjTFvOH5ogT2SuUsRYsYuIC
BjJIFMLQQ1cemGkUcjWzSHN/EyeL228deNAHUU/LAb4QvYsTb1VSorY1mMiM3vHAReN4c+ANhIsS
pWiUo0s9dYBJASp8hGEY3zCV5IbXjZb52s26Zss26Cwj1GgoMi23Bgj4VmJk5GReCpyy4zvNS/Ij
3WUZeXHOOM8rqDnyD2ULkCGWoMJC4+H/R/VgzEIX5Y8G2lERIdtRUQXxO5fj98Kkld5wi8JrXwzb
P+mnfpk+tu2YVN046yMOThL9e8hcAqYGN2wsbWR57UYOfXlFsKvPSxKMwHXybBB6n7r4jisjMe9g
KR6UwzwiFwqQaeOkq+M0ox4tZh7DZt5YRWdPcKaYj8twgjo+Sae+Tl9XJ5qLtlkhNhFTPYZwLWIk
F4PWfM2poF7qMNgGBOFp435Tpz9dKXsoFU1d/0xbDVg/tfY+EhUNxVzQX/x9pt7/Hh3Rl5/6x8In
1yTSx5x7OF9nOJpv38ueCB1gPoqu+UOrXrTCYlCJl2pfd5NUDvZJwbULiQf1otMD5WwvgVOJrGQI
9wIPMp/Oy/pnxqylkgAAiaxgCRKUOG1gCzlOiQZTLu1/CWknDqt0XiNbZIfNx3zAAjyWRXVgG6FV
MO6JE296k5ZjycyKJ8bWTvYqWiJhKDnDbcpi/VJrqFFFCQlib5GdL5HEk+u+xa2FH1nzVqmCSrf9
jdGAG2qlun0GSzwCTz944+BpLxh4/2Ug1Wu1rbBtogveO5Vj7YpUoNfK+5vIR8cHEEoR4BO4eiau
qUor7E/EP8N+1Qbjq8Rnbh+anZUO7qXfV0SyZzIBXfODegdovJGKEtXl10/miL5HczeKSS0L6mvk
MR3qUgX7rMAC4VxTW1C4aNuCiGXOzkF+aNQ9+DINBPhqB10f21iF4lulIu8eVjsVkCWSLP+jZuW4
N2vIFVhY0MgAAU6N3vduz5G/Qpj8s9Ni3ao/g1856xAEx5Mtm4BLrxT2r0m7cGfgOvott2AaTR3Z
kWjHHVKyzz3UUP1poOXfoimuV112bTKlUDoyNN+HpldHe6DZaddLkWtPKE3YvIE1nND2Ihz6WWUP
rBlllJXNbEjmUfQUvSnbXSa8eudJaTnGwOID0Us8+YgAlxM1gtjPRyINJncxkZuyDnoR6lDU6Fzw
zKmmvpT7Cfk0PL2oOiNPUMeoUsNGu8IFkeBM9H9mWzpGdKaHjvQDyaF2hAsLsjpx5Pang8FwioMu
vcWJ/MYUVrNjXyTWkV0+wan/Ouu0ms8/F1uCZsKMK8VNd/ueG4+WjMl5LO0HASVH7+Nbi/sdnNlF
8WyMX85OugeXW9vqiC2l1b/ycNRrojmWc8eZsh4gcg2NfcGlWRHFhA8ROKw0e6rr3roFmJWVuO8D
gs49Bm1wgQu4JgCHZMlR5x4b0mrRnWbO9MIi5zYHDTymwQjZhrwNaFhgBPjiYmRjZ/yMI0z09p3e
lOZnrqZ9vxzIgMvVaTTdubP4JRy7XPvFIELuuMaA7cwtMpJiDRD6QF8utlpsnddGRWZTMjNpBBKA
Tbzy7P9WNR3sATYA/etxD6AhFhxpjL5jXTbHycPBOMXK43xwsLcfLILTwRLkjMiYNInX3IhvZdT0
0peSjqo84Rk2SOkaACoaO7Owz1Dm2ox95QytteCPEj5nzUsHSsISIG4xBeQMlDZ89PrFxm6FcsGD
a58tPhpoNpRWwJCzXyrsUdCyClU83+CegFeCsPIbg2C2qOkdqIWDzAA6uF4kOrr3YN+kAW+cykRx
GyHbY81Myx+pXNwOXw3nthO64EZLJcK7/NBzhuQeFXuVyPh6lIA4mUUInLge2QMP6zAHY8numUlM
ylgeHby4Ywkv5ACav7IaMt0R/NpZ4mY19BhHJ/3z4pW9BTAj8vUH2sv1RR1QDOMXl6lQNQnVZwNR
Lq38cYdK89I5+D/2h7c7jk71o1eVMqSQ/bko7q3Ypc7tb/TKJtYs3yHACDMfwdHVQ5BBhSFWNKnm
kNkX1zdftkwyhJZcCHM7iyJLhPGntEJff7un47hZf3V31of3dYvcc5ZflFc+oHpR9SC74cHlrwIR
4UYbEcLeaepD9Mtlo9kNKpcNMNpH1ktNr5rQI7opw6rCaCQCTAG/GK36U0FL7rQO1PkGjTM6GpsW
w+kZGcQ24LfLUVu491L6HS/3js3p1JRsLdu0r7IPnjm/muQ/LY9MREvj2ZntDO7vmpYXVbDGxbs3
JBToX4s6dqnF4ITrWGqh7F06SDkJLJmDJjRJ3Om6cGC/bZ/pZo9GXZkcVWOzk6+IB5Lw2vvmKg4C
Fv1RGVmcvwbD9wb5QSO6IezLu72bZo4U3G4fSll9vW1JXDSxCVwQUoF7aOmW4JpRw5QQIc2/y5Ek
9X7gbmCpNyLFYwKr4/rbSlZ/bWX9Euq7x+YzVJ1sPug2/llzgpNtWCfdnE3GsoyYbJVCCPdv1/aX
AeRMHhETwvtRut8K9pEHieqtKZF3TTkf9uxavEX3+EAJXY4AoJ2aBhWQIGn4Fpl3vUNQIki4hAzI
dWa++QZYKaBYekuz4wUg2PoRZ7xfVf53zLOKqHr7ZOReek/dwRjpK8w9dE0s3VNw1c1SleKp0iXs
4MttcPs4X7X5f7rHMP7elOt8dTIQKmN2eYGTHsF4j9d7IHYBUYwDTPqA8qjwuY1JmA07uHfKAIyD
bE232q5NpVRgAbZ5Q2lZ5gaDEih6dPtpRtPeX32PJVjXdrGziHht2rtLeIqeMhm6f3eun6XqGkBD
Yf6ZN+PGiEtC5e0j2Lq2SNv23uhluQFFyuEG3ggapufN/FC+gJVt0ZxhhtgfdA22YZaA9JZ9wA0p
qbOlY0ydA1wWB77m1jg8j8YmGp7zknsH3UX8fzwIFIvkiuU2pJhhmGUtYRyRohPj9s698lwtalG+
5q99w2w1Jz1I5R934p0EXV3kWoz19Xu5gUH0lq5PrMOzE+RQKGvI/msETnQ1Iib4k3GA+PHibkZd
6Sn127Q+gEe2aSakOmyMFo4og10DwZ2qIiN3KFcb8gvqy3KEByAKNJMTX5f3HnC5EVyAdXvVFWZw
N54HQJcli+bNf/XDhGHJiRKngDCqljqU48VQhJSR8ZPdflc+ssO9niG/cnW2vQcKmmFtEq+63PCF
R4N5zS9peX81MLA62/67RiEjLJfs+baN8btZIsGLEGHOBz59D2s0gAcH3IXF6+QoudNtaJG+YovQ
CdwQWSLlp088HyWWGW8HbjdzzGz4YogF0c3kwdEbsenGuS+r5xVsOi9wEHuLGbHHq5XzwlYLr8T1
JWQdgWVlMo6WDGSCcEPWGw3i7v4OZV+wuPBoLaQUjPUBXmPd0UKeONgUx2lk+0K2Zb15oYlxC05+
oinnS5jjXsYQ7wJruCj97XlnlKu6NwXL14W15TskiwICY+7EAPRXl8KU+VGkjJM/KkDCebOO4DdV
ZYcwTnlUP3kuI/vIJ12YmUctiY5A6+6XXIhPiiEcmecSh432Qzs8wDh1k7F7BGcSaO4eS8ApOgtw
q8Oe6n8dgbUgTHXJukqABQjRUrpS2mmhDXFBRpB0oVYsqzImsVizDmOCHgwmPu2SzdaR7DiMAYjO
YaXV1MRpzcivpPqV8EySlNVqfWWXVG31GsYxDP40jBM3LX7j6PEU8WNt11VUhqScIrZUWvszSeT1
aA2Fal3H1XKMezIz4Pfs/aZ1texEuIg0IptcxbpRuHqjPF7ipU41JQIym3w0tZBxQL5woYtfxzSi
TOycDiSpqZywM+My5FYlMoi5uXE6R78+UE/Q7/oEG4aGzgr5bgRPLhT7goE689jubaDqbeWB4fIK
iMK5VCN82x6u4YH4/xWSSJcIkhfeaiWeSpV78AV1uWEVlKOpkpFdH48O181dboSMWuZ8olJz1H4j
ihnMmygrw1yWGn+1nPIIvoNdCHuFn7qAzhFJt48aliE4jQE1yTkV4hdJ/kdJvCVJEr7rjpsh8cZK
/Blvl9HTfKd9TGpDhbYTTKIcJKInw+2pO1E05siOLmOR1Yy+1msT+gFgZxbXQuWpDdAH14pAtm48
coG/9jy9/JFmn03kfZTso/2kSOqqruBpEwc/OIWiUYG74rxBzrruIglRlj9XgjZy6d73hW28WciN
8TV1CrtgL3zxQRCiGu0Caiv8FUKZ94RFxJ/o6uAaR7El5K6pDVD7Ym04M9LSn/4gvtU2R6A6tbGz
gH4MnrAquS3dtjNGqV9ngZGUbqfA7dzRUoyt7qYy2kJLS7ux+T06GI/qnW5hfcWXkZV3tL8n1oaW
QIhmxvpeF8XAKjwXoN51NN9Qp2o8NvuhZZJwHLWivWJMb+5mmKDEDl7RZYpukZnd1PwBnFk2L4qF
bJSE2IKsrsmjd9UBPstRBh+RECfLUdEDdK5XA2/FuJ9SgyjR4fB+iYpz3F+77Q6pApCaG8yMDxut
Oir9EkNVjswt0XS2/HCmZt8dp4ibhpQFFSxzRVfnjTUxVsUTh0H0xN/txgIJJKWeqt89LxZ8rWfF
4OqVoyfIcRHTwLf7+36e8Qn3UMhee8K1WtIYKZyWCuUHzHc2x16N0BemuR1N0a/+6Elbqzsqu+ly
b1xhLWg1hokS0Y9IPg1rBIeVArGhcfllQOMWR96+3A7TSv00nt2nTBeFP2vzRhn/SoaFi/gjaV32
jh8U5fFxbFFZr2HObvGUt1YVx5jBeeDRS3m10ael263LbVF97uLaSZKBDdiNUH9sVGUapJUw+SLj
oHGm0srIu3yYczpYP7UVq4LedLQz7hkfU94McAbsKPS8XeZrkFL1nkWzRB2sRB7F6BEwYvKOhJln
jZv1Ja1JHI6OKwRY4m3JTZbxGYFXiFzkyCzaLexurJYILoYnsiilZPkqRERvAi8HuRKl+GgRbqp8
A4rzL541JC9Ygc07ZkwgCj1p4e5rL/r75ZPN6A7GxibyiTvDCzGd5NEwMXjQWx15q2m6914nWq3b
w/ZqNLqaO1T7aGVpLOmURSjMYvL63DjVm2r7BcEnd2eo5CQHP7qJHgXzMDyBLMuObXhyc8dkRERm
21nu0yIwt757pXjXmP9+XVh9cIRlviMTaDvO5Hlzag+6IynqZ0g6+jZb8UN5Y8IO122ZgOuWySLJ
psO5AZzJrTZXT8F3vU+I98lni49zH/LIP6h5M3csHFIDcmmqGaFN4EAm2wDvPPm6MaoIM38ft4P5
naMOpB0Gd1tiQOA4ZT2CLGoRiHV2PnClYHJQcxRw28FmVNLy8IxsvMih7l052qq/mDvDCnRMv7ZE
VsIqFTF5/yNjGxcTbdS586NnOBX0tbAZ2so2sOi9Maf5tTYA49ZjFXy1CMhMgnip/V8iALe+PRhS
VOJ1CijEMMZyBaul4KQD7EWfRUZbFbJuCVRCZOggQw9d7h/EX07RV0l7HVmdNjZfW+7tTWY3l6b7
PAl06+fV4yXliS/t8tNBV0mDRoQB24yF1ur6bdiFpG7TZKS/XgU9kjOd4K17PlJpokvF7xrmAXUa
/g+RuMTg1EwSJLYBgqJEWog44Hk5vBw+W/8Q30VuoZpZOeqskDzRarAfLkYLtZKRVwNW0FNkhhaU
+5NIE9O/RcXFpRvCINATkknJy7dn8KtZX4nweC25XK2A00y5UN4ik64R+9b/X3rz3KKG7WH2Q2ND
gMyJzts3fvAhSiqoo4v53wJixCcG/dLhe4VGaMNG/5fYJjB6HWPqha5BUSgGkyFP/YbboegPknrQ
aOnY5OczvbB2w0OxqPnVPRMrzGOAop7ynXpz5pvLwSNI6lcF5Ic8H3TmYZNUjEX3r9mS8MLnCo00
hcrUu0zNBIfJOFIwGPGeCuUe6kHOlrD8/JSS6KBgMfcC0qipluzNNAde6DxKSruIMvoLbfquT8Qd
eSe4Ebm3Tqw3keHZiJRbtnJu9ofqPs/+STkKafE1HQ7rLdLE+ePg7BN07mOg52GVXEdPjjl5KyMk
cxSmf+fIQL6uPVMaT9PvP39GaqklhMK+jYn0FXbqG2dlvffdTgMKNlU1YfmTKQIdOjMdFDcV7wo3
4YZeOPrNhZu1MSAF87nI0ICNlixSDhbG/1DzzQJYWb0sV6ybKvlUYrW2F6v1PIYnaBtPX78AAhWf
JdNqpPWPcGu3k0iRX+8BFsq7qBERQCBmVE+wzg0LlfW3JwoaohcQsOOUqKpN8gl+9rs1r27qsBXa
4fc6KEtavVZcMGmWmQfkdsngRzA26BawRkFnrX1mw9CH4qFC3CKskwR7J28saa/gBtEOxRWFsjAU
954D75CTuyf7ylH+v62pXy8Ivc0wxE/I7abBoO7OymqfV2XZl3uCqx/oDbIJ1sQ84vdph9bmbjXL
/2oH4JORF7xa0QRFIpE+9twG5yyynGjv/iXiVAcE/EyHBwX4UobbWDZ/S7l23RQc2JeqYKPC6blQ
mKZYqeSSN6vvaSsVpycgLiQ4nbWLXSduPjaQJ/OQzrJKx+JZPs0fIbnk2Mco7uMizJcFs4GJSOFl
ekuyQm0Lod6bYyBf+Z68A0EyagijE4XiBAgUt1r1RSqVeLOYvEsgJ5zSmMM/nmcRJPJEd2QV9wyN
B3q5DdZAcTU+UoAPaE4hmfNun0exqi7OL7oTA1DAxyx6q68oygVxztkbFmgc3AMcbwAIf/QlwsGU
xPEMpwmnKjz9IaRx96TSsttCXmkqduCeLAf1yxDXsPMdcwBDYjo9nqBfgzaGHx+oF/O/9m/0YMhy
KcIBRazXmuqCVmWcTRehk3MXvrIeY+tqL6SOmSPKZYrXlatLRtAils+lgCVu9fqkfr0Qa2rnOi9G
BvOXCCwLVVQb1kH4y6EbydppuqoVPsmZNJ/x7fBrgp8PGQEQw3cOTgiMvEs+iLvLEXVQAl9zjnff
UJYghGRp7uOnfHFhlP0Ay6V+a8T8+pc8IDkqTMGrbZ9M5hfS0kv28GpvOL6Wbjn0ukkTQoshbXkx
KR7WlJooiS0QEu8uph3AYpkrNR1WHO5wOJEV51nQBNOOfcrkI/NQzGe3N8qRn1sYgHTW5zpPlgrA
kh3je2BQR04B21IwM3mke8d5IIvz2sMIYyLhHlKS91x7DdLWRZxGQyiGajuwM0Knzpi0TEkSZe1V
QOwyQs1eYx5Arojymv8jMzgOIuqO+pEs91zztHLyLl3QTLY9NaB2B2gQg557oaKpOyY7LiV2SR2I
9/fsJ+FLVEkuS7PdxBGu8cfbp4T7ZbBbuFFcJZN+fmze55uJZjUWM/yvRFHzF2RspLrBSisVvt5l
21Q3V9cSH3b8xSx+iqyOeL2DfYUcyzpcdRSZnIJ5mjiLyLHpeUyvex9Kkmg8dlQXQl1ZyDnnoUA4
evzWM6jbpTnkDlzFX4Nxs9UaRdV3vBgAEVTO9WBU/y1VuYQROjuLDUOOggwgRZUCwXg1ItsdLaOs
dS72G9v1u0ZKjhvPcwmKyuXDKoBBNr9H3vpIbCb1FvRXzMLmNxKZ0Bs/mVSXQ6cuusCIipzjlJjI
5W5RfN8RRhxLGpKMd3i4Oolf8rTJegd+536FTmp0CKZq0/zVBbOC+0elxn9JbTHarBMFXG+KgfXY
LeJyrF+TyMSjQJJTHyAgoHwZ4wrl9oEj64fzqZpRrRNgU9IUa0i9jXylWoZ2XoCBF2KOb8furzCd
Ch4254aC/96pmgG4yJpF5ElPpapiFNCPEDvEsE1FTAhPzLEd05i2GGtqVkvxuOaaAdzPKnPvgeBb
NdB/nXof601s1SFcWxN9GOORmR6ShzZxeBgE5D57wgqIjgQIH+MzoT3mGG+bfjygx7kr7FgjzqCw
gsx3k3BDtCaMgMhfQYXYenyN0fdLAues05pOV7BgEqR7fYdUNnceKVWO1kI7Gd4vYylKZHBX14Rd
D63c6hboRz9x1D0nkUj1bkb8/4iJJEJPjbCG0IqYZ5vNJgzg2U2lZM6Pa9hmdD87AAdkOdZgvBPo
4PUlx+HK37m2YypyHLQBhWKowuQz0ul7Epqi8WZ/RNmb9QzVcOZBMBRg7XrY7DTRIj90u5XudoYJ
PuY3FH2NxU92FTCVKgyfhOmQVeqibQpl2DTZParx6rGA6xQRoKmKmrxlcMcKlkwLj9akh3e3uZtS
gxQqlo/RtxXzNk1rfCvd0HAw9fe4EQjxDnujaEHj98c9UZHlyXihO8dHmWCZ9qamiPCCQO6ty7Lt
WTRNTr/48unU/Cq0UmL5KCDvngnAQ+Qd3+9fmtr/52VWuSQ/SNl1N1F1p8+z73n/7sFuyubQ/P1v
3Dm/HVajxj7WD2x5t2GncUr7I/nKEzRaEm0MYoTc1QGGDavDuoAzV+yPlZeGCefOc5N+Xcjy42Mb
hfxyW6IEUInVBrFdtlzIeWa/jLnTy42RJxUvYj4OoeDv+pBb64T8jktlqmcokzdd1l0yLKfNTQMq
0tD0HzNQ8zULOJcs57Q3TLyCj+S0c5ot24ycXhhCCFJa5uJ0DJv4miVCEudl64vwM3X39C88ekhh
UsRAOxw1c4KBSgULHCPnL64GUkGK/xowuM/lxTz8XU1edhvdFN3rNp7g5/7HnZgONO07X0MbIrZ3
RQ7/6UZjCMXa2nv7CjtPr7aHLoERvCJIzOTQ6mN7FgQnG5VV9R3O7t70tvA9u3O3aCu2lI0dgyst
IT+9jOzVIajlTX3ibAToHTYoQut65rYLd/gk0l4XjscG3DzskTtzXYRKQES0HoJKClNoGDETsreo
La3hqVnFD2aofs2UaNe40hD4aE+/l2MdUqBZVFql9gZGq0bmG6tXRYtBWqhpMZ/4zm/+AqOvXQo0
+2TGQdiin9JkTq3K7fu2TyBGj7jZZGo7NesUjnemHUZ7hgxEKSyMeXZGXdIGEgtlLcFSZZxcI0f3
PCIbtHlMUhTrxuQXF4aMrd6iCdm2jz1H2epst7h1hdH6ZmzYj8bx+cKHZxG/EkLtnnmbnv66lS1f
RRg92lnRKuq3e6vAkmu5KIq69bLZ6deY8Ews5oc1z12W9zaWPum1HbTAqVz/fwdkKG/NFcK44BTU
HW3To4Dv0Sg4bayG55WRmscJPNrydDaCzAHv1E0NQ/q2v/NlqyDSEIlyttCVckaa2jw26er+5F0N
smuqldHLk8RFpzZlr9utpxmdrTtQ1lQ+G9hUnN+SI3BHxoSHThhvJuu682e4h5uiEJ7Yb7bt3uIY
ho4DMDXAwgnJQQHphj7lGfgzpgQ7lI6nXB9LKUuFhhIGVeCsivjBEAoSt40UScM5MJl8OApxJe4/
5hMpq1I5yP414bUwWBq1V7tzS7pBIQIP+5koPg5Ci2W8LvjRUE4ReuSD3sJ4NhdPgvNSRDxcCA1p
UBhZqBVvUbezbI3zyygo30huD0RslF+21nQ6T7uDK5OzaV3Ibu0Hn6ztQq7d1ZR1ec6UNlCNbaDL
hNS0zizAqV08emVxwliDDlM1Z1aKbedTl0JHOr80qp5fwlDYAJTxv+6AnkOP3UMIjmNud8UwBbaT
5fclKRQiMJ44klOrhSIjfYoX7hQkNsgF6qtzl0S5q9lg6aHN0r7hedUJrHCsqxD9ipB263xAwk45
4rP2nELotBQjy4acVClgYdGyQ8Z2xRql2mivw541b816uJyb/dvlyWGkB7HxpDUv4+DFxqwRrr40
tPRMUHe/PsoDhdcyVpa6nZXZnYz2GYOJh5TNltPLi0rbCVnbQ+iWxuyBFPxSvC0Sk1D76+ZNKL3E
WeSvSbgvlyYeHrBH1j3Fsvk6Z5159q1XCJ73ApbukyJtAAw6LIAEKJGLAjIft1wkrr1lEttddKhk
5fH5R8XfOXflYYMXTtshjZ1jlwZMnaehvhYyap+e+dL9sOxdZLclb+jRh3rKDeCcYs7IkhHbuLdS
Kijhy6KiwlD+PTKw0ffOmJ1Ty5E+rOgVmRHoPBsomIeOIcgVoV3or0wLMxI5x3sLFze+SFKxy5A4
QYe+vMDXZKCLT4Ij894lRj3feDEaGpvGOxGR8IdtoVE01k2DOCIBe2CtsTJ9RI5fdM+VRsKpisCC
t7UrcQcK0/Ts4eY4n+cd7kMpwVo8FXA49jxHBGMM1+T+MPAYAsP7Gx8APwYZAcGQCsjm6Bs4zdXt
vkGBTabL3Do+mZLk+O7b37ZJwGMhCCZ0oJKde8fXYz0sgOY56M7YKJRybm3Zd0IAb0WbUFGS4gr+
AsiplidFaCYGMzOYDkD24JVbDaf/bp5Crhwc9hYSKHS+bFNywTe9kz7GPTvuIZ8OZMNXdk+zMNUu
TfxSSd3pXXl9PRUkfoCbNAqIqTfDWJaXdWGWbq4ckzVMxAcFnakX21KjGzOTDBzax41+SMGt/o8b
9mVRQBCiZdZDtAEw1brjyAgL6j/WeZtT55oKVSUV8Tlur05WHae14hL7cvt3VRtstlZewomHg6Tf
bc+sOmzW9cNEPpVsWdPXvjfo0VcAZweAIiwTzdfAaEA4caGFkr8NEOP85YlATLaHZPaDbDNJ0SQJ
8W/ij74X2D+IDlGrB1HwruontZ77lLM6tqqD4ZIYk4CnWDG/BeBIYvq9PpfbcZC/EwxAd5ORwV+R
JwvQr4LTs0AUQ8JpnbEIMkHtgeCkPqQgUbH0yezVxOtIjYjJmu3yJCDROuP3A6qj646BKJVdcStU
zy0uzghv+w4Eig9Z6A2/rxPy4FQ/pCp4w7TqAbEImqWx5zKkhvivMaJK7BWRvpxMQXAhtKwKm0wb
v6YKOdH3ArWdFosAdRSYImz4gHUhSt/yAHo3oXea7Voh1vK7OGlIwR8Pm1ldNrVViiJ4GbwSss7d
Q3NtscM1EFkY5FmE/WVv4KJSZcfbxOHab54/bLEgNTy7sdmwYcVb1qjVdZfWTrvi4qKDJSkxK5/t
M3owFbgHmeZlmVcd9cXMmAQhNCFJVBMtwxITJzUEnnNQyyPSYraFZztWQxQXMS3ERjm9Wg9N9NG0
tF90r8tAsdAjVxtSW7uH0+bgxcnWtrixcJGN467zlOtelLo74riEHDAqGtEe1d8t1Qv/Ojhzb8xd
HlSrbA5rJ9k63c/kK+nMzR6kqC4yMXUIcfsn9pMCdWmvlikE5GK4H/cXGpayEepqzYAU9rhKPafW
rLNeRfJVs43KhjXArYuE79QRjrFUt8RGhMtdhwMFox9RzNOk8RJH2CHo8tAZDQpHkDvEqwebOnlM
roxlcXBY9/++7JPde60rW2Cee7n0k1mdObkHdFGvq2MRPrnJdzC9H/8iGxKvWq2OkPm76fwHDs0F
rO2cVP4C8gWffyuZUyfyzGqSxiOXSlTb5Id3Ft+jL6uveYI0hTwSYlJ7jR7qAdEw4dXgJzTO9o3A
DUcog8SJzo6DT49+SNRvJ1E9au/t93MLznvPZfS6jOjZd14EILN0Se0yaZUXjJqbdxy/l0BZPewF
5Fcy6dQwpeS2RhwiPZa7L2EVEG2Oo9LMFnlNEqIhJeUKMw42jqUkx811wSSfCj13jK/OIvm0vbw9
uDskddpwhQKXoMmA5X9vyFZM6hrjPVlSjdlnPROzXT1zu+Y+eTvcVmuxoxo+IoaxmgPI5SScQffl
rUVSi3EJHQBwbVPkoErHgtHzJamIio9mGuNzOXvmyZPuTlcXaX8vS2KpWh6p/0KC5DZecKPQmJFn
Rjmjj4EdiHdQzmNBbfMsdirzWDFAfoymBhvi6N3vkvjpnfF0ij9LpOaFXH6kweyG4yz4LkatU67c
SJ7LSScWXGQJQzBTXCU9VIVtN0govnBg1IRBhMjprpC2noEdi1gI58zKDIZoIwLzhS0qUl6Wo7YM
FeoYqYICCl58hF/rNTH3UnVkZALBBpKOd6+0iEW9CfazzcZCnvakUtx6+83nGzyyOsgKfXk/mGYn
wrbwQeKSUuDCNPAtkC/1KGdOQA5CkQWCHVJs82iWTHVgkhJQQaIqYn170h41wJkNh/l/3AX0quzg
E000CAqadVvLyRvrC98I4/bKroOli2BsOhiJQSroQ6Yv7eJ4Bx/YfQcq574NMRYQ9CLTzRBpctqQ
ELLq7OgRb6ccfMj97aMIA38nO2GPrDnaWMtFPZ+P1UV1XAsALFw4CiNfkQqh+2dgGdfYDm1duSwt
/DfWsBHgyxiwP9F8BwzF/k83q7dyoW7DlIs0zWM11dnXnanc00MzZgWwoIuQ2Y+h9FKCyXoQdR7C
NQvib3A2dc20L/6sm9Z6o2j6OL2+IfOJEoXSvcghkm3vXg5dQK/0EQAeTlJ/gw+mCJd2oIUv5rGe
vTa5cxDSiNU/ndh52VY0KG0y/61YaJyU6lzWCmQkN7JuKYG2/iNoASuZ9/v5ZW7ZWyaZuK01IrHY
VOhpl/W8CBnXDL8XLc7PuWC9ufvB/rTJFJWNodtAfwjCRAn0YrSmbOy/v7cHN1hQzHGjs84M3eo/
vxSTltwGPf5eZaiOe06GaRs3veXAeGpJMSVu4bptLaFP4Tjg6JIqYtusrVTumSNx7Cv84GBSq8nz
Mo5agrdlvglir6yzGDj0b2knFjEeT5Au4bUIQSnjaRz4Js6dzSPylGdlW0Qn4H1CWEmjHiI1xmeD
AiqaOQrx8o9aepPJS3RHValitxM2ml59tQIWpUsQdwXYg1l21mDZiqyuYCZ9lz7Jd2H5AtRJAnaX
Mh1sz9KMkzHYdKBoMme+09RUaVwO4VKhjo3freECz/ASrsRWnROGngAkKBDUIcZdbYIkQwhLSkOq
qCMXI+fO9j2xjKHnia3hfa1SO0I1Aktpp42nhywXKtdoHrCozkRduMDiTmn+pUAyeuMXFHfAW/7h
4ZgtPkl5pr9y+O4nqCWurKcbDWqH3Bk/4ZlURWg4XwI1kejMfsTkCAVMZBWlhaGB7qwOnZwDKa+Q
eNOQ0Fbrh0DMnlG8JRwpMOlXuuKIFFw7qx8CGFEXDih38rg4wth/SwaWiYTfNkpOD0+Z1dTtWc+h
daaSSSJBDo1h8P9hsPEd0q1HuUo0pg9rAuB2etbyohpwE8v7puoc7GlnjDJvt6CsI+i1Ktqe6Uev
sgx0IfbqjEoVf5VH+bHriQIB0oaHPbbIgZj9m58aj3H6BsnK3IsZ8Vtj1geACxKGGBtvygaYWW11
Yz9JoIrd5leXXghX2TBfhEdyf97TXGn+WvCo9yrWKgdzD2XYsDGLJaN2mi363BCAIq2Xc4rFfxZL
blV/Yd+MY2P3sgUCK4e/NGHpNUr8BNAe9AlbNEDuB09Ing8YLbbad24Q6K3O8qB+Mjwm76A7caIZ
r2FOkjHOEeCalYCZbz9cdJonrS32VMrfqM7b+fW/1Gsj0VAxeSRCzdWEJwiKxT7xl5lzIxMr9xx+
yu0vRPHdQKyI06RvFMF5MTohGpP7eIZmIDZ8ZyS+6DYnRNQCx9RY734U5UFs+B2rw3Ua7L8nr1zh
bm7WZSRt1fe5AIl35ttqZMm9FqORqug2D3JhHMB7wdZabJJtjC4U2VAe/upGWEgYPzP8CDtzKkji
aV8ihZwemXBNOdUdUXmHxfqxcwo15QXi+hEzoq2keuO2jcdnsf/pm3/i0nzD0Hiox3oghxEno/JD
qoBlZBP88RNDpK/V1FMItxQBxfTr8LTz1G5d2D0o9HrwWLNdKINDp9dTz4VT5Q8uZgfU1dBBT5sr
GlcNJZMIBtA2Dx2iyiZaHJGgSOU8sBcSpVPiu86/xpZU4LHGRx0u4V4SZMe5Cxk3SWwldFsnr7hQ
skPOtnvNOeVKVnsHWl5JbNeotK7cFqODxEfd5MjI+/wVQaGkpzEGxlPMT5oO1FU6OAqIVZfEqZwk
52i4266G/bM+OUqfvbWpTm5SlmLJ3tY95i+WLQ/Lfh1T9CDDGvMRcQ2vfaX5v9nFn6DZyHlEKTao
0L0aZ8HLG0MFa6O97sh/4VwM81QwFxsPbqyqZBfnMHE4yeTLSxqKleJxv7iL2E/+AVpTE1D127GE
RzOTK2PlH2TSqG9rLqenTWJo3t2AbDr08CTOAr2Lf5FGrmYpPHMNzGHfkxok1ZFZg0wF45fYP++2
ZvhW++ioXlJTmQQPH4CtQJUfRfzptW7woeVWmiXvM5aFnORltD/pFwP00KJJP26BV/NL3rPG+Lth
d/Fe968ZA1L3qVeZU4PNQogdCab2nGPv5ZLwlebKeTuXwRn84j9L0jGRVBrDH+zPnFWPs4QX9zYl
CzssCciO6+6wTcBfxvQxYwJbx1X7hoUu7VsB+Ejja2L8naL9zpUMSBHH3MsQtLQ2IiaAIc3AXX0c
XmJKe7JDnApiTXjs9B3waiCb2d9NlWapHt8QZO/CrTiOqaBftNHRKBudWTfZdq548g6uHwLb0iPA
ueMnmJJHdSn5KeAkJLhTL0V6UDTTfGv7DT2+zoiOrJbO7IrbbAkD2X6rAqprc032cHaIkXYiw45f
+s9nAD0lJxvNWGy5EYXJ9hsPEqYSiMqyROrwVK2aRi8FQ3Bjp7ZlRbrV252DMPkBh++NTFPTPQmX
dduOc4EzkiViXE4a+g4Iv8puVkJihHx8E50zG10a30YaU8Lr4Crfjn2X/T/UVKzI9Kxim4G36J4B
Rzo0WgCdoKSLJ2o5HkLKLZAQWBy+0p0Z2pVNyo4WYo5yvU1iNELX0pGTKURl6cIrpKbrWRfs51I1
JIemnw9gXp4DlsF8lPX56XgqJs0oJD3kVly7/08M5TkW1W5z8P/Eedoo/NE271VpplogpO1hHyKj
naP+OXVLfaIgot1zbLzQyiiP/rnbOotIyD2abAfdu9ohWM8DxnHOmgPevKleD6sEDrh8z/jFst/b
cX90rXIHhiWGzH+Ue1cPuPTW4lg2pvECMx0WvmEsaqmfKr+N5NUjxY03E5BZVN5DYuw+JTQTS2xH
Lrunrn/QZRV/KSyI+wgVmzGM1sasx8UdlpxhXrGccyH5aBUqfkHnIVsajMy9UYs4Tbw62BkWbTQ9
wZDFoXxDDztZRPQJR0m/qrk6BwKSyfOh5fuoWGCxTRzNUt1lh2FvsZfg+vYcKKh73vTiy6QCT7+e
xAEeWMBjRW2ZjBk765+BwBTWFcKPJqDBJ+haltL5cGYx2BybKwKRZwSzqKKiHiRaATRqWxhkYWD/
oZo7YPvxzPO5DDar2LvakvYjqO6x+pv9PQTajhr36mnPy0X2ChGbaLTgOz69LqRoauazAbmfvu9J
uKjmjc/0dq0NZxMS+4hiMod75KRDtz8LwlliuIZhmpKODNujm80lCViUMSI99JKmUtpW4NAc67Sa
gP9x8wj+hrl0WkC2XPGOu+QcggfzZxCVdmqOuMamrQnv46Cn9Z7Jb5iLWA/hYcKV1naO2dMYrmZs
hmtqpOdJzCF50XtEQ+L0R31w/V2aUH/Ffh4T0wDrbXL4IMtLzD+LFPk4gMk3Y/Big9xRipbl1tgN
8tBVdiP6IiA3dQuZK0vulZiFuNB4se1d6yYHKq1saMYDO/9cV+MO10CzY5xQIKhGC4Ir4oN76tDj
U9YkgcWeyTeBNjEX8QIAL8KJc0KMBzvadka586BZUB11kaIX6qvgebropJkusGYiC6CY//BcN3HG
0nGGRF99lXFlCONE/dHn5D4c8ib3b14MeZPXGcyr5OwHQWBug6w1QuLy+JlMuTMOl4L+0vMSVLkJ
e+J+UQRkqSvV0URuqg09b1/LZ0/JlVCKHT3zT6+utKsbnlgf2urIyTkdu/m5/rayeexeaTqFIFbk
RP7Z2/k65Cdj1S411sbaTo06Iu/JCYCy+sizrhNQoPIITzObqudgyNsS8E7CoK2JhBQfbdypl9sd
P+h7iSLKV8oJi0eWbv5YahTZRn/mJ4r1IFBgXndZZ1Th0jKtYUp2Q/kqFTJLTXALorAyv4BRRPht
JMOxtxKQoh7P/vBuRzxLMY3Z5pRVlKcdJoogmqynb6vvZI4J8ybe4Uj5MDHqrAkqFIVaFcCt35FE
JTI1pIeOkBQRfDxzkPW4WxmHDVKm+f2VjYrRWh9nMWkh7LuA7H5mhR/7K4TYBWHXLJ+kqUFH+Uud
jicasM/mPx2NTUVzZETeF9zjpfar4fGBns78tgx1i+a2CidtQs7IDjXvplN9cA1ImwxrVFYq+W+k
x34H+WRMNIt6FCGNHk7Md1d2ThjUnI4GCCyHiyhM1+y+bt1ecfkXYZB10zseob4nwjMXDV9FYtgE
OzJoSWzLE5rb2oOCk5eYinOHGs15WyC3sH85MOu7twkBHaPU164ZQWbqCzQKoPW3KO2DVfEHBJg7
9ec2XJTMdLixwiTw7hmjBR2iKqBItZVue6y/eKMgy6/ZiCmmabwMaAmUXmawiZz25yf8VRv00NJp
ZVBobPwbG3LlGBZMqOWDI7hCT/G7dOBiPRko3Cp9t6IRPAftIhHkpruUt9p5W2pNnNmG6uudUHdb
c2YgqAKz+uKDJQUkQmaRVkt4YkJvRK9elfazH81wfHB2CUg5hPtMqxN962oxyYGdQHndA/SlNyqH
fa2OCT+hGB2XaqF+jtH9RbhwERN6KZh1JQ+oHIhyjqfEIG43f6dXyTsxeMCE97siR0sy21yGtKOu
Vn16Qm4AmPYAvvxa8+B/v5o0UEXQc7UIEo4Bn6wdXMBodJAeFTlSYughd2f9QqtUr4SJ6AHNJmbE
PNEMna3TFdZ6IGRPgho007fn/UD4w9Nwt7EkJyaiAIJDwQsNNW4oQNHWggGPaSwoPDc3FuADzyIY
j2/YLJDaoTcPH9R8DEYC+sPKFyO6+mTKLXcr0lCPuyC7hJQEzescI60wmwX8bCZsC+/u0StzcTlh
7XYgFkIknwgaj5ri271gyaQMomAuohwfcHxVor34dUJioIKfSlnmc0lwxyftaEeOyF2o5YuJfFRk
JankW2mJhA+Fh1ZMfb5Azwb5+R1ue+W90HYLpRW9nyzFzmUSwzAqXfdrTfVNcfsXnk/d1MxRFN7t
IbZFEDeX9LxK8hDOon0RRNd1kQVPO+Y6713HB4r3MqNp+91tewguIGq6oQuNQo6i71T4VzJH7UJZ
3WsIUEXExHjPSPXDPMbHUBXS7vdL31CMWVMXYJVAPeIU9vNbK7GrEFIWoT/AhNSWmwsLtpYO+MuP
PlsgUmsipdE1fWZNt7BdAADjpugCwyVr+qvQErJYkX7g+sqWQ7pfwHX1dQ0aZaP6jhRYHLyZhio9
aTvTcJ4l62Q+eSULMGrBNWiy4DwWcdBCxmZ80grwzI0kzNGQ4VKMhXqvYhnnamrEjkxKYeX9aBbx
eDyO5TtQmyKOdjiFxNyBHcq0UezVTU2tJAMPc9IRh8sTTXdUq77KY+4kaEcwPnDy/FELy9N17yEh
acCdR2DBFKYRP9uBV0I3/8yyzZ4otzDBNuI1sd3oqttjhwPE1/06bi2TYA9vHd18re4obgfKp25Q
HumldPNiqkeCBDQvLVcc0i3hWZPMN2T3jnNrY8whJOOb8qhKIPxWr+D4q1GvipQoLO+dKygshAwP
wNNdIqCbflBtigyQhQvfQxfvdONKcLLY1kcHbPlZHZKXGfIikHhXjDQWStQBbBVwyB5eg0laxPYK
Ck4cbMoCFOhmegk5GKfSTd+h1njaGUkMOFmYORtYiDwkT1usn5WGPDtNMxQ227XeG3Qp93SgUKE3
/WsFQLFaIVMhnvCVpsj2Jj5yRApWFHHwuTsAH1iNWbgr7J09/ARifWqRKQLnDu0glGExYyhWPkBS
CziyoA3OXJLyxo4qmJ76D5mot1AYFjrFLYi103mgCURdR0Y3tlmJJFAIInB1BMfJ5o+brO5txLFS
GTE4JsaeFPaW/zqYdQ7zo+vY564B528I+thLwZyrWyDfdCyuiHcnRIokmlhZISkceI87CSUYAKjD
RnHyqVpmIaIDipFoJfopTHTg9bGKHbToiB1JICzHD5W0UjW4RGdwEwvmKcI88Erhto58AEI8fkR6
pX8V5seeIQrVtwOHjoDTVzjsrtkzqBLQsPWXU+2JoCUeaG58INwJZouqZk8XrRKi1msfZ7YM8OeL
qpp7xjGl71epZEgU8hWTvA7fguTuA8rDnSNRmfLYkkUlLeJdrilj+hsfHXyt10P5iYuFrD21jEFr
uXobfe7QC7HUOvPSpwE4ZHeptA/+22R1ylxoSuIk6y5u+v7ULd5dLtvN2eajs4bSpqBOI2mLYFU6
IxxAbhqlm1j3Fk7Nj+8DGOgB8Udat2DbPYzmAn2v9o5ne+38W0YWTgv/uWtVm0hMiXu18Q+aAa8G
LNSs3+WWOxpZ6mxY98Sjjrhqt3/Ngn990z+0SR8tqR5O8OPigRPAKR+Uop9u3dN8t9LlxMQLUPFe
4cPWOWVpVsOpOVbXDygPzRzOedUSbZIBSz44pWv7SYRHRS505G/IVD070Bi0PY+jhEfIYdzh1bh1
SAq04Vr/denV0KqhldIen4tM6OdbHSzqaFHIm+DqvqRSybh/gmYZweVoCd1DPXKnh70PKBrQlQld
I+CTleqR7byYYtiXQMpKPjkB0slbhfDG5bKJaBYGhcwFxpZcOLtk73cdemcA3rR7ZzNrO5/rKV/n
XzWY0QoUwwIbje8SifL50BBFCxfooZLz62smik43kGtVrHdi29NFNOk2Wk7VzmYoRswrEPDMqXW4
jw0KheVo+DmRE0tn2LOEVU5V2Nt9IPB40AElMc+p6J2PuYCrtxMRTSYpWYMO1ifP9kfT1r9YLzsQ
EtNhZTUvdsG5/xdF5IhhjskVCoEhZhTYRIUZHoRK+aRe3LwNoCWhWpEg5KWx+tQ41xc1bMJKaRA6
Rhd+mkc7X1ztQCU2ixsYgg8ob4DUIhKbyVr76aKK4NqjI49H6XUmElFm9bFt/CSkFcPCQsp2P86O
SIVo6mlKTfIMTFO+zKmKNk7c6gmVJS3sk22vyqnME0qp3I6aHU9mCjzH0SM+TTTOZxx9f+a8MftC
zS75Ux6Fsu/gIY78D4bw4dZYpAEEpJyLR05fWfM8zZx9w0mAYEqba8YTPkUXdNB5V6LnESqO/pQE
l3vUyRbjE9GTAoIYFqpIH3bwBBXpizPTpSmH5vWWPcxqKjjNI7FoxkWvKrBbYM+esSs3wVPq9ob6
Au9TZs/ugNfLPItRl1+zxlnT5FV4TSvtFjDKTadpWpS/mjP6uAho0397NSxm0rakZchcYQe8vfiP
aQWeZUbnSs6O06vNIjLmGXpsEBWJ+9KjGvM1099xXFgiqt7/JQYlMk5dMRJmXlbYWATvpN0ic9Y/
acbSt8ivhluXnCPKCgr4irnGu1Mlc2tztnpyr+5yOViDdPi0IZ7/pCNzf4so8fubGyjJcd7sKfWj
7JtyJg4xtZML2DtAGHEXFdvb8UsAn65EBx6dvlHnddyFKi5aBzAgZZwEBvl4712J9FWA4K0pWgTm
rx/k7enfBkej8x7rJKOWsZsSqxOWs1Fu56XCXiZaTrKXDnV6OSIj3LlZwyVZ0PhNa8/q5BtCp2y3
VxX/NRkgGKcI1L70l15JZ8lYpHbP3Ps0FusgmBGt/Cz1qs73pUdgQfGv40nPNLTjtj0233tRbRf0
eF4iYPEOiZNSSCa3tIWOozsesiaQFBj1Izy2wk7k/0nNIuzRA+6fNOTQ7tk6r4TaYhkqCqVEyqo2
m6UT+51I3xMhtrbHfX2QXWFTk4+fAzcbEtBgYkLmrzqYUp/7v95Z/aTS2Te3VGe/eLVyhFPALY6u
knKUzfMkL9elYQuhQvtTrOYo54ZKs0NXLjvXNN91PP02q2vWwz196Dr0Zet/N4mKqCCz3CvrEP9v
gUTW6B3iPQDx0lg7HKKhYXoxW0/+yLBMmzrZd5IpNemjIPcpc3DKewXv2umEJlGDrJ2DT+ZgVZMX
MBoQfKCvHrlvsvGIwROEBsBZOfuOISxOPgRpMRPOZ2nDP5Qlc7NHBmMiV/VFqCJjKNsxieZY5RV5
5deA/5eOVilYZyP5EfbknE/XuuhSqjZLYTdNrT0UWe55F5PO+DOdNOj08bPQhdy0j1/Cp1xRZdf5
obXoDqNpPwINW0VRe37J7m3T7+Zol0PZcyhJaBV8uMb54dB6TBZDlvCbwzT2MlpAmJwXmFOKfqV4
Y6S/Kd+hUMSovtBXwSOSdKgIPNpNGYr4Kgpa+XT5uGdWOEZneG6Jxiqi0MSxQnADvAQclsVNryPI
oyU/WdPRD/BAoJ1LHFfK3Y4ZX+Ca6kOvfYYEWoOicAo/TO/wHoYJqXwsY4Z0AnFrEXhmGY7mIfdT
OdWX3tYlDvmQ/67WirFDX4HfURdGp7ZQVud/QQMrUdeXAW4hhB+hXnkcY3BbcDLB4e+cb7PnXcYn
07cSKY2YEno29JB9I24KdzGAjZsaDivUhyJZB67YLNWk02c6cnrUuqTfIcnObE0oHlh9N3ioGoh7
hgHgHels/PUkMJnmNBKmeNtt1OmJHwGGFD9l60YMn80DvZlt5hIV/RLoxStUrjY0TuR8cXlL2/tU
6D50LzsmpmHIU1WrtrqMfrh3gZObFewP7n1SGwZn6y18KXYZS3gUdJcGVxfM6Xb6cPqWT23nRPdP
Fa5FU4FCq+ajzYRmZQNyzPYpcRI75EhjlEVk+SQUCbkt+2QOSmRifW3/SsIB2Z7MnO8Gjx+YxM0p
Mb+qa3/lL3834KpOKiuAfPDq4Dk5e4WU2kU00aIsFMMBbAoQx6rfH68gPxNR2NxEVEKjfsYWuEm2
JjJDiKidC1pPnftdCQQIXCMC8QKu1dofQs0001bcnyt8mRwdMzyT7pX0nnonhWz5ns+B9TJp9B/8
sloWijnYUGm0Kk/EGCwmb9FJXY6ahJ1zlUYmCqTc0S6Cy1edpdf+0kjL0kRcTtZCfrmA+q3BlcPK
K+kJbQYTHAbIW4key1I0NYeYbwg/Vyj/Htr7e9UvcVZ9olqjPYK62ruM8w5CXvvb28myNwMnk3Mv
i7/uo7WEcPK8+JpNNXyRExNrTgxaRubzJ+JmRI4mbi/5xDjNe8q/TB7UEmmi5qUpzubaYdaXkea/
/iAEe7A3Z04OINjOnMBdfz0MBkPo4BCNP10Q7Ert1mTHOXjJ8HNu9LsR6efx0RmcLlS9MGnq6IgF
GaJpiJKUSJvtOvbR9dTh1ONLue8PTbh5Fha7LxSYcFzUsU2mvVPZALBIDPoKYa0iwnjKjDfYDwXF
gJomWRBDz6sJsraPlYgY24M/RFfRQfSyG7jjjTFAR/zsg2ttJY2cvRrJpY3Jx1AYTuFQj2RBCH62
ZqpZeGvGbYaUnbLetM0G2nD0QefhVvwH/Z+0EFW5jEnCKAeXMqmzjxOze13209Ca4pMWGIQeSU2N
C0tGMO1IsjItLBljTwrgkWFAHWlwHGBTbE6Zqi8PmOO0ZAmCU8ajBWSzOTuCO6uSFpJalJswtRAI
mGK5H5RWjDvnRAyVBsfmBVEfFC3y5JM0A7mcWk/fDY2RXBfE7VUJyJMH0GHB6SEq5w2LZm3ugxkg
LBfBHogaha9bcTx5zPVILv2rYslgfmJOhbbM5wM2vhU+eI42xuYRIG7scPsK9eNKvGWP7YS5h4Xy
q3y8sLw3YIgiPeCVW1EcFp0sG1xV81SWLJvYbKTEkRqYOD49MSYc3G1xDrJYtSchmZR9wilX+ycb
9LJcj905GbAHcCktkvconjKLugjiOkcFrvNlE9QhJ6z8JQ/bQiS5hJOfA0UShs5q/e1GiLKF+sSy
wnpjwtSiu8Rf4XQl9TxJ8K464c5qTIsp1t4UeTyGLZF+yK/QtaFCG8bHIhTKz68QQ8Sjqyr3qqjh
VZdxYSw4Dg9kltPXCCf/Cqre2Z2NvVG/uVqeDXbUjFu6iiEMU5BD+htEhApHQ806fJRWGZkZ/lXh
4Tq7hD83fqbynkhT2bMipYIPnCa7KdGi3owEOgMu+PFjGH1qym0x1rJKwfnm1xD+vByNxZPaagnp
d5Dfjl+BUD9tuKaFhPZ+Dfa0ByXKreB9ZiMtjm0pfvNd7swuQ9n5ebHjHcMs0Lw9WMvewjiqK6ZZ
ymQei+8p7KPJnY2EPzwzLh69ZJvLGvE33vrY2HgOjsXOqKZpM61vYt1jz9p9PCAuBF3d95P23idy
wx5jAsBeLFVAGNFiF1bqjUxaCpG0zDcItLSdj3sWpixJQpnJnvQcuJD1FuKwaHj0/SjpslBrkaMn
Ko6qcFugIA3Q54OjIRvZBJqqzpYxdb7wpzArqCwhm/V3vsIu+Y3UAVtgjvMlqx3Ce/Ea89U0oLVU
jMX2/7QeWidnQ1JKfQBkfKlq5QTeCb3yFkwdS96c/QKnI1JsrMzGRxsu/9QTPUauJveVnNO9QCwy
E9h5a6rxxMPyKPWTz8h3/yN8OeeBGhEgji1inISC74aQbAD9mV+IWLDHcxUV49JvvuwqMs6ySgK4
CtmgxzPvbTXnYkh2LAtAR/VjIwc9fUq0wHDHmrhl+59Ycnlx7pPpNV0pp2kjeqeswfO7JIDAdTx8
f1KS8IQOHdXd2DoY4H12TxJxT4Zz2QKp8+9CGIAEbOHB0D3BRKWWyXhh1F6w0VfUSSLYSnyl5bLo
KJ2dNsDEiG5mGeuEi9ZbhQSTSZZ6+UF5PXVDhzJSO67My5SFX2ED2r1A/OSxGk6ZKlVksTeDA1Bx
gwys/L9CDa0ZtPSyJ06jFkEpWZgscOeaHviNmy5Tjudwax7Wwihh03t1o3yGIGwtUrts6pvCmsYP
CU9+6H8V+44Fu5yk3dhbuWJLm/SrODPvEyaHOC3wiS+lLfKv3gus1TYYsFMRpFgh/fBjKsHtyGDU
6MXR+SDwSlqMV2Eg2XGvk6vDIe5hV7fwV2Mi5GzyuKOeMThi9Y+PENtFNxwSZIL2NaHAhU+7bq0w
uxexnENC+QXUXHE5rIMEtusZjasdjzhqwDBaqiktKFoQompH5BL9UJdkUJ9yFD6wLNnMbwIJQ97f
HaijGo+LMg7XuHZ4fXidc3RAmiGEnXBHyJLWTer6smoXypxrm7exKQrJxm0kNWfr21DxUPWbL28M
Ij4qacdRF5c75QYBJ/4Gl3fUifzKL69PiQKNU4G/NzrxVNzNUnSegeQ0c9NZtsH1fRT89z5C8VLV
KIAV9c9EBZS3CHxEAtzK2ZKvBgcSPQexfzJPHcw8+fBGOdjFLfVy33Zr2Eqz6vi547llxm2oJIZU
KNmdrxVlJqLHNTrfRJ19banI51ezHk1cG1liwSyU20zrh0YPVC1PwPT8LPu0fORKbSCegt4iG1LK
ESwf1NLutNoE8fConrh24l+frxkPcOaMwjhH+YrHYtkCGzOKM7SaD1CtDrRbAfU7Bcp/MRBv6bOo
SQ8roeq+vaQTfXGefgvvQAPqeij2NvnIXYxtX5PoA7WZ0vt3nF9mlg/2Ov/U3PFp0kAYhZ4ee9P/
8qx2Mg1r5fsB+loCcBJRwhqYtzeFTHiOKn//pEG/Q+EAGjwEW0x4Ua+W/ApChrAEj7qvmfL0IVs2
SqTkWK0HI+LmgWrwvUXcrM7c8J+qLxJ8nbEBVg22v90wN5/CytnM81DYO82FDYHLydAtnncYpY0H
r0xzEj3uTZcn6/f4kxGWeOpSsePR2GfXtsX8n5ZuXBbjYUH5uY81nEzFYGu/jjK9CCtYliuk4tTS
0bXngKcA9TT01WkAptGf8QbFVnZKMGRufUiU3YgZgqzCfZAVVF5R3agVjM+zkuSVKzjgMiCqAU6k
sR5TCDC+vxLC0djoN8LdaTOg6HXdWqoR+NUJyc/EZ8MSksP/s4wHmpK0b0KhAP39GRxBXu0c4XqW
ntSaiQ0vh1I+1b1V/nvfs/yiMFgA6PIW4VkT+oezpwMLIxS9zSpUyA0SgWrLOCEHEl2g8bxeFfUB
5oQMocaptKHAJDlErJwDcbR/wqhgip+On855WK7J/ISVAiv6A97LbGuk6IO0VhjDfcxYK3EzHZCq
gfhSx97v+rNd8Kk2tdmmZJFccAIbyRpY6UbpwrWiyZz1mKsEYjC5HzcW90pFmyTQHVyGaT0px1Sk
Heo6EzxzJMMA/bvrVefTZsnhgRbuobV5Sm9jvT8bSTSjEjiTzkmLtSZUlphzeJr1OwMXx6ML7ZCG
HRWYFzsVBnZxuLdbGC7vye5NPCEpf6zEbbM7U3mc24bmDfIHqs4U4zNIUc1qm15cd9qmCkPnox+G
nnQLCynq/Nk/vxgAi+rNB1L0sbM3tsufSlcE4O3le6r8Ytg8MBaGeAKSeGe19N/B3wylCjoS2YfD
CKDZslU8ClyFOTMTq40w6M8DqR0iKl5ssNqkGjT7YBASgA7YhJxh90Qa+JG6nVSBhcIWorslikRj
6DP6mjMtR3FecacPHlnnBUKOXXM/0T+Uob9vBgGp07JsPSAtYBusIiDWGsAEnRF0zhGKZwd8JFNv
UVaQwFMvxGY6z3jQVyhjZp/9olLXfY5Oqkjj4T7TOa+b6urehyc/wVJZbpeOtwHGMatr7/2SXu6e
Aoi4aqfZTQ7EnKtDRr/r6x6kY/a89+WQ2RnbBc8B6EEuRsaAKSkPVfcLAGdcmqVYIqWnD1vaM7eV
4xbBeEO4BaM/W5wmwgn1OJeeWjd1QGRqECEWpVX1SD9/lhx/lA6NzZvDmY0ZwSLYlEkHE/qHe+2m
pcDop5p9moTW/tyXNqQw4dPLJuGinCWeeD4Du7mcK8jwxHSrYBUj3iTRFbH4kp/dMqFl3J+lU/1c
87bAGolKufDyXZtQnwbir8oYXNeB9sSFzPB00Fs2099a5NhN5+pp9igTrcm1CPLyTdT4tWbUeiL7
NNkA1rcptt6egYEmtYTh4P4wVF0E9ilhwGImp6pHdjOJOyRXP6GPxNssJ1v3oaBAs6tfOc1150sj
i0EouvtnJqHyb/dtyxCX2YNFykaDlLjbdHJBnYQ1R8vwzTLWYzS65eBnCa4FYMVNPlQFBcRqLoxv
zS7JyiVJsPBTTf/XaISMqaBWGttWgZD0NVP80rMaa4Mmktz/GzrfC7nu8ds4zUEagkCWMYjtHQHz
diAvSFiUaDYyMrPz8oErn0HYlWecfproRE/Iy8mMpPxqvT937YMjTXlJWIWxb01zEO//kB2YVwIi
FLPScwSeQBDcewqnE8dxH5yunxHfrYKaS6ptzMIEJrDdfF1DrozLXrhy54DS71I92jeoNZ5YN7XN
VHZUDkZpeA+zRjOVBK4CHMYedstEDiobB3Cq9lsvjYhD9atesMzl3SO85nPN2wArvmsHBo0oVy5U
ejNvB/VJ4GaeIhwfNIV4ejld2iM+xAVPCv1bqwWlV7liwmGoz6AhWXxU9MDNpDdLoLpi1QYxvGae
k61uKrEMvTQtKYiRbetgSBZvvn7rQHCJZ4bPjBQaVN0oB3RLWKpJakCXRupynonf8vajqwLgzs6M
SCTGv81AeRmV79IdXOSelKUTJhI2QP79IBWRsVE9UHS6plnNsaM8qt3nrzxaSDLdvy/awpMMc7ug
06wMKP8GFKE0svQMnsa6hNFjQyOjgiySQZZeQZpIE2JyH97xDNLCpStNKapF4Xo+GohHyaUlMLak
qyHt1WZo9ShGWkGllFK1ljjHrIwspYVM310sSIWVhomoMFHtbAdv/1RjYXPuGAKnHl1ulTE+3XJk
a5xL7ahnywGBpWM32fw+9OsLLr5LvFBdxD+dhD1IH2i2oFC+9/duRWlASqzuEoiCebXoHxpIz40n
2PHq+WgSkpVeNwoZW2lyhyjkedZx0h8HDj75bHvTrtVVdFiqdzHmLWOp3G3XtIC53CpCCUTKPVlR
NIlYawQNfJHgGm79J7ZIWV9aLPQF0n1/xU0skind6zwZZQwzXo+ZPGauQsvE3tjxmaaZTp99F4IT
6XC5gmg9B/4Wpp0ALoYsEFsmgdzZV2zmTcq8+7phXd6TOUoiaz+csbdS68+5mWkeZwBzwUiiS7Yz
0i+M0oIklJskafEhJeMfie39r9SGKZ+MTPrWi9MroiJJPR4PUojnL6i+x86QMwIeUHiD+x/lD/Nk
BROvdkgvkKBvnhjNzIiA67HNpw+cHZWpaUtnwoxlnzV30qikMYCd04JJ3dZ1U+3JojYGfif07hs2
M5YFovAbHwfIWfm+uo/JaZ7HprLhXRgAo5xgfB35z5WLrpUrCOQUsJoodEzzSNBdbwHI3Vlq9hRK
yFbTFDKQf3I4Rt+N4ZEH1iJa8DVDf6HJqFgYwbthwRHWJLmE+mEcyTgkNGAchmxYOGCFTQoNRMyD
9L6zgUA1FYj8R2nUYUZ9jUfqIZJSHWWWhoDaFP4Lezemazkc7A7ZIdUJjaJohCviKr011Ma3wgxS
TS45DGE0ZqgGB5kolWXe8GNUNvvy97wSoHhdTRqm0Tq934q55r9oG9CXDR62ltPStOFOO+YuiBrP
WkAKBPOSe9qT/cYaMxUlcORw09AsyzL3D4SDqbJHP2aAVgmPnMpO1pcxgcxHjQLZrXcdh/9kX2aD
e6Uhpc4dPivZ7jB1U2SkfeKDYd8icRL3k8q6lEG2xuKUDQ71PCYqMU9vPvloMxh3DooPrzK9NNA/
bUsbRf2XUearB1pPiALdJUxdMeQK1rMOaBzWskFD/5SXLqbj63UKMc1WeUESEuafFNVJKw2EHFBC
gxmlCnULpM+Gaoi+3AgeHODqjS+npjIBQc/TO1L9M9AaF8BZJP6GeT62TrEeQwXo2abmpaVjrlq2
8tX0mU1LcmbrpyBKG8Eu9FhgM8j+vfQlEcRCFAZVnDoJmHZn8D6bdS8Plxj++yrWXj7kkJ1LFByc
loclGYiH51uyrYtrO0fGnYJlK6wuB/+vrUmQ9lOP0YwGXCkyQc3KR3PhNmzQSjMin5bglVfrhfWc
5BVNx+0RBKNHPrpOblw2sPOWFHK8uVZXunlIhaNwTGYhDi7mIxABTjZ8kdbSUHRf1Rc6Azwf3VNI
HZ0gkg29LFq6KuyT0B+ZuHGyXWvpyo+Vyjjx4f2QaQl5m5u7GbAi9FbMxsqbJNFSKLzV7TshRGoZ
rCfw5CSeo1Vgk/THGO905a8l6WRLoH4Ne8hTriih0uSUWBYnW/gVXOpZPJKkbLbWRnMjghxRfCLc
dJpuENlDwrnK9XLpGtzqiUu80TSUjMli+caDhGiHIRe8u+x69vef8MvGhmpempZq0kUVLBYBmooY
+NhqQ0QkCcafkjubBrM2cmOL4qPsjGQJdEpTK5aW7ssmU5QPwmEu11wqKoQH7gV1NAx0Lr05C1qu
JWRhbrEQ4FpMVaWj2tyryNb8jjxF/euDTqteuz3SGz2KpkmdZ+LITHYUswRWvEcBXTroxgYkzWXQ
xI1RelHygDXBd35dO6tmu0C9Y8WhcmiTf8JFlRUIkqTrOkzZ2VHoIh2Wcq18Lo12ptj2ZWETaTRJ
fe9W/WGM38vPNyzrVCF68cnrMExba13Y3NFC36sKdml20QjqO5Hj3VKIsMbbg3g+raUswgIE+PjX
lKTGiQoGSQ8PyTBDmElDOBvXjNQFd98ouZIAcgsIyREAypwDQCxT6VpCSx1mnnwXSokjJ+1Zs3b2
7F4OCfajQcLD+7Jjf8vJlA8QYWtV3oBYP3Xaq805+TA3DTgJOM2O2LMlTLCzHM7xLjrV3TdDku/v
ZGIqJxLbHyngCUhnSJMLO7SAqBXEfEY/aDDNE4Kwxk27Lt93oMITqIILbTKtHrZtPuX4KRqeQX7G
gK8IjZfBq94Gw58VlgGpGRE6M7ubNT4Jtsqw6mj2kBpxpOGkpturoB3boy0oFECl+R8SiirJ3f+M
NdfWY+lJAmRUfNyswSkNIB8lNCwm+yjdXbgbR0emWSyzF9mwdPPaRH9BC9kyFRuEWcr9qmSGEseL
5/3tEg2pQbBNnjIdbsv38gsiCFIHhBbkw1TaCVn2QsgFWLy9NLi6bZwoOFFRnIQHBXmv8SBIP8ml
vvf4DPmf4UYMIc5C6AiZXW8iNkXYMVrLIB/nLzx7x3H63b7nzrtW6P/P7/H0Rbf5Op2aaeNG24y+
x505ykBNPFLXHaPzUTao92Ox5BrwIm81HNczQ+AoHHR9TVZPw0BJ4HBx0lTPII5TnjcYKcY6td86
tvge0c0OBc9+z5PQu7Xv5a5FNvpYlPpYIMjAc3HR4psMFTiwiuj79uYzoQL2qyDF/C23eu9hGcGZ
Ik6rVaCw3zJ7HnJsw/WLh+7ncpubHD18i8CWeJNeuVx7HAXy5v0imj9UmUKaqU4C0i4tsJ+B8HaY
Y6KYmzDbw//SSikP7cb+YqIaz7qc8JlxtMMnsx6jjb91RUNceJLibkseAg2MGx4Q0FrelkTu42jM
7EsVJK3zOyDQLnw4jyPJwUkIYuYfI6YfdYVL9RgK+TgDRqyVd30DFOqpwefbf3KK7XEbZXaBdBsZ
BnEoo2QUxj35n83uw5LaPIdsBDi7yvKcFUq4je6sg6BhmqiS+kAX6ms9TydzHavay9XM5mhenodX
hc1r3bqIBFy5KL3YNufsxJ6GRQGL2/v+1uBjlbhxd3iOyharBgR0iot5sPN9zVsSZHARjKCIp8lD
LwavIIejV5Wv5Lzz0kRgQdJ8SbVX6hVwKEjWYNrhDI2F4yLA8M5Ghw2QnxpesbMQccmeC5ozRAQr
GApd+ddvJUr1dai62wXVE0+Ni84Y5PTDMHx6FO2lK/4l3ifQYdVS2VOjAsdz+veeqDltrLnYdCZR
kBDUcihA+PZKoeMRopYLcSNwTZIVupLNfZtD/bXAvaEt4SizS43KBrkm9MpqxjoiTQFDVZ6azPVH
OIKAi1I7lKo5Dc1Pl07MrGwxikKlDgcBEROnyUw2qtaTnM6wlO+vtUefWqEiRjYHjsZgxYwFCkFA
hWs9dFyn3MYZunPvEm2oDTZkGhUVAkYK/nZL0zIltwtYK2W5ctsvFUggNeV38q8C8Bh2tsWh3YRd
zDngVGVSokSaLM2HKKGORDAzinA0CirIpGZblyHmZLcJiVrdGtWfyyiVWcmf0/G2S5pei68TwJFi
esNVU21Mo7EAauVIm5W+nbfRC0rEvZxTl/suSM/QtrF9UVlEeWL2a7TBNDGsqWLw53/Hackd0FhQ
A7B6r17i6mtV9SvtAVd/FPmAihkPCOmLmQsGjhwWBQ0Vbg0LiC0mSmNXvZ/VrL7B2S53QkVgcc2z
pYAqM7/nmP/Gnz8WvHgcOzk+kpPKXU9j9Dsn+OSZFDMBPOSd78CVUSJozXf02DTbQ6iO3Dy7kUHN
rnHohg5lOrjFXsXHmTlDUzcRH1CfKH0LxB72UpnUw1UN6meTBcpPe562VxowysFuAkslMtN3D+js
AYnEUVXE6PquinxYgvL5LNhZzhrRABS43RY0+ghk0Qhxh4NT7UxGHKntRIosqtIhiw2tL2bex22c
0mnCVvJe/TChOQtqMMN19bw0RcmnsFIq5XiByWHDK2S1ltjjwOPIumPOBQ6uvudDNPjf2hHIQzm+
+cfpPpTN8cASM4imUdWk4YiJM+87tNyDt4xx+HS7OwyOaXWcmk2X7e/H/kKPHCwwN/67yAMOb4PF
7H+fRv8kK+YLyUsnS+N1prhdlz2NJMhadqSIoDVh+dUMW8IREGwma4RO6SvFA/ivkB2c/0pFN1T2
rnhKsbabUn9BH+0JrEUPIvCoj17PtptXdJC/yhHmPTjW7hqFI9+a1wniMbc4OKXKPALd0w50grdC
4HXlg9THCBwTBz+cpaQll5HxtOSrNJ5eEoHerldawERZyUo6xIHAVLayC+A4h6TjxHmWxz9II4yY
wDkywaaR+wcaOa/KkAe0y4BdRHXbbPj7W2jh1FgS1UWGLMGvnT4oDn1mJXAK3IyKdBrnHldWeQDN
i5R6XE2vLsWWJWlrRDcLQ+5BjuWjLiuZAeWKSNF41Bg837VEB813iF87vEOcMBTuifgwDczsYsAQ
lUlPM8wShncDvxiAa+Jjy8dIAtAlMMJ8hAMWjhyrfmeEZClfM9/G6mPyFML0ad66eHfpECDVW4K5
GtcicYg1sqOSDWr6QeS0sY4tiZiHecLGKn6a3CHM8AHI8esRpmk8I0lh7IycAm7sfBk6f+igv2ph
qSbkMSEJWXHQujcgLxSh4zd/Ec7FDWEQZUNOZt6YGN9FwF/ZU+stmYpZ3UblDykFdlHLYnxc/saA
S2I8M2+8dXJgKBcUZ72nzOgkooQYldX/uGmXgAn3RBCJ6361OEiDceWpS0OWd6dfzPgftpVw4+Fn
FS6aztzl3PVmugDn83YanHHPJ+1daiIP/bFSv6iXX7o/O6uhruCuJ/YorJ2kkrym2l1Aevo8Iw9Q
vO7kdyAlHikdXIVgU6HbuLaQ4gtNrXvd3QSBDGhwPizBvLLgqBKN9lDYv0UR2WjN4aDewdxdtqTm
q1pILtxGCOlRrEDEsdxHAcpbK7alfxZ8XuxN0iAe3uh2Msqpbo/jJsa/iXeQpdl+g9A3Ri8N7+jf
/raXyxC50FvfRAZkiokQ0bWcG/43sUDV+vQ8dC9GD7CAc6MetRzDCLey8ZD03jWRalQSkdg/7QIM
79GaHrKByWZlLTdASebDiQQIUvykWFcuvlrlUr+rgnIB+2uvVEmLzJpWGQrvPUSotGxno36iYx41
FY1IHAgNecZ23eB/SmMQMCLKfkfnFeGtCyq43w+Gb2Tqt4fwRnkEJzOmJ4mBCKqnFpIblbPRVWKG
lSydVg2zpTRo9WsBW+RsBfSSkToI+qdeF8kGjrxC7lOaRNyRz3zSn8e3r5dQL2TZN7c3hd9iM2tR
XnOzosKRkNZmK4hrwN1nKwQg4gFGY4j+l6/5C6plxZlLNb3GkIHOAZcUpSWsG8W0LYAshJFAgfc7
Vqrzx/bEcaugKXvG8uvCsCXLAyACs8G/XRhUWLo+o2KX4BVmbFalyiDAZhT3NuyzmPfYLggEAbDL
xlnNG/lQzSp7p8HN+cRrJnhp2mSsDQ1/WtgECLeXqiLFgA7pFGb4dcuYc9f/sWc8DfyywGC0T5/g
UNghHcmD+M4j5uGfTBlftVlSUjb3BMqVxPjzDvdPPf8I0EcBqAKBH9NEadkkpQ46UTxtySc70z5D
XYNj+fvTS1LN3vzDgySQR/i7y70jRvoyKh3QaRacuOFn4XE4OI+WwBuVBtVeP8RiTDiPU8okwS8N
PxckWRPI3YNALfXggiWjofUo+NnRVkHio7ssfER5BzeZulhQHU9IZjs2XnLnQI3D+GrnxkIAgOry
/TVUfy/fXDKMJhy1dk+0X7D56IoPvc7CdjqPo87jbpZ+IM2aBAqxiTCC799LDSHKSEktqgS2t44z
CMnhT00Wx/BWhy5hBJyktL9ceHDY+eFUAs0GS2l8u9htKUYCzMJzFI8RJCn2bf3jxuyZ1vz+DXsr
qNw7E4OhvjlBfR2j1GNpuz6KFU5VGusqTdulSCn2YhcH2/fLURxak4zT9YktshM9LJeh4RrI8xEr
0bb0nefBtSOjry14TN+NQ+J0heZ8P/gffDTBrtpdnruFc7tpUVpR76flVmnfUuXUm1OO3fbKzcsn
Rkx87YFF87JcECOpI28b5CTcfl7cvWSJvyymuLmiAzSjORzJEjyY5gsPWoAEZu7CJWjfJQwDbLJ2
Jgdib/I+uNkdQTJn+CtodWEAwd9jGrgMnfY+/IevO4Eec4h+wd4gaFPDAx6LmE/bmBANspKwuDql
kyzsjYD9RnZQedC2f/ptL+SBhiwcQeMvC1cHF5U9fz7dQ+4g+D83PkvWRE0X+henc2eQXlWi2BYm
8qqjIo8xZh7Wahf9IFbFRDDvFv25dyP53Un/7D5WdSUSH/IoKpyGdFB8MpXVjFo5lQECz/hZgdQx
dQq9T8uWsmSOzhcZCil1a9hcbfYCTJAkU3yqaZjYOadPM2m1/nVBrfNt01VQL+/fVdZtooO5kcmq
r6eczbZ9kLKsmtCDANBXzDgti06qkGCNHdwKEK9ABJeH0QtzynWA1bY3Umc6D0cfVmsrBiZwy9E0
R5GHeaa6ENkOy9xwxzLTQYxJKjUxhIE8l9uMbC67LolUuGrxDxL6kZGnLtgPf1PqbGqOOtKoYpaY
o+xQGH/whynkHngp8ixVo7Jmi8xW/SFsTeGkTfqNJsqjKvdZ2qPPUE0VfQBxHVTYtHM2l5YhkiEH
NpVpmDJAL/HeRIEILUcnQqC936JbWYV11AfRxFN4NsC1qzmKscM5GilXIkdBBcZPeekD8Pwvggkg
0GQonM+SbABGqnSxziNzYiQ94sxQxCerRBbkVuJZeancr0Ocv5lG6cxJYnpAcuKLZrzB4E/4WGXh
c7kcq+A1ldueBF8u+QvlyoxivUQIGgwu3iItTahovW4pWs66y/5cQj1I5AJw3pGOiXoGtkimoIha
GVVMPSyiEGg8gox9lcYXNOl5mgqXer8dYoq9q5Myh7znnOJy9zfZOGTp0tkwEfgHn8ql0pAIpSVe
DrjShRTC8cX7i6eBePrU05wPPLhaZ0ANy0efnKg/4psgGYepGCYBHjQWCCM+v36dCwR5WJi+PE0M
JkSu14IuyH30h+2haEc8DPVzFFgtlgrbJMO1fitlQf2/+sNy1FNxuvVJnRzZZw/6S9be6QLPYC9D
c/9ZvKrqmi3ri25eR4sds22deA15+VdMesCRZ8rBbSqF+Brlmz5zKHqYLdtVrgS3/bbgVpWLtxQB
sXONmRtuDm2Vy8f76p1H+SsYXj0zM5sqoNHqnVDl/2GKrJ+a3RSAHB+4IRNkqzdKR64Rk2nAr/ny
RpmNOSk46ctEcI/UqDS0q5XtRIa2WTXt9lCbes0n8d0XZ6zvq8NkhIn4t9hTzsqdHVjDHF0UbZ8/
WbjB1xtGDG6Nz56lyePS5SWgVSLHKAzZJevanGS4vrLcRyo8U5RmhRpLUBAMZ/IJeYWJVBoetN9N
mSJ/vkR2RrxULMaOBHWPrKGu4qDi/woJv33C9QxG2v8OFRb+GVFGAfyRmvOpwmNF1cO6K9IdbdX5
aDJU9s7ISgBViCuRT7uHoRqSELdKLSh6lBEHHAVO3E9Fi6pW12+GpwIMAeoGfnXub14EOsz3aAQw
hwV0w+y82BeYdJJghOWs/5db469x3sR9epCT1jBQQd75dFQBsQoJ6+2nX5eesRNaKZ+BANTKXeIl
l1egq2HctpXL2vkDfLCVS2+WPow5hE0DQghUrTPaJW9hvKjY4R1T/YQIT7VQgFJXYw8SDYLkO+fF
CNu5ZywFhqYdc5GcXSx6cgetUwCEGFz7sXdVkb6pkb9QUmVw2+oC3KDkZwxB3h6Oh1p4g5QnG6U6
RT6HlVBa2BW/ALqbJ9661RmZmxZNvCFkJcJ1WxkMkteRCj6HIVmvjIGPMlVbolAPFGWPQ8B1oZDn
TeIorz9C44619w5TpANHBdizhxfEmAPPqUj1RWEMyNDBf3Toxzg1QqkDJar3W5oyTA71RbQzihV9
RXNkFqtbrqt4bqZJILKqAn9ATtH+FBm6pjTKZKnxLNfgrXwiHzyqtnzMOXWSIAt1M5YePuFYrERF
EVWDWkcE/YXHeU+UbSumiUqNDBlufaYHI/ZDHspK8UDvQqPDlyqFf02FKFvQFpyyvcKuoN7ZPWm/
ILySXwOpp6FGm9bj6ZrIMVvb/SYw6qj47l94ELq8YberMcz4gqtcpNFw9jxPT4oIRipQwImFHOXW
zRUoYQ5zt6eUeXtJ2irN2hI6hk8COmDsMnab2JLYzCcKQTqnh0K4fDvSHLKqgpnJM08cGy4cj3MW
9nGgv1tbl4UJFGqrOWGofpw406U2VIT/KoeeVSkKUL2jxxK4jvd6RQZx+6c65fPR8J9v3T4mk65W
cfa4YDrUqETBWoSnhP4Z6tZh/24waWRtiPpw5EvdEZVewpzPqQH2it86IVz5ch5asrrgOA7p36SD
f2nyOxJkFPL3+uaGBm0eB0GwUBzPSDwrDGwysjl/4zW9pSmTwt0qIc5E4PwzsGyHI5B/cyLPU1bU
d6gcjunrxmHet63i4nfhBUADbsGrq8yh8V81z3qhZe8TubZuaQBiekHDcGezZVV3KjwuHSULsbOy
i3vEbWp5/m95+kJNXutRDXIjkL3mgHamCQ+rbYDQrhZwsILJkGKXsvvmJ0Jg7JeUCqxtYU8cTOJs
Qw1iqW4eIwOqDT59TmfPVtoggDEpTTL4FOCCXxQQgnTgveUfksNXhadb/Yj4Z4rJSV0l6GrpkXlL
c6R2pto6nbAVZKsG/9jY6EtPv/xBtKzjgRibjtMn+8Sv5KIrW3qxxhaBo9aKxCfgIPD58PfFdlJp
xptgACNwctcEGMxa7MS9mW9iWopWT5m5iWjE2pAdXz+izYyciwqTdsQDHoF1eXKQLHnZkJe1xuJF
nBF0yr5zGG+PWaeCmgyHggyT6MUM7c1EDnlagsQzkfj+NpNNKD3Xm/y5TeePXX6vOxePpT7NNCUi
ls6TmSc+OgMEr1W3x3NEWMbnGq9FaTlD8S6NcbBIIcGSqHCsamJc1pa7FHdp3VYpVHV/qCPEm7O/
MHDbLwV2q5czeXqfJSS/b4WaatPHJqGvyYUg+8eBNwPKKLccWDI/C/NjZCMNZo+0NN96UP7xw19v
unHjwpcKi0Epx3H5wVwWDf87U6BPftdS1lIDB9KI0y8oTtfOlrA5K+DUqf2fBVwLY6d8IuicIz5j
4HO6oVz0RNJhZxcw7YTuA8IxrQHn1DKWpP5QLiEpzx5psgjUm8asRFJZnnN+dm1IgxVKr2JMRtms
gXJxibEbzOzdGiLlB0IBOlg9TX7QlpMiZ2YEqBiy4w8W1/+4T4fZvbnnOW3szGkXHVXWr9DQiYfd
i9J7Nvzon15L4Bxemx4LcZ2I1qRzPjxTguYNVbPQFkhWyIrfsm/cJ9hunPBRX+pqldGqpWkH0ak2
BIkl2rihUi29lHDM/9FaVC+hmeAgE3lQsInj0aJFslz2+RXpuxU0TrpO74CDpPWNfO2ptazwGcDG
yh2PjKszANY17o/vsbni90tmFN3gzEg0ThLynlnvoz/L1tZyWLRjXy5Ks6lajk62rTJExaE0Pyyk
ZkC7YY6tkKCGRmbl0+tQeB51lscOZkoBH4jeSJ9cx/SY9awZTFkKRlrHlNDQam9QBwfe0e0nrCAC
S9UtsA0eUwemWC9V4CtnsIGI0SRKLvkzWtq7u1hVByLa4zK6m6Pf7GPBwCLjc0A696jXs8brBsr6
CWqTHr8WKhwBLTcB0sFK2IVZSnnsqmkFUd406M9+RmMsP2feJeSxJDGDl80jxvoBOxkS03CoPQj2
hG5p5fxJQ90xVyVRELABkzmYPXU9yh2KkN9nQqercQnBBRyS0ogR0+CY8zOeRPIef9SNnkikKN63
rrLfN2QQUCDb+tvsl0HV13e60I9sGb0rjDvu+7Oep91gYEFbNDu5KVKTk6wMNAfNBaLROBBx+BfQ
XITWD5qYoXbn4Mo8YavU9Ql4bopspoRzQtPCgjbz4H+WIXMfH2coymEdWzAdAkOwNxQasDauDNPG
xWpgQs5+BiNkGS+4BZZcdSHw4iGcQgqsdYGkEgiwFLTg9q+D+AprD0icf0ZWWiXKzUJ90oKvkSxW
unUCm06v+bXt3MjXoiMmB7xq6uVmhQ7RNxVb7QkFkeHg4x9V00A1+bpR4962vfBe5P3LkSYLA/ZP
6bLu8EvyihvbbaLFIh9PMX2xhgiZNT3DtEnHR87n+cbI1E0D6/W/o8rHBpPoV46I6RS+7QWt1szI
y/64fm3Cz7doW6ZeGxNomqIFmiTRXTVwtyED7yYxDzqM1AihZVZAuaHtwEIah8q8CKfmjow1LvUl
adnPtOmSVv5c3vQgx7y9YpcvM04vlBH8Pje/y3RdJalc+M4NXnMt3GxQ3ltoyVOhO1uvZyRiFJnp
IDlfYacSltubV9T9wAqHfNsvtyTE+i/qJwK/c7FuwA+m6f9bvyarh9mSZ0NuBYQJBgxzlCc6eaw4
WvOR2dIzCFaMXRN7k8ThpRQuFZt8RIdDcnvDa1j699ssf8wVHdXvKaIG+hXR71+ogTBkWdSsHjWz
iiKpttbnWRRu36SYYryfWkzODpKpd3wTlRuK8VaK9HL/5I9wsekfvYYR0dqKwZKdRv9FhbVF8jrs
h/JyplblXROOuF4pASuKC93nBAKW4/Uh6erR5IAgb6zocdxftX6QKoJrl8FK8T1+z3m7ZHlUSQn/
uiseBZhCDPugrRU76A09gQirhjye2fr0UVspk8fADUh99QiKnyc07WoUN59ix9f54Tv6njgvQXWp
4QJ0TadYViuLP66ZeaneAUiqqENR5NPoZRQ+mMht7yim2GJ1n57DHMYBPKh01elguY1dfb0/+TPB
3qZk6RkALPK+Xit/jh0zpL9ivWB0b27RzS0qVPsiY1s2VcdaVvyyDbG70O22dVYXOgab2jO/n1zk
bH0245w4DfogmE2JHajHxakT4tANgszRqC2tWS0OJaiooIGujM5UqKHyX9Z83vvBlbhYA7fLpVj3
Zj2FbvM4FYTWUnrMVd+klhva/0WyOusc+FZwJOOQOwrDoFFlteW1qEAf3CDZyVJjZYkTDLtrLn57
7hgsqpjliGxPIMCVN+N6Dadv/ugZj5BagUBUQcksEJbEkUndYmyikD8CTsIEg+lfj787kegXI76u
+M0QmmmTnqKxE9IktJXtocIOstMZ+M6guTH0zMvaKpiVj5Yn2gCBb/Ojn8DvG4prHcWYhYjhXOq+
4P9PisPg/k3oVM4iC3Oars+NtVvSQICddqc0wZ1qWySHWUr8u49RIaz5t5gkVWRf4j2NQiH/qWiF
FBMXu1GY+B0FUXAeSL5VWPnm4tVM+gONbV47J81fqxmySgfD13QVumWCOWyCUaNWdq3Rs/kuYsq/
43iMxR6I0GK5vIAtdvJ9ZmJE8suqbcaYs0vnURJu0UUPFrDkJcUGvrjul7TZIJPK7LQfZoHjwJF2
Gm8JIdRQTk+XT5a+/nOZWkTZYdc5fim4/yBPT9uTukOfeXqdDELaSLFXRoL6kbzYZz0O9l8PlQH/
5FXmIlG3VFyVG2aN1PpeEPTsqs8yjTTVj23zsXuyllHs04gmcJsycGNOSgLObF3+OxcPS1U9JiVC
nhdVFGnikLDcASSFORyQPoPzGSYP420bTIYn0vazEAxTF8TtGKwJM0x0+CLRGr6T7lFOXNu1S7n5
i98iGplvu26AHCLMzDkj1kTNiBSvishpvG14/D0nYqwCjD8ENLY9OwAM0h50iKWFhdjjELA9+hVA
hrE937o5xlrARQEIgGEwjhnpFQLAdUF+Gfk+vy7n3rEjeZudwQWLGFJ96SBw2ggXWomQjUadIDwJ
wQphsWrOlUmnbrsWeDxPRigyMCQi4Rh0ebpgBTYeLCIxAX0wgZ/rMnpR3flDeznbueFa7M47NuyL
3HrlU9brKF/x8ROC+8McQsMry9jklkcv8nhzKM2CExPFhMy8a0LGX1s2MJe3LFYbuVHZU/e6xADO
jgBsMhRPd77neCSjQ/t1lXtOS0hFSN4ufUaZBmwQXB5F9nEGqh7kBham5QCQPchzwdQ8mEAI8DWq
Kzt3gtI/SE9GutEArh/kiOkfpKRMAuCdt/6Zi4npc7mu+QPx2+vniJDYdT3bxvei95m7YkBVfWNz
xaGcTWbS4Cqwm3xTNnkjmllstVr+cmvhrdekKUfD9NcommQRxB9Pazv8u/3etuFL+FcAm+s2ODn+
HYT9TUfDEDI4lSYSPPVb/YRhxG8n+DP+HoUgXSSw4+H8qS4AuGIq7CSIoxQ9/U1LrQLmHiPmsBrS
G8M5BkZ6au5+AaJxUtguwynnG+9DQW6LtxYOG8hS/wpSOjBMAJcUXoUIiFkQJtUmrXH2O1LbisNn
m/icEYDptx7ROzhT0/P1fKlmZS1UA0S8qc7SCAC7iB/wUkvTzQcJPcav3vdW8mB4L/Gz/b5gewiX
1nHrcbOWFdZyyzZmn3aifxShykP6Yaegr2BiaeNgd/GPUV/7tiChza52vGwx5di70sEcoTv2stIr
Xhan0gq3ejsJUU+BebBJ7V8yctOB3+V3am6u9fHw+6rXkMMMKl8p0DzTYGSPFn8n42Rf1H25UfS7
zNxId8X2hGzIA9saF1UyR8vu9C04BIMn5uNvSUvxWPp3BPwpl9FTW5zzLBpF/GI2nXiuHiJI0iEy
z38UZy1sJU3RVk+MfkFz46DJytEXysTd3AmIgI4sbLyBQuZH+aKtvONvu6bYtjfXF69FI8NRu3Jk
PA63yEgDdRvomWC9e4r2mog/neSn6bP+awonAOE2Vi6Z3KQd49VLT7La52FznR5V+HkUbYQ08Byg
diKPzTbHbW+jf4zuJrqypeEVQUNTUmX8J8zpORfTbgEUyQbjsT6gyYp2PxgJqbHAANwv5GffJCY2
E/CoHqGuyebl43YxEfZZuM+Yd8KP5TW3qbHFILpOiIdZWTq4gkoiiJuBbOLIZFCAktqVhXEI+EPl
nMmocFI1WkfG6iVEVwbrtstYxyB1cBrBxJ5DzWnrduT02d2/bAr/Bb5ZWHeirYXNrCk0d6qCJ6c1
oVLotlstjBzsFoOeVLFUJcGUAA0fwgOKGbPeykQ0kEedN6LkQyt1lnNml1nRTeVzjnA/RRlkFsfG
Mqi36A2bjIYNuhpBX27qGAciL9NSKA/ht+fbLCFVBhtmBXKIA24quIXTOJO4xfVZkvEtbFssMdEs
l/6WGsxXxE06SuYVfXNmFymqoo0jfQZiGiPG44zcKu95vQKENOrInKCK8tnWwdDtYxh6qzwr93gK
Cza+oddqE7TZoL7qfyYnnv0YwAm0gSrT/3mHfgJQopu1gPNErvn1Cw4+THqxUBWA8cXvmqQ7Iwo5
LFBRtgWIGvE1VMvyd6HkX4tqkOeenKlaBVKK9SHDb16c/T/f4g7PwwumUNUgIn78vlj89UdzFBZP
gCNwn5AfB9FXxi1gjQeiu9xHmMWRkbYkTJIAE4GRgcNBxLWp0A7JwFsy117xaouZHBam/ERT8EX4
6j+1vb2nbxVMyQ1mI9v2IXKaciEIOyRwIkwBIFS/4CfGHXdEVyQG5tK0Of6bTBRYZ37ErGXBPPb+
utfJaeEuG2SgOy8V7a6ZkcHKFUyWou9PorooboFW5JFnpq63lRo0yDO86pPRWZ/Yx96SDrhpdp7Z
Y4b9oY6wJMwEro1n9acfZzR+Qvwp2k8ZXWBtXwlptXpPLnHQaSz6siF6D5jxKdNN+Ifktr8gQljp
rf/HQnh28tXd3rKpw+5hRMlEd/e743xuX7+lL7vSTXW/EmY+CnEr9J81fRCzaf1NcDIi6gN76hP0
Bq4KNpXg6keUaDAAqvz5z4PY9XJ98qrSfed1TsjZ1G/2jFgHETfnf6uGiH1EGJPq+jHDLFUYBqNd
/ufvsLtOX/iy9OSU7XGduzgd/fxoI0vVzAksfa+fZZjIlcE6wEXD6qU1I7N8gpL9vWeb5kAk0hZC
5LFC43dvs0HqAyTDSGHuP6xK6BL0sNX0EFOaTzHHpheQ4yZ7JcfevPsELKGdlKGs7Z7w8yj0modQ
JDKOSQxaCgMugv5JZTm/0hQH7ugopu7Zrs+c/ek9rNnZ5OHKlZv9AlU1ZzmhIkT+Os2KDPCAn8MR
rPOfmEyL+PZTu2Qwkd3+Tvz3bg3GfAmAzwyeHxPVeCEyMu9cJktHDAt77va80f34Psth637Z6cPl
YIiZtoSmEbfMMTd271wkYm5WqI5ffSywZqsCwUbMHUWCgwoMLzkDTqjaTXLugHCnjp8VFTXQDlfY
Hy0Rnr4KACmdVVkrnsTGySDmh7gFiJQE5HS0vqyEpY3b5y3QLE798x9P0zwiiJEiUyrHLrhUcopf
lR55F0ykqtNc5O2Si9UrtLemGFMpt/aBRV/k+PGJZtyB1k26DLwyOQUeNHqrGSNJDUISR8/ZMPS1
lmdUKz16Y5l2B8K4V0EMe01/kO5wuZmvvpeKwX36vvMZyVwlkvnsg4CtDacU8RS91mGtyQ6IutA9
NN8hXMertU2hGvCQWDDKe5p9skoerc+AoZyKNnJiCOOrn+js/btPB5eCFeHkd9AA6PJu9c6sbJsb
0HhONsHZG8xoCbj0TIUqI6KeJBzy8IBfoe7cGcj/ZT9fb9o/vlYxd3rX0TcG9yDR5Vq2mC65UlJ8
lTAeVbhac9AD1Ldm4iSMZLKM/U+c/lMNHnzwIx4G8Q779A7cAOJsgmg0FJNx5f/v7xYo4oFzotXr
K6oh5bW5YP61gH8UkP8s84+yIUTP90QiBourgbHyHz2aFskkwmEavUk72+6Xhq3IOoSyuJ+1esjR
d+Z1N2Gky5RW4tSA9xbUmnKTTxGxlJ7U0FW5cSj7TGgCUy3iRJNZ5ohndVR8JudrJpqiEA1osS1H
+Jew/qvJVRU3QRSDBuOQV3XpBLf37Q7ZFFCzsaJ3EeeXgvOE6c5TTejkuiPBVsCf7WbA6cmCZLyh
Jt7W6q4z1dlBJHpbbcrWk2lkh5zcLGUQwN2fgd4d+29MmqPI+8xOsagKDIOM+/+dKuIR6UVD8uHv
pD+9afM4/D9+WpTvKvFeTZBmpRhCW7fAGNyEKUG1pg7C440oB3Gc/9w3qPj2TYjIRc0+1wIRpnKq
+GCdhmd2g40M6WUTti2DELrxkKzaheRBIBqWTV2JH/uCrdBsDEiMYlAm4435beDltk+BwuRLLQQj
nOKAWRVNgGzk+d4a64pl4uZRybrooq0hnxNwV7aAOg3kp9ph1sR98eogVIeiX7q/LodR4yf7XIum
wnph/xxoOBPW/fK7Nyu/uwfgYq1ifUY/aajBstn+C4EG/+QvFOH2WVyVncBr4aBNzAg3oVjORnaA
+u0ZQBnzY4jlrJvjKVntfJgo8cfHkQm//w9TIdfKcAdhU8UV7OkhOYIxQlXt7XyrW1tR0RTDIPfw
K7u0O9erg0PLHaRxOId0EC5J5q8syP05yHWOj78wUvflTPTOwB8tDjj9aCiqTjzAkt282HX/hCtH
in3S+PpEVisiQiu6Djh1EKIaaqhQrUPwZ9uiwzEcZe9j+m/eg3q/sKPVJr4vsjmf5MjGVaqYDvUf
vMN+I8SicC51allK0k9Cs4yPp93/XS8ufld1hF32i/6Uysd7W6g+fGFr6tdut+vkYd1cX2LscdLH
vU2n8JgDJMT6gM3cx4FV/nAAwIA2QHwz7ItVv3dVoAGphSl3ZLms44E3Jmo+SdOR+VFgq7DNKXVV
6gRZl1LADP/8yUaSg5li4VPBKTnIz40UZ8T8BfoxF+YMMah+60vRNbWbGJ/ouULs9UdSs+RxC679
1p/WF357huYwGdWgVpbswndqMO/psr4kiPQseDCp2xyl5NFdRKwk4+wtExmfkKlcaAs0q8CZh6oG
xDReLuiYUBwhyhw0NWH2S/UiEp+1HZ4pvAG3WFXypkBydXwIm1+GCwU8RZ/o/wveuqBMEkrgH3x1
83Wlf/LVFZiov0FFwSn1/MOIgJZD039qG89lgulKrQdu5ZzbCVzMd0Oc3VXcC57MflP/WWDGC/9J
6y8ho78gpZpQ49UvVXQObLHPS/1k7/5ShJA0sT0z88xpe2Cb1/c9qJpBau/uWDWGyk4hC0fTEjgD
RkR81QxlKh7e/Zlb8twoiKpGHw80Bj5gHH3spg1mGMhnoBJTSQqxUM2zIljnOvfE2+3rZWkjL3dz
1tEu0fB4+F6TM7BpP35xCVoDCCaWHpqMf2hPzg5U5MFoR1283fQMlcsXlq7NVVkKy2eHrTmIPJNf
zqog2nzcezjHI2XE1HYPFbqvahSRSmuEioOpXr+8J6dGwyclI5NIWFiUQXPTacym+PURZK0NCZuS
t3eoHzk4V2tSXEBexUF3t8yOQk4D/z1G5cHP1sFzhkS+NLOrnDVwN7dOSTWSmjjVpTzZ+2LPWu7I
Ikh7FkysaJHRwG6KwjHOM/yHyu0XiWmjJxCbkBbYSV+kJUlOLftVs5M2c24K+DdTZSxMTCDiWDU1
uxa26mL4A0VIK6/SoV89MFgbDRdNaxhxHWaA45FUR/2F0OgWu4x1x4fTlj80endvHre36/LuolK0
+8SLdXbm5hyQLwdDRK9DayHnIS1871M3o0JiVBmEkd191MZfe9WfIQfzh8bkepDsTJU8J1DeDjfB
8Z7yhOY9ni0MTveuvYjZUbV8kkBHtg6GcDSDVjXTpfLutdx8rlxfkLNtU1MOFoKaGjJyOKRUmoEj
5vrc3XFnQT0lcd2U0VpBferVOA9B4To9tUGuN98gtKCLJQ3Ppc8sU3tAH6zGYwhMj05czn8j22f9
AFVNxeX+AiACUNcIKa8lFPSjpgD+PppDXmaXyzHupBXOAyHBtwSYGcSEEiKW7LWSDOt6te8Lbe1R
3od44AL4R8HwXdxAJRv0AzVZRrNpxWoRKaN/UrD2GacJKwiuKdhrcBPssDXLSeS8ivAUaZGEoBj9
Nwv1RzUkkJns5+WY3HyK6AeWUIEhtBYXSQeXFtI2VUJ+6ja5f42tT4x1YxD1OmCchqpb8gTZYU/k
yAKtQ4qwnxy/FDV/49KThIgcIv7zS5sHxOc8DpqN3W0vVp3sHwUL0ii6omDzJBNYiXRViXxu2RJL
oNPwUdoP7D4Y7eXRY/iY9dC77rRavAFnlLqVAYqLOAsh1elzIztgZBQraUKi7gogIvWcg6SAF7J4
rEIhFZBPvuFRQK2xxdYre2OaP273TYSoKj9ssM0Ylj7XCJRZNzeYmf3OERnnIDWqZQBPz4YsCgDz
HcmAlgWvSj2unHAN1KmpHlpm3G/ENB5E9oz4jqB2w48DzTIOB/RTiII8XEVOtxLk6MuskSm1TtiX
6Tg6NEClxHRuOc4NrMpZ5GWW54uAYdQQosMYA04M1Tl+JEovoz8fxVFf7Z6R0RUGTJItbhycVDMT
/D+7k7/ijXd2ws6KP9JuHQ6WDFva4ES/2dhvCjRoL+zfVpZqTrlEUJnH94pjzvRNHnfGVlmJKEEL
bCreRibLaMM0hk3jbA2oWq/CQqiCWcW3B2vpaP9+EWucLeJo/2A7iwYJuCPs3whhLhpO+pTzKXGt
xc70CondAVy97jdO8gTwvNzqnHVPVaig8wyxkx+Z3cZAKu7ILHHdWg9fsfR6BA1Rn+ehFyz1Ob02
VSoagQs2sKg6ZNFsJ7A+MMOb4m9lw54QR0Xe/rFBiz2+MWo5ComInpQlgTjcOjlxdhQV91frdvQc
4V12vmaTfoVTe+MBGyuFUT7YqjUxmKgu8+VfGdNsfwNO/Lpb5aG6hpRUIwry5393wIiBAQ2su7FW
pBlpY4kX6sjLbDm+UkehEDtRXMG9yJ58YtFNZPINv1dNqKW6XXlNOHhoZQt6ePYI693dy5+9atWg
/syBLeTZvVAWHOMG8ntO5ob3SPzrdhVutbJQ+uQq+GDQ2pWwPYjqj+ai/Mk6q1xpiaSOgRJ5NL3g
eOqIWqWTvv9N0VXjxKKUwVlTxmWjmaFtolZ1xq1D5YlHRxpnAaxXCK81taDXLtCTNojbeUJazJfN
0yLKxaYdvqYA1NwCngG/S5zYWSs1+4siK/Wid7A/mPPsgL5JHIlVPWYX3QXz+RnHvz0neouyXign
OI4Z4EKYTODBPGkUauxSVZaif8TYuWi1RvS6Zu2lphHUMaY3K6L77LLzJCUBTaiI82lXUTN10kBb
t8RmbqhNPWTL//9kuu0QWa/k9wMN3AN4XxPAc+dlHz6VD7mBEqqkGTyEc9q0xxf5861fBcZex0hu
oGPiJBfxlPeyICrRcIpiLf2KnqC5Wx4jfh7ghqd7AQYRYoMFNqWlJx3MDq6y4jm6Kh0Mh3NaEWMn
T1K6kz3Xkv+6neK/WdgqVR0f8UNHFIcRItS66CCDixdy8+tKSbZkezgcHX2oC99FM6vKucE7g0t5
vGO898IOHiJgT4GyZTHqNEcXDaqKVENrnVb2iVJToZHFBXcTXOGq/shFbQ05soeYtQyRB6z3eIjq
cNTAnUt7GpJrzxM8v0ac0frhLAZJWCdNYp9fZnHn2u2trZqFiOVZUW9HJX3kTKBSvVcjUP3sAmhT
HDq6iRy8ZltgpLlEBvwWLQchaHPdVRg17TUIfmE0O7aKyFvFlXviQg5ZGo8dYPcrTc2PJI13FQTj
yY/MLtuxOAFnuLSWLN1GZaPboWj5IIsEFfVVu5s6Jn0grwT/MOgvrA6X5pModOTSe1qAk9epfOmx
IXgKWPJqac7sInPat+/XVIK9Sp9P7YcbNmMNq3FxkbEJtwAGrBZmqDVJdK+BMIn4ZxsQ6V9E2ZFq
DLr2IkcHFHKNslPBhvFsepsHMLO+YcXXwXlH1twKWLtecHdTK1g+PsEDEPVGVDi76V5fqw8hjU5c
deoBVab5bk56f0ztWixqQrXr9omyq0JzpXBc2iAOCnecMo9E3ZKFP1nwkyrT1m1UVvl/Byj0V/Yu
MUDya1xFTs0+abGPUBNF2U4/UwlI0sUvipMQfdC7Sdbp/jpmm0EP//GdR0ZgJ+P0YpmK3yqZeJ2e
hjgVTphHwP5X6nrebCDTDLN5ZOL8wtgOJ9Osjw5a4ng4jBuKzQqKTUg9ZqFeQpopsvPtY5EVV2re
qhjn/YBq0AodYgwhi7XiUPzNB33ss4mrZxKgyPsmm8PJiJYhNJqluCvsD0QGjfpclqJo+ZUW05nw
dCTH4eUosjiuFE0VDH7zGjo2RXa+iiwpyv14ciQ+o70ybbnybhnE9ayGLVLoWiao+dd20e0Ck2HL
ds9oVLVh3M/gSYd4bg5PlOAaZwzK/wd3HLqCExZm+nU6TuibUOKuZjwDg5VOc5Db2NeJeTg6CYXp
zAkhCcBWh/oDS943Sym0+e7JGtS2rTCl9ePNNe0Nc7o7m7i95RX6UPAuwquEad4HuVJaVgBQxX5O
t7DEpDR9VdPMd2k/GiXUytFuZib56JDgGJ0gkBl/CvcSh3plYMsVo+DbIN2lfS63DcSnPAga6fmW
6AGn6docYoeQbPIBz0ee1GbKkZZxLYAFuiz09w04iG/k4qGx2/7N4xcYCMkR0D4KwXYha947nepx
Hp/v9+pDfgvNkz/E2vu3g4S0UwSk/uhWSff3SswpPBnjjJmA+y/G9nNGjhkszmFPfpwYYrNNpFWt
aTUO4PTChbHoeqWdaTCOUdP4ZO2BYGL+pPvA9z/vTbzxEhpv6w/+LjTMH933yX8dedn0tXnQMCxU
Rqk86yTyUqBovTHzdthXlDuSBV5H+F22dnYBRF7Ti+9nD65yIADuSRBfcz61MYR2/5z1So//OdrD
bbEXUMTDAY99SKxj5IUuUgbgf84mTwrs3eeA7yqNSFFCnDerAl6QgND2CF6Z3Mo/xjJtJ5XA0aWz
jPGDDBY6y+kcYmQhomia/djMywTSk1nhdyry3ZluQC3KbD9OL7JRYAxrJRFJKLNQezAc7rdJzxhH
ZgKjZRpLYcI+pGBaE9pTzAjkozOJd5+2mfW3VFoSvNFuXFNWynMNaeT7wCyvj2bz5iVsDnLYD8Le
9niY8t401zJJQGFN9ZyIK+99lED//mAeNqQiWAXnWNI64TEvc0PI1ldmKOsOsWYOSoohGvjtJrHl
lSgQf5c4L/X/PQG1wU6Y/c+ZvzGfEQGmuiAGJGyitTVsuDdfsE5UadeNhcXy9216VBJLA9bjQbYI
V5KdmRHeZLxt7Nejp9fcCU2V7rq4v2BLBcVC+cyx4UQEXAlxNJ3Q1nR1oyP1WA9vcLpvm5MCSGOq
6SS+acOqZcSZ8qmR/UlOTo5wUnhqS5RwopUn/PiC5ffAZBWvqnAtug8ZawzPbzzyHjJt+bYywiFj
3VLS77kb9WMc5aG30Na8jGcrtCAFUqLEfIPXUapNdrYW/Xt+56uOvlzwaWZTvVH1UOa6c6SXixJD
8P506nRSl6GgnIw08ZZg+Unt9Xa00/6Y1LXJukXr2Sz8BuNyqZQULi5cn5uMG8DHRL9YZGim7/kQ
iktHNUyK1WH6hnYue/cjgh8hpK32kwBsth3lns2Zf5ENLCw50xEIFbgHbmYwwrSjxA4ZsjK4uRaV
FDV9LovbP90YOz4gizDkgEAgB+YuX2TxKHjesEHjtsK8kSakWXehVZEp30WQdsHdEo1zsgtS5b6g
9wtMM1nyQd9U6h0qLNr+CAHYiiycRJTs/A6DyyXo94rPO4okFbDGfizKCB+qrw2f6AGZ42qdfin3
EllMIDquZeeSCmREh9l2lz3E8D1uk0goEPgUswGwWx4iTdVT2QJhJhLMyH5MlYcpPqWY0dq9qDe5
OWfTY4aBj3bu3n30N4H6QpkLdXGkIJwgVwnnD2po/eXrS3/VAUv+B+mjMopuw6PKXw0LlP2MBM1p
FUqqOAIOZBcip5BsaZsXANRVv4l9KKWbtsG2CawmivH2pTmgk/awd5EQIsqtMZRFox9lJIJgNyZw
y0qSOpieqFSsdpckHK23LEMh0A82sCcLKgVgdEhJmQ1RQtpw9lzkoUc7gQCXXkJxnRaApqbo9+WN
n/msp8HXFfsj3jEyZMCWQXlSAus9WeRRCmOILG2P2gzIeZmXKNTu8pqlnWy+4K/l6ydxyPLBHn5a
lGSXd2JAztWZT7w5MomnHNXfM0Sh3VGQjbqlQ5TJIlhzqchwn3fXohYZbhN89AVV1epaAVTToTHq
RnB4yyum7qabNXrNI3AdoDnGk8zflJhFtkfRtqbSaR11GGHKSF3HQmpj2zTUSafeCL+iCVdYD7rq
8GIiGIFXW1KpcwSzZtbo7X9HYH6pNRCLYANhC9VUGXbI4VyW3Et1e4dWktYSnsNWnGP2H/dFpeyk
odgMppkQESW/I25d+/Mm0uUANSZb8VwPwXZhrgl/hICVCeuoUluH02MIQxkX9f1kDRv+UightP/L
lyWgF6ds4r819OWCWoRKwyrHygr3JKTgtX+j7Tfeksdv1zFVGrHzeJSnY9dUqRDqYaYZRNnxwqOV
JoTSNvqfnoUKXUnKQhhKZhIYyOaMo20bEAKBBOSUT0+swrjpQ+4EYIzAQRSypf++n7mhEl7bAR0a
DTZOqNe+XP2SCMjGuhSILp4pl9a3Mchfwi+pTId1GL8vv1mNgPbxABiU3MAxUjdcIN/f9mUekWvN
qp1d6V1yLLG9AgHZXCD23B60pwT/mIAK6zeAyR1fkuCNIs9AgaU70LPCZSEDV+MLzq9ocXM6OtwS
ObObyROR4Ty033zvhwB2AIBpQE8Lw/iCieXsm5PjRqUy2RblZ3MeW7c6bQ13wYMoAmHMqNIlr4s4
LONx8FLsKz5cEGHQUevF8+SFXv56S+oQgDiB5wElcddHgDrynbMiP9chzLo5OuaP+VpeK+bSGXa3
kyJXQhYJKMtIQtrGOXmIlPw0KuOY1jf2hVWwJSOAHG1KoGGTx4MIjDSOmPx66S6gXG3U21pUrV58
/oB5YgwM5VOxF3ejg5oxV7gWCXmHZGGdw95K5tYb6Gh60yz0qy25+KqD0u4165AN1cfeEl00k+SF
ugbeQ6Z5TwXuqTPPJaxZejGYBudw/HqHaBtBUmxbrJJDshBv4K0ku8rCrspAlV+kpq4u6JaUhxB9
a6vkL9o8+8xpRIJgSqk6qVkVxRrjv2H2yZSM/fTOi3Wdn5YQVMbzew/RXIGP8O2bXsbyLoravVjT
JgU+QUwUF5uZYzH0T6HLxVzivESehQlvY6ugZ/xM/IIUunFluUV04Cjg2cmrnmSUr0iNC+fyEpsW
a0yImrgpu7/fL5F4AkUAyhCFRPhMD0fyv+wKF5A0zD8kUVpDMvMo6TF5I7GmkyH1hkl6ADVr7Hg6
/QaFMAv+Nsal9Cy1V/wCPhWiJQcInNwrHRKYzDgup4j3LOqfVKWPGGE+4gg3QsPLKMnpeERkdCfH
rAsIvu026jPPHUL5ezqdzIF4QvbBWatosWmoOzcm60Gl2HFmK1WGtDQIuO4g2crhq1CXGgFOA1mE
LbjLmoL+fGTezj9escJ0APGzFyzvWxzhieNtJtyhRe63fk/7fvXOZPQkJmbwtTPSBhEXVTxDZWlr
obMpkAF0HN45ZwZ3S6ik/sXFfta0SHoN30RzFCgeTujOJejfCmoWTJHRYNDoNA94z8lRQL3LLVzL
q5BrNpj8bURJl2yPbPqG2Xnv1a5r5OqxfKpdl+farncStWgw4CYg9pYQcumNTJizMKWlkyA/429d
JevsHJNFFr8je9oRA1ldJKW0L4US3nMnyWO5hywhSt4O38BquJ9OQ3nWEVYFLzTG9xa8e4qqQRcl
/fApZcU4WbWVBwQezfRVqq8YX8Qq9n8Rwv0NxU3X01Y2anD9nYbhK7SFGILVbJzD+maWRZZZ7UQu
eOd2nPUK+Ks0t8uB3XYCPm8qfWWIzpYIeaYxI0yfIAUs4i0L3HesHrZjxSTN/Uv0ypcpPbly14Qy
T5FQLB7lnbgdsnpx6TrZlyH7H7aIbj1v+lNhlom9dgtlkMMt7a80Muh/mUFm/QoKw6jKihMdXz2Z
VI/k6VRef8rfkAy5
`protect end_protected
| gpl-2.0 | a325c1aba247b7bb535ffa48305dc70d | 0.951743 | 1.825951 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_i2c/src/vvc_methods_pkg.vhd | 1 | 48,443 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
library bitvis_vip_scoreboard;
use bitvis_vip_scoreboard.generic_sb_support_pkg.all;
use work.i2c_bfm_pkg.all;
use work.vvc_cmd_pkg.all;
use work.td_vvc_framework_common_methods_pkg.all;
use work.td_target_support_pkg.all;
use work.transaction_pkg.all;
--=================================================================================================
--=================================================================================================
--=================================================================================================
package vvc_methods_pkg is
--===============================================================================================
-- Types and constants for the I2C VVC
--===============================================================================================
constant C_VVC_NAME : string := "I2C_VVC";
signal I2C_VVCT : t_vvc_target_record := set_vvc_target_defaults(C_VVC_NAME);
alias THIS_VVCT : t_vvc_target_record is I2C_VVCT;
alias t_bfm_config is t_i2c_bfm_config;
constant C_I2C_INTER_BFM_DELAY_DEFAULT : t_inter_bfm_delay := (
delay_type => NO_DELAY,
delay_in_time => 0 ns,
inter_bfm_delay_violation_severity => warning
);
type t_vvc_config is record
inter_bfm_delay : t_inter_bfm_delay; -- Minimum delay between BFM accesses from the VVC. If parameter delay_type is set to NO_DELAY, BFM accesses will be back to back, i.e. no delay.
cmd_queue_count_max : natural; -- Maximum pending number in command queue before queue is full. Adding additional commands will result in an ERROR.
cmd_queue_count_threshold : natural; -- An alert with severity 'cmd_queue_count_threshold_severity' will be issued if command queue exceeds this count.
-- Used for early warning if command queue is almost full. Will be ignored if set to 0.
cmd_queue_count_threshold_severity : t_alert_level; -- Severity of alert to be initiated if exceeding cmd_queue_count_threshold
result_queue_count_max : natural; -- Maximum number of unfetched results before result_queue is full.
result_queue_count_threshold_severity : t_alert_level; -- An alert with severity 'result_queue_count_threshold_severity' will be issued if command queue exceeds this count.
-- Used for early warning if result queue is almost full. Will be ignored if set to 0.
result_queue_count_threshold : natural; -- Severity of alert to be initiated if exceeding result_queue_count_threshold
bfm_config : t_i2c_bfm_config; -- Configuration for the BFM. See BFM quick reference
msg_id_panel : t_msg_id_panel; -- VVC dedicated message ID panel
parent_msg_id_panel : t_msg_id_panel; --UVVM: temporary fix for HVVC, remove in v3.0
end record;
type t_vvc_config_array is array (natural range <>) of t_vvc_config;
constant C_I2C_VVC_CONFIG_DEFAULT : t_vvc_config := (
inter_bfm_delay => C_I2C_INTER_BFM_DELAY_DEFAULT,
cmd_queue_count_max => C_CMD_QUEUE_COUNT_MAX,
cmd_queue_count_threshold => C_CMD_QUEUE_COUNT_THRESHOLD,
cmd_queue_count_threshold_severity => C_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY,
result_queue_count_max => C_RESULT_QUEUE_COUNT_MAX,
result_queue_count_threshold_severity => C_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY,
result_queue_count_threshold => C_RESULT_QUEUE_COUNT_THRESHOLD,
bfm_config => C_I2C_BFM_CONFIG_DEFAULT,
msg_id_panel => C_VVC_MSG_ID_PANEL_DEFAULT,
parent_msg_id_panel => C_VVC_MSG_ID_PANEL_DEFAULT
);
type t_vvc_status is record
current_cmd_idx : natural;
previous_cmd_idx : natural;
pending_cmd_cnt : natural;
end record;
type t_vvc_status_array is array (natural range <>) of t_vvc_status;
constant C_VVC_STATUS_DEFAULT : t_vvc_status := (
current_cmd_idx => 0,
previous_cmd_idx => 0,
pending_cmd_cnt => 0
);
-- Transaction information for the wave view during simulation
type t_transaction_info is record
operation : t_operation;
msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH);
addr : unsigned(C_VVC_CMD_ADDR_MAX_LENGTH - 1 downto 0);
data : t_byte_array(0 to C_VVC_CMD_DATA_MAX_LENGTH-1);
num_bytes : natural;
action_when_transfer_is_done : t_action_when_transfer_is_done;
exp_ack : boolean;
end record;
type t_transaction_info_array is array (natural range <>) of t_transaction_info;
constant C_TRANSACTION_INFO_DEFAULT : t_transaction_info := (
addr => (others => '0'),
data => (others => (others => '0')),
num_bytes => 0,
operation => NO_OPERATION,
msg => (others => ' '),
action_when_transfer_is_done => RELEASE_LINE_AFTER_TRANSFER,
exp_ack => true
);
shared variable shared_i2c_vvc_config : t_vvc_config_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_I2C_VVC_CONFIG_DEFAULT);
shared variable shared_i2c_vvc_status : t_vvc_status_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_VVC_STATUS_DEFAULT);
shared variable shared_i2c_transaction_info : t_transaction_info_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_TRANSACTION_INFO_DEFAULT);
-- Scoreboard
package i2c_sb_pkg is new bitvis_vip_scoreboard.generic_sb_pkg
generic map (t_element => std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0),
element_match => std_match,
to_string_element => to_string);
use i2c_sb_pkg.all;
shared variable I2C_VVC_SB : i2c_sb_pkg.t_generic_sb;
--==========================================================================================
-- Methods dedicated to this VVC
-- - These procedures are called from the testbench in order for the VVC to execute
-- BFM calls towards the given interface. The VVC interpreter will queue these calls
-- and then the VVC executor will fetch the commands from the queue and handle the
-- actual BFM execution.
-- For details on how the BFM procedures work, see the QuickRef.
--==========================================================================================
-- *****************************************************************************
--
-- master transmit
--
-- *****************************************************************************
-- multi-byte
procedure i2c_master_transmit(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant data : in t_byte_array;
constant msg : in string;
constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
-- single byte
procedure i2c_master_transmit(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant data : in std_logic_vector;
constant msg : in string;
constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
-- *****************************************************************************
--
-- slave transmit
--
-- *****************************************************************************
-- multi-byte
procedure i2c_slave_transmit(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant data : in t_byte_array;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
-- single byte
procedure i2c_slave_transmit(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant data : in std_logic_vector;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
-- *****************************************************************************
--
-- master receive
--
-- *****************************************************************************
procedure i2c_master_receive(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant num_bytes : in natural;
constant data_routing : in t_data_routing;
constant msg : in string;
constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
procedure i2c_master_receive(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant num_bytes : in natural;
constant msg : in string;
constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
-- *****************************************************************************
--
-- master check
--
-- *****************************************************************************
-- multi-byte
procedure i2c_master_check(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant data : in t_byte_array;
constant msg : in string;
constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER;
constant alert_level : in t_alert_level := error;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
-- single byte
procedure i2c_master_check(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant data : in std_logic_vector;
constant msg : in string;
constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER;
constant alert_level : in t_alert_level := error;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
procedure i2c_master_quick_command(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant msg : in string;
constant rw_bit : in std_logic := C_WRITE_BIT;
constant exp_ack : in boolean := true;
constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER;
constant alert_level : in t_alert_level := error;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
-- *****************************************************************************
--
-- slave receive
--
-- *****************************************************************************
procedure i2c_slave_receive(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant num_bytes : in natural;
constant data_routing : in t_data_routing;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
procedure i2c_slave_receive(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant num_bytes : in natural;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
-- *****************************************************************************
--
-- slave check
--
-- *****************************************************************************
-- multi-byte
procedure i2c_slave_check(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant data : in t_byte_array;
constant msg : in string;
constant alert_level : in t_alert_level := error;
constant rw_bit : in std_logic := '0'; -- Default write bit
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
-- single byte
procedure i2c_slave_check(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant data : in std_logic_vector;
constant msg : in string;
constant alert_level : in t_alert_level := error;
constant rw_bit : in std_logic := '0'; -- Default write bit
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
procedure i2c_slave_check(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant rw_bit : in std_logic;
constant msg : in string;
constant alert_level : in t_alert_level := error;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
--==============================================================================
-- Transaction info methods
--==============================================================================
procedure set_global_vvc_transaction_info(
signal vvc_transaction_info_trigger : inout std_logic;
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record;
constant vvc_config : in t_vvc_config;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT);
procedure reset_vvc_transaction_info(
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record);
--==============================================================================
-- VVC Activity
--==============================================================================
procedure update_vvc_activity_register( signal global_trigger_vvc_activity_register : inout std_logic;
variable vvc_status : inout t_vvc_status;
constant activity : in t_activity;
constant entry_num_in_vvc_activity_register : in integer;
constant last_cmd_idx_executed : in natural;
constant command_queue_is_empty : in boolean;
constant scope : in string := C_VVC_NAME);
--==============================================================================
-- VVC Scoreboard helper method
--==============================================================================
function pad_i2c_sb(
constant data : in std_logic_vector
) return std_logic_vector;
end package vvc_methods_pkg;
package body vvc_methods_pkg is
--==============================================================================
-- Methods dedicated to this VVC
-- Notes:
-- - shared_vvc_cmd is initialised to C_VVC_CMD_DEFAULT, and also reset to this after every command
--==============================================================================
-- master transmit
procedure i2c_master_transmit(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant data : in t_byte_array;
constant msg : in string;
constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name);
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")";
-- Normalize to the 10 bit addr width
variable v_normalized_addr : unsigned(C_VVC_CMD_ADDR_MAX_LENGTH - 1 downto 0) :=
normalize_and_check(addr, shared_vvc_cmd.addr, ALLOW_WIDER_NARROWER, "addr", "shared_vvc_cmd.addr", proc_call & " called with to wide address. " & add_msg_delimiter(msg));
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_TRANSMIT);
shared_vvc_cmd.addr := v_normalized_addr;
shared_vvc_cmd.data(0 to data'length - 1) := data;
shared_vvc_cmd.num_bytes := data'length;
shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure i2c_master_transmit(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant data : in std_logic_vector;
constant msg : in string;
constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name);
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")";
variable v_byte : std_logic_vector(7 downto 0) := (others => '0');
-- Normalize to the 8 bit data width
variable v_normalized_data : std_logic_vector(7 downto 0) :=
normalize_and_check(data, v_byte, ALLOW_NARROWER, "data", "v_byte", msg);
variable v_byte_array : t_byte_array(0 to 0) := (0 => v_normalized_data);
begin
i2c_master_transmit(VVCT, vvc_instance_idx, addr, v_byte_array, msg, action_when_transfer_is_done, scope, parent_msg_id_panel);
end procedure;
-- slave transmit
procedure i2c_slave_transmit(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant data : in t_byte_array;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name);
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")";
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SLAVE_TRANSMIT);
shared_vvc_cmd.data(0 to data'length - 1) := data;
shared_vvc_cmd.num_bytes := data'length;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure i2c_slave_transmit(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant data : in std_logic_vector;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
variable v_byte : std_logic_vector(7 downto 0) := (others => '0');
-- Normalize to the 8 bit data width
variable v_normalized_data : std_logic_vector(7 downto 0) :=
normalize_and_check(data, v_byte, ALLOW_NARROWER, "data", "v_byte", msg);
variable v_byte_array : t_byte_array(0 to 0) := (0 => v_normalized_data);
begin
i2c_slave_transmit(VVCT, vvc_instance_idx, v_byte_array, msg, scope, parent_msg_id_panel);
end procedure;
-- master receive
procedure i2c_master_receive(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant num_bytes : in natural;
constant data_routing : in t_data_routing;
constant msg : in string;
constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name);
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")";
-- Normalize to the 10 bit addr width
variable v_normalized_addr : unsigned(C_VVC_CMD_ADDR_MAX_LENGTH - 1 downto 0) :=
normalize_and_check(addr, shared_vvc_cmd.addr, ALLOW_NARROWER, "addr", "shared_vvc_cmd.addr", msg);
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_RECEIVE);
shared_vvc_cmd.addr := v_normalized_addr;
shared_vvc_cmd.num_bytes := num_bytes;
shared_vvc_cmd.data_routing := data_routing;
shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure i2c_master_receive(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant num_bytes : in natural;
constant msg : in string;
constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
begin
i2c_master_receive(VVCT, vvc_instance_idx, addr, num_bytes, NA, msg, action_when_transfer_is_done, scope, parent_msg_id_panel);
end procedure;
-- slave receive
procedure i2c_slave_receive(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant num_bytes : in natural;
constant data_routing : in t_data_routing;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name);
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")";
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SLAVE_RECEIVE);
shared_vvc_cmd.num_bytes := num_bytes;
shared_vvc_cmd.data_routing := data_routing;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure i2c_slave_receive(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant num_bytes : in natural;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
begin
i2c_slave_receive(VVCT, vvc_instance_idx, num_bytes, NA, msg, scope, parent_msg_id_panel);
end procedure;
-- master check
procedure i2c_master_check(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant data : in t_byte_array;
constant msg : in string;
constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER;
constant alert_level : in t_alert_level := error;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name);
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")";
-- Normalize to the 10 bit addr width
variable v_normalized_addr : unsigned(C_VVC_CMD_ADDR_MAX_LENGTH - 1 downto 0) :=
normalize_and_check(addr, shared_vvc_cmd.addr, ALLOW_WIDER_NARROWER, "addr", "shared_vvc_cmd.addr", proc_call & " called with to wide address. " & add_msg_delimiter(msg));
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_CHECK);
shared_vvc_cmd.addr := v_normalized_addr;
shared_vvc_cmd.data(0 to data'length - 1) := data;
shared_vvc_cmd.num_bytes := data'length;
shared_vvc_cmd.alert_level := alert_level;
shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure i2c_master_check(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant data : in std_logic_vector;
constant msg : in string;
constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER;
constant alert_level : in t_alert_level := error;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name);
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")";
variable v_byte : std_logic_vector(7 downto 0) := (others => '0');
-- Normalize to the 8 bit data width
variable v_normalized_data : std_logic_vector(7 downto 0) :=
normalize_and_check(data, v_byte, ALLOW_NARROWER, "data", "v_byte", msg);
variable v_byte_array : t_byte_array(0 to 0) := (0 => v_normalized_data);
begin
i2c_master_check(VVCT, vvc_instance_idx, addr, v_byte_array, msg, action_when_transfer_is_done, alert_level, scope, parent_msg_id_panel);
end procedure;
procedure i2c_master_quick_command(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant msg : in string;
constant rw_bit : in std_logic := C_WRITE_BIT;
constant exp_ack : in boolean := true;
constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER;
constant alert_level : in t_alert_level := error;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name);
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")";
-- Normalize to the 10 bit addr width
variable v_normalized_addr : unsigned(C_VVC_CMD_ADDR_MAX_LENGTH - 1 downto 0) :=
normalize_and_check(addr, shared_vvc_cmd.addr, ALLOW_WIDER_NARROWER, "addr", "shared_vvc_cmd.addr", proc_call & " called with to wide address. " & add_msg_delimiter(msg));
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_QUICK_CMD);
shared_vvc_cmd.addr := v_normalized_addr;
shared_vvc_cmd.exp_ack := exp_ack;
shared_vvc_cmd.alert_level := alert_level;
shared_vvc_cmd.rw_bit := rw_bit;
shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
-- slave check
procedure i2c_slave_check(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant data : in t_byte_array;
constant msg : in string;
constant alert_level : in t_alert_level := error;
constant rw_bit : in std_logic := '0'; -- Default write bit
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name);
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")";
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SLAVE_CHECK);
shared_vvc_cmd.data(0 to data'length - 1) := data;
shared_vvc_cmd.num_bytes := data'length;
shared_vvc_cmd.alert_level := alert_level;
shared_vvc_cmd.rw_bit := rw_bit;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure i2c_slave_check(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant data : in std_logic_vector;
constant msg : in string;
constant alert_level : in t_alert_level := error;
constant rw_bit : in std_logic := '0'; -- Default write bit
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name);
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")";
variable v_byte : std_logic_vector(7 downto 0) := (others => '0');
-- Normalize to the 8 bit data width
variable v_normalized_data : std_logic_vector(7 downto 0) :=
normalize_and_check(data, v_byte, ALLOW_NARROWER, "data", "v_byte", msg);
variable v_byte_array : t_byte_array(0 to 0) := (0 => v_normalized_data);
begin
i2c_slave_check(VVCT, vvc_instance_idx, v_byte_array, msg, alert_level, rw_bit, scope, parent_msg_id_panel);
end procedure;
-- slave check
procedure i2c_slave_check(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant rw_bit : in std_logic;
constant msg : in string;
constant alert_level : in t_alert_level := error;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name);
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")";
variable v_dummy_byte_array : t_byte_array(0 to -1); -- Empty byte array to indicate that data is not checked
begin
i2c_slave_check(VVCT, vvc_instance_idx, v_dummy_byte_array, msg, alert_level, rw_bit, scope, parent_msg_id_panel);
end procedure;
--==============================================================================
-- Transaction info methods
--==============================================================================
procedure set_global_vvc_transaction_info(
signal vvc_transaction_info_trigger : inout std_logic;
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record;
constant vvc_config : in t_vvc_config;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT) is
begin
case vvc_cmd.operation is
when MASTER_TRANSMIT | MASTER_RECEIVE | MASTER_CHECK |
SLAVE_TRANSMIT | SLAVE_RECEIVE | SLAVE_CHECK | MASTER_QUICK_CMD =>
vvc_transaction_info_group.bt.operation := vvc_cmd.operation;
vvc_transaction_info_group.bt.addr(vvc_cmd.addr'length-1 downto 0) := vvc_cmd.addr;
vvc_transaction_info_group.bt.data := vvc_cmd.data;
vvc_transaction_info_group.bt.num_bytes := vvc_cmd.num_bytes;
vvc_transaction_info_group.bt.action_when_transfer_is_done := vvc_cmd.action_when_transfer_is_done;
vvc_transaction_info_group.bt.exp_ack := vvc_cmd.exp_ack;
vvc_transaction_info_group.bt.rw_bit := vvc_cmd.rw_bit;
vvc_transaction_info_group.bt.vvc_meta.msg(1 to vvc_cmd.msg'length) := vvc_cmd.msg;
vvc_transaction_info_group.bt.vvc_meta.cmd_idx := vvc_cmd.cmd_idx;
vvc_transaction_info_group.bt.transaction_status := IN_PROGRESS;
gen_pulse(vvc_transaction_info_trigger, 0 ns, "pulsing global vvc transaction info trigger", scope, ID_NEVER);
when others =>
alert(TB_ERROR, "VVC operation not recognized");
end case;
wait for 0 ns;
end procedure set_global_vvc_transaction_info;
procedure reset_vvc_transaction_info(
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record) is
begin
case vvc_cmd.operation is
when MASTER_TRANSMIT | MASTER_RECEIVE | MASTER_CHECK |
SLAVE_TRANSMIT | SLAVE_RECEIVE | SLAVE_CHECK | MASTER_QUICK_CMD =>
vvc_transaction_info_group.bt := C_BASE_TRANSACTION_SET_DEFAULT;
when others =>
null;
end case;
wait for 0 ns;
end procedure reset_vvc_transaction_info;
--==============================================================================
-- VVC Activity
--==============================================================================
procedure update_vvc_activity_register( signal global_trigger_vvc_activity_register : inout std_logic;
variable vvc_status : inout t_vvc_status;
constant activity : in t_activity;
constant entry_num_in_vvc_activity_register : in integer;
constant last_cmd_idx_executed : in natural;
constant command_queue_is_empty : in boolean;
constant scope : in string := C_VVC_NAME) is
variable v_activity : t_activity := activity;
begin
-- Update vvc_status after a command has finished (during same delta cycle the activity register is updated)
if activity = INACTIVE then
vvc_status.previous_cmd_idx := last_cmd_idx_executed;
vvc_status.current_cmd_idx := 0;
end if;
if v_activity = INACTIVE and not(command_queue_is_empty) then
v_activity := ACTIVE;
end if;
shared_vvc_activity_register.priv_report_vvc_activity(vvc_idx => entry_num_in_vvc_activity_register,
activity => v_activity,
last_cmd_idx_executed => last_cmd_idx_executed);
if global_trigger_vvc_activity_register /= 'L' then
wait until global_trigger_vvc_activity_register = 'L';
end if;
gen_pulse(global_trigger_vvc_activity_register, 0 ns, "pulsing global trigger for vvc activity register", scope, ID_NEVER);
end procedure;
--==============================================================================
-- VVC Scoreboard helper method
--==============================================================================
function pad_i2c_sb(
constant data : in std_logic_vector
) return std_logic_vector is
begin
return pad_sb_slv(data, C_VVC_CMD_DATA_MAX_LENGTH);
end function pad_i2c_sb;
end package body vvc_methods_pkg;
| mit | 67ad25bd5b5e91f68fa94d3ceea8f012 | 0.544 | 4.11196 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/shared/dsp48e2_wrapper.vhd | 3 | 41,902 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
oWAiulLwHDglts6iqdMUT9Ori/ohV8QguIR1lM7voKoLaYFRvD2S50wWzfOXl1AqjV+esGm+neYh
aXTGcZYAUA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
pjORo1VUicxT3KNrha3dwkdkacMgeKv6htW6OBezSAYVQTqVECKGncr9yoRXcs7sGJoZX4VaS8ia
lihJEHqdU7spww8qZeDL6kdfkf73A5GDuhlxghEKWXxnanBE4/mPjb3CdNex8j6f/V0iPwVP8zbO
9xb2L8Nnk6ScRPEyOXQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Peg+7FfXjeQbiaOKxmimfzP1GEfA0xs/a9tFt2w9gwQHX8Ly/Cz5LlJtL5mdZ77ckvdNfJmQ+VHs
rPs/ubGwZr9yQQllrZBHzCwiuRRZU72CLZZmGGqZLsgf8SrxIZGaIKgytX6pCleoLyzOesqXBNLU
/Oyo3S9HGNPh2h+VRbnosGrZKDBWjyQlBWadWZ65Pd2QdVA0z+xxxUPO96CSw0l1/ExlNgleiwoA
uaX2OxgEsUeESaj1JZGYIiMkHilJHZDTkcMK2s3YsyWOqXhwRild6TfejTa2Fzn7TH4K0pu++7Gt
nmVIgHvzVhBs5sa5Fo6vXJKVBorZwCbjGDiu5g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UCcotBKd24z3jkO3jgLbsxNWqi2O9+6jaXbotiZjtapozjfzg09PNDoEdTzj2B303WQ78dPXEphn
GO4PzKGdZAdDgvtFX7h6cCngchutOPNE7wof2pbSw94kWUGoE8qSuK1sO4Z+0LubR7c0IIN9HAZ9
pEoqViQqlFMCXUzLkDk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
PIHoiy6LOs6z9eo851mqeJ6D8UYzj3KAeJ9fm4AKfKKarhRamXK6B8lbD4E3RQ3pdDWQccWJcZpR
NH3EOtpAZEu/MkvXzjnjlwMww2/YpZce8bPLwemJFMc39ZZJmCT3SWOlQphiINLNGDVxB/CMtcQ6
rY2up/+ygJWF9vC426YbgHTJvlEVzCe/eGFMA+8YiVMSVx1GFhZK0bm9zeFSEr4sYDaGEOTvCs0G
hCIpAYk1atmrlyyugxDXn8+KvQNZnVl4HaRRFWZzU1oDVAww9Nzcqooh/njU693MwJ2PwWWVVfWl
w4hty2wOg+59AQpZ0b86zzhH4IIXVJ9olmwhIw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 29280)
`protect data_block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`protect end_protected
| gpl-2.0 | a7064be3c0ecc5d4e51b84d84b8d94da | 0.949072 | 1.832342 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/NewCombined/word_unit.vhd | 2 | 1,893 | ----------------------------------------------------------------------------------
-- Company: UNIVERSITY OF MASSACHUSETTS DARTMOUTH
-- Engineer: CHRISTOPHER PARKS ([email protected])
--
-- Create Date: 14:45:47 03/31/2016
-- Design Name:
-- Module Name: word_unit - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
entity word_unit is
Port ( DATAIN : in STD_LOGIC_VECTOR (15 downto 0);
IMMAddr : in STD_LOGIC_VECTOR (7 downto 0);
CLK : in STD_LOGIC;
OP : in STD_LOGIC_VECTOR(3 downto 0); -- Pass OP(2) to this (OP=0=Load, OP=1=Write)
RESULT : out STD_LOGIC_VECTOR (15 downto 0);
DST_ADR : out STD_LOGIC_VECTOR (7 downto 0);
STORE_DATA : out STD_LOGIC_VECTOR (15 downto 0));
end word_unit;
architecture Combinational of word_unit is
signal WREN : STD_LOGIC_VECTOR(0 downto 0) := "0";
begin
DST_ADR <= IMMAddr;
STORE_DATA <= DATAIN;
WREN <= "0" when OP = x"9" else -- x"9" is load word
"1" when OP = x"A"; -- x"A" is store word
DATAMEMORY : entity work.DATAMEM port map(ADDRA => IMMAddr,
DINA => DATAIN,
WEA => WREN, -- Write enable
CLKA => CLK,
DOUTA => RESULT);
-- When OP = 1 then WRITE is enabled, IMMAddr gives us the address to write to, DATAIN gives us the data to write. RESULT will soon show data written if untouched
-- When OP = 0 then WRITE is disabled, DATAIN is ignored, IMMAddr gives us the address to read from, and RESULT is set to the RESULT.
end Combinational;
| gpl-3.0 | e5b57143b56e914fdef8d8b885b09d98 | 0.574749 | 3.647399 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_axi_read_fsm.vhd | 11 | 83,900 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
mjgAOmmqpUt1V+Rhx55PcsZFO50ANSJzUkq4iFT2ky0C1WINgCoS9aiI2Aoor0/FtBYKfc1lhyAC
A2yQaY0u8g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gjwaSbcrNPL1CjiRK1olSBjrq4xEV61J1xGlt5XKQmKd32CsZVNRVqoIdIOQw5EEQ7uMTa7bpCef
/RRLmGjz/2hgGrgTwg5h2PJWHguc12Zs6C59vtsbmoplQOrftqG88iqVPmgqwLN+DTNOr5arrhn+
WjYZEBGst63L9iu3Khk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
q5P6x1wYO7e2baBau0ec4MDS3ryR2YzQRaQhrf9aLeN+93m5ew7UeblmK6P8em0XD3V/frlrdjJr
vuNgfu7erA60Vrjdo6kExSAievijk93kh7MPyG1C7uDY1IKWawOPN0SnpTgnKNqgJWNP76YGs9dE
TN9mEgH2ADzwBzmsnM6NspqqEoZYVlXfQg9prMYa7vubVhbFy8YrYeUJcl/tvgZJjPwfOXGv+Ce9
6Lw3eVnbpPe/UcdW9doBauijqG3ME0OMECmmQVx3tDp7z92dYCehkqsqs9ChFA/rMqv7yoEeo0Tq
fYW2vFa8NzvhoAAn3MGMFPC05Hn9sO45pG9MOw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PhhRJ5ByvCD/sp7jeb/K7V07j0XnHI1QE90gfVDZlyBNRsnsijVbOgPrfQFzzQckBnxQRDgodqBT
UyEHG3baIJtqnx8S8FzCB+ms7FHJzH8qYiVcrBJbki9Cwu1coa+dV+gcYK/TykBXao/zKErqGGQe
o5y2fe4hLUiI94eeeb4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TAojQYz3bVMMZK9Nx5h3lda3DWpTQmZ9NPXuNw/InYDrG2TbGUzW6Wq6wdSILd3J4wAwg/M3zRal
0Okl56IhevBfwHBHR9xLcke5Q+fEjahGlIEiyHBXondVA3F5GQ343Sl6RxPF8lzUTfgXL7wJx8A2
SjgajF3hMQRocRSQ/AaGLTSAnfM8jJN9MMLQuNU2wvBRfbi8VZAqaKMgXz46LlEZJBasUpbtUHwL
r58zjjKIDHwYMrOB5SRbeeIszHIzYGbbXwuaGXoSgqoXST3hebuwdA7H0X6lL5AHQpXSqppzPZ6p
S9LM5cjn5dm06YUsC+fTFEUGkdx92p4gSMtILg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 60368)
`protect data_block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`protect end_protected
| gpl-2.0 | 32f304360aad44bd192ccac86695b317 | 0.952777 | 1.818812 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_ethernet/src/ethernet_sb_pkg.vhd | 1 | 1,974 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
---------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library bitvis_vip_scoreboard;
use bitvis_vip_scoreboard.generic_sb_pkg;
use work.support_pkg.all;
--==========================================================================================
--==========================================================================================
package ethernet_sb_pkg is new bitvis_vip_scoreboard.generic_sb_pkg
generic map ( t_element => t_ethernet_frame,
element_match => ethernet_match,
to_string_element => to_string); | mit | 74913c3c6c3a0f61f0be5847c614f322 | 0.454914 | 6.246835 | false | false | false | false |
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA | System Design Source FIle/ipshared/xilinx.com/HLS_accel_v1_0/dbdcd11c/hdl/ip/HLS_accel_ap_fmul_2_max_dsp_32.vhd | 2 | 12,927 | -- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:floating_point:7.0
-- IP Revision: 7
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY floating_point_v7_0;
USE floating_point_v7_0.floating_point_v7_0;
ENTITY HLS_accel_ap_fmul_2_max_dsp_32 IS
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END HLS_accel_ap_fmul_2_max_dsp_32;
ARCHITECTURE HLS_accel_ap_fmul_2_max_dsp_32_arch OF HLS_accel_ap_fmul_2_max_dsp_32 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF HLS_accel_ap_fmul_2_max_dsp_32_arch: ARCHITECTURE IS "yes";
COMPONENT floating_point_v7_0 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_HAS_ADD : INTEGER;
C_HAS_SUBTRACT : INTEGER;
C_HAS_MULTIPLY : INTEGER;
C_HAS_DIVIDE : INTEGER;
C_HAS_SQRT : INTEGER;
C_HAS_COMPARE : INTEGER;
C_HAS_FIX_TO_FLT : INTEGER;
C_HAS_FLT_TO_FIX : INTEGER;
C_HAS_FLT_TO_FLT : INTEGER;
C_HAS_RECIP : INTEGER;
C_HAS_RECIP_SQRT : INTEGER;
C_HAS_ABSOLUTE : INTEGER;
C_HAS_LOGARITHM : INTEGER;
C_HAS_EXPONENTIAL : INTEGER;
C_HAS_FMA : INTEGER;
C_HAS_FMS : INTEGER;
C_HAS_ACCUMULATOR_A : INTEGER;
C_HAS_ACCUMULATOR_S : INTEGER;
C_A_WIDTH : INTEGER;
C_A_FRACTION_WIDTH : INTEGER;
C_B_WIDTH : INTEGER;
C_B_FRACTION_WIDTH : INTEGER;
C_C_WIDTH : INTEGER;
C_C_FRACTION_WIDTH : INTEGER;
C_RESULT_WIDTH : INTEGER;
C_RESULT_FRACTION_WIDTH : INTEGER;
C_COMPARE_OPERATION : INTEGER;
C_LATENCY : INTEGER;
C_OPTIMIZATION : INTEGER;
C_MULT_USAGE : INTEGER;
C_BRAM_USAGE : INTEGER;
C_RATE : INTEGER;
C_ACCUM_INPUT_MSB : INTEGER;
C_ACCUM_MSB : INTEGER;
C_ACCUM_LSB : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_INVALID_OP : INTEGER;
C_HAS_DIVIDE_BY_ZERO : INTEGER;
C_HAS_ACCUM_OVERFLOW : INTEGER;
C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER;
C_THROTTLE_SCHEME : INTEGER;
C_HAS_A_TUSER : INTEGER;
C_HAS_A_TLAST : INTEGER;
C_HAS_B : INTEGER;
C_HAS_B_TUSER : INTEGER;
C_HAS_B_TLAST : INTEGER;
C_HAS_C : INTEGER;
C_HAS_C_TUSER : INTEGER;
C_HAS_C_TLAST : INTEGER;
C_HAS_OPERATION : INTEGER;
C_HAS_OPERATION_TUSER : INTEGER;
C_HAS_OPERATION_TLAST : INTEGER;
C_HAS_RESULT_TUSER : INTEGER;
C_HAS_RESULT_TLAST : INTEGER;
C_TLAST_RESOLUTION : INTEGER;
C_A_TDATA_WIDTH : INTEGER;
C_A_TUSER_WIDTH : INTEGER;
C_B_TDATA_WIDTH : INTEGER;
C_B_TUSER_WIDTH : INTEGER;
C_C_TDATA_WIDTH : INTEGER;
C_C_TUSER_WIDTH : INTEGER;
C_OPERATION_TDATA_WIDTH : INTEGER;
C_OPERATION_TUSER_WIDTH : INTEGER;
C_RESULT_TDATA_WIDTH : INTEGER;
C_RESULT_TUSER_WIDTH : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tready : OUT STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_a_tlast : IN STD_LOGIC;
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tready : OUT STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_b_tlast : IN STD_LOGIC;
s_axis_c_tvalid : IN STD_LOGIC;
s_axis_c_tready : OUT STD_LOGIC;
s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_c_tlast : IN STD_LOGIC;
s_axis_operation_tvalid : IN STD_LOGIC;
s_axis_operation_tready : OUT STD_LOGIC;
s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_operation_tlast : IN STD_LOGIC;
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tready : IN STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_result_tlast : OUT STD_LOGIC
);
END COMPONENT floating_point_v7_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF HLS_accel_ap_fmul_2_max_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_0,Vivado 2014.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF HLS_accel_ap_fmul_2_max_dsp_32_arch : ARCHITECTURE IS "HLS_accel_ap_fmul_2_max_dsp_32,floating_point_v7_0,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF HLS_accel_ap_fmul_2_max_dsp_32_arch: ARCHITECTURE IS "HLS_accel_ap_fmul_2_max_dsp_32,floating_point_v7_0,{x_ipProduct=Vivado 2014.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.0,x_ipCoreRevision=7,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=1,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=2,C_OPTIMIZATION=1,C_MULT_USAGE=3,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA";
BEGIN
U0 : floating_point_v7_0
GENERIC MAP (
C_XDEVICEFAMILY => "virtex7",
C_HAS_ADD => 0,
C_HAS_SUBTRACT => 0,
C_HAS_MULTIPLY => 1,
C_HAS_DIVIDE => 0,
C_HAS_SQRT => 0,
C_HAS_COMPARE => 0,
C_HAS_FIX_TO_FLT => 0,
C_HAS_FLT_TO_FIX => 0,
C_HAS_FLT_TO_FLT => 0,
C_HAS_RECIP => 0,
C_HAS_RECIP_SQRT => 0,
C_HAS_ABSOLUTE => 0,
C_HAS_LOGARITHM => 0,
C_HAS_EXPONENTIAL => 0,
C_HAS_FMA => 0,
C_HAS_FMS => 0,
C_HAS_ACCUMULATOR_A => 0,
C_HAS_ACCUMULATOR_S => 0,
C_A_WIDTH => 32,
C_A_FRACTION_WIDTH => 24,
C_B_WIDTH => 32,
C_B_FRACTION_WIDTH => 24,
C_C_WIDTH => 32,
C_C_FRACTION_WIDTH => 24,
C_RESULT_WIDTH => 32,
C_RESULT_FRACTION_WIDTH => 24,
C_COMPARE_OPERATION => 8,
C_LATENCY => 2,
C_OPTIMIZATION => 1,
C_MULT_USAGE => 3,
C_BRAM_USAGE => 0,
C_RATE => 1,
C_ACCUM_INPUT_MSB => 32,
C_ACCUM_MSB => 32,
C_ACCUM_LSB => -31,
C_HAS_UNDERFLOW => 0,
C_HAS_OVERFLOW => 0,
C_HAS_INVALID_OP => 0,
C_HAS_DIVIDE_BY_ZERO => 0,
C_HAS_ACCUM_OVERFLOW => 0,
C_HAS_ACCUM_INPUT_OVERFLOW => 0,
C_HAS_ACLKEN => 1,
C_HAS_ARESETN => 0,
C_THROTTLE_SCHEME => 3,
C_HAS_A_TUSER => 0,
C_HAS_A_TLAST => 0,
C_HAS_B => 1,
C_HAS_B_TUSER => 0,
C_HAS_B_TLAST => 0,
C_HAS_C => 0,
C_HAS_C_TUSER => 0,
C_HAS_C_TLAST => 0,
C_HAS_OPERATION => 0,
C_HAS_OPERATION_TUSER => 0,
C_HAS_OPERATION_TLAST => 0,
C_HAS_RESULT_TUSER => 0,
C_HAS_RESULT_TLAST => 0,
C_TLAST_RESOLUTION => 0,
C_A_TDATA_WIDTH => 32,
C_A_TUSER_WIDTH => 1,
C_B_TDATA_WIDTH => 32,
C_B_TUSER_WIDTH => 1,
C_C_TDATA_WIDTH => 32,
C_C_TUSER_WIDTH => 1,
C_OPERATION_TDATA_WIDTH => 8,
C_OPERATION_TUSER_WIDTH => 1,
C_RESULT_TDATA_WIDTH => 32,
C_RESULT_TUSER_WIDTH => 1
)
PORT MAP (
aclk => aclk,
aclken => aclken,
aresetn => '1',
s_axis_a_tvalid => s_axis_a_tvalid,
s_axis_a_tdata => s_axis_a_tdata,
s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_a_tlast => '0',
s_axis_b_tvalid => s_axis_b_tvalid,
s_axis_b_tdata => s_axis_b_tdata,
s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_b_tlast => '0',
s_axis_c_tvalid => '0',
s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_c_tlast => '0',
s_axis_operation_tvalid => '0',
s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_operation_tlast => '0',
m_axis_result_tvalid => m_axis_result_tvalid,
m_axis_result_tready => '0',
m_axis_result_tdata => m_axis_result_tdata
);
END HLS_accel_ap_fmul_2_max_dsp_32_arch;
| mit | 9a81fb26de8902878336761f8b7f9c14 | 0.636033 | 3.04523 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_mult/flt_mult_exp.vhd | 3 | 43,179 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
UqS1EYjcFOJHBd/1AzgSR7MqnuHAEV9msX/lsvogISs/5tJ8JLUseBgpCE5kg4rtgWNy5qsj49Ad
9tX61oKTvg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ptlvO06Aguc8iLwl+9D1T7/8d/Iw6Rg71A5kiShOPBaiWSmNdM8iWVsLJBn+j2Kk276e3n6yC1Pa
9MC+K3+hH+ss4EfQ78yKAy3JtijxcON5JhgdLmFuKOJGaTXsYgQLdTULtA7GI4lmSxtRkcw5OMx4
F1j//EvPKmmQi2ocnhw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rSC4qta8iPMi3TVpvVLC2cMwLsWSD6l9OWXCDt6VOH8TofWsojBVqL9bYjzHIykZwTdiiNWdQdb9
8AETnQx64zlSWSPjj/RxiaxlIrQTsvNlRaWGQnufLZ4/F+P29/9ia50B3LoZREMkTTKRjRZvv3mO
mSeVzkdNkjLxvQm/GOF5rJub64bYfoGZRbcXrxAghiAQ1cFN23VqJhrNRUW1dr5MUtdooqBc1kEh
rgMzR0iAqsjx5u2uELYW1/4BZx9EL60lJomQmZxZAPqoqVIFTEo1RVgAGVFFjJZzlUAZWdXddFK4
z4Y1JefjuJtpzkbByKSRVV8nDpNd7qFNSAv+xg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kt+cvJR1aHFkQAgiZvWSGAxfsLzX9G7QHQftyVSGVQur2FBZN3E52nCCT+x4iiwNObEPms1O6Uvs
KOsbJzSLgocMoZRFd2oewIwMYDakPLvQcdbTKFtEEvSuYFyFN0/t9HkhDz95GNZVRyuQKwzjczDP
HJjawO/XUqT9O5AUp10=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TtrVhnoNvVXsleBa8TaH74F2PriAHm/AyvHE4Enggj6OmxsJUIUfwxyI6DXaqsyPljQbcoiUxugf
ICBgDQSFqIu+iLBOM/znl5GEECT608UYyFx7TU5d4oNxkhreL5pqujA0sEW1Tbj9GukT6Ph6Ga+I
D+W3n8IUT3lLqbgTmr0HXj10HkLcbInXIFmmTH8XGGOJ+aQBJniCscvf0ccDr01u/wZPupvZNzEe
ZdbvViaWv35pnvcmohnCkPU9/e3ZbuLBeQsP9aacGGeleqtj7w/9t8K3UKBwHuv/snCz3R4zF3eE
mlKKUkygA0h67L0Cv897mVpsvduUuAhZflTQRw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30224)
`protect data_block
600CjjBumdMwEVqmtOhX/A645U5iy20EYd9Szvmv2mQFy1nb80pNfctjj8puOUiQkAN6YoVzJ07B
ePj6wkqltX5UiC4LglP6Bix2aCrtLgjjz05Rh2gXU7nt/3STqy2fiSzlRArviVpXqGVfGTh3Yaqc
RsYdVk5jB2HkUN3HtMbPVLI/qJa3mTDJu+ZFToKj/eIXuoDOG5aKvOPOQvgae1TtqzNxF3nW8j35
uhPl/AnB4Bf3UY675a9SsHUbQuPQbXAD0lGjsO12++W+0jgw1h1NPjei8lE9E9VAL2hq4NRM9eji
Z3GrVZeAPQOipK6JhElXuQc4wz1O1LiYpd2XG6n4mIJWtXJq0Er8H2XH07j40QZ4KQMuT4U6A890
AQZOtn7lc7xJyJmNN8HtEYt/1wg2ylsiQ/Fs6yZe5DTiol4kqkzjnELv3XWrd6iSi13dNsrzmJ0l
tHqt4ew8beS5Y+FE1K5T+uq/zP7VHuLPodJBsOSha/8wE0cqktO7Q1EeYR0R3vD0gYYU1PWGfsZm
z7pRMjxBxZ4aTJR58J+Linj+ePSClkA+U1+CVznCnNZ5d3l6Shd3Z/5J3PUecwgvJWPXHJL4jmYh
Vhsap/E2TS+X+YDS09Aqm2+9YiYGoq/BynC6O8n35flP/lSOg4EMvc4Z4huJpZYxJSq+Gs1FTZTC
9uZySiuralwdRgJtOImK4BapBMyVIIDzOmZ8teMlWdH1Z56AudEBOfzgKJgjya7D9Q/TX+ojCKwo
boy9po0Rr2MBMaHUz73YlyYP/0ZMG5E8aAIfBHZBN+45xoTbU19Pzv9wyBDs6wKJAKlTttp2Q0Hv
CX4zBuUMoeejJ6XnWJhlfHnJY24GwbN/RgJKycskAocEEv7qYBQKvF9A/3z/CJLCKR59Wbos/v28
DgV11chx6AV/lJpFSX3G0E8IVzZHhcgAvM6KiCMalYAvtbbkIuVxtoloThLRdjjtBdA824W887oc
qpKx8FO0KMhUasmY4Auf42IKc9WonzpddJaW7G0EDjgQOktS8setvvu0SJwkksDcDO3rkbipGJcQ
jjA6PFpuI4siCTEVW970vlI5dhvmkFqbZaoLS/m/We2DyzWrjDS02uYiYQfEyoumaSbpFG713PFS
fi8wiyXDDcSmIHbH2/NjMZMxCVHEkCtjqRj58ckmcS+95I35req6rmG7It/h3LTHilv3cSUNy7+E
bklujIfF9EcvQ73xrjlrQgItZjXDDWTy6Y3h591xzKyH0/OkCU6lvC2PgqEK2am8V2HNrtUQl1ME
1CUo/SOP3kw/881/hiwROfm5xxtQjR1Gc1G25rzQfOtDVbq92Gv+9z0dD5u/sB0aU3MXFyCEfVFn
FJq8BEZ6ghcC3k2hAMnLhbUb/KhvM9nxmRgarTYHLpYSVsexgv/atY64AraU4ptYoH7IDoe7Q/qh
wWGiYRVai8cb2SKEnamIqWRaehXB3IW2Uytms7BCr3zZIXYLPu8ULTSJzzMFKR8wW0xS9YEm5aZf
MlKqLFBlAM2ODUJ9RE9KRVBG5GRIstAPLXawT9WOBGjGDKJR1MM/GeEYJxSlgEPsDDnVQPYucDrb
GfgxYUEPVpsruMt59khF4XiG8hg5WRjmz5pqZ0TD5fVl5NGplcsYqpnKQE8zfCUMm/Ow8F+NsFNq
Zzu/MExUf6y9n9HhhZS0MfHyxpmhayatEJvK8Nx1gS/KW5r3KenmB0K1dGn8VAoV743k7p/y45e6
K/wBgKoJBS+mpQDrCG+01MIAXYQzNzXQ0a7woNL/XbKoEE222iJlBtZjzDFtMwziWQVqlt7+Tm0O
W781yH8R4nrdd2JaEIZnSRGtvR7ykjamUO1BBi6SnbE94i7l0VUWCB/ZBJ04/S6oSqVxdxxke2wk
zF43zyXuXdibsCNXMgtbRWv2lymbNQdVbSk8ulEb8Ypdl4f/de2TERCNd7F8WlsQlPlv5tTno6D+
V3/RMotG4ruhpqIrbjGsEghD7Nv2iehz4Xokx20cYf0s6Bz/4/j07De9L+/tw9S+U8tk6a96FHIF
GOJJ6qOVNvFpevyo8+oFzXCsdSA+I4V3Rh6UOv5S5PJzDhUrCSsb1h8tMUrru2rPHsOOEJD27lox
Sy0sVvc7YfxSWyA0+yFmEJER+SOjPy0Hns+VEHJpsGS3E29LLM6+BuEF4g+GqBwy8gPsl64Xt2kC
4ht26o6SPawYoWna/+CsD0DPaLZiqZoML/q4cSy+AXfBsCt08/Q+bLcAAy/Y6CT2K5wa8vHGjjFS
k5WWOrtZE5xZi2eYO67S82F1yopxeuAEDOO/VoKhegaPlWqvp0x5xnhWJLhYGHWydowItQkVfXYg
CqFu/Duti9KR5Gq7oHnry2l6L22zAEqYWfFtNge+h/RS+J9vy6t5jEpn0CxZvxohXFTdHtVvR27d
qsw7jbJ2xmRN7ZzAXuxGLkC+iDES6JIx9PsuZdGSxvV87T1+OEbllmMgb1PU8g2DyCgVHl9at+jH
EXlBD4rhuRZydY+62ppwGudn8FhpE8fSKJXgwvDWb91sCXu3Fqbm3GR1d4AfLJ1Byl51gITrBMTB
nPoidgs9P0c7mGIKYSsKuioZPjtXFUXCaGyWMqBDMGy7yEl7NAGEhMrHgr7MkPso+8tfmJ5+TKM4
7KfN4djv8R/K7ql5Fcf4p9WTwN6h10dCefEW2Gl/pvhVJokeRs5/0PEom8UUuNw/C7e1M7+rWaIP
lQsLWuWzK+Xj/gaIbA2jgB8Sct9TSNtHMlRX+ne4yl5+ZG5XeKm9YUO9NTCCeihcBCE39XTc/BK2
GIu9/lMmEmhXOg4+scLXyWRbHYkSLXzE62XlXTf4g8X9Hqso3zswfVygyr7q6urHQQYaLkb6s5pL
Z5TE1xJ8Wl2cZdhqZdaPXKhQWYTiZq9YaWwSz0wQvn2ratgXBDBqWUWr/vgd1SnDp2RDDIBnfPmU
xPpT5B9QE/IQLP5h7jpv8/CySBGlAQC4ceaahDuNgCzMMkgJlhDlCl4jm86np52ny4sww136N8s4
nae6QEsYYgZMPWu3nNpnMISfztebaUX/uyfct58nWtqN+/hp1DQt5Q5Qkayci5Ko3J64F9EWXPos
5yMNMwMuriUu3ZmO6WNIQFsYpo0wRYIs0qgFyK++oaxF6O4Na4wPkb6CbXJAR8F50PufPwzGwX3M
+fSzwP89VsR1LVbY6PFeopt0UmpaiIgFADy0SpBgIAbneEz2mYaZmRWaRhGhAWFSykFJl8PoXq4F
6Nz/Soa4ZtE+DNWKbTsPe4vGF+GMDay+mlJinZtaZ7r2h9LQM3JQAk9O+08tWTc8/ldkSS9IzUOR
vSbsY3Y2+oF6H3BIIE6tjhZCn68D1z2Y1gey1UjXAETPmvGFE5Vrp3TbgjVH43ULYHiFTF6WIcYt
LwQhTmCqz9huW8EqNOfx8nULezsR7naQlws1p532KjdKnM8lyBK3YLkbEtDPdDyQZHxPnDGW61lH
5k/Wzobqkr/pDPxGqtDCrzSnZHOVgRta3HJmARUQMw/2qO3+4Kj7Xkzeq8+aiRoZ1dFXnfaJMxCZ
RJL/wYrOLkzZDzosniUTu8o26O07dlLzJm4b/Y5kNQP0bGahLKrPWYL22g4vOcnaUcg9utSWMurx
S+8/P5viKdVBP8sH4PtskhDru77MMQlmZvFITpdTQvBqnpPloC5uqXGcW+m/GIMQv42E7DNf/F/i
YEC6RkRaudoL5yjBlE7mWtLVOjHk4kif3S9STxkTOp9s4CXU2R/CMW2alztpo9nky+EL1XS9pjX/
nmBwghXRv11anjKF48m9KDf2WZdRfvwozadbxA6V9EivdayNg3Nm0OdNy9xYrlBnDk7zkYDSBySO
XqOyQDA7yXx+eb0m45y2YeW2w+amMkoPbDqP+GBtV6db/BU3bOSFqdJHXyjfY1ftff2Xlz9Jo2Gw
beny2h63zvp64MkR3OkmSSlr03H+HcRmHMPWdGVT2NBVJOGCAH1K8m2aZnunS9rK1I4mWagq75bc
Tgi5xd0OK9zxBTu8weUcJSvJn4jltnNs2KrnlZaeVy8zu1rPV68IiVjDleQv9OWeYjRUwwG1L3bg
sqURa0BsK9rbLImAOC++Fv+j1eKT1/NaNxXUFEpbe1TGhTmyODJwV7lcMU343kEyOIv8WVeiOtj6
GMh9FU1PA+smFshxvmfECF7su3hnN4bQxhLQrwOpa2HFjIejj2kXjayiVkAFYfFnZOdwxjTPlUoe
D99WiKNyMCqkjwd4FAwvlmU666QJOqVn0bf5c+Pg2CttG+6NEvEHu5NKh9/8TkdpbLxRKkcQObsY
ATpcbhvoiNXFsfF/Y2M6iuVEFc3NaHlmuNmt9ow8zptWIC3P5BmJvTsXpTAdKkFXBTkW6h+MT44q
fgqtLmYuByPDat1BdfnzYEFpWRc52D7UmgGDZEiez/fgp+ZRTa0EkJln3USF3gPZU9bhflSe5Gyi
FICr7pw1zDE5jCOtdick6nkZLhrJqbyhkrVEWnWg5IIoPs9EUaETjovSYJvRYEtNdzxGT6++5/hx
srQ3rPG9udTluPfXg1vR+aUIuDIEKIpv4D6J2QmIMnlr8bYNHhPxNwLoxiiC0GkxYkHdQayPuBd6
+Ye1kH0IReJDQn3yBfYtFpCGNv0rMkDP/fkhiGB57C7KUXhz0NjXA1q1S03CGABbZ4NoGrspNfKE
Dn0JgVmrrdX7odAOfFf6wrsg9TbZz5O0E6ZWMzuLUtNh6FilgIRW60aWOkz4pj6Ar1li2bZabuK9
UDbucgInozro/w54SFkHMxHqRWgk7gZ1ef1k9A2figTQjHr2g0lMTAsK3JAMx8xIeNWmx/B0Hln9
Fg21SF0X49sYW6U6Cs/7iB+8oHs696W3OVabgnAHXw7WDPzkpolAiMNmcS2jObc2DfhS+jeVPlSW
7gP14w5exl/KFjQ2WuQUkdO2aRv6x0WW5jg9hQXCpkJ6I5aZXf9zAAYWif/OcTklmjm9/fZCTwDs
wsUsSvzAB1eAw08YW2IDsDyW4OB5M/X3+DG/3BcZI0RIMd/F8IVsSnZSPn7FiBdOxNL58N3e7qFZ
Il6Cgtj/zBzkqIMFK1iRMCTWGtElWm6BZYLLX3ERZM9NeLk1NxGKPKkWk/icIAUo5uFG1idpC2nt
hhzSeS1df12jBqwJL0PygCURw8JQ+Z2iF2yw2zgBQYu00eLCzETW33gXpk7rlSVbrUyLSUnTqRZN
alwbT5C8qx4X+WDyK9yVqFqdoN7qM6/W2utyZHnaqQ4MbZHe2IhYOwky6Z7bok+PgsRXmgt/tBpk
HytiKYLXO8jvy90BT6TXtlRp80A/EIXHeTpT3VpFCTrjykw4w/XhpT0C1Tn7JiLL/n7vNtUkv/7g
2zc1VtUgdjd7MMl3EDQrl7jz9epzPUhDtzjqwNafTOodHRquM+I7X2PmghkB59gechYFOb4/xq2n
MVQe0f30NJAZ3DggLxPDkHni+20gV+lg0Dvs23bOeSkZpdZxLOHbZfQTf6teSdZae+KicABbJtMn
rj24HK+fLnASrx3cDaD1W6KJP77dKNqKaprxvGEqb1mR1ELgs00gIsDvIUbm/m7BCgBJxjYm4SSG
ulO084lMJ2B2xfpYkejdp0cSnMEjjzBSWwQNfpP3xDd+Qf/t/ZS116XCX46SLekFsHQDUxQcl366
t2V7PSQlwcTp5IRWm10tbHOMRqyjphPKb6gkalwft6aqsW/lwVNgsQcSakOW22pSKjXRKxiqf+T0
KgJa4y49DIoWFA67cefQdhCkeIZ4IRHcfJrhtm/E8VeNj4ffeKj00iUfxQhbtEzgbWUj1B2xkZNK
xzjZW/7CBVgQKG+6hZec6mMeH4Il/+K0t/YfiWUADZVtGI65tSLPHbP0OhnR/xjvFiQk38K44n+s
3UgupwS+eUAqi4VgtOPHWguxwGuTCPya+qcnN2SGVx5Rug+L41C6Ai2puPdRqbliGRw6evs+1xfX
bC8IfFsfjBEz3VqpCYgBewDlSae/tSfdvEKKE2RMkGI+rWzBxqUDatRrtHDQrHPESBbraAohlO2t
QTYxNBBEa9opAcbhjTf57MdD2aFLLfUXCDm9LXG62o+7VnY2+NKgkl4R22QUsicCZj3GprpxmZW+
bbsBanBnm6Oi9ZtbTLOiVgBO/qUBopvbCBFIL3J50SYg290FImYM8o0x+xyDAmu5CD4L41S8G8mo
YDLVq5FzkAWDF72EJpYt5XUUvz2h6uttzgEK4xbia4eaYgAevRPbO+WqoX4gxSF7HfAN+i6+oz8J
f/iWD4sjZN9v4TXHAXNc3GbRmkzqcxmuCGBPQaIhN/osviJ2wrNVNUZPHfpmixLzVELYkpV7z+KO
ccmZ0TbDdD3Sjw2bTWjpE1kJ1Qy2On5eoozMQhidJZdRlvVnThHNUjHMi9AxwaqGaKscCz0SZfGs
uMAaDWUyuIMVyVILip277MLwNsCNpL0enwmLSEeguN5L9BwBNTwF1hPez8+PwDzCNPrazn6mp+T3
s2Fyw0HrdIgfzpdEmK/uCfq5UVCcwhEMoF7lMX+IiGeV26CAG/7EfVrpbPeIbpKXUBaIHyYL0pic
Gizqxb1YlJ1GzWDJ8xwLmtiMevHcr7OP+LV+lo5EwxIYtpP97D5asybmeMdCVRRpWZ/RZTHknFSe
3coGNsGME/lvO/rAXTatySVDevoxFi3GoX+BJ8js0HZUqOXQRXMSKdKS4l4i4Q2b7a1dh/8J/iTP
DPy5F2IDsRokpCeiuE+Gg4/c6Y1GZkwFP0X873uaagRq1pA056Q+BhKIZlFZKX+VNLWk/OwO2mM2
lNCm8A1TUnMYMedvcLmhkJnW3V9N+QDjZcNmYektN4xhzM2LK7xmDOXfwaLVjw/fn65BLhvg5u7t
bnGwNdoY8kVT+CEaP4FyWu4yWCs7S1e/dDmsrWsjV/3YJHlCM9iYddsRx1oByEwCNKkIYFLp6qVS
H3ev41F1hyzd+CMyHj3a6v6SYQ1cVygiYUGJ5D1oCbgrizO+hw3at65/lgx+HjKs6m0jXac96jrn
vWpviaskkIkwGK2ym/PPbB6MinrGEZPsO/RltRAhfCEiEd+Omo6K87RYV4IHkZYq0rdkE6UIlwNz
Tk9gts9w7SoSaytxN0eHIn02UanGo+BdhwlEj+gTl8Pj4DBZ2qaL1yZ0MtpKLeSKnxx/Jglycz2O
IToJpREfqh5kBNOAh5PowaG2wMk80/6mZ8LJ6UgcfTLO71QapwUCBXGO92sMzoIXxpTKJv347tMl
PCK0fzExs61zCB+WUW/r+VuKSu0D+d5A5YwraWvq5EHOiniDr9O4p3JCZiJ4lF5D6sbM0PvNZV/V
GRRQ4/LFHcewUTcGYVitk7MCDUa6Em7BhjKm2wQfQX4JNb7oZ89K0f9NXDi2MxbwzYNY4Gc7C08H
j47cbfsYWPP5sSX0BvPjaN370DV3f4UkJcD1h1YnOKxY2jzcigs4LPRV4UEOqpwVFZ5KMQvwgCtj
t4BMU+av8b9B/9/nI8H/XkwPbCcVzRtFh/yCMNd+cOudW10DG5iGhA+LFKFQVBuVWclUvjFA8n2b
r5Wwq8AkDMdDfCYwV6xV2YCiQ7Cinh6anNYFcrzh6rsFG56u4WB8gna9WPt4lzjsVwS9yPdZ0HUB
7HdSx2030Er9KoRVYxGh3ZW6o7zKvjurnbQkOXOgDryqX7XL7O2fPAzlJt96Q71PctK4Z+ZaAoCz
tDAAoD1tvrZQ+qbmQnU2UiJ3ki8t5qawOTrBlB4MntnOA/hQJSFzv9j5GGL682S2L0WlthdDQnkN
5bezOv7ypNxzhsCnN89xg88jvgqAwAiIL0KfkpcAARhnKD5qrgYE8FBsAZisFI0kjuSM8FOEDAXz
Nyb7L7WyhKevE/yjYQ8FlKHPd3NxLHOpb7CFy5VCiiUEmdLD0vpVU6Ec/ijoVVmLUlMS8QvmHof4
I+tgOrr75iP8HuCeM8ibPfFP8E7DaT0zGRbx88CO3PBaf0WwuyDwmzaAd7rU9UwXY+371jz4Ysxe
AjQItLofZhks5OdBS6kIKie5WrxsRjg1h+Zu0e80LqhZmJLJ7pZJ5ggIIJn+41dHNNtgA9w7iSef
GGyjpJmkJHl9rIoGaATE2h98mSnKgIMJdyj16PVNSU4S2XMLPSGtRRTuFYj1VUN/jUyAaDquRk6g
5/HXKmBAAa/SbjdLd7mbrmOB7uFlA3JNirKdiy+NvjFf2Ds564mZz7PZke9uAJJGyqFxDhrKswOm
TNVteyzzwqxhcSyJdErUL9f6gFS/8ZzAoTItLW/Sn+xeFDTFEWLQ+j6Hc/nyGYSozloqJU2Malo0
SCjObQm3SkNf7/DumUZhbKrTmKFf5SG0iVtxkGQ++QzBUZ6BPugNxyqUItQVRlJvuDvpjk6l1R6T
ZAdJKPZ7/hgljfQXm7MkuHRSEMxSyKRSR3j7KUaGJwoWvhM3ww4YdHi4tUiJWx19T/+tj3kUxzn6
+EoaL5K0TPCvlQm9kzStkc7PBmBksvjagFJLGxtVGPif+VdBhVLJ5CB/IyJszuHbarEmmVWdYzDr
EgFmQdivKSbIZjJ7xYT6q+GM/BczWBFWLI2ST4Vnd7UkK3iZscHFMhDnVEI3UgvqcUV198m/qbZ4
Ljy6rhhMAE8WUiyjyRpeDQVcsbzKJokS6j11q2SsIK7SkBRzebH733ssINhMU3bWoC3tDs3wxgQI
BT1DevFXiLHBXsAbjR7qvMV0ebgHRNUxTOqq3zLwn86aB4VshbhowUdrbE85Uc3TgciXZnc8Z0La
iIwFR69ZHN+j9ojdteQz9CqJRmdcRRqZO12SCMZVbedvLAz7AUjCuriQrM2qxGK7O8tzLn5DEKqM
keCvZSagUa3pv51v1HJHs7WxCmaE0bGE90dRV64hqvSF6TrTIdORaVe/1UBF1vClmVgKS5Gni5tx
HjUpZG19qUurcCQ4TldQvGk8AgZLHUcLEBKv1Bb38bR3QspFobKQAnbIXSgRSydVlQU/f0EFm8jK
p6ZzyHh9rH7fdDWyecVUFgTXnPnGAoc1t2xmtaE+lBYXwDVFH5w0n0RZmUuWrb0l1Y4wH4RaufZl
VKyR4ww04/gTNDhiT1d0syRo3Redbej7aJvbL2cUY5eJlPVWfyckc/yTfSf6Gbp3ZSFX32WGLZpT
d6zm+Ln8w+OiFBIK/mUZWtJtlqDmZKnpFhJgci4W3tCgMIHNfta7MWLxcp3i72x0aAiD2uVbjP7m
U71SHeLVRxrGAQEi9VaE1zYbeQV6sWk8i3rMiffYCfeISFo2Ro2BxMth3gKekHtTL3h4aAQ/cszm
kCZO7zc0pkpRURdIkJemU1dycpzDa89nfYwynQnbky9gLldiOVGVvDPFAucDObxry2OGph048tp9
/rtQydNGXAK/OP2aibW/R3TP/BWFRwhY57IbczG1hzLcWZyhJztLxIeQdBAvl4ogM1JVQF+4/VO5
fUaejNidJnu6IeS/dWziQJjQLwooD4qVj+EEnTlPlcF6kTf8E5WrzOdKHmoT8qxZcLos8zuQbBoV
VmOq7wIVy+68GjpnXHoiN2lOT5tE9yHdfEdhwdNgfvreQwsV0c+/nX/WHCdVuPCT+HNs0h4i/Kex
ip5qF5IodynEnVX/ZhUM7skOfJqCv5MRy6v2rhfbZ5vlIBrI1pp5arVHAsp/Gydj2oZXMw1K2v2A
UGs1YGHZaazrywQKypfgrMTJEJi+ioW5flwm25uIazz3XrlKLFk1qC0kNDFUy0w5d+uOdWQWbHKR
y8Bt4c1g1rICs6OBG9BHg5o/pCqFj/M7aBNFZ0djpP07rzmFJ7byTwGQFvOcNr2JK3cJo78SNzy/
ypQpuweHCdNuCBsF+9ICJDkQWUHRC7b+Z8PWoAs9nPx3ubUlMwKx5q7h2vFSk27UDWb9S9AsfdPp
CZQexi3IV0tK2sWQY2C1WYlge7gAVXn2H1EQVOQELp0u9wIGbJfvjJ8CK/2razkYPqxo0M4R8W/H
jUFO10pNHJtHzksPplQy+3tYQttkyT9Utx6OO0Aou1iEx8Ovq1q2qTmGGbpPTQMX3pU6jy9IBSnE
ssI/5LmHq6ctTkc/TEBoVAho4Mz9ZXr0Uy4vdYMdvKKjbzhh4jWpShMZu81p7NGgsV/E13SSyuPs
OsEcnY9OE/hWWPKGdbv5rVSUHJVnUUTpoUXTzF1zSSsgGzsd158os+49R6VN9dvq4gGauMuy0h9+
fw93d2nQh5fdmhf+6mB8fmtCSSWN1UIFY+2b6912vqAjw7FmT4ACufqi+1lwcBKIuBiBxUgp1G9P
ba9kHPGzJec1EOt9rJ0NW7qFtgARb9XNO8WPcVWkf4UoWPzb8R/uRCm3sHaw3b0RQ8OwUHlmA4kq
Dauq2gSZGqR3cHWbcLQIT8PJHRz2Z3c86i7rV3QMhx4Y0bQZ9GvAnVWv3FKc3l/q65Aw938Lsq5p
3Czfv9Jvj9A+v07NsfdXF5eMUZWlv0IbrSjPHsxC0mWRAY3i3v6rHBvBw/ZZlSdOBr0fSVwNxzBL
y2VMoVFGVube3O8+qokawuPwFfPUxmie+2iTIy7yYodAhYbJTCQ2YTINIKsxoLpGDaf/u77VjdGR
Vx5x6iXyPp4XwxON2tF/U1KbrkOVYiuiEmfTu/3uWiCvZgR4z6fO1Z8fm28OCJ3NK/LeGr22ocfj
UyxRC3CFwj/HX8//ASmiGyLJIsUSU1x/W4AE9jn0wlKHnMVepmfn0nOwaItnvqU2hLQJQ8ilEjAj
d1hkgj7tFD6N86UOjFZe/QMpuy8vzoRYDGcDI5jvAy4e5IJFU0YLKnsjK+YAUUnJyFLgcO38JzKV
jYF9UmxXRJ9kA14BZHp3afKTL8FoVNofjkL0GDU/jopfHV1V6DIZnsAV52mAvPzw1zqGxMr+y+dM
5wdlzAA+ayZchYiXAA7n5h82q7aFecNR8ODa6po1usDUVqgPHoW7OOJHZIjeAPM/aXRX5cZ42VGq
Btme9ys5wqWc2dmgQaF8fkGaSU4RZmxJ4KuGK+GK7kXmYXjovcorsK6KfR9g51O3mQMaD87Uwcc5
xgzfpaGT/VQRn3kNxEXujrARxHIQk1WECWUxHhKqukZdkNJVUvOQS4/jybwYJ4OJlhHlhsTWcv8s
VkD+5DO09Y+T+wzoOUGa8Ko6zKIGwJwtsV3yMF58lroNVAhUk15LGrFJ+C5lhPr08yql9orbFgXt
VpYSOzDdpO0zPMq0nw1TgnYtCenRqpORVHYkqx7twSoGTcX3joRHQMArEo5Bx2URzsR+57aoIlMO
o52uQYgHNAVZJQk8BUxThASRhWohkmskWT105SEtEVLfV+srxSxul3tcqW0hSpRyWLnECyhUab+j
SlnW7g7W3s7pSOyRByVFMqVJMlioxj9ra5F6JnGcJV1Jouo5t/DWWe1vBTrr+DzPznW68BjalrTY
Ehfgz+n7uuRyjUTnSuaxwITiKynnCw9h8ViOvTps5ES1jGqLMNPnHP1WihMzPFrYZ3pUeBFXIMN4
TMKc37TVhe195Th/23HpnWdkAIUDZVawdVCHq3IRQdHYOw8WPDJMaIc5wf6Gxgb3iL6pIBQ1OrvY
cAjcB9f6BpiMCxUyPXr4AF/HJEF66DOorHghVlKU7ePqB5yHbgFgpbBcoDDGEkpuggCaYX/rOwAv
ROSzrLA4eJBG8HhUKqn8vcRZoSE7VwuYdyQnOYuHvgitDC6Mc4m4bv8beartO+/nWKxKRuV6XYPX
ogKGvl/4IM/SamBoW6qT0b9jqHuC/ZPsFwYS1g5VYLgD/ckog2vUOdCetEEBDTIFyo8gBgKVRRM6
GubTYTQVCF10cNj3fkNwW57UG86YGA4y5UWmXAH8kRBcyagm5vZFBDG2dcM0VuO2FQMYA8OG2dt6
QyJXxnhwMRXVpqxt2IqQ2WWIQXXuH4noLvY6ti8jhBI8ebmtHMJr/pgxbxPHbiiRlFWc3EYSzU/n
c/l3ysdxziVgPxJZZAMC/Htbkme5C2PQQoq8JEB7LlSpidnc6ShoKp/4KBrljQ0IE6y2CnSzkRsC
vFZF3josXi/yrDIoKbF+xKRsths9U2QgQ92+efd9IWHcCVLgq1zSs136GzL0NU2Toy4FFJCYWib0
en6EYevA90bDML+ivsOKwMAvWMMGvPG8xL0ThSwT5EZHk/QW6Idypr9twcrEyBxshvVLOJFbp5Ua
TAkE5sGJ9zh7cWtWRxohFi8sxPJdYfIg4POtT2AoU1w7fuRQT4y8uPEwVCz3rhsP91BRy9BK9pLQ
BPpaxrVrXflNb1WDq2qXueFlAfUaGk1UI3gMnDLXiYQUz8aVygcc73CRbOvWlQ41n6a8N73wVOS6
hJV3iYzCjJ+kJOkXjym+2tilfJOIDPuA366kzDT0Ek8JmUx0wuqCWD/mEVAhcWa8bVhktdsPo3AA
3AvN6CiszLAVT8f5OsxVxAVBxQQBAahxmHX/qJiRk19bFJNHYgge5TFYIMkRogkxtwocLv+PgyWq
PJrWOXYe2Jb3KD419Lt1ktVMrdu71C45UbHC3gUQNDJ1KUpK3iovZaxUETXqne1NLw97ZtOqWpiI
I95B3m0mjFyhg8LepTlXrHdz5Skn6CQ1Vf72awUtn5ZaIv+KlJ1OFq8qDeZxImDsaAt0OuZF1NpU
Ya6ip4/jCRPjA6omA30jzJZjr8kVnzjBzxSBubs+p1yFKqiLfHgdE9lh7GSuek2pPXe4zm+chxhe
FKlb0xpUsuxrgJK6IcDqywu2uEYmOZHrtBQtt57YtSwyWVrcVPJrkm7r4DhjWFWlFFMfwjoaQCjb
bUfRISdxMc42g3HNhwf2FtHRUACL54dOlFiEWam2UPSSt6z/Sg+YG+RCrWSESOAW6t19ZtNiy3+f
oK4PVoGVfpCt6FdExQBw2ZWF37/FvgAqSrUNt6UuYWOSBZvlDFGPbeZh+JWlLcN4ZjiudVSlhxnm
65Y1qYtaB0dbbwpxU3uRj8UHFyRbzo/N6duYvfiIJ5Oaz7ryWR6I8CGIiX3T1TGzIUt0AX0v96fp
iLqCGa5zZQtQh93jXyQ6xonzWAVKLdDWgxbTiiQDtn2CsdesDDDFCUoBP1KSjAWedK5q87Y3Domf
ZU7Q2S14iGyUyjy46rs5cciH+SuaIZ/FSOBO9AjyPtPmf0DkHCtqP/y1eJpKZetZRn2kdFq1vrgZ
gDnt6/m3DF3ewRh3SKRtBTp+eAQy1WS1u5CXHnDfAGpjFRF74EvneC/L0jwUOepWVKnewkRgXJ6r
pu3Ld7rdXtW7XFb6myP7GeHB4zzeSULGMjIkSrjYWaA71voNO/9SZJvcJwD3ZzPWg86kfyCQuKkD
kkEC0tLdNmxBxfkvI7Z94h+140Ubig4XmtS732aSjQR46rprxhtNFwlZ0dP9/sqNcn0LP2zxtVkW
Dp3sNvY2SLWJ30WZIOWc+qySAl8ajFaFKV4j7GaGMGdljhsTBnY90fZnrBNBKVBrcOAxQiaj46Ds
cIn9USx+lBpHsQeOYZhhUUliLuhoYxU4HTzIKjjjkxN7Adoim/QqLGpVjb8ewDF21ghP+19Y0SQO
O1UZ34iOWhQtZUj1xPlC/zuUPJkLiRnwcTQWhLCcjVz+kjqjA9/3IP0vPL2xWy7PhVgIVvY0/gDG
YiPd4YXDTzthrLQXDCQ5eersdORC2kBNsh6oFMF5Ah/wP+gOMl1jLs1kUSybggnCHyhLGUhdzRJ6
iRRc2XCEIxE68PpJyV9vQxdvYaYxC3U05pIQ1qGvYzaPVASz8ML7CGhLJzuiKdoWQItNGGEJyBbd
h4BbzklDPtpartDjEmN5yDeM8v7RprtvkS5diZX5LSdYcqud1dtPFee8n0FO3kQxOuPwa2z7txHP
akDYnlbsUJAxQ91mpqVxp+4WG6Sr9iCQMeZFN2rZPxA6BX84TEmJDPiqw9lBWas2I8vrPP7JJ9f6
N4KKc2SMItbFllVQnI2qdroAWTDYP1qfb4H2vFC+45iDvWTUi2a1TiW0tGr9eloCzezXcKzGmz+k
5vYdAgUi26HnSm6AoPjChfbn849Eynq/m2SIdyVTUj9BuRpIQ/+St9Pazj/mqWGJOHnXe5tWzV+Q
OEiSvoRjGNjjKQ29dUunLkSXmVp24LMIrfSoTJNwdq3DR/DF9LiVw4Xh0x9WUTmEx3K/Or2PSu/8
fC3PCv+8hgEKGrkx4z80qJMI1MS5rfDBe2CNAell5YAYLMsQufUohngVauFFfBOUZzLhPRDjpOeP
w7w2eEsiQzGk/blfJTl3uCQgmZzfdyDc6FbPMKtYtqWkFvjTjPVFzqmAEL/Q6qGCYL2C8JjCKCo6
FOhriCOdKsb9bTqGJ33V23j170pDoqxgBG+FgjH2CyzlcIl/2p5KGQtkYIGQtRJhcexu3iCsyY1q
doK5vjQSAT7+vn9Mp35enMVdnriwl/8Diqlb7cJ4CpLrp9ryQ3I5BF82zTGevSnkyhtWWbAsW+oZ
64rU6n2ROFqodCEsdOfsjYsEtAIkGmhery32tNr7sOSY7pZbp60H7EcLQkAypp+OEiOihk63jwIS
550zaAfJbsRxB0n4CXQaXMcz0QhhvlrIi0YaCiyJZGSTvULVB6yxEIuw/BRVBi7T8ZfBPt2pYof1
Om4iwI2S1wmnWSGoeMrVouPm37yQF91k9ItRcupwVk1DkSx9SPii9pIRV1jLj7OJ6z1ExOfaze6H
VQkPIzNgdS/1fRpmpztviAX1zbdWvZB+zenu5Sv0cTaDqFvWbjcLvbWmT1yQ8WdiQlUT2I/81FqX
FchDsOt+1uoLxqKURiEB/PAZ95iNIvz7rhD1ND6fg2Rsb8dbCgU6QjemhVQ+RbiNHt/rArPp5tdh
NMQEK8CL2RSSOdBETzJ/YA611PvbVoZpk3S1Z1Nluw7Q5UTTujA9ltXZlHxocOohCoOPL6YK4PcL
394S7g/K0Ds2Px90/EW363TPv6n856ikOUdgUHxFI97omZuPoCvHX/x2FS73mrQ+3HC76bduIrFo
AjTG46kclqlLUnbSYhkcqDtrei7J8/E9ZZTuQxiBEYd1LB/YwKHJaxeqIJpuiuasUOAGFRTlCSSv
XA8nvmqnSyqrgzYFqaL9iXi0P4eVI0fEgjFTLTJ17SdilZrmv2xcTBsgX3htbNqz5C9zmEi66Uh+
0SymjwjC2BcpXyHRz2Lp0z9cC3PhKjxgY7EgbxtBoiKSV//mSMhNe39c8yy/eu/rycFs+Ewmy6Z4
Jlk3oflUWOtfZk5RBp1snCWm1dqAdsPD7liDVhaj61Z4NuzFHyf6cH660gstDr9VpiAQVAkY6qZK
e9G/ZtlOh4QA15nSXLJ5/sym1eI2FoTjE6hNw4EuFsrXFP/L6R1wUbqim6Rz7lQCAagPa2VWU10g
vSHrJ0GeIC6NCCdPVROYeLBW8cWqIqwxX+palq9tPBc2QuFkOYB8m09jqKlF9y9stScWjfhmbbcy
Tqq3sEVAwd+tmAOZaDRzrEf5potiNYjDuXDO/rZyN09r8vDV17tYVpnSFIDIgsZob9KADt5qXKhC
16XvVJk8CVWSt2CEeR7HA7mVgBvHMVxoc6GofHy+2mFB9Q2FnuLR3RB8OEY3Kj9Ulsrz7yxAdABu
4aVMP5G/uNi2vbLSyYOEkFeViWw24bVNvBtOg3gYw9uDnxANLmWl/yt8BercMpOlmQfMyVrwjPTx
EbBYExWHaQS97E1dPV6xsfnGRVLXF9ryN3Aeu+edT30mok/CtfyThP04WeZa5/ZK/VIkxRVUHld6
ViRlV9rNV5Gk9Ct3JEh9+6CxIo/VxEvOiGfng+KLRpPe5y5SqhbI0w2CWNdQ89l0YHkJCvw1wAsE
peTmDwJVir+jkQ4c6XtW+lfpBLTpf+ZT28OhsNFQtLsY4mzM2+eoohqoMHHfrCfsU5RFRWANzG0a
rOo+iGgD9W+dKFfYnoqX/j/g+kKIG3NI/yujReOoKqlRfmeP89q1sYakc0tV99qz8XnZxUNyTI8B
cRXL7wRDfOJLK1NvVtiMq8rH3Qri+hzB6HzD2yh1Hg1yLWayiUjP/yVwIXeWf5rivaAIVsg3kypl
vdp2OZbzVL7Qno+W0fFHZzsmEAkKfESpBql1sFXp8MZ2Bn2ZWPTv4mUmn8tHrNiQB1i9kACec09e
q/YE3ouks0WCdaXy0KQdhS55pg3NIkXvLjH9zsaaycENl9+AT5cM5m/wWiAPP04M9rCLmUAruP9c
pGhTZyFDObaxu7a8sj0NutoOyKofXYg8vmBqmdGNKbYwWN1j2DVXUnsfv7I3zZQZI9z7xg96s6ns
ctMkkbWFUEg+outugh69P/ja6UbcPCqbiu4lRO1U7FD2rQxr/XDlIgf+C+4bsCyPtE5bdFeROHbs
otlDYDF9Ll6qHO0MfZGxv9EZIxgrDOGqL0KXOxbra2eHqix+wC/c2D9A5YopK3c2XxANvyVgtbd4
dVp6WS+0k/99q84CyjWu6Vb38//XO7HG+U3SgCSrEY6+badpV6gzjTEFFfBH68/fBpoLiSjQXJP3
+W87yjDThVV6mrbpxLduTftRdhzNtdz2XgseJSg79xOVE9K2ydSyfhIOd5bMb21hQ5IskdDVHOPh
TQ2BlreWvtyxz4rEzyREl1c7uTqI6iog9Go/2WUHj8XrgICHcP+d5b5bWVWG6GKVlPwiKzS++hAQ
pWjRlT2oJtRZ20EljNo9gNwVRerH7FlP4ZSspHm6rZFuK8hWnZqw4siGuoJpEUSwVxQIdgQc0gaI
CE04Y64r3h6ZbnAFlJ26imYnnWa1DEV0tb58efz1as4vDCGqA0OVPaSi16fV6h5c119GWtA5Lclr
ICruPniqDbEfz2BLGslvGRLHTqMUsax8VFzquzT+MbcF5FuPTBZPGS4cvkk8sWz27ZfGQPGsLN6F
oCikPTxUuQZVtgo9kWq4WT3sKycjh+aFcQsYYYqKkY6fdMzpkjQb5OQbM2ljbi4n6V5gSXUv8x9k
fl+CGcHtrK7TozRxYFQ7x5AAQgura3ZWVeVniQXBOf5l6CjyWpHv2EpBUWP2JRqOknJqQxEBQ0jf
0Yub5Mm+Fr3ViyBASd7ZDuqrLmiNwUnikYq9rI1QiN4KRquMMKQTv4/5DsqQIXPc0BUHVRb0YjkZ
zv51MUnMaRZX+tShpiaNV9pTYh/GgZeUwqwYYGMmLBUZZjGkeoifrBWrpeQOsRNA5XrR/Hg7mxmb
pyDMJuOdLMoBZQ43sJZulBEEnDt0kud/tZYIwcFCisy7qPinJCDlucDqavfwqQ1IWflccrXA+g6p
ZXoUMJvlZQ2z7lwrJz69EnarFqrqrvqm8nOkUdbeC+8RotgDwLDN8UC4e7AZ9pl0lAM3oeDWoSVR
Nf5R37zkg9QNV5sOWGdm0wUxNlcgS1PsAkgLD6hQgNvajGo3rVXS8I5hkHVcKlaClnmGziae1Oks
9KLMZgsmet7PjX66gezWgMzjkvIsnvj0DTPtl8uzLsp5wjQp26rr/NVUQIUqCw+iv8MK7EuxhC/g
0lrhTOtrqb3nkOFNlMEY8Pnc+GBd/tXwycCmTb7EgaeuNfgnKV774oypULsKK1vVjQSYUEitpmXi
xkmtyJ5/4TSwE2ZDYvPcoHkHBHX0MOmVBK/t2iARvKcxuFn0gIkgEtCLWcFEUEnm3AQG+x9lVybf
ybyvBPKpA2YqR1+HscHsW++rHoVqBmX7hNhpO1hSfQ1Vlv97vLVT1K2nGwlWHPJeX1BtO39TySus
w6t5QUl1mvEd6vk4m4ltwQMIy5j0UB9p19x3zKPS48MSRvJ/CZMFe0QTJHzur11Rhpiga2yKPCe3
IFSdWV87se0GsuVA+Y23LzfTazKWN3NaVq9e4p0HzuJfmLwW5aJtKo8Q5bBZZOZmrvzGV+tSy4VJ
kao26obHoEMAbPgLMcxpqjHVZlT1b86XLB7ISkGW+ssoVhhTnpb7Xo0de6spfiuNzBvrNWgRjzxG
zU5MPgdFj96px5S57bL9OjEn3vXcX058jngMtOHDIS9hQJfhBmMsAqRpz2IghhMtQJtbl8xqXJjS
K0eJ0sHM4BscbrtE9um5/WPND1Q2rh4TIskZjljU1S2kdfzrVnGF4D1Q/DVYsAj4BV8yBFZhCNV2
9KDbklALRpNn38zlTEgOjUnOjFXMqEFCoETiis+Q0ZEvpHGIT4bde/fVLHQUtsE4a9rfCtjgLmYi
IT7xzE7b1PLHqjexE32/E6qmUplFrDbMazcDzIoC8yFyhWfgCL4QxpmHfLmkpE1PXCpBy+mQNyOy
YFygUBlbF/ZRYDGzxPWUuCco/gtrFwA35a8N1M3K9S/nwG23mLVdGe6yhT5Dy8G+7CGp5VGovhAm
1SsoQXD8JZuaA5I5ET2Q7wwXOTbnzsfkOTn2+zvyBr1DJgNP3upPDQkfFwY6PBOH677TZZIacdUM
JGD7P8W79j6Bl9JfeeBeMWznR5ldk9aYwHXfmiaiz/l2d49EuxmWIPpxtfYsrudMqPAxS4QZ5WrG
hSm9T8BVh8ME7l5HxLgGiz2uK/TYvtFXgdGat6khYX526D8CqiBI/cCZl7c8v9rceySrB9YQULZj
N6exxarkqSV4R7a8sqCBIyz971ynKhG6bot/58Rto1zqteqK75zp2+U7doNXxc6yqSJDVIHvwi2j
7B6X2TkuuqBfLd2Y/wV5P192sLyEQBKCqpkfdFT5DeuM2hPVeNEu9KdR/WorcoxxGjNps6jhA2yE
0qnGQM5YRHT9dq/R8//bqXGLk0VcEKH6yqh7+/LtCv7FdqS79QKphptlKu8kuZdqBq+IXAB09x6i
tEbihNj4ua9arj3LkyvuA5At/at7pWeqtXuwFCQ4lABwXIuXBqwE4htJYVFjzWklkejWYI2rJ3S5
1bC9ZXsIq3tjqvbxtVhqAeZNqMsXFWd5XkAPDUav2Jk/95c3ti7Vh6SqE+hloF5m+RcbHAjqT1Es
bBJqqZVj2/ztTUajLzXIdwILABVCpP+LXymh0yXJxqDBKHFDtUmxrWZvmrpHMLQbUFLgSGNsVKX9
T3F7aEw3vU8WjbVQVSGdPWf3ZC3QJ528QjFQ1BfscjN+YlRypsmUFPnPZX5ATAhEQ3D/kIqVDIP7
uTNWMmzVd4PJLWMv1XTe+4gRaZJ/s0x5E7vAi++8UNfoWP1BksGcXoFUd9Y9MYnthsHwx1Ak/fC7
3bm6yaYcRpyWjAUSVTn4E/7KwzTdAWeTYQhNuX8NXCN3D6NrD0mBcmgWS8wTrU0PaJ6VyfE6cXd5
jpoI9AAtGUovLCcmkvQfr8y66QyD65b2KhL+0iADyyFIgCndyG4ss1IMjb/04AaDUeOhAJz03XpK
ynMBJAamsp5io67wXzS9zG9VXm1nr98Mn26ZNqrJH09TfZLEdNotQxKNoVj+I/3qQKPABTT46pgu
1pAYb/f2IzL0Zmqyi3ynOYreHfyUTD9AOZcdIQi/3DE/VAto+I65GFYGB/8tnLvhRUVjQGwCENik
liUTAWnPjAoNf/fsa/Yy1sSqe5SQBTK++1iZlTU4iBaSQqmytdQ/Nv5Lrnbwlrot81VdDSWS1EIx
/yEToBaJFCLb0XQjCzq9U5fT3NEMZCh4D/NCypQ3+hC0IZcC0y4t4A6jkZubavCfnPmAqDG81Ils
H9+DEsETRqHtR1dAZHjtdVGAJceGaVbYkZlJ/gRDNPy3dNpHHTdU0fEGX5M9IHYw9u0qCx0YTqoQ
Nf75DsatuCW7cHlU054f3s3aMV7zHvTburXEfCi5ZoXbPT+Qd2YJcF0l0Y+VagKBZEsfyA5ysria
swN06b7meOVoFlJno4POk0OFDJhTxEZBMtANVJULx130ofUs207KqMTXXfR1yJXSFYagdcw4Isb6
3bttYKzhfXt1oMCY5XyUDFF6KdpxaWh3fHOasp3xm7Jy68zL4D7B2aErKz2EUPwySceiPnZ2XU7N
Ll20fP04d0/2wWAnIih+2TtugJQt1JxbHG479HB2Zyp/oeq6VHBemGUhrL4zZfWO92Pr4Yv1J0nd
J1DYyjKg6OTkDFbvXvwzHpsSF3F4yFqnhuKcYyG7uPvul8jEimoU7FSNmL8ccOD/coSoYGTUuXlJ
aAPvWn+SBe6zcF5mgK9q6mVGNvvM4Y97tLZbS+clxu65NC0l74PO1YFmI36wuelVDf3DM3asCo4L
sjslp/c8GFauw9MtkxBqTuA1BKXDjWKwommpe85jOES3NhSdGH1xURmS8tAI+0bsl8oMsvZJO8h5
z7cBimqH4+DvQlp1AQFUDezt90TdEKp/wvhFCFFBZFHKcb/Q+KZun0J+fnNulAyNdLopudWfOUfe
cxFDw5GUu/ct1o8aNBYLBFNs/igQvEYXcRdLsiCharsipCRKrVvgb7nKNSgqbX1ke9exmM1Xx8JU
r1IAJv0VOi3dUdYVNROAbpJ9fH4BA/knZitONotqNJgCOkrXxgPq/zAJ/+l/LwPTo+qFgrftUJHB
IimSHCzJtu0R6DpMuw4T4iYV5fXOxa5lyvbU00Jq//W2gZjB2EuhsBzX6J7XJiqw3EZHHCV6hj5U
MZwTcI3ZHoB8b7RiUQ5Db2nMJQa6IAjmTOhh14mMZ9+pnuNfjo59FKyE3vGK5y/IwOvT8bNoV9oa
g931lapBPaseaa7LMfkxamw8zNp3XRAhsR34P1Ou0vt6/OnYJ0DqE47FtEILbozhWt9LTlMI+q7k
Eeufwmem475ULH2OMuWcC+vIhtluDPHqV+1dKJmp0cgycYQzKUJRluy/Ht1LvsN/OEn11/3U77+u
WPMVXh+X4OJLhpPdNUuAN87Ir6a8l4hZk0GpKt3u2C9nkxrT+kbAT29JXez2xqcWuZCWnlYoWpOh
wFns7kNBWcWTRKwtrufTs+IxxY9PnjLk6MlliLSrFKNCmc/OqQ/xMnUXMXjfk9Sb/nlY0r5x3V7R
0Iew7JoNrHqG/wRPeRY7AhJG37iD3UKyCuHGeubMESHPVWAMFBWuQCF1rWepsAS6pEWtqnNhMyjn
QGxA7Lgo4AZk//dxQcJDghPVDw9u7BZlmGi0E/AqRUSe8AmOPUbl5NfhaDufH+qo/4b1cttFppOi
zvkX4HGWwrGepj31gcAsmMDPsOMR9KmJRN3/Wn1Bczt1gnoFPHmQ4Vcx6XHoVfVNR4260vAPBE3k
U1HAuYt4AT0cDG4f16XjJCJKMxl2GVJ9P8WoBChl9jDytfJLIq0wQQY8T2O0KfkDb+ojaQ7JibBM
1OYWtprVmnT0I0tRM4d5+HHQoUq8Gl34/Qd3GZQ+CF4gkDn6muMXzOFBgb6m2FCz+soOr7U5wCjm
y5XFrx10zevRIYktQMpElD15uYZA8iGATvO4CCJlgyiJKJhN6UV8Bi64M2f9dka5xWhrdlzLcE8A
++GbHdfKBG/vtTA2QKEiyCSU6Av1ctXiVGi6X/w0+HN/9b3lz1uVU9tEnaj+H8HXcTdFc34KyKuK
4+o+bpGh90WtTA21s6m/P0IHg7ZJAhvfRMgZsZfWaSxMwWBL/ehGEHDRW79ubNY49QlEbfbrL0KF
sqVqJAgFKogaJy1DACxPoCPGKUcdaRcWZXW8//hQBbdBZFOUxEzalP0/Krku4LQgpIP/Oel52bay
82yX9wLVNOgj/fg7StDJWdFc3GYiTinem98n6qiTDJiRJQq/C+Qj0mxrknGfJcIv4tmu7w/ff0zS
SrFulg5Vaugk0yjBAxcO+h+gNWyDqpPpuSXGUIdR5hDzOU9FISi8pmtnBWNnCIyzdHyfQ8/6Z5bS
QmV7IT0ZZ5JCiSEECQbNNDIsn5c8HTX4M1wsxYnimOkhhYj6wvLNBGlgwiSoCrdmIZcJu/oCoLKL
JpUJxaXTLnt1cQmcImq9kd/VkcvdOf3ECk27isNJ+zUkLOkN0yOEaGuipY54Ez1XiMdk37xuySww
NkiXMIBSHx5dDKyxgqFwTHXVEinVbxV9h4Plf0Qa5Ejosa66yIHZU/rDSjRTFCuJcskvYSQFgfTx
3ONzAlN9V/85cVEOhe+kblwfuN5CErVk+brHXMV0Tf26tTCLHr2xwbzBFhs4kfflv0zVZwHdae3x
NpvrXqNYERR3xqJp81q0NzxdnM6Nd66h2iDgVHAXoU3W/W98jCeDdRQLlpKgOMDQZjtEERNQ0d58
jDmqvD/34Ct9EJPCNmJcdUBnNhUPsncRCb3WbLE0EHps1Q6lpe4JKoMpiIHk18rikKJ+k+xG6twd
EBiy/GPIomSCLy8Ny4oNFcjXziqG3mAbIMh8gqhXxNVuyZEQP31Q5fjPaYpNlDBH8BhowQmqWEIG
wjNfcprma/gRoZiNYBU3ftuwKlk4h9PFH0S+J8DabK3lY0po6rSqU4MaOuKZ3eQCUxPx10YT7csD
2BICxoucCIEuPZtWTuxWg+A92P2SRRs/NEajX0dYJLP5zBAh0TDbcA1HSDqcnKnlIagQ38PdP2cR
jMdQJANhgZTh4zi3I0wRhHgFC4YW2v8VK5gaFnBiKJu339U1cY6krJ747eY5MQXKqEGENx8EkmEs
M6n4e4HKKmbwUFNrO/vpYIEM0N6cnqbMnz5PPklOyUMNL38wrTJODYFLfVdL0VhyCSDryteLfv5+
aJR+xsAk3Suo8Ec66R2UkUeGf9UBrINkuiW4xGHbdA83w+JRKDvs+Bswq/YSTafElgnYE6hvgnPK
aYPWjrjefKV0vTIC278CsFqNAR6TfdrJkYGV64Qrs8/THSjXV4oZ3Ng3LfIan1zV/Qt00fwRMith
Xq7HAJPEdWoj7IEIPrWYDGIrdx7v7Xd45IwSq+/CnOfpEe5M1SMg0SB6NC1AfvGNYojXAULHim7p
xZpJEdZbYSgIQAmzsTs1S2gGUklo1uq/PdNG1CKZ2g1DKjphRi1xUPWZk+4rPfrsbvrVk2qPPHHM
W/2lPr36PLW0nMSkxKacm8pBfw3gxFtDFFcrBNMmQN0U0kDFl48NDFCtIc9PGISjVXKTwFOLmQEC
zWuhb7mprjON0EOaX+RpP6L7JeKstU6KiXI1OmtsZF87CFoWfjyTLCgE9tDNsoEHTMTMrlKtRZC7
oxxsPZyLFfOHo8adsD22W92rLHksx5dOUlNzQkh7sOUns5pjNHTVN5Yd++m1uX8gESZCbyOY/RTa
ciLsdryMFw5lKRqJMyfUQw9YB3+zyCXE9X2aj9XdAaqN+MWXDoypys8nW/AUGzaVEbApoSV1SdrN
WvhtWKEVdRI8JFtoCfe5m9wWB8zkANLooc9u4J9vT61O70/s0uIKbjmIRd036XHBapoL3CyufYgr
k2HRwp4YDqws6bjuB0/jLxPUObvxwCSTc2m8w5Xvbc1qN4KQk6AkTOAReh2EHTft2S1imcPJz9vV
q+OVIy0TMEdghfZs9HpmVPn/qQDicS7Pv6O/BsAG7kMq69fTz2WQL3YsrcLngiF1duWinFTq3SeN
QbQHoxaeT+83koEVK8XjYhutedJdgWDamTgBz+0T8xGA6Yu/nrnFJrEw9EEmKl5tGI+vsgqbCyi9
JSUB2MWNAUewaqrspsysYtIGcRrRBVh3TXZPZfvpfHi3bsPh0XdYT7JsHBkW7Ys0zOnokWZ5BPmL
ryrFez91EjoJXRIFZzj3TAE8ttCs4eltQ0os0S+R4LdIju8C1BqoBk9fRMJjR9rnNdxkZXKmMahV
MNF+mZ7jEtyEfyV+xn5Vr5W8/yGnwM9D44wNtBLcs0WZFyLy7x9uwPBeTbdN98RW+yLJ3bFWxD/X
X10BlGwkRFjqkIC1cPWhTUkEO83Vcw/K49NhRpY7vYmYBpo43wQlrrBmpLuMtmDhecizHiasRQuE
51CX74N3b0PvUwSawpR3QnKEK3asznKJgSmUJMGWsoj+RfB4rWdI2Ae1qhysIdZ/NkBeujU40yn9
mIiEjZogbJSZ16yZg10SCfLiKb3i9usyixYhQY7BQ8ckWx+iWReOG6/k5BePJxZ7+fLAJOhCn6rS
SBe8HDNtnB2hKTtuiOZXJVtE9mBlTdcBL22YcNHFis0TIRoDrnWDDCXjteth4rnNU+GIIOnWmXfO
Os3ljgfBteV9hfbZIbQ5Dgu1GVJwESPtFi0eHqv14oBkdHT2+McXrl0k9ofVWFc3VvFstrOYVZp1
xi8dKIua5P7bK7T31Bd760WVL71MbEg0xpY668MaWnP9pdb1L2EHHSbYsKTQ73+eGQnmvwtG4ekj
FL4A6sSB73SK9OYWezgf85QSpW7Uk9onPx5EHrs8BHgNh3ouH8Jx9qgoSP2JjAGHqtRyJm5ObG05
9ODeGaKdBVsx1GWX7Hqa6HE8nP9OS8aaRadj4OA1NbCMD0cg2Jefr7LSEIenr2wSPb4g8obYgr6Q
8lnR/XdfPXx5A6L3Zlln/Q1lLoEAyl50j2TQYIY1imrjjYhvd/lPLxpnGqazxVdSbJjDy54/EAMY
f/a27/hLlCkRt+yY/ojUIQlNxLCJ81i4C6bEmOQrNMoFsRRSVXBXJVfN4ZzkbkEOIC3xhUyCwAw9
G/5iXy3CXlsA1tcN0MPPtm39y90C9RT2L7vTMDr9fo9nNvza4LMc5GEWMbDc/RzLw8OWM2Vru3FH
Ve9M15LhWONBHK0gVZppiUmLMQV6Jn34suni+/neaF/i9m6BIwh3NmKPYwjsSK7P0JdJNr9+zrpf
RYo+Y+0hZhjtbcPnIWrQ95pyMHDdHhQ4x5dA+U/WLZi0N3QXRiOcPvdLtaqFUgG9ou6g7OWANfra
oAHbhSSqEhgFf87X+JiHluBbVSpEzdSmsMpldeu0LVLGOrpsfQftQ+XmX9VH5W5xS50631DWfD5x
QttVwcJpU5VU/tu+CVggE1IkjUgjrp5saQ1QKp1d7XB/naOQaVmwLYhUOc9CD7fE0iu5fZLGIOkL
oMF3oHyhQSc1xLf4gG0zMNZMPUxeOMRrXgVSeJ2H6Cg6PWiJNZz3u7TICAXp34qludvguUlvNjLL
1/Qy2IvMLbP9G/sqH8ZlpNfHo9eEV1UVPwCGVBmYibl37BaPIdgQ4TOQS41RIOT16344KKLkolPa
//rFr0duKbzmSkep8k5UekZ3QhMdPn51UMLllaYpF54PIl7WXnQIaLw8QPGdVro0x3novCoDc0tT
Yq29UyGiYYXSVN+VgfQ319HWw2gBZ97Wb5UXjk2zmHarPpKv8bxu64+/K7kqPAkkiOHZhQ/NGu5p
w2wJi3mxQaqnVdYbB4CPUQ/sHDrJTwTJgSCvXDEeoJQWEE+3TTTgjnUDPIQB2QoWZOGMaTdofLIs
h2wcZNzf+y5EmgwvAPUKVdBJqfz/xwApYYDvEb//VJddd2iJBNbt/RgdjKYMBmKVaEvMhFgEYL+b
zveel4ampEt2x9MDrIjR61lT2iPf0WHSaDMyDIGPA5FvYiXI1bCHi3x/nlkgFT0q8i6fe5KJ4n02
IACaWFTzEw7ekQMG/YSp+tnWbXc6XDiK0JE+fgfc7bB/XHtzCCgP1N05T4BhsGCo3pXN+uSYQwLG
V5kfzXWYflchjrIKRgBJxDh1MMSlM6XYCA/ZJuHb7ANGrYBt5H3b3g7Ad2UW6ntNYtjr+QkxppF9
ocJKmMmvedUYIGwiLaWIM9ZurX0NWE69BBnD+6+d85/M3FaUsHUxkRCNHzXIrMgKGxabF86x6ZQw
f9ZcXhxmJ9PD5aHhGbp3qdATVa8D3LdeI3D+9zG859GNR3alyACXcgSpfrUZtAoxOz3SX1euphmu
8aheXnEFTdzAh67w+1pt4AMcnOAzcQDZ+Za6k16SPK5+OlzJSLYFRTUHzmveZD8dR0t0Au1Awu/I
OoDQwg8B17Bqy37IyK3aEy2qO/9CDARj5uJx2yhDxC4m8yVwO2GCH8eIL8aOcs1FV+iK6XXsUtY+
g0YPb6jBJcZF0SwHKu9I9IalQpbj8v3iAnRnnrq4IHGltyAQ3ilhZH7BMgAKKVl8a3Q+4tAp6eke
Nxspf2Yv/DDlm2qXHGCeCPjbD6tOgGhLZEYpRo5km7kTJmGvoD6B9KJc3dtWy+0w4fXI0bURWTtP
qhCvlq8Q0/nawG+yDi6K3Qw92YlTharNsYPSgspHDLXzGKlT77kB7uHG2TxgYESXyRusdXK94QNU
lTfrXdSblV2vPh8ZQS/NEq89ZYxLtLWdjJsxzhdJY0Fw9nKrcwJWMxcVB5SpArYczaoLaq6b7muX
Vl0yLtH0r5rs4Nj2G8uKl7+48cu1Ih8/HW4AXi8b7UFo8sF0DAoUp1kIrc7pBnIgqE+qIH7X2KK8
w15zZwmzusBIZA7DW2UC3OQx2UktR2xGjoWgXwUo71AFmrXW/YQoQD3iZgJXNYK2yJYAyPu3R3aI
apkJA6mcT5FjaZ62cPVTLAcdjhs/hXzlW6QxBSuDIdYrrSMFfyedjdJyWWWypvtNMx2lq/RWmNZB
7jVTWMdar4MCak/d9nGPpJL1aGaLbfjaPK4GSMwFJ/4mSc1SH58mWmkTF06ZFs2umP0rosJjm8Xt
JIdXMjMTu2aMLLy+aEMOVXMYYCstaUat85Nu2VycL5egLno/8bUoN+RTKysSfREwVuEqxtSudW7X
Cr1kP4p+BU21aO7HNLJvLz9N97H1ogEEmHsBKlDedgd1cscAnQ+AwJQd3mM0Kvx2kugBQYgu5yNb
FrQ3C4tXAXqn1nkeqrXX4MEQB1NtpgZUIAkW2yJoi9E0yTyOHlL+F8uz7jWBnLnKs3ZVHap1CuqA
rsqYUrVg/ghk0GjfMJYZ2nTTY3/dJy4x9byH76U9YFy/WUyPYGurRCSaPFS3JLjqxjVCHihDXv68
j6HD9Ioku7PQGjCrFCs4hbl/7WMzQt+tL7eFltRcwow8AmtnYsDvfqXGvHU7BzqvSYxAcdrKlBE+
jeWUnH1PNcPsSV1zsY99EMq9iKQLPZ20MJB7UdA9Yr3w8B3cP0lI5HgrjHnKMSgBDkJop5HYgoA/
lXS/SLZV6Vxr61Lj5NCYn4x9Fk35pq5d3ll4G2TSyrQnJiCcfJiW6xROX26Ghux1EGrwbeBaqaHT
wUWwtFGT/92PkwPZ+Akwnj5D1Jxi8Tj0CfFlvActT4W5Ec6Xv63069fU2FouVBJW0ePhKDg9dFOc
WgQw5dQm7kdaAWnaFsJBRTvw14GzfSi/tbasJ6G/TpRfVEFFlMbBKSCIdsIIWTlLz2SNrTsQDZyn
TkdKA+BOeJPC8ECmQ7bBVxNi+4jeKRkZCDp2CY91wnZr4UXb1CK459NyyenvDMnHgOqAxmMcy0Ob
CkmgcTpcmiW4PnCL3gQlzfzeWlmNx2SSWEzFFeOhlanpJrUaGULopPuEAseKW/F2b6dprB9VA2pJ
+3WwxJFu2bIBaslkMOnaweBCvPe2mxRsl5z7HHYRSsZJmA9zWrxpFPvR6FVR/toM0sfAGCruCPQf
3UxYennUHGNn7M8E0Ti7fI+9Qks55n+OxgNiZ6fZ6kEjSVkWPP4DtV3A+Yr2YNc4R4yoXd2p3Ipq
/CBspOq0F3ywxxzbV2Zqq0+b72sbZrpBrsjMkd+3tE/Bv7p1q6WIJnt6S7xHNJysGpr0nKohQlfR
g1uHdpHAdNmCUKJLEYfXyfi1+8OdzIHiF+yDRHkg65A0flMZyWSEvkc9n2tTnAxPPsZDz2u2XqAI
b7wOEzd8yV+7OqQTCciycgSchetXuC3TDaNKyrBHhDprCDvn1hS2ES0eAg7URg6mCxiJF2wzKn+f
qJkR/sw0podmVOrKPm5BSr9qBveaX/HMJ7k6fpTwEArFVXj9xLJfAVU+JVQGAqdd9EapkSK3Wamv
H8zcI/dDcTGuqSF3ixpmhgOcKIlu6+++zb6lH3DNhCNzeN97QjgsitVfMxsVaoA+wSn+w1hOsE/W
+S2f0waSVju0nG5hDbqoabsL6Hyx5XZKoLvTWhsMvPGoUBwNvZY2VQgC6f4DRL9jcSb/oh4zIl8D
t5GlgbNRTuWfFvVsA/U6VKnfsNQ4KYYC5hCBeNW+seYQl1Tl/yBQxdu73lQzCNR6aSFIPctgMLfN
BJDSk/dyXik90IcSBwcndi+Ufep6R7c61TMycWOylTj5rWyXzKw/bkbwjukxX+AHokzr8iATcM4H
RaybeinpzbCsq5IMWgZjyxxUo2C98gF78llzi7hLFE4oxi1Dx/nqmzjOmdXkbwO6JfvRJNYX6XQQ
egv0Is+/20Rckt5mCG9J3X719T94vuIvFjxM7Zr//0oc6eygwFUJ44/51nk/YE7ymWtqARcid3lM
glbLIenNRsEgIH8SESUbRAil/KO3nT5JybOfNQalptS6hPZ6PproY8etvq5hJNUhhKAqPDD7GT5+
VM3gOjzQGbxLgtzXQppTyV36m/0t1ynINKLkoqM51PfwKvI2rC04eU1+DLAmBmYpZ275Gx8F49hU
jR3sfKokx76oV0N7TPXFRbLt42GCrPYJbVllhMdaOExPShrbqGpoVa+eTXZ/W2DguEft6suUR1yz
EiWWSUbhenUaNIU5mKHAGDZ63L1+P4iiciRs5nSXsOMWNPjCLVbIbrHk9sOQsIseYtTTNyIRDxwD
3oh2bvBpdsSbiuAHfiUNaq2Dp7jHlkzVvr2AVXZMycCUilQXYN3qVf3oMT5k3tNXdjHbt9WueCie
d2wTK0FUZsuwwZya/owEKFdTFaEyLGpgp4mShYroe+SYeE0okC2J2+PQJ7RUWuc1VbEw4pE7N4yt
DfROaGpvGuGZncnBTlEKieU8NroPuNnBZ15dAbyaIb7fZH9ZBl8MuIWFK+U2iU+pCPv0F88lXdAu
QrBLkfQYaKAQ0dJEHBccaNHVLlnDdb11pUh3wxe+BJ7Tuxc6DHsgz9iiGnIUw/aqRkuSRshPZmcu
L/J8aiTN6ZI+xqNNmsr8IFkpY7eHg7KKH2k6oEgeePXz0erJW5SzMrPn+GOAg4PSz/Kcnm7G/yVz
TTDl0xv22NMQQEjloxuOBAdm2d5ei2JDkacmVUyyRonLz8qWfNj1RDbxi1wpVRDfQvLsZICNoRlM
vcGn4qnjAtoc6/h5MCLfOGWSghLlmnpHLzyxErPHiACe7IWR/BjwKYglSgmIeUxtLY6fCKP7GPzQ
KZt1AcXgMH1ECBm+lmu77PARuIIdlI8vHYfarvhU3U9m9aHorOQ2AGBsG5hU0r7u1T4beRg2Jnji
KNOhtGbpjnelsmeIbUoXjbaZNnw3mWAZ9WOnuyOhtyKUrMpbpM1hfuWqbJi4dsSDu0uNiVPwQFpX
tCnRyC+iWP6PqxMiX+pgbVsASjTMJZBKjT5L7Gj14L+RZz/7gKMCWJDGf5+0+PEYB8C7veRvjIOc
Bjlo4N0/jIr+ITLSgRaCNw78VRok2Db0eAXuNPoMAfoUpu0yhr+NElchrqS/kaqJz5e3GTGE9Ol+
BHNlTwokl1mUZhboBxc0ynRcDuEAz7Y19aRE+qCj+1XNfqO5Y5fYaZgR2A1MCaSvzI5sxRZBS4JT
W6qa86kOfNRtynSiSNmGVfzcRd6rdixJbukDQsgmiRaSFFIBM+rCelclzQX0/yhFqTRUWm+OmFfK
q9sHEpgd5qfRlyZ2PwxcuhqXvBesJFdH0/bw40t2WAFt9jbVf96xYV2StrBlENXKGV6yQHzN2HX9
MScIaUOeNF1Te4FhnIaGeEBi4ylA6LcwYhkHvSzgmuDGr4NDnJMW+oWkuMBok2MUxdZpoZbnNtvb
svuO/4evVzeqpz4IbbeaBaaokNWUcpv8FaUQFtUf3lFvllRMfxlu9BO5HCe2H5mtSymrBsBNTO9Z
idqzGg6nCFdrOjM1oXOmPGE1KMDiRXt8jp8T82CpQf9bzhlemqwRxd+I0QxNYgUrv/FNLb0uiKDC
mwclyNtyO5/5Gg22nvj3XSD1LzCV92rYTE87gcWNYR36leD0yK3wlwKhjwTSBszpN7DxgBH9AY3s
9AZBxPvCH6/0K4+s57JValYg1lLQgeZSuotqNIcmVOaqc//pXtyE4h1s+feFyd1UZ43rK23oV/VE
JMnpumPcBo8x+V8ZBbm+vVgVteVlNpF8HuTFGpbFlm+mqB+MQOf/Gh+bLYHZBZQeDFhsdsWYXRyT
0fPaPxSTFsuhWCHBS6cJwZ+QF59AthWIfe5zYcVnn/DXS7s7xSJFMjQRyJDGV1C/J+QUBdFEk4rn
6TiACMpuG9Q97V54T4mF3fm4nov0ydY/XKBVWLLOKOvmnqwAPEu+efnte/HjxvROCq7FwJ9om3Cj
g4/DEWFnku/MTGGWYFkWxRuddZ9UspGOcIohcD4eDIE0JthUPIG3zB82rFK6VT1ly7f2OHQUgnGp
bCvrMw5kjlP3FA7/Q39FURGT+2ijxIcYxOuEVIKRRYNKbvTtmqHvKdQ0cweL1SbRayDImgcPfxGY
sY28j9cofWXnWu2Wm+wkuspdloFbNhWfmy0h8GIvtzk9OWW3DYRnCZc+PqZSOPhBHJ7KWtXQrW/5
LJHw8YncccAaH7LfCKMaOn8nZCM/L+bUIi2MrU8hgUSlFBQaMwN+RhUwz6Vgczd7DIkvVvZ4mfQh
zERTjjYJyUCdVsI1uL9ICp8Sl6KNnEO/AD29m7856gnArde43dTzy5zlXR/Wy7VQcFv9iAuSsXRG
mtmMrsBLfBII83YpBAtsom1RVJgRZOQzq8dirdbSwB5XtkYtwggjrzd+Danzs670ZcwYfDyhNgDY
xiinwVVWpwxsS75IjiY1euJg4BgYQVQL9/ofm2kQ5A3ObWkBoDam7Knj9SQmvylfM951uJKQvBEf
weTqv8sRABwFlY1iMpsguKD6Ezl4L107yBnq9rMT0s9JFYzXU6f6z0HeETb6ZbYDpfggCyij2V+g
OV/LBZJ4AdyPTakeYIdCI5+sYgyFE51UCKXwPqftWNIiFDGkWGfMqMtUYzSpzREbTHvHXegNqPoG
bvyfwRw32Y8AN6lReM/sceG5ek+fUnlYQemN+91iYE2IWv466bgv8MwQ8zfuOdYtU3NsM+O3F9rW
iGw2BXFxHwoGpIzHNktICyqCy0ubQ+Tttwe1P+1hYEiUbaRhddZypbcyXUnouOvx3oZpcPL1kUCT
99/WZk1vx23pWhLW7sUq19DA39WKBOwnalnU8U+NfNL0GJX++osmLeyyCVPooLarNfAaRtlB3/be
6kBrcZyl/GHUnxPeEkUTLCh9chx77WFzWlyVQHGfqqE09cny7sHjdwlmdcS81yLosh/2JFUsBJDE
jFS8C1bmIoJY8E4NWuqPyov09jHWrcBRrclecXe91Zm9Up+UM9YGmFysDhvfvztFXnrMvTVsWtfB
8YPcnHqBFnsFhAjB8KdCqf+skU/c2hJ1GQzoIuDn3Q1odqKLSYVrgx4auyZqPcoJcACWc7q/QFAD
c84MEWYGIR6RfhF04zVp0T+FbJZ+EFoO5+yWvEEMouigjr51pGxnJ3D+KK+BUTafTf0QeIn9p4y4
Mu+lNLQJRKQ2UYuIDFg4Z+jef/wwE6Uml4fT/3wd88019AW9vkcwnCgfI4tX1EWMZJ8vrOKbI9Mn
syZtOsih5J5si65cxfzg0h3DTcM202i0yAxL8iz4tE8qZY4//ZqO8GCxUXMy1YZhlupDxIRDKKPT
zfWDaS6YE6Qq7IaCl2evXDYNvWVvJO2CbVRgD8tLbZ5QBj5TYkpSnMC5UF66PUGDOvJ0X5yrQAao
Yzf1uy7s6xxvvtmvT/Mf2OTqJCCnENllkqhzgfoxy22JH1VT2qNCtpPAOBOu91UqyEujXGV70GfA
QjdsTSsvhDp6AciN9E3sHBogxYwW1zPpAL7LOWQkpGxgdPWiAUY+/CG/1tQNLrY0t4S5gRRuh9Vn
uGulVjlISNuFTF8DuEwYryZlbnnVegBzR4kNB8SrfQfBscl3umdoGRoIIDIkfBR4/qbx3PKEGS84
LCvA1qs8vfUCdfWy2Uu/C73U4qWW2ed5X2MWXZH/3gVqEUZWaZR/p5zjFkZ1r7phY5pvEj0fSiOF
PbfG0cjadf792LvrHE6fMXpdlNIyqZDdQ7AiTgr/fAzO20yr3ENgNWxbGfgGZHR+q26FcTS1xEb3
lRgAq0YxhRryTPEMJev5C9ey8Brcdg1BVaNhR88g3Rgq4TDMFnSVOpD+O92eun3r1N6vNQBd7pb7
tNjV30tQKvFhMPC5zmqKQ4wmksfQpDouYwlowzMGIsDiL3CqxBfwTrs/J1ECR4WqRu43+s5JWl5Q
DxsCjZOPOo+rL7GevK8PlZtkMooveZ3GhNzL6SSLGCzJWotTROLGD7HqrVQV5KW6AmWxNHQnfCyS
xLU516udKEYYo/DU7DL5fv3wcsOkw6kFMkON1/hkJZ0Ie/KF1I+jmS5s//3pz0PiHI1pMQTGJJ4n
s5D5O+pNtJ4Tl2oawBH+U5qCAkDvYDn9TTwBoFMdSXDDYlqUBozDglkQ8Kf4RTjsUFhuK6GFGINz
n6WRSHyvcdqxrXmcMajqM3O/XYKeatq3Nkvda+8zYDbiwMBjc+GCipmcI2B+DwEcFy3ZPcmCj4Le
+2n23/TlTgkcv6Isrma8R5OyFvoAcZlfPQQmR+B+vuwN/gSkJAOQNtzBUI7TSYiptabTHEjom2Qd
IjAivM3XAq3SMNW7+dbQwAC2LdnMV5y+8WiBvcfdEjVIu7Uaq1I1T27zyn5jj8gghoBVoDKwuis9
CcSqBsxOgC0JGFxn6vYAd2TJpaOSXOU4zfoEL4i4bKQ48GEIHeQfCwBnIwwV18GebQ9p4yEW0NbH
zoOF0+3T4Btbol8xZa3dlfvWJ4+jjp2fYm/kPFkJZE5DAwGFmmRFF5vfvdWjBhyktbRlA5Jl7Ai6
uhVY+acfAo/fHh+EMdPtLib0TVSzeEYxg9EBFSGCYOi21MzfyHMSvbejNXRV1XmQZUH2rUGnb/fs
scING4Goy/EEgsgSAeL5h4S5qy1J5w1G5CcvliYJweDWJJB9E/WToZJn8No3E3E5xjUFCf+SagPk
yLrbpH4wOEAtQTrUPq/MsymnrqPjVYGTVSpmFS3+zlpTG0PkHXD+AeYXwmBsFolTPhgpJt2l97dI
XNTg19sf1pNbIHVvT2oUzJPqNv2qYwPyEppKgWz18B8tM6mr2iBHDYyK8b2LmFFdMq0A2/I9LNfG
2ULeInZjL5o5gombBS5AY87DLWI7AXK0oT8tEcfW/GG+oHyCbfsl5VI9TYJzM+BNDpZHOluIqSHU
GXmM6qnFf9/9vIe0LOQO0FHUupds+MiG/rB676nSEsV0Hwcar+8ieFdp0vrycrrFbKyMAoZoJORv
ov760ubWWDEc/nYs4QGK75UNIkpoNC/vbj8isLZiuLucHCIr0SRUQykcFjPUArBSlbicM5sNE8FE
ZrPNck2Gh+vcWTbi1PMlHE16dz77engcjKyM07Jlsb5/nQWhMRMEXKumdOCTjZgEiTi5rX6agpTo
MHyaQTF9QGhSbXc694GwQW7FYX+ES55fRLc4g57MwPC47+D1b9wZt3yKBRQWtv3egkbsSnuvGJLr
Gu1f1bNULCl9bK49qiCDZdZiMTJTtV0lLisCdejZsU5pnPMEG0j+AYJKK4gwqZX2tfDU0WTvYs7C
2BGh9ThbWydC0HnxT0jBMTdgfiojWCZAURqMN77stS/YzhJMA7+vexvu+wfXFabM8DTyVThMaECH
mrJXHaLXHIS+iclDsEKMYQTrr0wFNFwR/HUJGKpnJO0PD3d7uVPch3IOase1s7xlKtAMHqqQOXWy
bsNGVF4Vt2rubMBDuC6zYeMGsjSK4EBAeW2afh2Ly0ejWuuh9wJ54ZtQpetxk9IR5Bn56q4Iyk8G
Vjp2N8kIAmBPX2SSxxt0P5QPv8wYeqBBTcuDFh1QCRyGEalsmy/aP9MUeh7rSoDjMIg4Lc+vYvL6
CBuh40nKM3Ymu67wHkZnUjL6LOxtAX2IdVdjfBIGhKwXuKfbFiwMRDEvf2om7H+U6jEBS8jN7LLz
SWKwZqsksiQNrZrxonaJIJwe6Jzo4yCCEGGvMjUkoO3MVDeVJ4rZkvJ5kAo0in5ILFy2hbyostrL
nbZjJ2BYoGj4jAe0TcEmRA2D0n3LrnM2SXlS4E9iMtauGvVFDPaJlxP8jSSDflaPUlqnj2BgQdcu
9V23pTsSN+nmM/NYNDdAuiatWXijuyAJyVyAiThcy+l/1YRr5kU/HXXzGN/f1K2DCb1fWDbvFsBz
ObBESrsWo3AFx4jbKhWzGvcP1obm9A3Ingw1s/lHOM1kTdtWIQIpEPt/NAbpMot7YEG+U8dykXnD
vE75XGCmQ27YezWl9X+vTWNKixIB6oPQuFB2adsRC9eVtB9Mt4nXrERW5uDOb4t3R1D7CVUIlIxg
DzRPHkAJgV11IJumsC9eyCLXJp1TpDLQelj2iUvEl2J5YcNxOVwJVkWnx2yt0qjLYuiqn8cHebcU
+VXkZ8ho1+hLbm9cNeMnYTpt5VUypYUTuJmT1r9uNulLHqGxdg7s2O+ktJIRlmFU0BOZZpYW78vs
M4016N1licRdlPFxN7Nn/Ztua/iZgpGNwL1kntyhyujShl4L0sU33HdhMEs7j9UCM8AItFMv8iWx
OQmaSvgljZ+RsA1/LfL3+EJqSTBIBp0s6bxToV6+GWmLQI1QBZacdv/Hd+lDqkTZcmL0nw5V7wSv
L1qKdBOyhWwX5M2ccq4kYzBYxWOU6s4ej0A0NU1U8NXFQo+vWgUnzDzZy1ZU0Jor5OjrN0jjMZ0x
lQaadXv4z8ed0tK2SwzO+b+Td2lTgq+lrPI8kF21euGhi5xOZoADOSipneUKK3aZ7td71yHB9uQ0
HSZagHDdLE7FviTNpCkqpa1vB+GXsQCnNKj8gM/eUWZo4Nml6LsTpz10lFxPC8e0RmcyJRSBoRiN
2JpZ1Qt+PGRV1cOpNzhs7y9BWEmS8zhN7Ikrxq3qxJNyLP6LIgT6smjTS5vmw7h2dw5vLCh8QvCa
MSjthQEt2R/7Q7IXMIGeg8NmLD1x6HeLeobZGb9uZuv6X3zyE4LcuT0e8KLMzzW0eY/2zLkr84+u
zpfnADLY0LHJxH3ToIcdvOpcXGsHqD3BOcu8YVppiBm+4auCPLyLJlt54hUSP9yTVCl0v/FmNdbO
o27hSYtUeNdLPVyFUdWOiAhM6zvfx2BYPxcb9GDLDRGZwdL0vIhXbK9qTaE0+L+aG/MRuK25Ylez
/o50FbaIIL9yhBE1zlO+LomOvL6ApfmHJLZpt2MyxvjUMRk/UmDbJRdzAGlwv6rOFNxNiMNhEASw
Y5em5byNBsLlZA27Hp8oUd9XHQTkZVep4U9n8+5qm0qyuCgtchdq0rb/mclUInaHkzB/iKCsLkYL
6lppHebUoAvd7CeXtHKuP3uq1gStpqbVNdV52TsfD1hs603VMFVqEGhFxX5H9guPM76pmaPwWQQV
ZoiIGAkC0c4aSSQZQJrWz4eLgR7sMIyU+dkB0YimgF9QrR7ItY/rPJATYoOq3CxI9nrr8nQlM3S4
MGnUAKfuv2dEeTSHug4O7gzmtXCIiUZ+8ct4H0FeMq9AO3dV4kHYu8AdUPdvxAs2cLXbQ56Kd/p3
H9iyH8Om7kBf4TbGN80DYxVsJJ/J9Br2M2q8f+BIGJUIRsKeeSDKN/2dTF2HD2xWx7atLd5zuMuv
gsh+O0ciKWTd+IDEBo5erQzeY6tPOOZHoDCclNtJAXEZb5foS3M+GK7dVpFolRWpEplnRu7pLUEw
SDgfoh3HSBX0KWeHZUpZ3jC69hXQnedminOev0kS1QliiILnmd5kLLSFBfMrda6N7XxWiElynFYS
rj4q/kr2iBXADeS2xiZBwFdrKOBsVbnDoV5xw57qazlN+92yj9k33akgx0zZ8t327bsfmII4YFyA
rpMiay6N/0iZBkGV1feeR00ldXS7P81ColpvMaRrq+CA0aieomkR1FnRy4JxLNWJXjA4L5+IzCjD
Q86Cz3bPhg3eNDwKj4DXHoLKiY99KyOkQcf3ZFkTSaFVJ0ocv67pVtVJHS2+hFGWmY9yDYOkdkKT
wVOMabkPrOukgVjSkpVPIxvEpsMKQMDaifiyuzR/lGVok7q8/QlX2ep+kKx5Sxe0s2oxdw7EzW5q
HyEnBN8qtGUZsczitFw5Oa3EILvCQ6xu5dJO5l66II/xHBLlJkrue0jfUlm/MkGsGeXekLD/rcHi
uPGakSb/NbIz54JUQfGR4jT0l6iGZUYopGyUE+doZzp4oGxMAO4l0kk0B4cTpKuiXMxQp/GJZQA5
R0uWzuBxIzSkWmfHQqQ18GI6XjV2hfV7b3Z6WpZ3L3GnpUCxHcyFYkCcxwqn4tsB3i+h2QS8i13O
Y7K5lObjjDx/4Jx4c2nUhOnrh2ui+PynCIxFfw83OIadw+oWh0LJnrakX93nFYe3LFao3s0XAFKl
J15frVl+YPPj3U5hfBnpUxrveWP0xI6y5/J+MiwPPebZmxruFkoo6rTtmxJNdlho/FBgvJDQOTv4
mC4d1fDvZG1Wo8xYHEZ5wKJ9UVcMddkDqGIeUWrOS4Pl5TIkfBn+SAyHXPQGYwMs6GR/l67bMUxT
zWpq67USgNopGw4xcjULGpCNA70GE1Cl5eQym0CA0738alAmcMGSJQLSMpkgOiir3owtyuZyguq7
g3VXGhuF/ST+HhJyDoe8+KhLe4j4XzrNtDOUfgOI0OGbSv8/Cp5bX9hr3X3D9CaEt6nUvRQrenld
MRD60FJ+Ley+9G/ZGfnjx34Sn57Tf/C9fE+NJDNXPXbjRen267S/tXpMAcs9Ip5TD+3kJS5XpifU
kMqmQUnqMsxKUsxZTMJPOjkRY4Ae4vIpISOQBhW6NxQoc3y6PDUSPchwBP/I0ZLzlj7RzNF83Xb0
gEYa53CJ/yP11cuaFHcJUojysZrZDOAE3t82JrWZB4XXBon+q8VQNL4lwtG7qabWDaBW369xP6Zi
4EBOhihub9NmH2xnASjd3NO608GpiVy3c4sj1F+kqHuQDnmOQL1kBIkV0bUSGRpJFuk3kTmOZ5cf
mcuj3WXRsRECk3NgdwCLD7kU+DKv96i48QG1RrUUcCmKstGctvRsgChZNRW/Ha6kNoqYomz9tjEM
vZFlkXAJxeWRUPtD2dJMBAkwNwTviagXktX71b9pXn+NX9SS0Rfcy+soY1wYB31SXSWjlkt/97Xl
gEfTiWvV3psev+dKu9wC6QxscziBmjZrxaDhUWYpCbAKCBqWYxvEq6cDzlJDWBe6glqGTjxJqySy
v3GVyrqu9LEtSbnURd7zwGesaE8Yd1C04kQB+SAgosr+bOg3tdCv7eS/xP8rX13dypRsH46NEnwE
+TqndxqgprtyInoiUccFt2fNKbXHgn8gVO8t5Ei184z1oXxntcxb0tt7FbUVLzAVBkDnpYpN49fp
cKOAmvLgeMBs99gsjvGa/ZJUgKfke8o5VczWA1p3XyZzlfM6g1+Af9m+wWaC7nAStk8WIb6ed0cH
/KskMztN/WZrOC/1VwWafQDtzvJlrQkpyU2dk8nDuKuZG0gmW63Dv0SCFJKeNlhHumn2l8LlhWEl
fQrQwJY/zTS/y0sLTGzYSr2lwiGaVUimBRGvvqslrIJjvmp3zm++D01ny1Q+1gw67jR5Hkzj/lP3
TbxlJGXh+mrOyFA+M54GEVksp7oAR3aGxXRT+lt2VtpVu5mX03lO/eA/FJ0XFI0fVM5Z1IrWBVo1
Kr2MEAI5dovGSfDezji956bHqy4lZFOkD26s8Dz1DLPVxn9P5+GU+CftYICMvFMJnU3mRT46czzU
aipnFKDe9QHa/sVs+Siww812aBx8f9/3pFZf7iWVxWsoJJuxf+gAiNyTzT67WxbZrD8vXyzDT8av
+xPLIDyeWXDB4IICs+GxeBxxumyrO8SelSXrP9vk3dD0YOwDHg/Rl9uVTE0pte6alkPLq06miN4d
32YzAfKw/U31l1v/jXmLtaiP6WJ22EBuNRjIGML1z8i86fczwFqI/b6ZIp0hfEm7ss6Y/fPCz6w7
bSeJs087Km9OqE5K3PL2Z+DABkqA3Hb85qWHkFFyp4Q1lsZ+yjcECSFZBqv9jgLVdvbVCM8sj3uH
9+u+nDmH6nUmUexvibk3ybVc0sTjOp6eeAjtNPP/W3wPfReNT183+EnC8Wc8PqG7+HmX31zYEHjn
8/pH7dqtyB8cyxVF7CNhbOk+ClpQYX0SZyunLfiye2l4Hxmdu3vffGPZMbl0Em5TE0xOmWy5U3TY
ukZORC+fl2pGo7YAo6ARqV4GbQzqo43OeHA9+06fBmkeu2Q6+GollqTSsXQcEza8k9/nJr4HGgWn
TMkA6Y6qqCuUNq6bzQc0RfOMKbOIn20ougX8EqmNEqnByxJYPfJ3H5uNw98/JCfxOz8+lMcDMFyN
YxZZhnjepo8lIe94QhGEBvDLwRUzL9L0tVGIW059XjkwAjhH6VNUZJBizUc8k4XG3kv0vGZMDa7F
GTIFd91I8d6QQFRM8TXg4SP9VdmheV+KxbZzhgzbQ+OlMyb/Ji6D3UshNtM3tEdpbUSGAOLcZIga
lHJrH4uehDsv2ztm17AcHK9GkA9Jv8PQnSN3Jq41v5/pnOB71NDNDtdZSDzVqSFzIWZSShJk1sXn
8UpIU85U2f10VftIx224W/WQFXKKyhc7Y7p4smA/WLafhlVPPJLpx68Jwec5LlQpKIjLrnjrgUjN
KfZK56/5ItFIwR90B8FdlRNLJC3fwzbSNtSeD4H3WugVCla/AHsyC+hm0fNOLq98reKSPSEV9CzE
fFWl/aFufKHdNVHgqNCbcJ3XAEvu5Y7gqs91MYnpDOtuiN1eU5Fbt8+rwZpyC+iLru7/CW5tiBbU
MkMcf07nzweyZcK1NoJvQXKE+y8ogZvYY8JV/FqulGuT+v+KaLFsQdI671yoWn2o3NHBspL8+RI8
p3s4fVLWuXFBhNoBeQY1h7we/eBtuENGUqgQzz1V4EN3PIoHM+UamzWsIhGGRLOcOcgMSpUh7iG6
/MiCzgObkqTyuGEvv/kirUGl6jc0f4X5GOkNMEBBCtBEoo+z7xYwbiWtuv2nOMLTseM5kG12TmH8
Ulgp5tmkqohppGKwMC/WHfV0/0v07QsOWIc9p6wj3TiQGIwdIouJKOlDfX5dGR5ZLV/BmfpmmG0z
6EEnE2AbnQpdWHjGh3QN7+f9hDyqVCB6ioFO10vPYGs6wohVxR5wsbGvdHxrIKG9oqXQKOhPNHLa
UIg/bLL+hClO09dXVpo3abTINNPI7a0mJ4am4oUOvep1jvJBlJf9MoeyuLHfw5QKmu2OER4eOfwg
V19F7mRYud5vUZz3h12NwsV0nhjv8rzgB96/iEwant7g9HN4HZE/I4rFXVtyQdCIEkVx6jNg2JTu
BLljWPOBXy3jCQMBHyFMEJNpcaQbPi9De4cUIaCP+LHGUWx7koIvFXyPr1uUF6qGNTOJGdEMsiGy
Q/ugdbnh5xhmDjB969nxdlCn3Utx8lHj4V1JDES9hW/ZHLenE0xfyNbSAR9vHXa7sTHtlGf36twv
H8EdYDHYcFtCXX0qtamelRavOUO5hxbrSt0U/FoCQrke8IDPZVXzF9cGurng/++dXt0aPvhqFepq
S3lDf6xP2lEpSNaEkLSVSvxu0WBTogXaNEBzvJLzs4yn4ubga49J1NUCQhIhr8nhFKtE0prGO5uj
Gs01i5BrDFMRsqap4TWwEI9EWUAgoV4ir7vwarA53P6AYQJA4zSgazQFxPs2jufZ/bOE/vSzt9xD
6hokgbspvedfGAuOWkLyvttUfl7uekMEHb0vnjIGGXZxYJlVGi7MpobpVw74FJIvq8XXGHbmND5h
RbO7e7j/233REQFUO7WLMcp0LISJ1244H3RlPkiBEs8Nrb6OWGNkfM7kgHj9K2kjghuHw9i/4tpC
FO5HiSzgfenmm2B/+Idq5E7I3pl6hamksl/raDenZ76Xqq7W3IdbFCPiq2CB4RzCxLP/WwpKUWFN
euBSKhVCGgx7m1VwkhtV4apKfmS2bQSfVAlj/dsYqHJzWrjvYiwEo0YeLNcSPWN94oZ5TkP39Aro
ozwZkEa+hOSl6et1xNz5D/bZ++hXc1Yf8C6vwv783UQmq2uvn30UvA9bb8Nt/v+8egOTDzSOXSiR
xnHSKtWL+WK5VC0aBK727tk2TUeXZiqcvVURj6+j7yXMtdgwH1JEYgX7G3jo7Wks5G8RNtdPScAp
fIF0PQpc+0XO1XhNDbwB54aAw18V7UhsEyOWiydqL+C3mfIhaIio8mlT6l1KE+thJXvx2BNHm/HU
0b4ZxzzpZ1GtmMc8YfM=
`protect end_protected
| gpl-2.0 | eee83b4234f85370c99b9822b6f03e92 | 0.94891 | 1.830472 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/shared/compare_eq.vhd | 3 | 10,647 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YK/9sy6hTdtR2E4Bg9+OgJ13DVTB9WidTJXnV1tBqkZCSCygc+NCD18nuFty7TF8zxKz0F3W55o/
3d/DLFIfGA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
E1e81jtbhpd8RwD91hpFBuJkQ8x/KZNd5zxRwxio2esOaagkJobVUvsDq8nTO9GmF2jYIEEAOP32
9hMoU0IMzrFteprOWXxrFmOJou9UaP1Jq0xa2cmXngB5fgs1OQQPL6PcCeIcn3n+DGZdJcG2eFKu
p8aIUujQhdDWL8WjSfg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
b7aaDYEv0b2RXQRugkOMYz4OUK4sbPtDlF0r4e6eV4clH5tPoM+wam6ib03LyPo+/hG6X1ch7cMb
woQfVD0dGGNzpxuG92NQkp2z5x50HWls8EbNT+D17vHHkne+1nZL2mFc2IIITu3t/9T4Qi2k5ATu
tpyZr6TmYumlwjXfdIgPpm4kCcOifLsC+8nJSTooHGHdVZN4BrqzYn4yUg/0y3svgSjUBHauFMEb
f52gPNJ52A/CYxWbF+f4SQlZwpBSf5Uqziy1lT9igfW9+GKGpHj1/rhiZaoNDnNE3t6EPMlV1V+l
VQT6heqYShrvlPJWvomhDT4Z+k26kOfNgKClEg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
juia8ogkek/ckwZNsgd1dOHAJMekyU//n3gSGFQHuTstyazpLt0XnL3Nt2MkhcMjzZjghS/NH6RJ
SDVXLSTaqyNRWf8ZBsnMVYKKEPPO64nrN5lM6VogoYxWXA2JRwjoRB3cpVHIdsbKwmY8N4Vu9x+t
/EXMgFWjxDqud8Qp7zU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hd3cZtD9mn/VrHk9OCrqtN3FFYs+8WPYPMdO6CyM6HwmZK1JjqBSS6HFMywfcRkwOmZ1GkkQEPJP
zaE+G4tQW6RFTJuoKB8gkKIKGu/pb4YHBHcempLcLKqFrEY3phOwbpe7BYYdpOm0VNWbwpne9ToY
PSDvAZGhxV5VwA+Y6DEpfnnLkLUWaMBjLIYQXinYq2pj7J4IQfEbzlSxX+UMFfNUNG4ltzHXGRLh
1NMX++WsFdzcEYNyA4FRqU0t2x6/vWHg27+aTr6dCFUKP1Y6ih0NeH0EpUo8GX5TRRQzcf1PYQWL
OiL2Kr30l2QsZ4qW2qipdzxSRFCFKBEWZgoJgA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6144)
`protect data_block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`protect end_protected
| gpl-2.0 | 8d4e041c5d10daa8278f84888475a7c7 | 0.925895 | 1.890447 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_ethernet/src/ethernet_tx_vvc.vhd | 1 | 20,078 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
---------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
library bitvis_vip_hvvc_to_vvc_bridge;
use work.support_pkg.all;
use work.vvc_methods_pkg.all;
use work.vvc_cmd_pkg.all;
use work.td_target_support_pkg.all;
use work.td_vvc_entity_support_pkg.all;
use work.td_cmd_queue_pkg.all;
use work.td_result_queue_pkg.all;
use work.transaction_pkg.all;
--==========================================================================================
entity ethernet_tx_vvc is
generic(
GC_INSTANCE_IDX : natural;
GC_CHANNEL : t_channel;
GC_PHY_INTERFACE : t_interface;
GC_PHY_VVC_INSTANCE_IDX : natural;
GC_PHY_MAX_ACCESS_TIME : time := 1 us;
GC_DUT_IF_FIELD_CONFIG : t_dut_if_field_config_direction_array := C_DUT_IF_FIELD_CONFIG_DIRECTION_ARRAY_DEFAULT;
GC_ETHERNET_PROTOCOL_CONFIG : t_ethernet_protocol_config := C_ETHERNET_PROTOCOL_CONFIG_DEFAULT;
GC_CMD_QUEUE_COUNT_MAX : natural := 1000;
GC_CMD_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING;
GC_RESULT_QUEUE_COUNT_MAX : natural := 1000;
GC_RESULT_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING
);
end entity ethernet_tx_vvc;
--==========================================================================================
--==========================================================================================
architecture behave of ethernet_tx_vvc is
constant C_SCOPE : string := C_VVC_NAME & "," & to_string(GC_INSTANCE_IDX);
constant C_VVC_LABELS : t_vvc_labels := assign_vvc_labels(C_SCOPE, C_VVC_NAME, GC_INSTANCE_IDX, GC_CHANNEL);
signal executor_is_busy : boolean := false;
signal queue_is_increasing : boolean := false;
signal last_cmd_idx_executed : natural := 0;
signal terminate_current_cmd : t_flag_record;
signal hvvc_to_bridge : t_hvvc_to_bridge(data_words(0 to C_MAX_PACKET_LENGTH-1)(7 downto 0));
signal bridge_to_hvvc : t_bridge_to_hvvc(data_words(0 to C_MAX_PACKET_LENGTH-1)(7 downto 0));
-- Instantiation of the element dedicated executor
shared variable command_queue : work.td_cmd_queue_pkg.t_generic_queue;
shared variable result_queue : work.td_result_queue_pkg.t_generic_queue;
alias vvc_config : t_vvc_config is shared_ethernet_vvc_config(GC_CHANNEL, GC_INSTANCE_IDX);
alias vvc_status : t_vvc_status is shared_ethernet_vvc_status(GC_CHANNEL, GC_INSTANCE_IDX);
-- Transaction info
alias vvc_transaction_info_trigger : std_logic is global_ethernet_vvc_transaction_trigger(GC_CHANNEL, GC_INSTANCE_IDX);
alias vvc_transaction_info : t_transaction_group is shared_ethernet_vvc_transaction_info(GC_CHANNEL, GC_INSTANCE_IDX);
-- VVC Activity
signal entry_num_in_vvc_activity_register : integer;
begin
--==========================================================================================
-- HVVC-to-VVC Bridge
-- Choose the correct architecture with the generic GC_PHY_INTERFACE
--==========================================================================================
gen_hvvc_bridge : if GC_PHY_INTERFACE = GMII generate
i_hvvc_to_vvc_bridge : entity bitvis_vip_hvvc_to_vvc_bridge.hvvc_to_vvc_bridge(GMII)
generic map(
GC_INSTANCE_IDX => GC_PHY_VVC_INSTANCE_IDX,
GC_DUT_IF_FIELD_CONFIG => GC_DUT_IF_FIELD_CONFIG,
GC_MAX_NUM_WORDS => C_MAX_PACKET_LENGTH,
GC_PHY_MAX_ACCESS_TIME => GC_PHY_MAX_ACCESS_TIME,
GC_SCOPE => C_SCOPE
)
port map(
hvvc_to_bridge => hvvc_to_bridge,
bridge_to_hvvc => bridge_to_hvvc
);
elsif GC_PHY_INTERFACE = SBI generate
i_hvvc_to_vvc_bridge : entity bitvis_vip_hvvc_to_vvc_bridge.hvvc_to_vvc_bridge(SBI)
generic map(
GC_INSTANCE_IDX => GC_PHY_VVC_INSTANCE_IDX,
GC_DUT_IF_FIELD_CONFIG => GC_DUT_IF_FIELD_CONFIG,
GC_MAX_NUM_WORDS => C_MAX_PACKET_LENGTH,
GC_PHY_MAX_ACCESS_TIME => GC_PHY_MAX_ACCESS_TIME,
GC_SCOPE => C_SCOPE
)
port map(
hvvc_to_bridge => hvvc_to_bridge,
bridge_to_hvvc => bridge_to_hvvc
);
else generate
alert(TB_FAILURE, "Unsupported interface");
end generate gen_hvvc_bridge;
--==========================================================================================
-- Constructor
-- - Set up the defaults and show constructor if enabled
--==========================================================================================
work.td_vvc_entity_support_pkg.vvc_constructor(C_SCOPE, GC_INSTANCE_IDX, vvc_config, command_queue, result_queue, GC_ETHERNET_PROTOCOL_CONFIG,
GC_CMD_QUEUE_COUNT_MAX, GC_CMD_QUEUE_COUNT_THRESHOLD, GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY,
GC_RESULT_QUEUE_COUNT_MAX, GC_RESULT_QUEUE_COUNT_THRESHOLD, GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY);
--==========================================================================================
--==========================================================================================
-- Command interpreter
-- - Interpret, decode and acknowledge commands from the central sequencer
--==========================================================================================
cmd_interpreter : process
variable v_cmd_has_been_acked : boolean; -- Indicates if acknowledge_cmd() has been called for the current shared_vvc_cmd
variable v_local_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT;
variable v_msg_id_panel : t_msg_id_panel;
begin
-- 0. Initialize the process prior to first command
work.td_vvc_entity_support_pkg.initialize_interpreter(terminate_current_cmd, global_awaiting_completion);
-- initialise shared_vvc_last_received_cmd_idx for channel and instance
shared_vvc_last_received_cmd_idx(GC_CHANNEL, GC_INSTANCE_IDX) := 0;
-- Register VVC in vvc activity register
entry_num_in_vvc_activity_register <= shared_vvc_activity_register.priv_register_vvc(name => C_VVC_NAME,
channel => GC_CHANNEL,
instance => GC_INSTANCE_IDX);
-- Set initial value of v_msg_id_panel to msg_id_panel in config
v_msg_id_panel := vvc_config.msg_id_panel;
-- Then for every single command from the sequencer
loop -- basically as long as new commands are received
-- 1. wait until command targeted at this VVC. Must match VVC name, instance and channel (if applicable)
-- releases global semaphore
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.await_cmd_from_sequencer(C_VVC_LABELS, vvc_config, THIS_VVCT, VVC_BROADCAST, global_vvc_busy, global_vvc_ack, v_local_vvc_cmd);
v_cmd_has_been_acked := false; -- Clear flag
-- Update shared_vvc_last_received_cmd_idx with received command index
shared_vvc_last_received_cmd_idx(GC_CHANNEL, GC_INSTANCE_IDX) := v_local_vvc_cmd.cmd_idx;
-- Select between a provided msg_id_panel via the vvc_cmd_record from a VVC with a higher hierarchy or the
-- msg_id_panel in this VVC's config. This is to correctly handle the logging when using Hierarchical-VVCs.
v_msg_id_panel := get_msg_id_panel(v_local_vvc_cmd, vvc_config);
-- 2a. Put command on the executor if intended for the executor
-------------------------------------------------------------------------
if v_local_vvc_cmd.command_type = QUEUED then
work.td_vvc_entity_support_pkg.put_command_on_queue(v_local_vvc_cmd, command_queue, vvc_status, queue_is_increasing);
-- 2b. Otherwise command is intended for immediate response
-------------------------------------------------------------------------
elsif v_local_vvc_cmd.command_type = IMMEDIATE then
case v_local_vvc_cmd.operation is
when AWAIT_COMPLETION =>
-- Await completion of all commands in the cmd_executor executor
work.td_vvc_entity_support_pkg.interpreter_await_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed);
when AWAIT_ANY_COMPLETION =>
if not v_local_vvc_cmd.gen_boolean then
-- Called with lastness = NOT_LAST: Acknowledge immediately to let the sequencer continue
work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack,v_local_vvc_cmd.cmd_idx);
v_cmd_has_been_acked := true;
end if;
work.td_vvc_entity_support_pkg.interpreter_await_any_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed, global_awaiting_completion);
when DISABLE_LOG_MSG =>
uvvm_util.methods_pkg.disable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE, v_local_vvc_cmd.quietness);
when ENABLE_LOG_MSG =>
uvvm_util.methods_pkg.enable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE, v_local_vvc_cmd.quietness);
when FLUSH_COMMAND_QUEUE =>
work.td_vvc_entity_support_pkg.interpreter_flush_command_queue(v_local_vvc_cmd, command_queue, vvc_config, vvc_status, C_VVC_LABELS);
when TERMINATE_CURRENT_COMMAND =>
work.td_vvc_entity_support_pkg.interpreter_terminate_current_command(v_local_vvc_cmd, vvc_config, C_VVC_LABELS, terminate_current_cmd, executor_is_busy);
when FETCH_RESULT =>
work.td_vvc_entity_support_pkg.interpreter_fetch_result(result_queue, v_local_vvc_cmd, vvc_config, C_VVC_LABELS, last_cmd_idx_executed, shared_vvc_response);
when others =>
tb_error("Unsupported command received for IMMEDIATE execution: '" & to_string(v_local_vvc_cmd.operation) & "'", C_SCOPE);
end case;
else
tb_error("command_type is not IMMEDIATE or QUEUED", C_SCOPE);
end if;
-- 3. Acknowledge command after runing or queuing the command
-------------------------------------------------------------------------
if not v_cmd_has_been_acked then
work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack,v_local_vvc_cmd.cmd_idx);
end if;
end loop;
end process;
--==========================================================================================
--==========================================================================================
-- Command executor
-- - Fetch and execute the commands
--==========================================================================================
cmd_executor : process
variable v_cmd : t_vvc_cmd_record;
variable v_timestamp_start_of_current_bfm_access : time := 0 ns;
variable v_timestamp_start_of_last_bfm_access : time := 0 ns;
variable v_timestamp_end_of_last_bfm_access : time := 0 ns;
variable v_command_is_bfm_access : boolean := false;
variable v_prev_command_was_bfm_access : boolean := false;
variable v_msg_id_panel : t_msg_id_panel;
begin
-- 0. Initialize the process prior to first command
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.initialize_executor(terminate_current_cmd);
-- Set initial value of v_msg_id_panel to msg_id_panel in config
v_msg_id_panel := vvc_config.msg_id_panel;
loop
-- update vvc activity
update_vvc_activity_register(global_trigger_vvc_activity_register, vvc_status, INACTIVE, entry_num_in_vvc_activity_register, last_cmd_idx_executed, command_queue.is_empty(VOID), C_SCOPE);
-- 1. Set defaults, fetch command and log
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.fetch_command_and_prepare_executor(v_cmd, command_queue, vvc_config, vvc_status, queue_is_increasing, executor_is_busy, C_VVC_LABELS);
-- update vvc activity
update_vvc_activity_register(global_trigger_vvc_activity_register, vvc_status, ACTIVE, entry_num_in_vvc_activity_register, last_cmd_idx_executed, command_queue.is_empty(VOID), C_SCOPE);
-- Select between a provided msg_id_panel via the vvc_cmd_record from a VVC with a higher hierarchy or the
-- msg_id_panel in this VVC's config. This is to correctly handle the logging when using Hierarchical-VVCs.
v_msg_id_panel := get_msg_id_panel(v_cmd, vvc_config);
-- Check if command is a BFM access
v_prev_command_was_bfm_access := v_command_is_bfm_access; -- save for inter_bfm_delay
if v_cmd.operation = TRANSMIT then
v_command_is_bfm_access := true;
else
v_command_is_bfm_access := false;
end if;
-- Insert delay if needed
work.td_vvc_entity_support_pkg.insert_inter_bfm_delay_if_requested(vvc_config => vvc_config,
command_is_bfm_access => v_prev_command_was_bfm_access,
timestamp_start_of_last_bfm_access => v_timestamp_start_of_last_bfm_access,
timestamp_end_of_last_bfm_access => v_timestamp_end_of_last_bfm_access,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel);
if v_command_is_bfm_access then
v_timestamp_start_of_current_bfm_access := now;
end if;
-- 2. Execute the fetched command
-------------------------------------------------------------------------
case v_cmd.operation is -- Only operations in the dedicated record are relevant
-- VVC dedicated operations
--===================================
when TRANSMIT =>
-- Set vvc_transaction_info
set_global_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config);
-- Call the corresponding procedure in the vvc_methods_pkg.
priv_ethernet_transmit_to_bridge(interpacket_gap_time => vvc_config.bfm_config.interpacket_gap_time,
vvc_cmd => v_cmd,
hvvc_to_bridge => hvvc_to_bridge,
dut_if_field_config => GC_DUT_IF_FIELD_CONFIG(TRANSMIT),
bridge_to_hvvc => bridge_to_hvvc,
vvc_transaction_info => vvc_transaction_info,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel);
-- UVVM common operations
--===================================
when INSERT_DELAY =>
log(ID_INSERTED_DELAY, "Running: " & to_string(v_cmd.proc_call) & " " & format_command_idx(v_cmd), C_SCOPE, v_msg_id_panel);
if v_cmd.gen_integer_array(0) = -1 then
-- Delay specified using time
wait until terminate_current_cmd.is_active = '1' for v_cmd.delay;
else
-- Delay specified using integer
--<USER_INPUT> Uncomment if BFM has clock_period config
-- check_value(vvc_config.bfm_config.clock_period > -1 ns, TB_ERROR, "Check that clock_period is configured when using insert_delay().",
-- C_SCOPE, ID_NEVER, v_msg_id_panel);
-- wait until terminate_current_cmd.is_active = '1' for v_cmd.gen_integer_array(0) * vvc_config.bfm_config.clock_period;
end if;
when others =>
tb_error("Unsupported local command received for execution: '" & to_string(v_cmd.operation) & "'", C_SCOPE);
end case;
if v_command_is_bfm_access then
v_timestamp_end_of_last_bfm_access := now;
v_timestamp_start_of_last_bfm_access := v_timestamp_start_of_current_bfm_access;
if ((vvc_config.inter_bfm_delay.delay_type = TIME_START2START) and
((now - v_timestamp_start_of_current_bfm_access) > vvc_config.inter_bfm_delay.delay_in_time)) then
alert(vvc_config.inter_bfm_delay.inter_bfm_delay_violation_severity, "BFM access exceeded specified start-to-start inter-bfm delay, " &
to_string(vvc_config.inter_bfm_delay.delay_in_time) & ".", C_SCOPE);
end if;
end if;
-- Reset terminate flag if any occurred
if (terminate_current_cmd.is_active = '1') then
log(ID_CMD_EXECUTOR, "Termination request received", C_SCOPE, v_msg_id_panel);
uvvm_vvc_framework.ti_vvc_framework_support_pkg.reset_flag(terminate_current_cmd);
end if;
last_cmd_idx_executed <= v_cmd.cmd_idx;
-- Set VVC Transaction Info back to default values
reset_vvc_transaction_info(vvc_transaction_info, v_cmd);
end loop;
end process;
--==========================================================================================
--==========================================================================================
-- Command termination handler
-- - Handles the termination request record (sets and resets terminate flag on request)
--==========================================================================================
cmd_terminator : uvvm_vvc_framework.ti_vvc_framework_support_pkg.flag_handler(terminate_current_cmd); -- flag: is_active, set, reset
--==========================================================================================
end behave; | mit | 3c9dec0e2e0ce2619f5eb87b653837b4 | 0.536856 | 4.26194 | false | true | false | false |
UVVM/uvvm_vvc_framework | bitvis_vip_scoreboard/src/generic_sb_pkg.vhd | 1 | 102,291 | --========================================================================================================================
-- Copyright (c) 2018 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use std.textio.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_generic_queue_pkg;
use work.generic_sb_support_pkg.all;
package generic_sb_pkg is
generic (type t_expected_element;
type t_actual_element;
function match(expected_element : t_expected_element;
actual_element : t_actual_element) return boolean;
function expected_to_string(expected_element : t_expected_element) return string;
function actual_to_string( actual_element : t_actual_element) return string;
constant sb_config_default : t_sb_config := C_SB_CONFIG_DEFAULT;
constant GC_QUEUE_COUNT_MAX : natural := 1000;
constant GC_QUEUE_COUNT_THRESHOLD : natural := 950);
type t_generic_sb is protected
procedure config(
constant sb_config_array : in t_sb_config_array;
constant msg : in string := "");
procedure config(
constant instance : in integer;
constant sb_config : in t_sb_config;
constant msg : in string := "";
constant ext_proc_call : in string := "");
procedure config(
constant sb_config : in t_sb_config;
constant msg : in string := "");
procedure enable(
constant instance : in integer;
constant msg : in string := "";
constant ext_proc_call : in string := "");
procedure enable(
constant msg : in string);
procedure enable(
constant void : in t_void);
procedure disable(
constant instance : in integer;
constant msg : in string := "";
constant ext_proc_call : in string := "");
procedure disable(
constant msg : in string);
procedure disable(
constant void : in t_void);
procedure add_expected(
constant instance : in integer;
constant expected_element : in t_expected_element;
constant tag_usage : in t_tag_usage;
constant tag : in string;
constant msg : in string := "";
constant source : in string := "";
constant ext_proc_call : in string := "");
procedure add_expected(
constant expected_element : in t_expected_element;
constant tag_usage : in t_tag_usage;
constant tag : in string;
constant msg : in string := "";
constant source : in string := "");
procedure add_expected(
constant expected_element : in t_expected_element;
constant msg : in string := "";
constant source : in string := "");
procedure add_expected(
constant instance : in integer;
constant expected_element : in t_expected_element;
constant msg : in string := "";
constant source : in string := "");
procedure check_actual(
constant instance : in integer;
constant actual_element : in t_actual_element;
constant tag_usage : in t_tag_usage;
constant tag : in string;
constant msg : in string := "";
constant ext_proc_call : in string := "");
procedure check_actual(
constant actual_element : in t_actual_element;
constant tag_usage : in t_tag_usage;
constant tag : in string;
constant msg : in string := "");
procedure check_actual(
constant instance : in integer;
constant actual_element : in t_actual_element;
constant msg : in string := "");
procedure check_actual(
constant actual_element : in t_actual_element;
constant msg : in string := "");
procedure flush(
constant instance : in integer;
constant msg : in string := "";
constant ext_proc_call : in string := "");
procedure flush(
constant msg : in string);
procedure flush(
constant void : in t_void);
procedure reset(
constant instance : in integer;
constant msg : in string := "";
constant ext_proc_call : in string := "");
procedure reset(
constant msg : in string);
procedure reset(
constant void : in t_void);
impure function is_empty(
constant instance : in integer) return boolean;
impure function is_empty(
constant void : in t_void) return boolean;
impure function get_entered_count(
constant instance : in integer) return integer;
impure function get_entered_count(
constant void : in t_void) return integer;
impure function get_pending_count(
constant instance : in integer) return integer;
impure function get_pending_count(
constant void : in t_void) return integer;
impure function get_match_count(
constant instance : in integer) return integer;
impure function get_match_count(
constant void : in t_void) return integer;
impure function get_mismatch_count(
constant instance : in integer) return integer;
impure function get_mismatch_count(
constant void : in t_void) return integer;
impure function get_drop_count(
constant instance : in integer) return integer;
impure function get_drop_count(
constant void : in t_void) return integer;
impure function get_initial_garbage_count(
constant instance : in integer) return integer;
impure function get_initial_garbage_count(
constant void : in t_void) return integer;
impure function get_delete_count(
constant instance : in integer) return integer;
impure function get_delete_count(
constant void : in t_void) return integer;
impure function get_overdue_check_count(
constant instance : in integer) return integer;
impure function get_overdue_check_count(
constant void : in t_void) return integer;
procedure set_scope(
constant scope : in string);
impure function get_scope(
constant void : in t_void) return string;
procedure enable_log_msg(
constant instance : in integer;
constant msg_id : in t_msg_id;
constant ext_proc_call : in string := "");
procedure enable_log_msg(
constant msg_id : in t_msg_id);
procedure disable_log_msg(
constant instance : in integer;
constant msg_id : in t_msg_id;
constant ext_proc_call : in string := "");
procedure disable_log_msg(
constant msg_id : in t_msg_id);
procedure report_counters(
constant instance : in integer;
constant ext_proc_call : in string := "");
procedure report_counters(
constant void : in t_void);
procedure insert_expected(
constant instance : in integer;
constant identifier_option : in t_identifier_option;
constant identifier : in positive;
constant expected_element : in t_expected_element;
constant tag_usage : in t_tag_usage;
constant tag : in string;
constant msg : in string := "";
constant source : in string := "";
constant ext_proc_call : in string := "");
procedure insert_expected(
constant identifier_option : in t_identifier_option;
constant identifier : in positive;
constant expected_element : in t_expected_element;
constant tag_usage : in t_tag_usage;
constant tag : in string;
constant msg : in string := "";
constant source : in string := "");
procedure delete_expected(
constant instance : in integer;
constant expected_element : in t_expected_element;
constant tag_usage : in t_tag_usage;
constant tag : in string;
constant msg : in string := "";
constant ext_proc_call : in string := "");
procedure delete_expected(
constant expected_element : in t_expected_element;
constant tag_usage : in t_tag_usage;
constant tag : in string;
constant msg : in string := "");
procedure delete_expected(
constant instance : in integer;
constant expected_element : in t_expected_element;
constant msg : in string := "");
procedure delete_expected(
constant expected_element : in t_expected_element;
constant msg : in string := "");
procedure delete_expected(
constant instance : in integer;
constant tag_usage : in t_tag_usage;
constant tag : in string;
constant msg : in string := "";
constant ext_proc_call : in string := "");
procedure delete_expected(
constant tag_usage : in t_tag_usage;
constant tag : in string;
constant msg : in string := "");
procedure delete_expected(
constant instance : in integer;
constant identifier_option : in t_identifier_option;
constant identifier_min : in positive;
constant identifier_max : in positive;
constant msg : in string := "";
constant ext_proc_call : in string := "");
procedure delete_expected(
constant identifier_option : in t_identifier_option;
constant identifier_min : in positive;
constant identifier_max : in positive;
constant msg : in string := "");
procedure delete_expected(
constant instance : in integer;
constant identifier_option : in t_identifier_option;
constant identifier : in positive;
constant range_option : in t_range_option;
constant msg : in string := "";
constant ext_proc_call : in string := "");
procedure delete_expected(
constant identifier_option : in t_identifier_option;
constant identifier : in positive;
constant range_option : in t_range_option;
constant msg : in string := "");
impure function find_expected_entry_num(
constant instance : in integer;
constant expected_element : in t_expected_element;
constant tag_usage : in t_tag_usage;
constant tag : in string) return integer;
impure function find_expected_entry_num(
constant expected_element : in t_expected_element;
constant tag_usage : in t_tag_usage;
constant tag : in string) return integer;
impure function find_expected_entry_num(
constant instance : in integer;
constant expected_element : in t_expected_element) return integer;
impure function find_expected_entry_num(
constant expected_element : in t_expected_element) return integer;
impure function find_expected_entry_num(
constant instance : in integer;
constant tag_usage : in t_tag_usage;
constant tag : in string) return integer;
impure function find_expected_entry_num(
constant tag_usage : in t_tag_usage;
constant tag : in string) return integer;
impure function find_expected_position(
constant instance : in integer;
constant expected_element : in t_expected_element;
constant tag_usage : in t_tag_usage;
constant tag : in string) return integer;
impure function find_expected_position(
constant expected_element : in t_expected_element;
constant tag_usage : in t_tag_usage;
constant tag : in string) return integer;
impure function find_expected_position(
constant instance : in integer;
constant expected_element : in t_expected_element) return integer;
impure function find_expected_position(
constant expected_element : in t_expected_element) return integer;
impure function find_expected_position(
constant instance : in integer;
constant tag_usage : in t_tag_usage;
constant tag : in string) return integer;
impure function find_expected_position(
constant tag_usage : in t_tag_usage;
constant tag : in string) return integer;
impure function peek_expected(
constant instance : integer;
constant identifier_option : t_identifier_option;
constant identifier : positive) return t_expected_element;
impure function peek_expected(
constant identifier_option : t_identifier_option;
constant identifier : positive) return t_expected_element;
impure function peek_expected(
constant instance : integer) return t_expected_element;
impure function peek_expected(
constant void : t_void) return t_expected_element;
impure function peek_source(
constant instance : integer;
constant identifier_option : t_identifier_option;
constant identifier : positive) return string;
impure function peek_source(
constant identifier_option : t_identifier_option;
constant identifier : positive) return string;
impure function peek_source(
constant instance : integer) return string;
impure function peek_source(
constant void : t_void) return string;
impure function peek_tag(
constant instance : integer;
constant identifier_option : t_identifier_option;
constant identifier : positive) return string;
impure function peek_tag(
constant identifier_option : t_identifier_option;
constant identifier : positive) return string;
impure function peek_tag(
constant instance : integer) return string;
impure function peek_tag(
constant void : t_void) return string;
impure function fetch_expected(
constant instance : integer;
constant identifier_option : t_identifier_option;
constant identifier : positive;
constant msg : string := "";
constant ext_proc_call : string := "") return t_expected_element;
impure function fetch_expected(
constant identifier_option : t_identifier_option;
constant identifier : positive;
constant msg : string := "") return t_expected_element;
impure function fetch_expected(
constant instance : integer;
constant msg : string := "") return t_expected_element;
impure function fetch_expected(
constant msg : string) return t_expected_element;
impure function fetch_expected(
constant void : t_void) return t_expected_element;
impure function fetch_source(
constant instance : integer;
constant identifier_option : t_identifier_option;
constant identifier : positive;
constant msg : string := "";
constant ext_proc_call : string := "") return string;
impure function fetch_source(
constant identifier_option : t_identifier_option;
constant identifier : positive;
constant msg : string := "") return string;
impure function fetch_source(
constant instance : integer;
constant msg : string := "") return string;
impure function fetch_source(
constant msg : string) return string;
impure function fetch_source(
constant void : t_void) return string;
impure function fetch_tag(
constant instance : integer;
constant identifier_option : t_identifier_option;
constant identifier : positive;
constant msg : string := "";
constant ext_proc_call : string := "") return string;
impure function fetch_tag(
constant identifier_option : t_identifier_option;
constant identifier : positive;
constant msg : string := "") return string;
impure function fetch_tag(
constant instance : integer;
constant msg : string := "") return string;
impure function fetch_tag(
constant msg : string) return string;
impure function fetch_tag(
constant void : t_void) return string;
impure function exists(
constant instance : integer;
constant expected_element : t_expected_element;
constant tag_usage : t_tag_usage := NO_TAG;
constant tag : string := "") return boolean;
impure function exists(
constant expected_element : t_expected_element;
constant tag_usage : t_tag_usage := NO_TAG;
constant tag : string := "") return boolean;
impure function exists(
constant instance : integer;
constant tag_usage : t_tag_usage;
constant tag : string) return boolean;
impure function exists(
constant tag_usage : t_tag_usage;
constant tag : string) return boolean;
end protected t_generic_sb;
end package generic_sb_pkg;
package body generic_sb_pkg is
-- SB type declaration
type t_sb_entry is record
expected_element : t_expected_element;
source : string(1 to C_SB_SOURCE_WIDTH);
tag : string(1 to C_SB_TAG_WIDTH);
entry_time : time;
end record;
-- Declaration of sb_queue_pkg used to store all entries
package sb_queue_pkg is new uvvm_vvc_framework.ti_generic_queue_pkg
generic map (
t_generic_element => t_sb_entry,
scope => "SB_queue",
GC_QUEUE_COUNT_MAX => 1000,
GC_QUEUE_COUNT_THRESHOLD => 750);
use sb_queue_pkg.all;
type t_generic_sb is protected body
----------------------------------------------------------------------------------------------------
-- Variables
----------------------------------------------------------------------------------------------------
variable vr_scope : string(1 to C_LOG_SCOPE_WIDTH) := (1 to 4 => "?_SB", others => NUL);
variable vr_config : t_sb_config_array(1 to C_MAX_QUEUE_INSTANCE_NUM) := (others => sb_config_default);
variable vr_instance_enabled : boolean_vector(1 to C_MAX_QUEUE_INSTANCE_NUM) := (others => false);
variable vr_sb_queue : sb_queue_pkg.t_generic_queue;
type t_msg_id_panel_array is array(1 to C_MAX_QUEUE_INSTANCE_NUM) of t_msg_id_panel;
variable vr_msg_id_panel_array : t_msg_id_panel_array := (others => C_SB_MSG_ID_PANEL_DEFAULT);
-- Counters
variable vr_entered_cnt : integer_vector(1 to C_MAX_QUEUE_INSTANCE_NUM) := (others => -1);
variable vr_match_cnt : integer_vector(1 to C_MAX_QUEUE_INSTANCE_NUM) := (others => -1);
variable vr_mismatch_cnt : integer_vector(1 to C_MAX_QUEUE_INSTANCE_NUM) := (others => -1);
variable vr_drop_cnt : integer_vector(1 to C_MAX_QUEUE_INSTANCE_NUM) := (others => -1);
variable vr_initial_garbage_cnt : integer_vector(1 to C_MAX_QUEUE_INSTANCE_NUM) := (others => -1);
variable vr_delete_cnt : integer_vector(1 to C_MAX_QUEUE_INSTANCE_NUM) := (others => -1);
variable vr_overdue_check_cnt : integer_vector(1 to C_MAX_QUEUE_INSTANCE_NUM) := (others => -1);
--==================================================================================================
-- NON PUBLIC METHODS
--==================================================================================================
procedure check_instance_in_range(
constant instance : in integer
) is
begin
check_value_in_range(instance, 1, C_MAX_QUEUE_INSTANCE_NUM, TB_ERROR,
"Instance must be within range 1 to C_MAX_QUEUE_INSTANCE_NUM, " & to_string(C_MAX_QUEUE_INSTANCE_NUM) & ".", vr_scope, ID_NEVER);
end procedure check_instance_in_range;
procedure check_instance_enabled(
constant instance : in integer
) is
begin
check_value(vr_instance_enabled(instance), TB_ERROR, "The instance is not enabled", vr_scope, ID_NEVER);
end procedure check_instance_enabled;
procedure check_queue_empty(
constant instance : in positive
) is
begin
check_value(not vr_sb_queue.is_empty(instance), TB_ERROR, "The queue is empty", vr_scope, ID_NEVER);
end procedure check_queue_empty;
procedure check_config_validity(
constant config : in t_sb_config
) is
begin
check_value(config.allow_out_of_order and config.allow_lossy, false, TB_ERROR,
"allow_out_of_order and allow_lossy cannot both be enabled. Se documentation for how to handle both modes.", vr_scope, ID_NEVER);
check_value(config.overdue_check_time_limit >= 0 ns, TB_ERROR,
"overdue_check_time_limit cannot be less than 0 ns.", vr_scope, ID_NEVER);
end procedure;
impure function entry_match_actual (
constant sb_entry : in t_sb_entry;
constant actual_element : in t_actual_element;
constant tag_usage : in t_tag_usage;
constant tag : in string
) return boolean is
begin
-- If TAG then check if tag match
if tag_usage = uvvm_util.types_pkg.TAG then
if pad_string(tag, NUL, C_SB_TAG_WIDTH) /= sb_entry.tag then
return false;
end if;
end if;
return match(sb_entry.expected_element, actual_element);
end function entry_match_actual;
impure function entry_match_expected (
constant sb_entry : in t_sb_entry;
constant expected_element : in t_expected_element;
constant tag_usage : in t_tag_usage;
constant tag : in string
) return boolean is
begin
-- If TAG then check if tag match
if tag_usage = uvvm_util.types_pkg.TAG then
if pad_string(tag, NUL, C_SB_TAG_WIDTH) /= sb_entry.tag then
return false;
end if;
end if;
return sb_entry.expected_element = expected_element;
end function entry_match_expected;
procedure log(
instance : positive;
msg_id : t_msg_id;
msg : string;
scope : string
) is
begin
if vr_msg_id_panel_array(instance)(msg_id) = ENABLED then
log(msg_id, msg, scope, C_MSG_ID_PANEL_DEFAULT);
end if;
end procedure;
--==================================================================================================
-- PUBLIC METHODS
--==================================================================================================
----------------------------------------------------------------------------------------------------
--
-- config
--
-- Sets config for each instance, by array or instance parameter
--
----------------------------------------------------------------------------------------------------
procedure config(
constant sb_config_array : in t_sb_config_array;
constant msg : in string := ""
) is
begin
-- Check if range is within limits
check_value(sb_config_array'low > 0 and sb_config_array'high <= C_MAX_QUEUE_INSTANCE_NUM, TB_ERROR,
"Configuration array must be within range 1 to C_MAX_QUEUE_INSTANCE_NUM, " & to_string(C_MAX_QUEUE_INSTANCE_NUM) & ".", vr_scope, ID_NEVER);
-- Apply config to the defined range
for i in sb_config_array'low to sb_config_array'high loop
check_config_validity(sb_config_array(i));
log(i, ID_CTRL, "config: config applied to instance " & to_string(i) & "." & add_msg_delimiter(msg), vr_scope);
vr_config(i) := sb_config_array(i);
end loop;
end procedure config;
procedure config(
constant instance : in integer;
constant sb_config : in t_sb_config;
constant msg : in string := "";
constant ext_proc_call : in string := "" -- not proc???
) is
constant proc_name : string := "config";
begin
-- Sanity checks
check_instance_in_range(instance);
check_config_validity(sb_config);
if ext_proc_call = "" then
-- Called directly from sequencer/VVC.
log(instance, ID_CTRL, proc_name & ": config applied to instance " & to_string(instance) & "." & add_msg_delimiter(msg), vr_scope);
else
-- Called from other SB method
log(instance, ID_CTRL, ext_proc_call & add_msg_delimiter(msg), vr_scope);
end if;
vr_config(instance) := sb_config;
end procedure config;
procedure config(
constant sb_config : in t_sb_config;
constant msg : in string := ""
) is
begin
config(1, sb_config, msg, "config: config applied to SB.");
end procedure config;
----------------------------------------------------------------------------------------------------
--
-- enable
--
-- Enable one instance or all instances. Counters is set froom -1 to 0 When enabled for the
-- first time.
--
----------------------------------------------------------------------------------------------------
procedure enable(
constant instance : in integer;
constant msg : in string := "";
constant ext_proc_call : in string := "" -- not proc???
) is
constant proc_name : string := "enable";
begin
-- Check if instance is within range
if instance /= ALL_INSTANCES then
check_instance_in_range(instance);
end if;
if ext_proc_call = "" then
-- Called directly from sequencer/VVC.
if instance = ALL_INSTANCES then
log(ID_CTRL, proc_name & ": all instances enabled." & add_msg_delimiter(msg), vr_scope);
else
log(instance, ID_CTRL, proc_name & ": instance " & to_string(instance) & " enabled." & add_msg_delimiter(msg), vr_scope);
end if;
else
-- Called from other SB method
log(instance, ID_CTRL, ext_proc_call & add_msg_delimiter(msg), vr_scope);
end if;
if instance = ALL_INSTANCES then
vr_instance_enabled := (others => true);
for i in 1 to C_MAX_QUEUE_INSTANCE_NUM loop
if vr_entered_cnt(i) = -1 then
vr_entered_cnt(i) := 0;
vr_match_cnt(i) := 0;
vr_mismatch_cnt(i) := 0;
vr_drop_cnt(i) := 0;
vr_initial_garbage_cnt(i) := 0;
vr_delete_cnt(i) := 0;
vr_overdue_check_cnt(i) := 0;
end if;
end loop;
else
vr_instance_enabled(instance) := true;
if vr_entered_cnt(instance) = -1 then
vr_entered_cnt(instance) := 0;
vr_match_cnt(instance) := 0;
vr_mismatch_cnt(instance) := 0;
vr_drop_cnt(instance) := 0;
vr_initial_garbage_cnt(instance) := 0;
vr_delete_cnt(instance) := 0;
vr_overdue_check_cnt(instance) := 0;
end if;
end if;
vr_sb_queue.set_scope(instance, "SB queue");
end procedure enable;
procedure enable(
constant msg : in string
) is
begin
enable(1, msg, "enable: SB enabled.");
end procedure enable;
procedure enable(
constant void : in t_void
) is
begin
enable(1, "", "enable: SB enabled.");
end procedure enable;
----------------------------------------------------------------------------------------------------
--
-- disable
--
-- Disable one instance or all instances.
--
----------------------------------------------------------------------------------------------------
procedure disable(
constant instance : in integer;
constant msg : in string := "";
constant ext_proc_call : in string := "" -- not proc???
) is
begin
-- Check if instance is within range
if instance /= ALL_INSTANCES then
check_instance_in_range(instance);
end if;
if instance = ALL_INSTANCES then
vr_instance_enabled := (others => false);
else
vr_instance_enabled(instance) := false;
end if;
if ext_proc_call = "" then
-- Called directly from sequencer/VVC.
if instance = ALL_INSTANCES then
log(ID_CTRL, "disable: all instances disabled." & add_msg_delimiter(msg), vr_scope);
else
log(instance, ID_CTRL, "disable: instance " & to_string(instance) & " disabled." & add_msg_delimiter(msg), vr_scope);
end if;
else
-- Called from other SB method
log(instance, ID_CTRL, ext_proc_call & add_msg_delimiter(msg), vr_scope);
end if;
end procedure disable;
procedure disable(
constant msg : in string
) is
begin
disable(1, msg, "disable: SB disabled.");
end procedure disable;
procedure disable(
constant void : in t_void
) is
begin
disable(1, "", "disable: SB disabled.");
end procedure disable;
----------------------------------------------------------------------------------------------------
--
-- add_expected
--
-- Adds expected element at the back of queue. Optional tag and source.
--
----------------------------------------------------------------------------------------------------
procedure add_expected(
constant instance : in integer;
constant expected_element : in t_expected_element;
constant tag_usage : in t_tag_usage;
constant tag : in string;
constant msg : in string := "";
constant source : in string := "";
constant ext_proc_call : in string := ""
) is
constant proc_name : string := "add_expected";
variable v_sb_entry : t_sb_entry;
begin
v_sb_entry := (expected_element => expected_element,
source => pad_string(source, NUL, C_SB_SOURCE_WIDTH),
tag => pad_string(tag, NUL, C_SB_TAG_WIDTH),
entry_time => now);
if instance = ALL_ENABLED_INSTANCES then
for i in 1 to C_MAX_QUEUE_INSTANCE_NUM loop
if vr_instance_enabled(i) then
-- add entry
vr_sb_queue.add(i, v_sb_entry);
-- increment counters
vr_entered_cnt(i) := vr_entered_cnt(i)+1;
if tag_usage = NO_TAG then
log(i, ID_DATA, proc_name & "() => instance " & to_string(instance) & ", value: " & expected_to_string(expected_element) &
". " & add_msg_delimiter(msg), vr_scope);
else
log(i, ID_DATA, proc_name & "() => instance " & to_string(instance) & ", value: " & expected_to_string(expected_element) & ", tag: " & to_string(tag) &
". " & add_msg_delimiter(msg), vr_scope);
end if;
end if;
end loop;
else
-- Sanity checks
check_instance_in_range(instance);
check_instance_enabled(instance);
-- add entry
vr_sb_queue.add(instance, v_sb_entry);
-- increment counters
vr_entered_cnt(instance) := vr_entered_cnt(instance)+1;
if ext_proc_call = "" then
if tag_usage = NO_TAG then
log(instance, ID_DATA, proc_name & "() => instance " & to_string(instance) & ", value: " & expected_to_string(expected_element) &
". " & add_msg_delimiter(msg), vr_scope);
else
log(instance, ID_DATA, proc_name & "() => instance " & to_string(instance) & ", value: " & expected_to_string(expected_element) & ", tag: " & to_string(tag) &
". " & add_msg_delimiter(msg), vr_scope);
end if;
else
-- Called from other SB method
log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope);
end if;
end if;
end procedure add_expected;
procedure add_expected(
constant expected_element : in t_expected_element;
constant tag_usage : in t_tag_usage;
constant tag : in string;
constant msg : in string := "";
constant source : in string := ""
) is
begin
if tag_usage = NO_TAG then
add_expected(1, expected_element, tag_usage, tag, msg, source, "add_expected() => expected: " & expected_to_string(expected_element) & ". ");
else
add_expected(1, expected_element, tag_usage, tag, msg, source, "add_expected() => expected: " & expected_to_string(expected_element) & ", tag: " & to_string(tag) & ". ");
end if;
end procedure add_expected;
procedure add_expected(
constant instance : in integer;
constant expected_element : in t_expected_element;
constant msg : in string := "";
constant source : in string := ""
) is
begin
add_expected(instance, expected_element, NO_TAG, "", msg, source);
end procedure add_expected;
procedure add_expected(
constant expected_element : in t_expected_element;
constant msg : in string := "";
constant source : in string := ""
) is
begin
add_expected(expected_element, NO_TAG, "", msg, source);
end procedure add_expected;
----------------------------------------------------------------------------------------------------
--
-- check_actual
--
-- Checks actual against expected. Updates counters acording to match/mismatch and configuration.
--
----------------------------------------------------------------------------------------------------
procedure check_actual(
constant instance : in integer;
constant actual_element : in t_actual_element;
constant tag_usage : in t_tag_usage;
constant tag : in string;
constant msg : in string := "";
constant ext_proc_call : in string := ""
) is
constant proc_name : string := "check_actual";
procedure check_pending_exists(
constant instance : in integer
) is
begin
check_value(not vr_sb_queue.is_empty(instance), TB_ERROR, "instance " & to_string(instance) & ": no pending entries to check.", vr_scope, ID_NEVER);
end procedure check_pending_exists;
procedure check_actual_instance(
constant instance : in integer
) is
variable v_matched : boolean := false;
variable v_entry : t_sb_entry;
variable v_dropped_num : natural := 0;
begin
check_pending_exists(instance);
-- If OOB
if vr_config(instance).allow_out_of_order then
-- Loop through entries in queue until match
for i in 1 to get_pending_count(instance) loop
v_entry := vr_sb_queue.peek(instance, POSITION, i);
if entry_match_actual(v_entry, actual_element, tag_usage, tag) then
v_matched := true;
-- Delete entry
vr_sb_queue.delete(instance, POSITION, i, SINGLE);
exit;
end if;
end loop;
-- If LOSSY
elsif vr_config(instance).allow_lossy then
-- Loop through entries in queue until match
for i in 1 to get_pending_count(instance) loop
v_entry := vr_sb_queue.peek(instance, POSITION, i);
if entry_match_actual(v_entry, actual_element, tag_usage, tag) then
v_matched := true;
-- Delete matching entry and preceding entries
for j in i downto 1 loop
vr_sb_queue.delete(instance, POSITION, j, SINGLE);
end loop;
v_dropped_num := i - 1;
exit;
end if;
end loop;
-- Not OOB or LOSSY
else
v_entry := vr_sb_queue.peek(instance);
if entry_match_actual(v_entry, actual_element, tag_usage, tag) then
v_matched := true;
-- delete entry
vr_sb_queue.delete(instance, POSITION, 1, SINGLE);
elsif not(vr_match_cnt(instance) = 0 and vr_config(instance).ignore_initial_garbage) then
vr_sb_queue.delete(instance, POSITION, 1, SINGLE);
end if;
end if;
-- Update counters
vr_drop_cnt(instance) := vr_drop_cnt(instance) + v_dropped_num;
if v_matched then
vr_match_cnt(instance) := vr_match_cnt(instance) + 1;
elsif vr_match_cnt(instance) = 0 and vr_config(instance).ignore_initial_garbage then
vr_initial_garbage_cnt(instance) := vr_initial_garbage_cnt(instance) + 1;
else
vr_mismatch_cnt(instance) := vr_mismatch_cnt(instance) + 1;
end if;
-- Check if overdue time
if v_matched and (vr_config(instance).overdue_check_time_limit /= 0 ns) and (now-v_entry.entry_time > vr_config(instance).overdue_check_time_limit) then
if ext_proc_call = "" then
alert(vr_config(instance).overdue_check_alert_level, proc_name & "() instance " & to_string(instance) &" => TIME LIMIT OVERDUE: time limit is "
& to_string(vr_config(instance).overdue_check_time_limit) & ", time from entry is " & to_string(now-v_entry.entry_time) & ". " & add_msg_delimiter(msg) , vr_scope);
else
alert(vr_config(instance).overdue_check_alert_level, ext_proc_call & " => TIME LIMIT OVERDUE: time limit is " & to_string(vr_config(instance).overdue_check_time_limit) &
", time from entry is " & to_string(now-v_entry.entry_time) & ". " & add_msg_delimiter(msg) , vr_scope);
end if;
-- Update counter
vr_overdue_check_cnt(instance) := vr_overdue_check_cnt(instance) + 1;
end if;
-- Logging
if v_matched then
if ext_proc_call = "" then
if tag_usage = NO_TAG then
log(instance, ID_DATA, proc_name & "() instance " & to_string(instance) & " => MATCH, for value: " & expected_to_string(v_entry.expected_element) &
". " & add_msg_delimiter(msg), vr_scope);
else
log(instance, ID_DATA, proc_name & "() instance " & to_string(instance) & " => MATCH, for value: " & expected_to_string(v_entry.expected_element) &
". tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope);
end if;
-- Called from other SB method
else
if tag_usage = NO_TAG then
log(instance, ID_DATA, ext_proc_call & " => MATCH, for actual: " & actual_to_string(actual_element) & ". " & add_msg_delimiter(msg), vr_scope);
else
log(instance, ID_DATA, ext_proc_call & " => MATCH, for actual: " & actual_to_string(actual_element) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope);
end if;
end if;
-- Initial garbage
elsif not(vr_match_cnt(instance) = 0 and vr_config(instance).ignore_initial_garbage) then
if ext_proc_call = "" then
if tag_usage = NO_TAG then
alert(vr_config(instance).mismatch_alert_level, proc_name & "() instance " & to_string(instance) & " => MISMATCH, expected: " & expected_to_string(v_entry.expected_element) &
"; actual: " & actual_to_string(actual_element) & ". " & add_msg_delimiter(msg), vr_scope);
else
alert(vr_config(instance).mismatch_alert_level, proc_name & "() instance " & to_string(instance) & " => MISMATCH, expected: " & expected_to_string(v_entry.expected_element) & ", tag: '" & to_string(v_entry.tag) &
"'; actual: " & actual_to_string(actual_element) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope);
end if;
else
if tag_usage = NO_TAG then
alert(vr_config(instance).mismatch_alert_level, ext_proc_call & " => MISMATCH, expected: " & expected_to_string(v_entry.expected_element) &
"; actual: " & actual_to_string(actual_element) & add_msg_delimiter(msg), vr_scope);
else
alert(vr_config(instance).mismatch_alert_level, ext_proc_call & " => MISMATCH, expected: " & expected_to_string(v_entry.expected_element) & ", tag: " & to_string(v_entry.tag) &
"; actual: " & actual_to_string(actual_element) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope);
end if;
end if;
end if;
end procedure check_actual_instance;
begin
-- Check if instance is within range
if instance /= ALL_ENABLED_INSTANCES then
check_instance_in_range(instance);
end if;
if instance = ALL_ENABLED_INSTANCES then
for i in 1 to C_MAX_QUEUE_INSTANCE_NUM loop
if vr_instance_enabled(i) then
check_actual_instance(i);
end if;
end loop;
else
check_instance_enabled(instance);
check_actual_instance(instance);
end if;
end procedure check_actual;
procedure check_actual(
constant actual_element : in t_actual_element;
constant tag_usage : in t_tag_usage;
constant tag : in string;
constant msg : in string := ""
) is
begin
check_actual(1, actual_element, tag_usage, tag, msg, "check_actual()");
end procedure check_actual;
procedure check_actual(
constant instance : in integer;
constant actual_element : in t_actual_element;
constant msg : in string := ""
) is
begin
check_actual(instance, actual_element, NO_TAG, "", msg);
end procedure check_actual;
procedure check_actual(
constant actual_element : in t_actual_element;
constant msg : in string := ""
) is
begin
check_actual(actual_element, NO_TAG, "", msg);
end procedure check_actual;
----------------------------------------------------------------------------------------------------
--
-- flush
--
-- Deletes all entries in queue and updates delete counter.
--
----------------------------------------------------------------------------------------------------
procedure flush(
constant instance : in integer;
constant msg : in string := "";
constant ext_proc_call : in string := ""
) is
constant proc_name : string := "flush";
begin
if instance = ALL_INSTANCES then
log(ID_DATA, proc_name & ": flushing all instances." & add_msg_delimiter(msg), vr_scope);
for i in 1 to C_MAX_QUEUE_INSTANCE_NUM loop
-- update counters
vr_delete_cnt(i) := vr_delete_cnt(i) + vr_sb_queue.get_count(i);
-- flush queue
vr_sb_queue.flush(i);
end loop;
elsif instance = ALL_ENABLED_INSTANCES then
log(ID_DATA, proc_name & ": flushing all enabled instances." & add_msg_delimiter(msg), vr_scope);
for i in 1 to C_MAX_QUEUE_INSTANCE_NUM loop
if vr_instance_enabled(i) then
-- update counters
vr_delete_cnt(i) := vr_delete_cnt(i) + vr_sb_queue.get_count(i);
-- flush queue
vr_sb_queue.flush(i);
end if;
end loop;
else
if ext_proc_call = "" then
log(instance, ID_DATA, proc_name & ": flushing instance " & to_string(instance) & "." & add_msg_delimiter(msg), vr_scope);
else
log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope);
end if;
check_instance_in_range(instance);
check_instance_enabled(instance);
-- update counters
vr_delete_cnt(instance) := vr_delete_cnt(instance) + vr_sb_queue.get_count(instance);
-- flush queue
vr_sb_queue.flush(instance);
end if;
end procedure flush;
procedure flush(
constant msg : in string
) is
begin
flush(1, msg, "flush: flushing SB.");
end procedure flush;
procedure flush(
constant void : in t_void
) is
begin
flush("");
end procedure flush;
----------------------------------------------------------------------------------------------------
--
-- reset
--
-- Resets all counters and flushes queue. Also resets entry number count.
--
----------------------------------------------------------------------------------------------------
procedure reset(
constant instance : in integer;
constant msg : in string := "";
constant ext_proc_call : in string := ""
) is
constant proc_name : string := "reset";
procedure reset_instance(
constant instance : positive
) is
begin
vr_sb_queue.reset(instance);
vr_entered_cnt(instance) := 0;
vr_match_cnt(instance) := 0;
vr_mismatch_cnt(instance) := 0;
vr_drop_cnt(instance) := 0;
vr_initial_garbage_cnt(instance) := 0;
vr_delete_cnt(instance) := 0;
vr_overdue_check_cnt(instance) := 0;
end procedure reset_instance;
begin
if instance = ALL_INSTANCES then
log(ID_CTRL, proc_name & ": reseting all instances. " & add_msg_delimiter(msg), vr_scope);
for i in 1 to C_MAX_QUEUE_INSTANCE_NUM loop
reset_instance(i);
end loop;
elsif instance = ALL_ENABLED_INSTANCES then
log(ID_CTRL, proc_name & ": reseting all enabled instances. " & add_msg_delimiter(msg), vr_scope);
for i in 1 to C_MAX_QUEUE_INSTANCE_NUM loop
if vr_instance_enabled(i) then
reset_instance(i);
end if;
end loop;
else
if ext_proc_call = "" then
log(instance, ID_CTRL, proc_name & ": reseting instance " & to_string(instance) & ". " & add_msg_delimiter(msg), vr_scope);
else
log(instance, ID_CTRL, ext_proc_call & add_msg_delimiter(msg), vr_scope);
end if;
check_instance_in_range(instance);
check_instance_enabled(instance);
reset_instance(instance);
end if;
end procedure reset;
procedure reset(
constant msg : in string
) is
begin
reset(1, msg, "reset: reseting SB.");
end procedure reset;
procedure reset(
constant void : in t_void
) is
begin
reset("");
end procedure reset;
----------------------------------------------------------------------------------------------------
--
-- is_empty
--
-- Returns true if scoreboard instance is empty, false if not.
--
----------------------------------------------------------------------------------------------------
impure function is_empty(
constant instance : in integer
) return boolean is
begin
return vr_sb_queue.is_empty(instance);
end function is_empty;
impure function is_empty(
constant void : in t_void
) return boolean is
begin
return is_empty(1);
end function is_empty;
----------------------------------------------------------------------------------------------------
--
-- get_entered_count
--
-- Returns total number of entries made to scoreboard instance.
-- Added + inserted.
--
----------------------------------------------------------------------------------------------------
impure function get_entered_count(
constant instance : in integer
) return integer is
begin
return vr_entered_cnt(instance);
end function get_entered_count;
impure function get_entered_count(
constant void : in t_void
) return integer is
begin
return get_entered_count(1);
end function get_entered_count;
----------------------------------------------------------------------------------------------------
--
-- get_pending_count
--
-- Returns number of entries en scoreboard instance at the moment.
-- Added + inserted - checked - deleted.
--
----------------------------------------------------------------------------------------------------
impure function get_pending_count(
constant instance : in integer
) return integer is
begin
if vr_entered_cnt(instance) = -1 then
return -1;
else
return vr_sb_queue.get_count(instance);
end if;
end function get_pending_count;
impure function get_pending_count(
constant void : in t_void
) return integer is
begin
return get_pending_count(1);
end function get_pending_count;
----------------------------------------------------------------------------------------------------
--
-- get_match_count
--
-- Returns number of entries checked and matched against an actual.
--
----------------------------------------------------------------------------------------------------
impure function get_match_count(
constant instance : in integer
) return integer is
begin
return vr_match_cnt(instance);
end function get_match_count;
impure function get_match_count(
constant void : in t_void
) return integer is
begin
return get_match_count(1);
end function get_match_count;
----------------------------------------------------------------------------------------------------
--
-- get_mismatch_count
--
-- Returns number of entries checked and not matched against an actual.
--
----------------------------------------------------------------------------------------------------
impure function get_mismatch_count(
constant instance : in integer
) return integer is
begin
return vr_mismatch_cnt(instance);
end function get_mismatch_count;
impure function get_mismatch_count(
constant void : in t_void
) return integer is
begin
return get_mismatch_count(1);
end function get_mismatch_count;
----------------------------------------------------------------------------------------------------
--
-- get_drop_count
--
-- Returns number of entries dropped, total number of preceding entries before match.
-- Only relevant during lossy mode.
--
----------------------------------------------------------------------------------------------------
impure function get_drop_count(
constant instance : in integer
) return integer is
begin
return vr_drop_cnt(instance);
end function get_drop_count;
impure function get_drop_count(
constant void : in t_void
) return integer is
begin
return get_drop_count(1);
end function get_drop_count;
----------------------------------------------------------------------------------------------------
--
-- get_initial_garbage_count
--
-- Returns number of actuals checked before first match.
-- Only relevant when allow_initial_garbage is enabled.
--
----------------------------------------------------------------------------------------------------
impure function get_initial_garbage_count(
constant instance : in integer
) return integer is
begin
return vr_initial_garbage_cnt(instance);
end function get_initial_garbage_count;
impure function get_initial_garbage_count(
constant void : in t_void
) return integer is
begin
return get_initial_garbage_count(1);
end function get_initial_garbage_count;
----------------------------------------------------------------------------------------------------
--
-- get_delete_count
--
-- Returns number of deleted entries.
-- Delete + fetch + flush.
--
----------------------------------------------------------------------------------------------------
impure function get_delete_count(
constant instance : in integer
) return integer is
begin
return vr_delete_cnt(instance);
end function get_delete_count;
impure function get_delete_count(
constant void : in t_void
) return integer is
begin
return get_delete_count(1);
end function get_delete_count;
----------------------------------------------------------------------------------------------------
--
-- get_overdue_check_count
--
-- Returns number of actuals checked when time limit is overdue.
-- Only relevant when overdue_check_time_limit is set.
--
----------------------------------------------------------------------------------------------------
impure function get_overdue_check_count(
constant instance : in integer
) return integer is
begin
return vr_overdue_check_cnt(instance);
end function get_overdue_check_count;
impure function get_overdue_check_count(
constant void : in t_void
) return integer is
begin
return get_overdue_check_count(1);
end function get_overdue_check_count;
----------------------------------------------------------------------------------------------------
--
-- set_scope / get_scope
--
-- Set/Get the scope of the scoreboard.
--
----------------------------------------------------------------------------------------------------
procedure set_scope(
constant scope : in string
) is
begin
vr_scope := pad_string(scope, NUL, C_LOG_SCOPE_WIDTH);
end procedure set_scope;
impure function get_scope(
constant void : in t_void
) return string is
begin
return vr_scope;
end function get_scope;
----------------------------------------------------------------------------------------------------
--
-- enable_log_msg
--
-- Enables the specified message id for the instance.
--
----------------------------------------------------------------------------------------------------
procedure enable_log_msg(
constant instance : in integer;
constant msg_id : in t_msg_id;
constant ext_proc_call : in string := ""
) is
constant proc_name : string := "enable_log_msg";
begin
if instance = ALL_INSTANCES then
log(ID_CTRL, proc_name & ": message id " & to_string(msg_id) & " enabled for all instances", vr_scope);
for i in 1 to C_MAX_QUEUE_INSTANCE_NUM loop
vr_msg_id_panel_array(i)(msg_id) := ENABLED;
end loop;
else
if ext_proc_call = "" then
log(instance, ID_CTRL, proc_name & ": message id " & to_string(msg_id) & " enabled for instance " & to_string(instance), vr_scope);
else
log(instance, ID_CTRL, ext_proc_call, vr_scope);
end if;
vr_msg_id_panel_array(instance)(msg_id) := ENABLED;
end if;
end procedure enable_log_msg;
procedure enable_log_msg(
constant msg_id : in t_msg_id
) is
begin
enable_log_msg(1, msg_id, "enable_log_msg: "& ": message id " & to_string(msg_id) & " enabled");
end procedure enable_log_msg;
----------------------------------------------------------------------------------------------------
--
-- disable_log_msg
--
-- Disables the specified message id for the instance.
--
----------------------------------------------------------------------------------------------------
procedure disable_log_msg(
constant instance : in integer;
constant msg_id : in t_msg_id;
constant ext_proc_call : in string := ""
) is
constant proc_name : string := "disable_log_msg";
begin
if instance = ALL_INSTANCES then
log(ID_CTRL, proc_name & ": message id " & to_string(msg_id) & " disabled for all instances", vr_scope);
for i in 1 to C_MAX_QUEUE_INSTANCE_NUM loop
vr_msg_id_panel_array(i)(msg_id) := DISABLED;
end loop;
else
if ext_proc_call = "" then
log(instance, ID_CTRL, proc_name & ": message id " & to_string(msg_id) & " disabled for instance " & to_string(instance), vr_scope);
else
log(instance, ID_CTRL, ext_proc_call, vr_scope);
end if;
vr_msg_id_panel_array(instance)(msg_id) := DISABLED;
end if;
end procedure disable_log_msg;
procedure disable_log_msg(
constant msg_id : in t_msg_id
) is
begin
disable_log_msg(1, msg_id, "disable_log_msg: "& ": message id " & to_string(msg_id) & " disabled");
end procedure disable_log_msg;
----------------------------------------------------------------------------------------------------
--
-- report_conters
--
-- Prints a report of all counters to transcript for either specified instance, all enabled
-- instances or all instances.
--
----------------------------------------------------------------------------------------------------
procedure report_counters(
constant instance : in integer;
constant ext_proc_call : in string := ""
) is
variable v_line : line;
variable v_line_copy : line;
variable v_status_failed : boolean := true;
variable v_mismatch : boolean := false;
constant C_HEADER : string := "*** SCOREBOARD COUNTERS SUMMARY: " & to_string(vr_scope) & " ***";
constant prefix : string := C_LOG_PREFIX & " ";
constant log_counter_width : positive := 15;
begin
write(v_line,
LF &
fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF &
justify(C_HEADER, center, C_LOG_LINE_WIDTH - prefix'length, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF &
fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF);
write(v_line,
justify(
justify("ENTERED", center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify("PENDING", center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify("MATCH", center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify("MISMATCH", center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify("DROP", center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify("INITIAL_GARBAGE", center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify("DELETE", center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify("OVERDUE CHECK", center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE),
center, C_LOG_LINE_WIDTH - prefix'length, KEEP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF);
if instance = ALL_INSTANCES then
for i in 1 to C_MAX_QUEUE_INSTANCE_NUM loop
write(v_line,
justify(
fill_string(' ', 4) &
"instance: " &
justify(to_string(i), right, to_string(C_MAX_QUEUE_INSTANCE_NUM)'length, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
fill_string(' ', 20-4-10-to_string(C_MAX_QUEUE_INSTANCE_NUM)'length) &
justify(to_string(get_entered_count( i)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_pending_count( i)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_match_count( i)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_mismatch_count( i)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_drop_count( i)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_initial_garbage_count(i)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_delete_count( i)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_overdue_check_count( i)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
fill_string(' ', 20),
center, C_LOG_LINE_WIDTH - prefix'length, KEEP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF);
end loop;
elsif instance = ALL_ENABLED_INSTANCES then
for i in 1 to C_MAX_QUEUE_INSTANCE_NUM loop
if vr_instance_enabled(i) then
write(v_line,
justify(
fill_string(' ', 4) &
"instance: " &
justify(to_string(i), right, to_string(C_MAX_QUEUE_INSTANCE_NUM)'length, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
fill_string(' ', 20-4-10-to_string(C_MAX_QUEUE_INSTANCE_NUM)'length) &
justify(to_string(get_entered_count( i)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_pending_count( i)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_match_count( i)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_mismatch_count( i)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_drop_count( i)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_initial_garbage_count(i)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_delete_count( i)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_overdue_check_count( i)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
fill_string(' ', 20),
center, C_LOG_LINE_WIDTH - prefix'length, KEEP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF);
end if;
end loop;
elsif ext_proc_call = "" then
write(v_line,
justify(
fill_string(' ', 4) &
"instance: " &
justify(to_string(instance), right, to_string(C_MAX_QUEUE_INSTANCE_NUM)'length, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
fill_string(' ', 20-4-10-to_string(C_MAX_QUEUE_INSTANCE_NUM)'length) &
justify(to_string(get_entered_count( instance)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_pending_count( instance)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_match_count( instance)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_mismatch_count( instance)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_drop_count( instance)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_initial_garbage_count(instance)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_delete_count( instance)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_overdue_check_count( instance)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
fill_string(' ', 20),
center, C_LOG_LINE_WIDTH - prefix'length, KEEP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF);
else
write(v_line,
justify(
justify(to_string(get_entered_count( instance)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_pending_count( instance)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_match_count( instance)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_mismatch_count( instance)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_drop_count( instance)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_initial_garbage_count(instance)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_delete_count( instance)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify(to_string(get_overdue_check_count( instance)), center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE),
center, C_LOG_LINE_WIDTH - prefix'length, KEEP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF);
end if;
write(v_line, fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & LF);
wrap_lines(v_line, 1, 1, C_LOG_LINE_WIDTH-prefix'length);
prefix_lines(v_line, prefix);
-- Write the info string to transcript
writeline(OUTPUT, v_line);
end procedure report_counters;
procedure report_counters(
constant void : in t_void
) is
begin
report_counters(1, "no instance label");
end procedure report_counters;
--==================================================================================================
-- ADVANCED METHODS
--==================================================================================================
----------------------------------------------------------------------------------------------------
--
-- insert_expected
--
-- Inserts expected element to the queue based on position or entry number
--
----------------------------------------------------------------------------------------------------
procedure insert_expected(
constant instance : in integer;
constant identifier_option : in t_identifier_option;
constant identifier : in positive;
constant expected_element : in t_expected_element;
constant tag_usage : in t_tag_usage;
constant tag : in string;
constant msg : in string := "";
constant source : in string := "";
constant ext_proc_call : in string := ""
) is
constant proc_name : string := "insert_expected";
variable v_sb_entry : t_sb_entry;
begin
-- Check if instance is within range
if instance /= ALL_ENABLED_INSTANCES then
check_instance_in_range(instance);
end if;
v_sb_entry := (expected_element => expected_element,
source => pad_string(source, NUL, C_SB_SOURCE_WIDTH),
tag => pad_string(tag, NUL, C_SB_TAG_WIDTH),
entry_time => now);
if instance = ALL_ENABLED_INSTANCES then
for i in 1 to C_MAX_QUEUE_INSTANCE_NUM loop
if vr_instance_enabled(i) then
-- Check that instance is enabled
check_queue_empty(instance);
-- add entry
vr_sb_queue.insert(i, identifier_option, identifier, v_sb_entry);
-- increment counters
vr_entered_cnt(i) := vr_entered_cnt(i)+1;
end if;
end loop;
else
-- Check that instance is in valid range and enabled
check_instance_in_range(instance);
check_instance_enabled(instance);
check_queue_empty(instance);
-- add entry
vr_sb_queue.insert(instance, identifier_option, identifier, v_sb_entry);
-- increment counters
vr_entered_cnt(instance) := vr_entered_cnt(instance)+1;
end if;
-- Logging
if ext_proc_call = "" then
if instance = ALL_ENABLED_INSTANCES then
if identifier_option = POSITION then
if tag_usage = NO_TAG then
log(instance, ID_DATA, proc_name & "() inserted expected after entry with position " & to_string(identifier) & " for all enabled instances. Expected: "
& expected_to_string(expected_element) & ". " & add_msg_delimiter(msg), vr_scope);
else
log(instance, ID_DATA, proc_name & "() inserted expected after entry with position " & to_string(identifier) & " for all enabled instances. Expected: "
& expected_to_string(expected_element) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope);
end if;
else
if tag_usage = NO_TAG then
log(instance, ID_DATA, proc_name & "() inserted expected after entry with entry number " & to_string(identifier) & " for all enabled instances. Expected: "
& expected_to_string(expected_element) & ". " & add_msg_delimiter(msg), vr_scope);
else
log(instance, ID_DATA, proc_name & "() inserted expected after entry with entry number " & to_string(identifier) & " for all enabled instances. Expected: "
& expected_to_string(expected_element) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope);
end if;
end if;
else
if identifier_option = POSITION then
log(instance, ID_DATA, proc_name & "() inserted expected after entry with position " & to_string(identifier) & " for instance " & to_string(instance) & "." & add_msg_delimiter(msg), vr_scope);
else
log(instance, ID_DATA, proc_name & "() inserted expected after entry with entry number " & to_string(identifier) & " for instance " & to_string(instance) & "." & add_msg_delimiter(msg), vr_scope);
end if;
end if;
else
if tag_usage = NO_TAG then
log(instance, ID_DATA, ext_proc_call & " Expected: " & expected_to_string(expected_element) & ". " & add_msg_delimiter(msg), vr_scope);
else
log(instance, ID_DATA, ext_proc_call & " Expected: " & expected_to_string(expected_element) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope);
end if;
end if;
end procedure insert_expected;
procedure insert_expected(
constant identifier_option : in t_identifier_option;
constant identifier : in positive;
constant expected_element : in t_expected_element;
constant tag_usage : in t_tag_usage;
constant tag : in string;
constant msg : in string := "";
constant source : in string := ""
) is
begin
if identifier_option = POSITION then
insert_expected(1, identifier_option, identifier, expected_element, tag_usage, tag, msg, source, "insert_expected() inserted expected after entry with position " & to_string(identifier) & ".");
else
insert_expected(1, identifier_option, identifier, expected_element, tag_usage, tag, msg, source, "insert_expected() inserted expected after entry with entry number " & to_string(identifier) & ".");
end if;
end procedure insert_expected;
----------------------------------------------------------------------------------------------------
--
-- find_expected_entry_num
--
-- Returns entry number of matching entry, no match returns -1
--
----------------------------------------------------------------------------------------------------
impure function find_expected_entry_num(
constant instance : in integer;
constant expected_element : in t_expected_element;
constant tag_usage : in t_tag_usage;
constant tag : in string
) return integer is
variable v_sb_entry : t_sb_entry;
begin
-- Sanity check
check_instance_in_range(instance);
check_instance_enabled(instance);
check_queue_empty(instance);
for i in 1 to get_pending_count(instance) loop
-- get entry i
v_sb_entry := vr_sb_queue.peek(instance, POSITION, i);
-- check if match
if entry_match_expected(v_sb_entry, expected_element, tag_usage, tag) then
return vr_sb_queue.get_entry_num(instance, i);
end if;
end loop;
return -1;
end function find_expected_entry_num;
impure function find_expected_entry_num(
constant expected_element : in t_expected_element;
constant tag_usage : in t_tag_usage;
constant tag : in string
) return integer is
begin
return find_expected_entry_num(1, expected_element, tag_usage, tag);
end function find_expected_entry_num;
impure function find_expected_entry_num(
constant instance : in integer;
constant expected_element : in t_expected_element
) return integer is
begin
return find_expected_entry_num(instance, expected_element, NO_TAG, "");
end function find_expected_entry_num;
impure function find_expected_entry_num(
constant expected_element : in t_expected_element
) return integer is
begin
return find_expected_entry_num(1, expected_element, NO_TAG, "");
end function find_expected_entry_num;
impure function find_expected_entry_num(
constant instance : in integer;
constant tag_usage : in t_tag_usage;
constant tag : in string
) return integer is
variable v_sb_entry : t_sb_entry;
begin
-- Sanity check
check_instance_in_range(instance);
check_instance_enabled(instance);
check_queue_empty(instance);
for i in 1 to get_pending_count(instance) loop
-- get entry i
v_sb_entry := vr_sb_queue.peek(instance, POSITION, i);
-- check if match
if v_sb_entry.tag = pad_string(tag, NUL, C_SB_TAG_WIDTH) then
return vr_sb_queue.get_entry_num(instance, i);
end if;
end loop;
return -1;
end function find_expected_entry_num;
impure function find_expected_entry_num(
constant tag_usage : in t_tag_usage;
constant tag : in string
) return integer is
begin
return find_expected_entry_num(1, tag_usage, tag);
end function find_expected_entry_num;
----------------------------------------------------------------------------------------------------
--
-- find_expected_position
--
-- Returns position of matching entry, no match returns -1
--
----------------------------------------------------------------------------------------------------
impure function find_expected_position(
constant instance : in integer;
constant expected_element : in t_expected_element;
constant tag_usage : in t_tag_usage;
constant tag : in string
) return integer is
variable v_sb_entry : t_sb_entry;
begin
-- Sanity check
check_instance_in_range(instance);
check_instance_enabled(instance);
check_queue_empty(instance);
for i in 1 to get_pending_count(instance) loop
-- get entry i
v_sb_entry := vr_sb_queue.peek(instance, POSITION, i);
-- check if match
if entry_match_expected(v_sb_entry, expected_element, tag_usage, tag) then
return i;
end if;
end loop;
return -1;
end function find_expected_position;
impure function find_expected_position(
constant expected_element : in t_expected_element;
constant tag_usage : in t_tag_usage;
constant tag : in string
) return integer is
begin
return find_expected_position(1, expected_element, tag_usage, tag);
end function find_expected_position;
impure function find_expected_position(
constant instance : in integer;
constant expected_element : in t_expected_element
) return integer is
begin
return find_expected_position(instance, expected_element, NO_TAG, "");
end function find_expected_position;
impure function find_expected_position(
constant expected_element : in t_expected_element
) return integer is
begin
return find_expected_position(1, expected_element, NO_TAG, "");
end function find_expected_position;
impure function find_expected_position(
constant instance : in integer;
constant tag_usage : in t_tag_usage;
constant tag : in string
) return integer is
variable v_sb_entry : t_sb_entry;
begin
-- Sanity check
check_instance_in_range(instance);
check_instance_enabled(instance);
check_queue_empty(instance);
for i in 1 to get_pending_count(instance) loop
-- get entry i
v_sb_entry := vr_sb_queue.peek(instance, POSITION, i);
-- check if match
if v_sb_entry.tag = pad_string(tag, NUL, C_SB_TAG_WIDTH) then
return i;
end if;
end loop;
return -1;
end function find_expected_position;
impure function find_expected_position(
constant tag_usage : in t_tag_usage;
constant tag : in string
) return integer is
begin
return find_expected_position(1, tag_usage, tag);
end function find_expected_position;
----------------------------------------------------------------------------------------------------
--
-- delete_expected
--
-- Deletes expected element in queue based on specified element, position or entry number
--
----------------------------------------------------------------------------------------------------
procedure delete_expected(
constant instance : in integer;
constant expected_element : in t_expected_element;
constant tag_usage : in t_tag_usage;
constant tag : in string;
constant msg : in string := "";
constant ext_proc_call : in string := ""
) is
constant proc_name : string := "delete_expected";
variable v_position : integer;
begin
-- Sanity checks done in find_expected_position
v_position := find_expected_position(instance, expected_element, tag_usage, tag);
if v_position /= -1 then
vr_sb_queue.delete(instance, POSITION, v_position, SINGLE);
vr_delete_cnt(instance) := vr_delete_cnt(instance) + 1;
if ext_proc_call = "" then
log(instance, ID_DATA, proc_name & ": instance " & to_string(instance) & ", value: " & expected_to_string(expected_element) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope);
else
log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope);
end if;
else
log(instance, ID_DATA, proc_name & ": NO DELETION. Did not find matching entry. " & add_msg_delimiter(msg), vr_scope);
end if;
end procedure delete_expected;
procedure delete_expected(
constant expected_element : in t_expected_element;
constant tag_usage : in t_tag_usage;
constant tag : in string;
constant msg : in string := ""
) is
begin
delete_expected(1, expected_element, tag_usage, tag, msg, "delete_expected: value: " & expected_to_string(expected_element) & ", tag: '" & to_string(tag) & "'. ");
end procedure delete_expected;
procedure delete_expected(
constant instance : in integer;
constant expected_element : in t_expected_element;
constant msg : in string := ""
) is
begin
delete_expected(instance, expected_element, NO_TAG, "", msg, "delete_expected: instance " & to_string(instance) & ", value: " & expected_to_string(expected_element) & ". ");
end procedure delete_expected;
procedure delete_expected(
constant expected_element : in t_expected_element;
constant msg : in string := ""
) is
begin
delete_expected(1, expected_element, NO_TAG, "", msg, "delete_expected: instance value: " & expected_to_string(expected_element) & ". ");
end procedure delete_expected;
procedure delete_expected(
constant instance : in integer;
constant tag_usage : in t_tag_usage;
constant tag : in string;
constant msg : in string := "";
constant ext_proc_call : in string := ""
) is
constant proc_name : string := "delete_expected";
variable v_position : integer;
begin
-- Sanity checks done in find_expected_position
v_position := find_expected_position(instance, tag_usage, tag);
if v_position /= -1 then
vr_sb_queue.delete(instance, POSITION, v_position, SINGLE);
vr_delete_cnt(instance) := vr_delete_cnt(instance) + 1;
if ext_proc_call = "" then
log(instance, ID_DATA, proc_name & ": instance " & to_string(instance) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope);
else
log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope);
end if;
else
log(instance, ID_DATA, proc_name & ": NO DELETION. Did not find matching entry. " & add_msg_delimiter(msg), vr_scope);
end if;
end procedure delete_expected;
procedure delete_expected(
constant tag_usage : in t_tag_usage;
constant tag : in string;
constant msg : in string := ""
) is
begin
delete_expected(1, tag_usage, tag, msg, "delete_expected: tag: '" & to_string(tag) & "'. ");
end procedure delete_expected;
procedure delete_expected(
constant instance : in integer;
constant identifier_option : in t_identifier_option;
constant identifier_min : in positive;
constant identifier_max : in positive;
constant msg : in string := "";
constant ext_proc_call : in string := ""
) is
constant proc_name : string := "delete_expected";
constant C_PRE_DELETE_PENDING_CNT : natural := vr_sb_queue.get_count(instance);
variable v_num_deleted : natural;
begin
-- Sanity check
check_instance_in_range(instance);
check_instance_enabled(instance);
check_queue_empty(instance);
-- Delete entries
vr_sb_queue.delete(instance, identifier_option, identifier_min, identifier_max);
v_num_deleted := C_PRE_DELETE_PENDING_CNT - vr_sb_queue.get_count(instance);
vr_delete_cnt(instance) := vr_delete_cnt(instance) + v_num_deleted;
-- If error
if v_num_deleted = 0 then
log(instance, ID_DATA, proc_name & ": NO DELETION. Did not find matching entry. " & add_msg_delimiter(msg), vr_scope);
else
if ext_proc_call = "" then
log(instance, ID_DATA, proc_name & ": instance " & to_string(instance) & ", entries with identifier " & to_string(identifier_option) &
" range " & to_string(identifier_min) & " to " & to_string(identifier_max) & " deleted. " & add_msg_delimiter(msg), vr_scope);
else
log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope);
end if;
end if;
end procedure delete_expected;
procedure delete_expected(
constant identifier_option : in t_identifier_option;
constant identifier_min : in positive;
constant identifier_max : in positive;
constant msg : in string := ""
) is
begin
delete_expected(1, identifier_option, identifier_min, identifier_max, msg, "delete_expected: entries with identifier " & to_string(identifier_option) &
" range " & to_string(identifier_min) & " to " & to_string(identifier_max) & " deleted. ");
end procedure delete_expected;
procedure delete_expected(
constant instance : in integer;
constant identifier_option : in t_identifier_option;
constant identifier : in positive;
constant range_option : in t_range_option;
constant msg : in string := "";
constant ext_proc_call : in string := ""
) is
constant proc_name : string := "delete_expected";
constant C_PRE_DELETE_PENDING_CNT : natural := vr_sb_queue.get_count(instance);
variable v_num_deleted : natural;
begin
-- Sanity check
check_instance_in_range(instance);
check_instance_enabled(instance);
check_queue_empty(instance);
-- Delete entries
vr_sb_queue.delete(instance, identifier_option, identifier, range_option);
v_num_deleted := C_PRE_DELETE_PENDING_CNT - vr_sb_queue.get_count(instance);
vr_delete_cnt(instance) := vr_delete_cnt(instance) + v_num_deleted;
-- If error
if v_num_deleted = 0 then
log(instance, ID_DATA, proc_name & ": NO DELETION. Did not find matching entry. " & add_msg_delimiter(msg), vr_scope);
else
if ext_proc_call = "" then
if range_option = SINGLE then
log(instance, ID_DATA, proc_name & ": instance " & to_string(instance) & ", entry with identifier " & to_string(identifier_option) &
" " & to_string(identifier) & ". " & add_msg_delimiter(msg), vr_scope);
else
log(instance, ID_DATA, proc_name & ": instance " & to_string(instance) & ", entries with identifier " & to_string(identifier_option) &
" range " & to_string(identifier) & " " & to_string(range_option) & " deleted. " & add_msg_delimiter(msg), vr_scope);
end if;
else
log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope);
end if;
end if;
end procedure delete_expected;
procedure delete_expected(
constant identifier_option : in t_identifier_option;
constant identifier : in positive;
constant range_option : in t_range_option;
constant msg : in string := ""
) is
begin
if range_option = SINGLE then
delete_expected(1, identifier_option, identifier, range_option, msg, "delete_expected: entry with identifier '" & to_string(identifier_option) &
" " & to_string(identifier) & " deleted. ");
else
delete_expected(1, identifier_option, identifier, range_option, msg, "delete_expected: entries with identifier '" & to_string(identifier_option) &
" range " & to_string(identifier) & " to " & to_string(range_option) & " deleted. ");
end if;
end procedure delete_expected;
----------------------------------------------------------------------------------------------------
-- non public local_entry
-- Used by all peek functions
----------------------------------------------------------------------------------------------------
impure function peek_entry(
constant instance : integer;
constant identifier_option : t_identifier_option;
constant identifier : positive
) return t_sb_entry is
begin
-- Check that instance is in valid range and enabled
check_instance_in_range(instance);
check_instance_enabled(instance);
check_queue_empty(instance);
return vr_sb_queue.peek(instance, identifier_option, identifier);
end function peek_entry;
----------------------------------------------------------------------------------------------------
--
-- peek_expected
--
-- Returns expected element from queue entry based on position or entry number without deleting entry
--
----------------------------------------------------------------------------------------------------
impure function peek_expected(
constant instance : integer;
constant identifier_option : t_identifier_option;
constant identifier : positive
) return t_expected_element is
begin
return peek_entry(instance, identifier_option, identifier).expected_element;
end function peek_expected;
impure function peek_expected(
constant identifier_option : t_identifier_option;
constant identifier : positive
) return t_expected_element is
begin
return peek_entry(1, identifier_option, identifier).expected_element;
end function peek_expected;
impure function peek_expected(
constant instance : integer
) return t_expected_element is
begin
return peek_entry(instance, POSITION, 1).expected_element;
end function peek_expected;
impure function peek_expected(
constant void : t_void
) return t_expected_element is
begin
return peek_entry(1, POSITION, 1).expected_element;
end function peek_expected;
----------------------------------------------------------------------------------------------------
--
-- peek_source
--
-- Returns source element from queue entry based on position or entry number without deleting entry
--
----------------------------------------------------------------------------------------------------
impure function peek_source(
constant instance : integer;
constant identifier_option : t_identifier_option;
constant identifier : positive
) return string is
begin
return to_string(peek_entry(instance, identifier_option, identifier).source);
end function peek_source;
impure function peek_source(
constant identifier_option : t_identifier_option;
constant identifier : positive
) return string is
begin
return peek_source(1, identifier_option, identifier);
end function peek_source;
impure function peek_source(
constant instance : integer
) return string is
begin
return peek_source(instance, POSITION, 1);
end function peek_source;
impure function peek_source(
constant void : t_void
) return string is
begin
return peek_source(1, POSITION, 1);
end function peek_source;
----------------------------------------------------------------------------------------------------
--
-- peek_tag
--
-- Returns tag from queue entry based on position or entry number without deleting entry
--
----------------------------------------------------------------------------------------------------
impure function peek_tag(
constant instance : integer;
constant identifier_option : t_identifier_option;
constant identifier : positive
) return string is
begin
return to_string(peek_entry(instance, identifier_option, identifier).tag);
end function peek_tag;
impure function peek_tag(
constant identifier_option : t_identifier_option;
constant identifier : positive
) return string is
begin
return peek_tag(1, identifier_option, identifier);
end function peek_tag;
impure function peek_tag(
constant instance : integer
) return string is
begin
return peek_tag(instance, POSITION, 1);
end function peek_tag;
impure function peek_tag(
constant void : t_void
) return string is
begin
return peek_tag(1, POSITION, 1);
end function peek_tag;
----------------------------------------------------------------------------------------------------
-- Non public fetch_entry
-- Used by all fetch functions
----------------------------------------------------------------------------------------------------
impure function fetch_entry(
constant instance : integer;
constant identifier_option : t_identifier_option;
constant identifier : positive
) return t_sb_entry is
variable v_sb_entry : t_sb_entry;
begin
-- Sanity check
check_instance_in_range(instance);
check_instance_enabled(instance);
check_queue_empty(instance);
v_sb_entry := vr_sb_queue.fetch(instance, identifier_option, identifier);
vr_delete_cnt(instance) := vr_delete_cnt(instance) + 1;
return v_sb_entry;
end function fetch_entry;
----------------------------------------------------------------------------------------------------
--
-- fetch_expected
--
-- Returns expected element from queue entry based on position or entry number and deleting entry
--
----------------------------------------------------------------------------------------------------
impure function fetch_expected(
constant instance : integer;
constant identifier_option : t_identifier_option;
constant identifier : positive;
constant msg : string := "";
constant ext_proc_call : string := ""
) return t_expected_element is
constant proc_name : string := "fetch_expected";
begin
-- Sanity checks in fetch entry
-- Logging
if ext_proc_call = "" then
log(instance, ID_DATA, proc_name & ": instance " & to_string(instance) & ", fetching expected by " & to_string(identifier_option) & " " &
to_string(identifier) & ". " & add_msg_delimiter(msg), vr_scope);
else
log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope);
end if;
return fetch_entry(instance, identifier_option, identifier).expected_element;
end function fetch_expected;
impure function fetch_expected(
constant identifier_option : t_identifier_option;
constant identifier : positive;
constant msg : string := ""
) return t_expected_element is
begin
return fetch_expected(1, identifier_option, identifier, msg, "fetch_expected: fetching expected by " &
to_string(identifier_option) & " " & to_string(identifier) & ". ");
end function fetch_expected;
impure function fetch_expected(
constant instance : integer;
constant msg : string := ""
) return t_expected_element is
begin
return fetch_expected(instance, POSITION, 1, msg);
end function fetch_expected;
impure function fetch_expected(
constant msg : string
) return t_expected_element is
begin
return fetch_expected(POSITION, 1, msg);
end function fetch_expected;
impure function fetch_expected(
constant void : t_void
) return t_expected_element is
begin
return fetch_expected(POSITION, 1);
end function fetch_expected;
----------------------------------------------------------------------------------------------------
--
-- fetch_source
--
-- Returns source element from queue entry based on position or entry number and deleting entry
--
----------------------------------------------------------------------------------------------------
impure function fetch_source(
constant instance : integer;
constant identifier_option : t_identifier_option;
constant identifier : positive;
constant msg : string := "";
constant ext_proc_call : string := ""
) return string is
constant proc_name : string := "fetch_source";
begin
-- Sanity checks in fetch entry
-- Logging
if ext_proc_call = "" then
log(instance, ID_DATA, proc_name & ": instance " & to_string(instance) & ", fetching source by " & to_string(identifier_option) & " " &
to_string(identifier) & ". " & add_msg_delimiter(msg), vr_scope);
else
log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope);
end if;
return to_string(fetch_entry(instance, identifier_option, identifier).source);
end function fetch_source;
impure function fetch_source(
constant identifier_option : t_identifier_option;
constant identifier : positive;
constant msg : string := ""
) return string is
begin
return fetch_source(1, identifier_option, identifier, msg, "fetch_source: fetching source by " &
to_string(identifier_option) & " " & to_string(identifier) & ". ");
end function fetch_source;
impure function fetch_source(
constant instance : integer;
constant msg : string := ""
) return string is
begin
return fetch_source(instance, POSITION, 1, msg);
end function fetch_source;
impure function fetch_source(
constant msg : string
) return string is
begin
return fetch_source(POSITION, 1, msg);
end function fetch_source;
impure function fetch_source(
constant void : t_void
) return string is
begin
return fetch_source(POSITION, 1);
end function fetch_source;
----------------------------------------------------------------------------------------------------
--
-- fetch_tag
--
-- Returns tag from queue entry based on position or entry number and deleting entry
--
----------------------------------------------------------------------------------------------------
impure function fetch_tag(
constant instance : integer;
constant identifier_option : t_identifier_option;
constant identifier : positive;
constant msg : string := "";
constant ext_proc_call : string := ""
) return string is
constant proc_name : string := "fetch_tag";
begin
-- Sanity checks in fetch entry
-- Logging
if ext_proc_call = "" then
log(instance, ID_DATA, proc_name & ": instance " & to_string(instance) & ", fetching tag by " & to_string(identifier_option) & " " &
to_string(identifier) & ". " & add_msg_delimiter(msg), vr_scope);
else
log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope);
end if;
return to_string(fetch_entry(instance, identifier_option, identifier).tag);
end function fetch_tag;
impure function fetch_tag(
constant identifier_option : t_identifier_option;
constant identifier : positive;
constant msg : string := ""
) return string is
begin
return fetch_tag(1, identifier_option, identifier, msg, "fetch_tag: fetching tag by " &
to_string(identifier_option) & " " & to_string(identifier) & ". ");
end function fetch_tag;
impure function fetch_tag(
constant instance : integer;
constant msg : string := ""
) return string is
begin
return fetch_tag(instance, POSITION, 1, msg);
end function fetch_tag;
impure function fetch_tag(
constant msg : string
) return string is
begin
return fetch_tag(POSITION, 1, msg);
end function fetch_tag;
impure function fetch_tag(
constant void : t_void
) return string is
begin
return fetch_tag(POSITION, 1);
end function fetch_tag;
----------------------------------------------------------------------------------------------------
--
-- exists
--
-- Returns true if entry exists, false if not.
--
----------------------------------------------------------------------------------------------------
impure function exists(
constant instance : integer;
constant expected_element : t_expected_element;
constant tag_usage : t_tag_usage := NO_TAG;
constant tag : string := ""
) return boolean is
begin
return (find_expected_position(instance, expected_element, tag_usage, tag) /= C_NO_MATCH);
end function exists;
impure function exists(
constant expected_element : t_expected_element;
constant tag_usage : t_tag_usage := NO_TAG;
constant tag : string := ""
) return boolean is
begin
return exists(1, expected_element, tag_usage, tag);
end function exists;
impure function exists(
constant instance : integer;
constant tag_usage : t_tag_usage;
constant tag : string
) return boolean is
begin
return (find_expected_position(instance, tag_usage, tag) /= C_NO_MATCH);
end function exists;
impure function exists(
constant tag_usage : t_tag_usage;
constant tag : string
) return boolean is
begin
return exists(1, tag_usage, tag);
end function exists;
end protected body;
end package body generic_sb_pkg; | mit | 74d4140bc46e30fff1b00a299865878d | 0.552619 | 4.391302 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/Shadow_Register/Lab04/Shadow_Register_tb.vhd | 2 | 2,850 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 16:12:55 04/13/2016
-- Design Name:
-- Module Name: /home/tj/Desktop/UMD_RISC-16G5/ProjectLab2/Shadow_Register/Lab04/Shadow_Register_tb.vhd
-- Project Name: Lab04
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: Shadow_Reg
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY Shadow_Register_tb IS
END Shadow_Register_tb;
ARCHITECTURE behavior OF Shadow_Register_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT Shadow_Reg
PORT(
RAddr : IN std_logic_vector(1 downto 0);
CLK : IN std_logic;
RST : IN std_logic;
R : IN std_logic;
W : IN std_logic;
RAout : OUT std_logic_vector(15 downto 0)
);
END COMPONENT;
--Inputs
signal RAddr : std_logic_vector(1 downto 0) := (others => '0');
signal CLK : std_logic := '0';
signal RST : std_logic := '0';
signal R : std_logic := '0';
signal W : std_logic := '0';
--Outputs
signal RAout : std_logic_vector(15 downto 0);
-- Clock period definitions
constant CLK_period : time := 1 ms;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: Shadow_Reg PORT MAP (
RAddr => RAddr,
CLK => CLK,
RST => RST,
R => R,
W => W,
RAout => RAout
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
RST <= '1';
wait for CLK_period*2;
wait for CLK_period/2;
RST <= '0';
-- insert stimulus here
wait for CLK_period/2;
R <= '1';
--wait for CLK_period;
RAddr <= "00";
wait for CLK_period;
RAddr <= "01";
wait for CLK_period;
RAddr <= "10";
wait for CLK_period;
RAddr <= "11";
wait for CLK_period*10;
wait;
end process;
END;
| gpl-3.0 | 087b2ba89c232b0a0279e5380d80e9b4 | 0.570877 | 3.677419 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_log/flt_log_rnd.vhd | 3 | 10,757 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EmlDkfjnericRINUP0NXhs3k6FoOztbxV7mmnH9pOaFcNKVDDbi+RSu2zjWyr6qRmwA1UuVsG7M8
A9xyFDfhfw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mvEq+zOqIsQTUeiGnwsB10ppFe6UA00gjFxgDQnltoyeYMbBKulvjgs8ng38te+wSVhCpLEHxs2R
8lUGd9G8ysH3/rxQAx+QakxNQuIxIK/B69UIU8A2+nVdt8XyHVguFY9lhnaCda3CQasdhxUVRU3g
PEYgzN3vY8QZhuel3Ic=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OUT1hmH4tbzVQ3AVYQJp/uNDOJiLMwg0uoYgECWEkTK2ZU2wqth80CWnZbcPrAQ1tEPNuJr2HbYP
cvWErbhsZl0/jnYZuUl4O9gh0DKhBV+6rAZD3cmQzMWQGPNCy0C4Jmz17a4Q7aDUg5VysiIQxCeK
ttm6I2+pDw36C6idBbK0VzivhQlzvGZm0OwVHZWPhmtnsZDsg16LMTDbTALz6ZKEHKE2MfBCb+vl
yDe2KH3upzWx2p7IbhEmGeSiZDtWWAKX0jc7XzYLKsjiwtIWT71Oh+QNxgkl6NlioqaBqrYOkLaw
ohwUvhnrN+wsWIiD4fDGdtgsO4rPtqkPvNuhvQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EnBHekLVCbYM+gBRUEzs2p6OtxfaVW/3MTv9P1y2W6z4VOlRqKXb2x1BFk7EDTk9VCfTRUzq9Vog
qgzz59XkoVOIbKAhk16v9KajOxERFNueWK6xhf5mqu4bvT+XNTDf4yyuE6Fh31WIersBpzCrzMku
Wiu5PreleyVvxbm2OME=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
toFYElCg7jIY0FzS0gX4waQz9/gKz0uM4/THXeAtz8f/S9TFEXZjRRXyWFRCnQsxVsNSVljtLtmO
vdTh5/mWn+6NRkzFPX7T2Mw7RPNp/ZVxZzPwNm9Hk4QSNuCpO+GzELYBaw4UyP1CQuCW697FkYEF
4R0ZpEOpGF6AXq/np31qgWUxDGMadVrFzGuyzuG8zKnB5RRbxhdhx0NAbImZ2h2R1o1Egm08UQbk
PQmXyGaEdBbKusufy266zby6MyBXmxkyG1IE8VPpoDnDKarfAYk7iwvG8vV6IJUcC2OpsT1k2vc/
W3D/os/Xa7pCcXCypGB8veS+7DuiN2PAE5fJuQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6224)
`protect data_block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`protect end_protected
| gpl-2.0 | 175368085e60996df8e94dc7b03ea9dd | 0.926559 | 1.886531 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_gen_ecc_encoder.vhd | 11 | 20,893 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
fOPvHkkMeoG2YSxpdCiiaIsa6OukwnK1nUpGs+ws1Kzx3puslJ7iKn9T5myI9VkVUgRFFN1Xfit5
dX6F014IGg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HgNCPi3QHpb8dW9RkjxoUGM5YOGOMgLiuLQefgSbCuez4Gs1lAiQxdxBQhTyXNRS4H89tPbEkHbH
4Kggyvl0Af9heeHWsTafUvqQuQWNgivzOk2qQ1liLSXiEJl1Fyiq4YsawJBYE511/GABOu1E9kTU
pmHAixKjBq9m5/peLok=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ACyuBPaQ3cHp5s6xGUvo899vJ+G/MHO3F7Xaj7MR44qEHb8iz7UONlim1fw/l+q+pLsNBpoVE2XP
zJRxKhGGODnlablcYtB85txWJY2O5wNxUYSePEkcSvh0aNjf+cMEMsQNe2HL2rZ4QpuBDEvwlv9s
WW2rOSkOtnkb96LcOQBvhFfaOnMJ1hyH3fZjN7kEzqhyOzx1lZ7F40vGA4At8E6hirc5xMjqdEgS
nhipIIHTzkenqLhApVGgUbafRQbG8ESyjA01uFRtZ25J8+Pr4cs+tPGobm0XSO8t3XwLVZapRDY7
SXW1qYkISFEa6NBGxO81MTbzFuTQYdh3r/X0qg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JF/XJkMGoJfUihOS9R76tudD+YiVNpy3w5hfoZ0y9FCS+pWh3V2jVVw5cst7125I/hGyQ4cnB2Cm
MpdAa/YBtj6yK0ds1YeuSU2Cxzm0w9QZ3nLSon/2jE9kx1d54bisjxRhEcKKKTSHuw6W+FSHffj0
JOZyc8RfIJ5IeOr+rqo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
T1BVKuyJOlWV6bcI3uYrVButOZWbfA/40bEMumgKuFASXUB5EUZZP2K7kyzSOvm86sj9UL9ICrc7
AvTcJqoQYQhmFnASRJLODgvNhDHbAg2lnzfWs6NJAS/1X+/rmymQ74dE/PCMYJ57I9d++M5vjskf
w0OpYjhlBVYSir/Tk9MztjSWYD+sQILHVjHe47WzcVPfaW+EotqO6HFgKqlaDo7HehG2c2e3vqSf
vOR+wJbW5Wf5aDiFmC5wYka9JsKtHRwx6zm2OF25VJgE3xTsK+bAIDPdQfmE1j88uDe5XhfBnRre
CJ9sWYXEWxeZpiUuR8hxlglo6dezNGJESHO9ow==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
| gpl-2.0 | 8d577b630ba16ab952aca190151f1d1a | 0.941272 | 1.84128 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/fp_shift_ram_clr_op.vhd | 2 | 10,347 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Q8uAH8eS1H47p2DdedY+aRlbfjWLZmEQzrZnS1kXGWsX5y4X9XVu+3LXzP6i44CfC18WIWy0bgIT
w4GSC7KO7g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KoSP4gm2lpbrM72odUMMyb3Np+9ZQCeMNygoWs8E3X+IWMl7vwquq3iUaw41pQIvW6nEU92sRtnC
OMgnhgiFEdD5Zxf8BxEYaYRQW6l3d2gxMlnIa7EPFD/uE8jI9KiND9KaisHHp8991JtT2mYub4EL
4m9EqZTBDsML3U+9jQw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ahjWjlEeadn8WbFkPkk9D1gsukrn319VveQAfqZ497qx/PNAZgzoABpjPwPr7T3/aQgrm/Otj1+O
cyoX7nxNp459Ssq1tOFkyc6kfnF/dV0ahcmJJPgxhMO0Dg9Ox5lctVOVNRzSiwKoINPe/hHmS1dy
laABGhVvo9nHQ2ecoxRe6wOROsROg0VMGC9ucBC/COqCpX90Tyfip1Xz3vk15EA4pRbl0lA0H5v9
3Tzk5vAbZhZNzia/vk/+OHZxHUoQcGwTMNQg+WTGxg6TW6suf3yQpSxdjl3Hg9tJf57736XlzcJa
BqRSxP4CEwMPWpQpxcsXZVikYnTzk/+jdLMP0g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
I0cLU9feOEoNEj8S2th1+++1MvfE8Vda+aC4I+X/1FuNvTTdzX54JzY8zEqQXEr7b/P8LGqu9HFs
rBI83eLV33EOedYfDs5gk49LNyVwMWJe50lknRGwh7B1jmh3Z9fdV0+2A7Qej+lu8D+OMONOc/yf
LwIeoyMfnBvnkQF3OPg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sfct8s5FeWMOyg+b9z6EkhZtSBXw6iDM1sNWvHLUzHmKMOuw+VQAEC8TKG1BExeyWVkU2+wg06tb
opi5kMSHIkbTTTzpx8DQA40DG0YYf4i7zaDS79lmQTo2/2tmbiX+Iu/Bek3mFpLjkTfkRjcnBTOp
iMRtFOaj+dFLZn3OBJmGhOLBoauHL+2nHafHrjnOLguikbAJK5APZesz4pT+D/96XXzHcnFoo8vN
Z+cOwu1mmNK6ZbgLrfV50bGFPk4R+B0Og34diWilp5+eKV4P1QJuFXvuSIjiYe5sUFWKo3815Azk
Fqi4H4Qp8npt4YYhyk+DLMpYAoOEch/3+AhVFQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5920)
`protect data_block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==
`protect end_protected
| gpl-2.0 | 275b55e2907f487870661c8251f79d2e | 0.925389 | 1.896097 | false | false | false | false |
amerryfellow/dlx | alu/testbench.vhd | 1 | 1,351 | library IEEE;
use std.textio.all;
use IEEE.std_logic_1164.all;
USE IEEE.std_logic_unsigned.all;
use WORK.alu_types.all;
entity TBALU is
end TBALU;
architecture ALU_TEST of TBALU is
constant NBIT: integer := NSUMG;
signal FUNC_CODE: TYPE_OP:=ADD;
signal CLK: std_logic:='0';
signal RESET: std_logic;
signal OP1: STD_LOGIC_VECTOR(NBIT-1 downto 0);
signal OP2: STD_LOGIC_VECTOR(NBIT-1 downto 0);
signal RESULT: STD_LOGIC_VECTOR(NBIT-1 downto 0);
component ALU
generic (
N : integer := NSUMG
);
port (
FUNC: in TYPE_OP;
A, B: in std_logic_vector(N-1 downto 0);
CLK: in std_logic;
RESET: in std_logic;
OUTALU: out std_logic_vector(N-1 downto 0)
);
end component;
begin
p_clock: process (CLK)
begin -- process p_clock
CLK <= not(CLK) after 2 ns;
end process p_clock;
RESET <= '1', '0' after 1 ns;
U1 : ALU
generic map (NBIT)
port map (FUNC_CODE, OP1, OP2,CLK, RESET, RESULT);
OP1 <= "00000000000000000000000000110101";
OP2 <= "00000000000000000000000000010110";
FUNC_CODE <=
ADD after 8 ns,
SUBT after 16 ns,
--MULT after 24 ns,
BITAND after 32 ns,
BITOR after 40 ns,
BITXOR after 48 ns,
FUNCSLL after 56 ns,
FUNCSRL after 64 ns,
FUNCSRA after 72 ns,
COMP after 80 ns;
end ALU_TEST;
| gpl-3.0 | 3f63771512389826a2ba6e2cbf4d49bb | 0.629904 | 2.762781 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_2/part_5/ip/clk_video/clk_video_funcsim.vhdl | 1 | 7,960 | -- Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2013.4 (lin64) Build 353583 Mon Dec 9 17:26:26 MST 2013
-- Date : Thu Mar 20 14:12:08 2014
-- Host : macbook running 64-bit Arch Linux
-- Command : write_vhdl -force -mode funcsim
-- /home/keith/Documents/VHDL-lib/top/lab_2/part_4/ip/clk_video/clk_video_funcsim.vhdl
-- Design : clk_video
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity clk_videoclk_video_clk_wiz is
port (
clk_100MHz : in STD_LOGIC;
clk_193MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end clk_videoclk_video_clk_wiz;
architecture STRUCTURE of clk_videoclk_video_clk_wiz is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal clk_100MHz_clk_video : STD_LOGIC;
signal clk_193MHz_clk_video : STD_LOGIC;
signal clkfbout_buf_clk_video : STD_LOGIC;
signal clkfbout_clk_video : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
attribute box_type : string;
attribute box_type of clkf_buf : label is "PRIMITIVE";
attribute box_type of clkin1_bufg : label is "PRIMITIVE";
attribute box_type of clkout1_buf : label is "PRIMITIVE";
attribute box_type of mmcm_adv_inst : label is "PRIMITIVE";
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
clkf_buf: unisim.vcomponents.BUFG
port map (
I => clkfbout_clk_video,
O => clkfbout_buf_clk_video
);
clkin1_bufg: unisim.vcomponents.BUFG
port map (
I => clk_100MHz,
O => clk_100MHz_clk_video
);
clkout1_buf: unisim.vcomponents.BUFG
port map (
I => clk_193MHz_clk_video,
O => clk_193MHz
);
mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV
generic map(
BANDWIDTH => "OPTIMIZED",
CLKFBOUT_MULT_F => 45.875000,
CLKFBOUT_PHASE => 0.000000,
CLKFBOUT_USE_FINE_PS => false,
CLKIN1_PERIOD => 10.000000,
CLKIN2_PERIOD => 0.000000,
CLKOUT0_DIVIDE_F => 4.750000,
CLKOUT0_DUTY_CYCLE => 0.500000,
CLKOUT0_PHASE => 0.000000,
CLKOUT0_USE_FINE_PS => false,
CLKOUT1_DIVIDE => 1,
CLKOUT1_DUTY_CYCLE => 0.500000,
CLKOUT1_PHASE => 0.000000,
CLKOUT1_USE_FINE_PS => false,
CLKOUT2_DIVIDE => 1,
CLKOUT2_DUTY_CYCLE => 0.500000,
CLKOUT2_PHASE => 0.000000,
CLKOUT2_USE_FINE_PS => false,
CLKOUT3_DIVIDE => 1,
CLKOUT3_DUTY_CYCLE => 0.500000,
CLKOUT3_PHASE => 0.000000,
CLKOUT3_USE_FINE_PS => false,
CLKOUT4_CASCADE => false,
CLKOUT4_DIVIDE => 1,
CLKOUT4_DUTY_CYCLE => 0.500000,
CLKOUT4_PHASE => 0.000000,
CLKOUT4_USE_FINE_PS => false,
CLKOUT5_DIVIDE => 1,
CLKOUT5_DUTY_CYCLE => 0.500000,
CLKOUT5_PHASE => 0.000000,
CLKOUT5_USE_FINE_PS => false,
CLKOUT6_DIVIDE => 1,
CLKOUT6_DUTY_CYCLE => 0.500000,
CLKOUT6_PHASE => 0.000000,
CLKOUT6_USE_FINE_PS => false,
COMPENSATION => "BUF_IN",
DIVCLK_DIVIDE => 5,
IS_CLKINSEL_INVERTED => '0',
IS_PSEN_INVERTED => '0',
IS_PSINCDEC_INVERTED => '0',
IS_PWRDWN_INVERTED => '0',
IS_RST_INVERTED => '0',
REF_JITTER1 => 0.010000,
REF_JITTER2 => 0.000000,
SS_EN => "FALSE",
SS_MODE => "CENTER_HIGH",
SS_MOD_PERIOD => 10000,
STARTUP_WAIT => false
)
port map (
CLKFBIN => clkfbout_buf_clk_video,
CLKFBOUT => clkfbout_clk_video,
CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED,
CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED,
CLKIN1 => clk_100MHz_clk_video,
CLKIN2 => \<const0>\,
CLKINSEL => \<const1>\,
CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED,
CLKOUT0 => clk_193MHz_clk_video,
CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED,
CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED,
CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED,
CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED,
CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED,
CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED,
CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED,
CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED,
CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED,
CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED,
DADDR(6) => \<const0>\,
DADDR(5) => \<const0>\,
DADDR(4) => \<const0>\,
DADDR(3) => \<const0>\,
DADDR(2) => \<const0>\,
DADDR(1) => \<const0>\,
DADDR(0) => \<const0>\,
DCLK => \<const0>\,
DEN => \<const0>\,
DI(15) => \<const0>\,
DI(14) => \<const0>\,
DI(13) => \<const0>\,
DI(12) => \<const0>\,
DI(11) => \<const0>\,
DI(10) => \<const0>\,
DI(9) => \<const0>\,
DI(8) => \<const0>\,
DI(7) => \<const0>\,
DI(6) => \<const0>\,
DI(5) => \<const0>\,
DI(4) => \<const0>\,
DI(3) => \<const0>\,
DI(2) => \<const0>\,
DI(1) => \<const0>\,
DI(0) => \<const0>\,
DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0),
DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED,
DWE => \<const0>\,
LOCKED => locked,
PSCLK => \<const0>\,
PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED,
PSEN => \<const0>\,
PSINCDEC => \<const0>\,
PWRDWN => \<const0>\,
RST => \<const0>\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity clk_video is
port (
clk_100MHz : in STD_LOGIC;
clk_193MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of clk_video : entity is true;
attribute core_generation_info : string;
attribute core_generation_info of clk_video : entity is "clk_video,clk_wiz_v5_1,{component_name=clk_video,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}";
end clk_video;
architecture STRUCTURE of clk_video is
begin
U0: entity work.clk_videoclk_video_clk_wiz
port map (
clk_100MHz => clk_100MHz,
clk_193MHz => clk_193MHz,
locked => locked
);
end STRUCTURE;
| gpl-2.0 | 9c1e6ac1f181174c7f839667503305c6 | 0.623618 | 3.285184 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/fir_lp_54kHz/fir_compiler_v7_1/hdl/transpose_decimation.vhd | 8 | 151,985 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Kh0kJdGFn5FnKNAhdxwbOau+wK1nTqnLx35WHeWHszBn9MrRtvkMpRTSu043KdNrF/Go8k317Op+
5LmT8WhOgA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Jxy77geCNoNrQbinx53NGUx0xBAVoN4K4AO4S9MJDZVssWEEpzAuql5wPOwSvDuZvSS3H8/6vOju
XrfD90KhfuIK0WB0/tXN2LetY05pWNB3maettoaDNvmRF+wcfoYQA4/VZ+HGK/7TLHgHifDYyzXi
T4gsIQxaV/SNHTm/Qio=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RZ6UjYcH2IaU/wxLjIJ/tWOo1QC/ZejTg/ThR19NAGrOV89tGYSe5OFsTkXW9nK1y+opAIvrn831
skMqiI9W73frizQ8A18e6kL2ZVvkhUhhN13GlvqGRiBG3Kz3sDa9YziOD+hNeFXoItgo0qWQDl7R
CxROlVpN3jsXbDYqYZ+1WsgNT2FI0rdMOzjY5nsohRZSnUhM6iXFjG/BEVxgNVCvfiVTXRxZg4Uv
7ty/JgvuBThqMc2WVlKboXjUpqmCAZf9VWadNyWdcMz5pGQ4ck81RNnmTTqeIwW/Pl/32H0nb8jk
V+f4SzkbfjUhq+52xFllRikAiq3p6ZCe6LbZJg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
rh4z3h356XTF1EkbaEWasfF6h8Oyvt64ldG7z1VDovZ+UEqlntB43atOgQCHB85WyRtC6xUb6Wz2
hzbSkEKRF297eYwYU82SeoTmHHzzdliMXHO7qvHD6t1E0SUxA+TQ6xF+J/xxOUK2qnWjO08bSer3
QY+F0XeiKnbs8caDOck=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JENs+i/4SwJohpKKBLMsm4R91LosqaZTMfyOAbIxJXivLF0eUZbNU1hyPKeXBCiolSvgYp/21uUb
yIwhIAaL3FfHYgjdrkRRI0EYaS9m/OB9zlsO4pQVK7bCapDqkncLNFyBBvgvXp/+0sQUz4QcPhis
8AOv7sUcef/Q4yHWPAcplQ8+oT9i7G7kwdgH+TyHsg4FCYgDKVCfS7ZfyL1ga8qdpRwmi5eVTUXM
3/RV0MOnFeV40eteKV4STRoMy7zSbdG51QeFy5+n4lvX5dh7B+xcu7/R/Xn0QA2gqx/kDPrQJotI
u82ggs0hotXNH+ohlSxnOKvr/03x/yAUbOfU7A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 110768)
`protect data_block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`protect end_protected
| gpl-2.0 | 13c495112d9c487fdd6a7b1d1704f0e9 | 0.954864 | 1.812798 | false | false | false | false |
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA | System Design Source FIle/ipshared/xilinx.com/mult_gen_v12_0/b78716ad/hdl/mult_gen_v12_0.vhd | 2 | 9,504 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
UyXQwkUObVrGCrQeWBRDzNzHSmxz0+tXmCDiikEzuwG7p+MOvi5now6c6XhFQHhRDLZqrTCJWGVY
uVMi7GoGag==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
i5kFZPoOW4AbrHICVt04gLioHJ/lXQCVR+36ZomPa7Uhk2VGKJwiH+6I59ia5ib443IW5VCbmy/r
gnO5lAmOjOXrf+28RyOfxhyCRgHKh6mRiH0tlgZUxbFCb24jFd8F2ON6eZARrIbx4Vu5v/7L6X5o
oTd41gw6CHpypaHAd88=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
d4UDVzST4F/GIUQK7Q/mgyckJ8hrUJmJYmR7IrVlH2X6hv2uAAk4gpmfB6E2dVAnuOOE4STY1OeO
4QqPqvp/zC7S/aYld/u+eRjgH778AqwHmdMBU3BX1e3j2lWzDCoDQianx13lD0Ihcvv2hpUg3My9
R2dUGaAs/YrnckB0Xsyif1gPs12BFskCvSBa0HZidrW6UXqeUc5Y+Y18oAX2L10OimzYS3Jo+han
FbcTbpApf4PkFyRzckA+yzqct0XOkXLsuWu6dE34gxuaUw9BCMtj5rnbQ0G0Xote0ldMp+AIN/vj
bJafuR2HkqxTvqwCTed3PqEy4xVdmr/ecywIlw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ZzJe3CosxBQtdtXIXPjUB1PIjPHRzRe+TcPVuazVXoOV6QQ4DY8D8TRP6/DZEeIUzxe5gMRXz2yf
RclEq20zSfPMaB3h6L9uECxIUPiPZJ03aglicg+QjHFDLo1XgOo1ItxSaGSam80SUko6TFrRjWV7
DlVH8SFB0gTLxJpXLeU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
k0pB4lrRLLpdtNnVRXv7qxU15dyKF9BuJVYUlIA955FRzEtgaMMCmzDybCNTUJh5QGLsvLYdRVSK
VcBOlgtImwe2FJEsDE/buKE8+W7HPOSiP0Elo4jDRWfwpueOq6VQ4zL5XMAGi+70gMxxGQr7Z5E8
4lvDxjOzkqAIn3EC1esPBOdcmzCt1V55YsxrHdN/eAnUWBvEPaGJfoZKGT4IZ1fx0hJCdrrnel+V
0HuJqYSPOCB8SJpuoB2p3Y1d93yF5xcy8wSWeVWgM3E2z++VHQIjT4DTFlyqNFbe2YxMhMTY8SGk
pV+7oyzvQjUyYpAt0GiJuzwTVRTBCgpo3qFmbw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5296)
`protect data_block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==
`protect end_protected
| mit | dd1f99429549901a2b1c11edb427b917 | 0.922348 | 1.90042 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/Shadow_Register/Lab04/ipcore_dir/DEBUG_RAM/simulation/DEBUG_RAM_tb.vhd | 3 | 4,523 | --------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Top File for the Example Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
-- Filename: DEBUG_RAM_tb.vhd
-- Description:
-- Testbench Top
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY work;
USE work.ALL;
ENTITY DEBUG_RAM_tb IS
END ENTITY;
ARCHITECTURE DEBUG_RAM_tb_ARCH OF DEBUG_RAM_tb IS
SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0);
SIGNAL CLK : STD_LOGIC := '1';
SIGNAL CLKB : STD_LOGIC := '1';
SIGNAL RESET : STD_LOGIC;
BEGIN
CLK_GEN: PROCESS BEGIN
CLK <= NOT CLK;
WAIT FOR 100 NS;
CLK <= NOT CLK;
WAIT FOR 100 NS;
END PROCESS;
CLKB_GEN: PROCESS BEGIN
CLKB <= NOT CLKB;
WAIT FOR 100 NS;
CLKB <= NOT CLKB;
WAIT FOR 100 NS;
END PROCESS;
RST_GEN: PROCESS BEGIN
RESET <= '1';
WAIT FOR 1000 NS;
RESET <= '0';
WAIT;
END PROCESS;
--STOP_SIM: PROCESS BEGIN
-- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS
-- ASSERT FALSE
-- REPORT "END SIMULATION TIME REACHED"
-- SEVERITY FAILURE;
--END PROCESS;
--
PROCESS BEGIN
WAIT UNTIL STATUS(8)='1';
IF( STATUS(7 downto 0)/="0") THEN
ASSERT false
REPORT "Test Completed Successfully"
SEVERITY NOTE;
REPORT "Simulation Failed"
SEVERITY FAILURE;
ELSE
ASSERT false
REPORT "TEST PASS"
SEVERITY NOTE;
REPORT "Test Completed Successfully"
SEVERITY FAILURE;
END IF;
END PROCESS;
DEBUG_RAM_synth_inst:ENTITY work.DEBUG_RAM_synth
PORT MAP(
CLK_IN => CLK,
CLKB_IN => CLK,
RESET_IN => RESET,
STATUS => STATUS
);
END ARCHITECTURE;
| gpl-3.0 | 972d37f037bda76e02585566311238dc | 0.615742 | 4.587221 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/r2_datapath.vhd | 2 | 50,615 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Mmr+NW0/jEqb792HSV/RWLYv0Kj2qqgwbGvyREkQC/ijKdt4guLQFPXdQc+61srb64difY/ehbQP
6mJV6xpMbg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
lImAsc1QfZDZfocvEBTbCMHg93IXmDmBWAAAkpbO2xoiVoxLzbNRL3+zUmp8nLCPSjYfU0+Ol950
hAWIaKFE3yDam9jzkQmGUdpMww0NWhGXdjFcMKkcPviDuGhRwG/5Yz1pZK3oQ5Rpu32S/u0qx8g6
Sc7XJUg4O9h+7rxg5zA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Vn8fHAyHod2WEVOiSu1Fb2vmSlFy+Il8Y23np/XTCC5HiJ60Bwvejb4JMuQ8K562U0ytmzX/y5Gs
zWQTq0TvkGvzxu4abBaSgmfxWpWMn1QMAhKtlhqFa5/cb28ITk1Ros/BrM7L2JhyGpDqWeOUZAkq
BIPAtzSC2V1VlgV0/69Q4ykzoPnwlHZmXOZDastbuA6KiXSBifnvV873LzGVUqXcAWzk0gawUxkk
RvZdMIdf9sTQjFdnrHvczuG97YoSXJdham4Z37Qp6hMBx0DUBwatgu1C+i//WUSRk6hsbV2XBgPd
Ew7Egl854BoEpvo2WTrJY8s7DPcqHLCFITgiEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eUHQJ76bTLs0Umq+COOLZ2cS2HOD7kWHJIaeYJ36OVFOQe6E3Ug8EqACJoyG8Qo/RjnLtWVLN9Ty
/qjqjdjv00BqW3ajMcGHYR+l0uqelqbG6EJXxbmiqruYh0WOmOElt0+4YgEC7HfIgJSZkUChJDQ5
8dZWP5qFOJ15Zp+UMjQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aPV6iJLODqQzvP9V5ILi+SJKTxhqxWYTCxaGwvHbBJnBpA4ffMV1gXvMbf0FsxnIQupErTpn/BeU
FHVdN/ptIHu/WrKeGJDBLEz5zlH7k/LUUJlfWs5oEvpHvMoUhm1lt64m2bES0pRTAxGhXhx57mbh
SPaNUUKEikVwnH1aMlXeRxZ1GiOrcLjiQhi2EGgPz5kYscaZkNS/6fncEzakeLclBHvsZm2zeb6c
z+Frld5D64dMC+4tjzZeOM4dHPenTxLTOsFrlg2M/AHUbrYi7/40FDUrum7EERhIsc7/tvCi/dQK
ujrre73NUNvetk6i9zqxSynLvBFKfgoRaEvS/Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35728)
`protect data_block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==
`protect end_protected
| gpl-2.0 | c4183113b781dac15b567de4c95f6088 | 0.949323 | 1.823964 | false | false | false | false |
UVVM/uvvm_vvc_framework | bitvis_vip_uart/src/vvc_context.vhd | 1 | 1,440 | --========================================================================================================================
-- Copyright (c) 2018 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
context vvc_context is
library bitvis_vip_uart;
use bitvis_vip_uart.uart_bfm_pkg.all;
use bitvis_vip_uart.vvc_cmd_pkg.all;
use bitvis_vip_uart.vvc_methods_pkg.all;
use bitvis_vip_uart.td_vvc_framework_common_methods_pkg.all;
end context; | mit | e6c70a6530fb2725f6be45a90d3ecf16 | 0.532639 | 5.333333 | false | false | false | false |
UVVM/UVVM_All | uvvm_vvc_framework/src/ti_vvc_framework_support_pkg.vhd | 1 | 40,949 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
library std;
use std.textio.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_protected_types_pkg.all;
package ti_vvc_framework_support_pkg is
--constant C_VVC_NAME_MAX_LENGTH : natural := 20;
constant C_VVC_NAME_MAX_LENGTH : natural := C_MAX_VVC_NAME_LENGTH;
------------------------------------------------------------------------
-- Common support types for UVVM
------------------------------------------------------------------------
type t_immediate_or_queued is (NO_command_type, IMMEDIATE, QUEUED);
type t_flag_record is record
set : std_logic;
reset : std_logic;
is_active : std_logic;
end record;
type t_uvvm_state is (IDLE, PHASE_A, PHASE_B, INIT_COMPLETED);
type t_lastness is (LAST, NOT_LAST);
type t_broadcastable_cmd is (NO_CMD, ENABLE_LOG_MSG, DISABLE_LOG_MSG, FLUSH_COMMAND_QUEUE, INSERT_DELAY, AWAIT_COMPLETION, TERMINATE_CURRENT_COMMAND);
constant C_BROADCAST_CMD_STRING_MAX_LENGTH : natural := 300;
type t_vvc_broadcast_cmd_record is record
operation : t_broadcastable_cmd;
msg_id : t_msg_id;
msg : string(1 to C_BROADCAST_CMD_STRING_MAX_LENGTH);
proc_call : string(1 to C_BROADCAST_CMD_STRING_MAX_LENGTH);
quietness : t_quietness;
delay : time;
timeout : time;
gen_integer : integer;
end record;
constant C_VVC_BROADCAST_CMD_DEFAULT : t_vvc_broadcast_cmd_record := (
operation => NO_CMD,
msg_id => NO_ID,
msg => (others => NUL),
proc_call => (others => NUL),
quietness => NON_QUIET,
delay => 0 ns,
timeout => 0 ns,
gen_integer => -1
);
------------------------------------------------------------------------
-- Common signals for acknowledging a pending command
------------------------------------------------------------------------
shared variable shared_vvc_broadcast_cmd : t_vvc_broadcast_cmd_record := C_VVC_BROADCAST_CMD_DEFAULT;
signal VVC_BROADCAST : std_logic := 'L';
------------------------------------------------------------------------
-- Common signals for triggering VVC activity in central VVC register
------------------------------------------------------------------------
signal global_trigger_vvc_activity_register : std_logic := 'L';
------------------------------------------------------------------------
-- Common signal for signalling between VVCs, used during await_any_completion()
-- Default (when not active): Z
-- Awaiting: 1:
-- Completed: 0
-- This signal is a vector to support multiple sequencers calling await_any_completion simultaneously:
-- - When calling await_any_completion, each sequencer specifies which bit in this global signal the VVCs shall use.
------------------------------------------------------------------------
signal global_awaiting_completion : std_logic_vector(C_MAX_NUM_SEQUENCERS-1 downto 0); -- ACK on global triggers
------------------------------------------------------------------------
-- Shared variables for UVVM framework
------------------------------------------------------------------------
shared variable shared_cmd_idx : integer := 0;
shared variable shared_uvvm_state : t_uvvm_state := IDLE;
-------------------------------------------
-- flag_handler
-------------------------------------------
-- Flag handler is a general flag/semaphore handling mechanism between two separate processes/threads
-- The idea is to allow one process to set a flag and another to reset it. The flag may then be used by both - or others
-- May be used for a message from process 1 to process 2 with acknowledge; - like do-something & done, or valid & ack
procedure flag_handler(
signal flag : inout t_flag_record
);
-------------------------------------------
-- set_flag
-------------------------------------------
-- Sets reset and is_active to 'Z' and pulses set_flag
procedure set_flag(
signal flag : inout t_flag_record
);
-------------------------------------------
-- reset_flag
-------------------------------------------
-- Sets set and is_active to 'Z' and pulses reset_flag
procedure reset_flag(
signal flag : inout t_flag_record
);
-------------------------------------------
-- await_uvvm_initialization
-------------------------------------------
-- Waits until uvvm has been initialized
procedure await_uvvm_initialization(
constant dummy : in t_void
);
-------------------------------------------
-- format_command_idx
-------------------------------------------
-- Converts the command index to string, enclused by
-- C_CMD_IDX_PREFIX and C_CMD_IDX_SUFFIX
impure function format_command_idx(
command_idx : integer
) return string;
--***********************************************
-- BROADCAST COMMANDS
--***********************************************
-------------------------------------------
-- enable_log_msg (Broadcast)
-------------------------------------------
-- Enables a log message for all VVCs
procedure enable_log_msg(
signal VVC_BROADCAST : inout std_logic;
constant msg_id : in t_msg_id;
constant msg : in string := "";
constant quietness : in t_quietness := NON_QUIET;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
);
-------------------------------------------
-- disable_log_msg (Broadcast)
-------------------------------------------
-- Disables a log message for all VVCs
procedure disable_log_msg(
signal VVC_BROADCAST : inout std_logic;
constant msg_id : in t_msg_id;
constant msg : in string := "";
constant quietness : in t_quietness := NON_QUIET;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
);
-------------------------------------------
-- flush_command_queue (Broadcast)
-------------------------------------------
-- Flushes the command queue for all VVCs
procedure flush_command_queue(
signal VVC_BROADCAST : inout std_logic;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
);
-------------------------------------------
-- insert_delay (Broadcast)
-------------------------------------------
-- Inserts delay into all VVCs (specified as number of clock cycles)
procedure insert_delay(
signal VVC_BROADCAST : inout std_logic;
constant delay : in natural; -- in clock cycles
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
);
-------------------------------------------
-- insert_delay (Broadcast)
-------------------------------------------
-- Inserts delay into all VVCs (specified as time)
procedure insert_delay(
signal VVC_BROADCAST : inout std_logic;
constant delay : in time;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
);
-------------------------------------------
-- await_completion (Broadcast)
-------------------------------------------
-- Wait for all VVCs to finish (specified as time)
procedure await_completion(
signal VVC_BROADCAST : inout std_logic;
constant timeout : in time;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
);
-------------------------------------------
-- terminate_current_command (Broadcast)
-------------------------------------------
-- terminates all current tasks
procedure terminate_current_command(
signal VVC_BROADCAST : inout std_logic;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
);
-------------------------------------------
-- terminate_all_commands (Broadcast)
-------------------------------------------
-- terminates all tasks
procedure terminate_all_commands(
signal VVC_BROADCAST : inout std_logic;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
);
-------------------------------------------
-- transmit_broadcast
-------------------------------------------
-- Common broadcast transmission routine
procedure transmit_broadcast(
signal VVC_BROADCAST : inout std_logic;
constant operation : in t_broadcastable_cmd;
constant proc_call : in string;
constant msg_id : in t_msg_id;
constant msg : in string := "";
constant quietness : in t_quietness := NON_QUIET;
constant delay : in time := 0 ns;
constant delay_int : in integer := -1;
constant timeout : in time := std.env.resolution_limit;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
);
-------------------------------------------
-- get_scope_for_log
-------------------------------------------
-- Returns a string with length <= C_LOG_SCOPE_WIDTH.
-- Inputs vvc_name and channel are truncated to match C_LOG_SCOPE_WIDTH if to long.
-- An alert is issued if C_MINIMUM_VVC_NAME_SCOPE_WIDTH and C_MINIMUM_CHANNEL_SCOPE_WIDTH
-- are to long relative to C_LOG_SCOPE_WIDTH.
impure function get_scope_for_log(
constant vvc_name : string;
constant instance_idx : natural;
constant channel : t_channel
) return string;
-------------------------------------------
-- get_scope_for_log
-------------------------------------------
-- Returns a string with length <= C_LOG_SCOPE_WIDTH.
-- Input vvc_name is truncated to match C_LOG_SCOPE_WIDTH if to long.
-- An alert is issued if C_MINIMUM_VVC_NAME_SCOPE_WIDTH
-- is to long relative to C_LOG_SCOPE_WIDTH.
impure function get_scope_for_log(
constant vvc_name : string;
constant instance_idx : natural
) return string;
-------------------------------------------
-- await_completion
-------------------------------------------
-- Awaits completion of any VVC in the list or until timeout.
procedure await_completion(
constant vvc_select : in t_vvc_select;
variable vvc_info_list : inout t_vvc_info_list;
constant timeout : in time;
constant list_action : in t_list_action := CLEAR_LIST;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
);
-- Awaits completion of all the VVCs in the activity register or until timeout.
procedure await_completion(
constant vvc_select : in t_vvc_select;
constant timeout : in time;
constant list_action : in t_list_action := CLEAR_LIST;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
);
-- ============================================================================
-- Activity Watchdog
-- ============================================================================
procedure activity_watchdog(
constant num_exp_vvc : natural;
constant timeout : time;
constant alert_level : t_alert_level := TB_ERROR;
constant msg : string := "Activity_Watchdog"
);
-- ============================================================================
-- VVC Activity Register
-- ============================================================================
shared variable shared_vvc_activity_register : t_vvc_activity;
-- ============================================================================
-- Hierarchical VVC (HVVC)
-- ============================================================================
type t_vvc_operation is (TRANSMIT, RECEIVE); -- Type of operation to be executed by the VVC
type t_direction is (TRANSMIT, RECEIVE); -- Direction of the interface (used by the IF field config)
type t_field_position is (FIRST, MIDDLE, LAST, FIRST_AND_LAST); -- Position of a field within a packet
type t_hvvc_to_bridge is record
trigger : boolean; -- Trigger signal
operation : t_vvc_operation; -- Operation of the VVC
num_data_words : positive; -- Number of data words transferred
data_words : t_slv_array; -- Data sent to the VVC
dut_if_field_idx : natural; -- Index of the interface field
dut_if_field_pos : t_field_position; -- Position of the interface field within the packet
msg_id_panel : t_msg_id_panel; -- Message ID panel of the HVVC
end record;
type t_bridge_to_hvvc is record
trigger : boolean; -- Trigger signal
data_words : t_slv_array; -- Data received from the VVC
end record;
type t_dut_if_field_config is record
dut_address : unsigned; -- Address of the DUT IF field
dut_address_increment : integer; -- Incrementation of the address on each access
data_width : positive; -- Width of the data per transfer
use_field : boolean; -- Used by the HVVC to send/request fields to/from the bridge or ignore them when not applicable
field_description : string; -- Description of the DUT IF field
end record;
constant C_DUT_IF_FIELD_CONFIG_DEFAULT : t_dut_if_field_config(dut_address(0 downto 0)) := (
dut_address => (others => '0'),
dut_address_increment => 0,
data_width => 8,
use_field => true,
field_description => "default");
type t_dut_if_field_config_array is array (natural range <>) of t_dut_if_field_config;
type t_dut_if_field_config_direction_array is array (t_direction range <>) of t_dut_if_field_config_array;
constant C_DUT_IF_FIELD_CONFIG_DIRECTION_ARRAY_DEFAULT :
t_dut_if_field_config_direction_array(t_direction'low to t_direction'high)(0 to 0)(dut_address(0 downto 0), field_description(1 to 7))
:= (others => (others => C_DUT_IF_FIELD_CONFIG_DEFAULT));
end package ti_vvc_framework_support_pkg;
package body ti_vvc_framework_support_pkg is
------------------------------------------------------------------------
--
------------------------------------------------------------------------
-- Flag handler is a general flag/semaphore handling mechanism between two separate processes/threads
-- The idea is to allow one process to set a flag and another to reset it. The flag may then be used by both - or others
-- May be used for a message from process 1 to process 2 with acknowledge; - like do-something & done, or valid & ack
procedure flag_handler(
signal flag : inout t_flag_record
) is
begin
flag.reset <= 'Z';
flag.set <= 'Z';
flag.is_active <= '0';
wait until flag.set = '1';
flag.is_active <= '1';
wait until flag.reset = '1';
flag.is_active <= '0';
end procedure;
procedure set_flag(
signal flag : inout t_flag_record
) is
begin
flag.reset <= 'Z';
flag.is_active <= 'Z';
gen_pulse(flag.set, 0 ns, "set flag", C_TB_SCOPE_DEFAULT, ID_NEVER);
end procedure;
procedure reset_flag(
signal flag : inout t_flag_record
) is
begin
flag.set <= 'Z';
flag.is_active <= 'Z';
gen_pulse(flag.reset, 0 ns, "reset flag", C_TB_SCOPE_DEFAULT, ID_NEVER);
end procedure;
-- This procedure checks the shared_uvvm_state on each delta cycle
procedure await_uvvm_initialization(
constant dummy : in t_void) is
begin
while (shared_uvvm_state /= INIT_COMPLETED) loop
wait for 0 ns;
end loop;
end procedure;
impure function format_command_idx(
command_idx : integer
) return string is
begin
return C_CMD_IDX_PREFIX & to_string(command_idx) & C_CMD_IDX_SUFFIX;
end;
procedure enable_log_msg(
signal VVC_BROADCAST : inout std_logic;
constant msg_id : in t_msg_id;
constant msg : in string := "";
constant quietness : in t_quietness := NON_QUIET;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
) is
constant proc_name : string := "enable_log_msg";
constant proc_call : string := proc_name & "(VVC_BROADCAST, " & to_upper(to_string(msg_id)) & ")";
begin
transmit_broadcast(VVC_BROADCAST, ENABLE_LOG_MSG, proc_call, msg_id, msg, quietness, scope => scope);
end procedure;
procedure disable_log_msg(
signal VVC_BROADCAST : inout std_logic;
constant msg_id : in t_msg_id;
constant msg : in string := "";
constant quietness : in t_quietness := NON_QUIET;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
) is
constant proc_name : string := "disable_log_msg";
constant proc_call : string := proc_name & "(VVC_BROADCAST, " & to_upper(to_string(msg_id)) & ")";
begin
transmit_broadcast(VVC_BROADCAST, DISABLE_LOG_MSG, proc_call, msg_id, msg, quietness, scope => scope);
end procedure;
procedure flush_command_queue(
signal VVC_BROADCAST : inout std_logic;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
) is
constant proc_name : string := "flush_command_queue";
constant proc_call : string := proc_name & "(VVC_BROADCAST)";
begin
transmit_broadcast(VVC_BROADCAST, FLUSH_COMMAND_QUEUE, proc_call, NO_ID, msg, scope => scope);
end procedure;
procedure insert_delay(
signal VVC_BROADCAST : inout std_logic;
constant delay : in natural; -- in clock cycles
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
) is
constant proc_name : string := "insert_delay";
constant proc_call : string := proc_name & "(VVC_BROADCAST, " & to_string(delay) & ")";
begin
transmit_broadcast(VVC_BROADCAST, FLUSH_COMMAND_QUEUE, proc_call, NO_ID, msg, NON_QUIET, 0 ns, delay, scope => scope);
end procedure;
procedure insert_delay(
signal VVC_BROADCAST : inout std_logic;
constant delay : in time;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
) is
constant proc_name : string := "insert_delay";
constant proc_call : string := proc_name & "(VVC_BROADCAST, " & to_string(delay) & ")";
begin
transmit_broadcast(VVC_BROADCAST, INSERT_DELAY, proc_call, NO_ID, msg, NON_QUIET, delay, scope => scope);
end procedure;
procedure await_completion(
signal VVC_BROADCAST : inout std_logic;
constant timeout : in time;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
) is
constant proc_name : string := "await_completion";
constant proc_call : string := proc_name & "(VVC_BROADCAST)";
begin
log(ID_OLD_AWAIT_COMPLETION, "Procedure is not supporting the VVC activity register.", scope);
transmit_broadcast(VVC_BROADCAST, AWAIT_COMPLETION, proc_call, NO_ID, msg, NON_QUIET, 0 ns, -1, timeout, scope);
end procedure;
procedure terminate_current_command(
signal VVC_BROADCAST : inout std_logic;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
) is
constant proc_name : string := "terminate_current_command";
constant proc_call : string := proc_name & "(VVC_BROADCAST)";
begin
transmit_broadcast(VVC_BROADCAST, TERMINATE_CURRENT_COMMAND, proc_call, NO_ID, msg, scope => scope);
end procedure;
procedure terminate_all_commands(
signal VVC_BROADCAST : inout std_logic;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
) is
constant proc_name : string := "terminate_all_commands";
constant proc_call : string := proc_name & "(VVC_BROADCAST)";
begin
flush_command_queue(VVC_BROADCAST, msg);
terminate_current_command(VVC_BROADCAST, msg, scope => scope);
end procedure;
procedure transmit_broadcast(
signal VVC_BROADCAST : inout std_logic;
constant operation : in t_broadcastable_cmd;
constant proc_call : in string;
constant msg_id : in t_msg_id;
constant msg : in string := "";
constant quietness : in t_quietness := NON_QUIET;
constant delay : in time := 0 ns;
constant delay_int : in integer := -1;
constant timeout : in time := std.env.resolution_limit;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
) is
begin
await_semaphore_in_delta_cycles(protected_semaphore);
-- Increment shared_cmd_idx. It is protected by the protected_semaphore and only one sequencer can access the variable at a time.
shared_cmd_idx := shared_cmd_idx + 1;
if global_show_msg_for_uvvm_cmd then
log(ID_UVVM_SEND_CMD, to_string(proc_call) & ": " & add_msg_delimiter(to_string(msg))
& format_command_idx(shared_cmd_idx), scope);
else
log(ID_UVVM_SEND_CMD, to_string(proc_call)
& format_command_idx(shared_cmd_idx), scope);
end if;
shared_vvc_broadcast_cmd.operation := operation;
shared_vvc_broadcast_cmd.msg_id := msg_id;
shared_vvc_broadcast_cmd.msg := (others => NUL); -- default empty
shared_vvc_broadcast_cmd.msg(1 to msg'length) := msg;
shared_vvc_broadcast_cmd.quietness := quietness;
shared_vvc_broadcast_cmd.timeout := timeout;
shared_vvc_broadcast_cmd.delay := delay;
shared_vvc_broadcast_cmd.gen_integer := delay_int;
shared_vvc_broadcast_cmd.proc_call := (others => NUL); -- default empty
shared_vvc_broadcast_cmd.proc_call(1 to proc_call'length) := proc_call;
if VVC_BROADCAST /= 'L' then
-- a VVC is waiting for example in await_completion
wait until VVC_BROADCAST = 'L';
end if;
-- Trigger the broadcast
VVC_BROADCAST <= '1';
wait for 0 ns;
-- set back to 'L' and wait until all VVCs have set it back
VVC_BROADCAST <= 'L';
wait until VVC_BROADCAST = 'L' for timeout; -- Wait for executor
if not (VVC_BROADCAST'event) and VVC_BROADCAST /= 'L' then -- Indicates timeout
tb_error("Timeout while waiting for the broadcast command to be ACK'ed", scope);
else
log(ID_UVVM_CMD_ACK, "ACK received for broadcast command" & format_command_idx(shared_cmd_idx), scope);
end if;
shared_vvc_broadcast_cmd := C_VVC_BROADCAST_CMD_DEFAULT;
wait for 0 ns;
wait for 0 ns;
wait for 0 ns;
wait for 0 ns;
wait for 0 ns;
release_semaphore(protected_semaphore);
end procedure;
impure function get_scope_for_log(
constant vvc_name : string;
constant instance_idx : natural;
constant channel : t_channel
) return string is
constant C_INSTANCE_IDX_STR : string := to_string(instance_idx);
constant C_CHANNEL_STR : string := to_upper(to_string(channel));
constant C_SCOPE_LENGTH : natural := vvc_name'length + C_INSTANCE_IDX_STR'length + C_CHANNEL_STR'length + 2; -- +2 because of the two added commas
variable v_vvc_name_truncation_value : integer;
variable v_channel_truncation_value : integer;
variable v_vvc_name_truncation_idx : integer;
variable v_channel_truncation_idx : integer;
begin
if (C_MINIMUM_VVC_NAME_SCOPE_WIDTH + C_MINIMUM_CHANNEL_SCOPE_WIDTH + C_INSTANCE_IDX_STR'length + 2) > C_LOG_SCOPE_WIDTH then -- +2 because of the two added commas
alert(TB_WARNING, "The combined width of C_MINIMUM_VVC_NAME_SCOPE_WIDTH and C_MINIMUM_CHANNEL_SCOPE_WIDTH cannot be greater than C_LOG_SCOPE_WIDTH - (number of characters in instance) - 2.", C_SCOPE);
end if;
-- If C_SCOPE_LENGTH is not greater than allowed width, return scope
if C_SCOPE_LENGTH <= C_LOG_SCOPE_WIDTH then
return vvc_name & "," & C_INSTANCE_IDX_STR & "," & C_CHANNEL_STR;
-- If C_SCOPE_LENGTH is greater than allowed width
-- Check if vvc_name is greater than minimum width to truncate
elsif vvc_name'length <= C_MINIMUM_VVC_NAME_SCOPE_WIDTH then
return vvc_name & "," & C_INSTANCE_IDX_STR & "," & C_CHANNEL_STR(1 to (C_CHANNEL_STR'length - (C_SCOPE_LENGTH-C_LOG_SCOPE_WIDTH)));
-- Check if channel is greater than minimum width to truncate
elsif C_CHANNEL_STR'length <= C_MINIMUM_CHANNEL_SCOPE_WIDTH then
return vvc_name(1 to (vvc_name'length - (C_SCOPE_LENGTH-C_LOG_SCOPE_WIDTH))) & "," & C_INSTANCE_IDX_STR & "," & C_CHANNEL_STR;
-- If both vvc_name and channel is to be truncated
else
-- Calculate linear scaling of truncation between vvc_name and channel: (a*x)/(a+b), (b*x)/(a+b)
v_vvc_name_truncation_idx := integer(round(real(vvc_name'length * (C_SCOPE_LENGTH-C_LOG_SCOPE_WIDTH)))/real(vvc_name'length + C_CHANNEL_STR'length));
v_channel_truncation_value := integer(round(real(C_CHANNEL_STR'length * (C_SCOPE_LENGTH-C_LOG_SCOPE_WIDTH)))/real(vvc_name'length + C_CHANNEL_STR'length));
-- In case division ended with .5 and both rounded up
if (v_vvc_name_truncation_idx + v_channel_truncation_value) > (C_SCOPE_LENGTH-C_LOG_SCOPE_WIDTH) then
v_channel_truncation_value := v_channel_truncation_value - 1;
end if;
-- Character index to truncate
v_vvc_name_truncation_idx := vvc_name'length - v_vvc_name_truncation_idx;
v_channel_truncation_idx := C_CHANNEL_STR'length - v_channel_truncation_value;
-- If bellow minimum name width
while v_vvc_name_truncation_idx < C_MINIMUM_VVC_NAME_SCOPE_WIDTH loop
v_vvc_name_truncation_idx := v_vvc_name_truncation_idx + 1;
v_channel_truncation_idx := v_channel_truncation_idx - 1;
end loop;
-- If bellow minimum channel width
while v_channel_truncation_idx < C_MINIMUM_CHANNEL_SCOPE_WIDTH loop
v_channel_truncation_idx := v_channel_truncation_idx + 1;
v_vvc_name_truncation_idx := v_vvc_name_truncation_idx - 1;
end loop;
return vvc_name(1 to v_vvc_name_truncation_idx) & "," & C_INSTANCE_IDX_STR & "," & C_CHANNEL_STR(1 to v_channel_truncation_idx);
end if;
end function;
impure function get_scope_for_log(
constant vvc_name : string;
constant instance_idx : natural
) return string is
constant C_INSTANCE_IDX_STR : string := to_string(instance_idx);
constant C_SCOPE_LENGTH : integer := vvc_name'length + C_INSTANCE_IDX_STR'length + 1; -- +1 because of the added comma
begin
if (C_MINIMUM_VVC_NAME_SCOPE_WIDTH + C_INSTANCE_IDX_STR'length + 1) > C_LOG_SCOPE_WIDTH then -- +1 because of the added comma
alert(TB_WARNING, "The width of C_MINIMUM_VVC_NAME_SCOPE_WIDTH cannot be greater than C_LOG_SCOPE_WIDTH - (number of characters in instance) - 1.", C_SCOPE);
end if;
-- If C_SCOPE_LENGTH is not greater than allowed width, return scope
if C_SCOPE_LENGTH <= C_LOG_SCOPE_WIDTH then
return vvc_name & "," & C_INSTANCE_IDX_STR;
-- If C_SCOPE_LENGTH is greater than allowed width truncate vvc_name
else
return vvc_name(1 to (vvc_name'length - (C_SCOPE_LENGTH-C_LOG_SCOPE_WIDTH))) & "," & C_INSTANCE_IDX_STR;
end if;
end function;
procedure await_completion(
constant vvc_select : in t_vvc_select;
variable vvc_info_list : inout t_vvc_info_list;
constant timeout : in time;
constant list_action : in t_list_action := CLEAR_LIST;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
) is
constant proc_name : string := "await_completion";
constant proc_call : string := proc_name & "(" & to_string(vvc_select) & "," & vvc_info_list.priv_get_vvc_info_list & "," & to_string(timeout, ns) & ")";
constant proc_call_short : string := proc_name & "(" & to_string(vvc_select) & "," & to_string(timeout, ns) & ")";
constant c_index_not_found : integer := -1;
constant c_vvc_info_list_length : natural := vvc_info_list.priv_get_num_vvc_in_list;
variable v_vvc_idx_in_activity_register : t_integer_array(0 to C_MAX_TB_VVC_NUM) := (others => -1);
variable v_num_vvc_instances : natural := 0;
variable v_tot_vvc_instances : natural range 0 to C_MAX_TB_VVC_NUM:= 0;
variable v_vvc_logged : std_logic_vector(0 to C_MAX_TB_VVC_NUM-1) := (others => '0');
variable v_vvcs_completed : natural := 0;
variable v_local_cmd_idx : integer;
variable v_timestamp : time;
variable v_done : boolean := false;
variable v_first_wait : boolean := true;
variable v_list_idx : natural := 0;
variable v_proc_call : line;
begin
if vvc_select = ALL_VVCS and shared_vvc_activity_register.priv_get_num_registered_vvcs = c_vvc_info_list_length then
v_proc_call := new string'(proc_call_short);
else
v_proc_call := new string'(proc_call);
end if;
-- Increment shared_cmd_idx. It is protected by the protected_semaphore and only one sequencer can access the variable at a time.
-- Store it in a local variable since new commands might be executed from another sequencer.
await_semaphore_in_delta_cycles(protected_semaphore);
shared_cmd_idx := shared_cmd_idx + 1;
v_local_cmd_idx := shared_cmd_idx;
release_semaphore(protected_semaphore);
log(ID_AWAIT_COMPLETION, v_proc_call.all & ": " & add_msg_delimiter(msg) & "." & format_command_idx(v_local_cmd_idx), scope, shared_msg_id_panel);
-- Give a warning for incorrect use of ALL_VVCS
if vvc_select = ALL_VVCS and shared_vvc_activity_register.priv_get_num_registered_vvcs /= c_vvc_info_list_length then
alert(TB_WARNING, v_proc_call.all & add_msg_delimiter(msg) & "=> When using ALL_VVCS with a VVC list, only the VVCs from the list will be checked."
& format_command_idx(v_local_cmd_idx), scope);
end if;
-- Check that list is not empty
if c_vvc_info_list_length = 0 then
v_done := true;
end if;
-- Loop through the VVC list and get the corresponding index from the vvc activity register
for i in 0 to c_vvc_info_list_length-1 loop
if vvc_info_list.priv_get_instance(i) = ALL_INSTANCES or vvc_info_list.priv_get_channel(i) = ALL_CHANNELS then
-- Check how many instances or channels of this VVC are registered in the vvc activity register
v_num_vvc_instances := shared_vvc_activity_register.priv_get_num_registered_vvc_matches(vvc_info_list.priv_get_name(i),
vvc_info_list.priv_get_instance(i), vvc_info_list.priv_get_channel(i));
-- Get the index for every instance or channel of this VVC
for j in 0 to v_num_vvc_instances-1 loop
v_vvc_idx_in_activity_register(v_tot_vvc_instances+j) := shared_vvc_activity_register.priv_get_vvc_idx(j, vvc_info_list.priv_get_name(i),
vvc_info_list.priv_get_instance(i), vvc_info_list.priv_get_channel(i));
end loop;
else
-- Get the index for a specific VVC
v_vvc_idx_in_activity_register(v_tot_vvc_instances) := shared_vvc_activity_register.priv_get_vvc_idx(vvc_info_list.priv_get_name(i),
vvc_info_list.priv_get_instance(i), vvc_info_list.priv_get_channel(i));
v_num_vvc_instances := 0 when v_vvc_idx_in_activity_register(v_tot_vvc_instances) = c_index_not_found else 1;
end if;
-- Update the total number of VVCs in the group
v_tot_vvc_instances := v_tot_vvc_instances + v_num_vvc_instances;
-- Check if the VVC from the list is registered in the vvc activity register, otherwise clean the list and exit procedure
if v_vvc_idx_in_activity_register(v_tot_vvc_instances-v_num_vvc_instances) = c_index_not_found then
alert(TB_ERROR, v_proc_call.all & add_msg_delimiter(msg) & "=> " & vvc_info_list.priv_get_vvc_info(i) &
" does not support this procedure." & format_command_idx(v_local_cmd_idx), scope);
v_done := true;
exit;
end if;
end loop;
v_timestamp := now;
while not(v_done) loop
v_list_idx := 0;
for i in 0 to v_tot_vvc_instances-1 loop
-- Wait for the VVCs in the group to complete (INACTIVE status)
if vvc_info_list.priv_get_cmd_idx(v_list_idx) = -1 then
if shared_vvc_activity_register.priv_get_vvc_activity(v_vvc_idx_in_activity_register(i)) = INACTIVE then
if not(v_vvc_logged(i)) then
log(ID_AWAIT_COMPLETION_END, v_proc_call.all & "=> " & shared_vvc_activity_register.priv_get_vvc_info(v_vvc_idx_in_activity_register(i)) &
" finished. " & add_msg_delimiter(msg) & format_command_idx(v_local_cmd_idx), scope, shared_msg_id_panel);
v_vvc_logged(i) := '1';
v_vvcs_completed := v_vvcs_completed + 1;
end if;
if vvc_select = ANY_OF or v_vvcs_completed = v_tot_vvc_instances then
v_done := true;
end if;
end if;
-- Wait for the VVCs in the group to complete (cmd_idx completed)
else
if shared_vvc_activity_register.priv_get_vvc_last_cmd_idx_executed(v_vvc_idx_in_activity_register(i)) >= vvc_info_list.priv_get_cmd_idx(v_list_idx) then
if not(v_vvc_logged(i)) then
log(ID_AWAIT_COMPLETION_END, v_proc_call.all & "=> " & shared_vvc_activity_register.priv_get_vvc_info(v_vvc_idx_in_activity_register(i)) &
" finished. " & add_msg_delimiter(msg) & format_command_idx(v_local_cmd_idx), scope, shared_msg_id_panel);
v_vvc_logged(i) := '1';
v_vvcs_completed := v_vvcs_completed + 1;
end if;
if vvc_select = ANY_OF or v_vvcs_completed = v_tot_vvc_instances then
v_done := true;
end if;
end if;
end if;
-- Increment the vvc_info_list index (different from the v_vvc_idx_in_activity_register)
if not(vvc_info_list.priv_get_instance(v_list_idx) = ALL_INSTANCES or vvc_info_list.priv_get_channel(v_list_idx) = ALL_CHANNELS) then
v_list_idx := v_list_idx + 1;
end if;
end loop;
if not(v_done) then
if v_first_wait then
log(ID_AWAIT_COMPLETION_WAIT, v_proc_call.all & " - Pending completion. " & add_msg_delimiter(msg) & format_command_idx(v_local_cmd_idx), scope, shared_msg_id_panel);
v_first_wait := false;
end if;
-- Wait for vvc activity trigger pulse
wait on global_trigger_vvc_activity_register for timeout;
-- Check if there was a timeout
if now >= v_timestamp + timeout then
alert(TB_ERROR, v_proc_call.all & "=> Timeout. " & add_msg_delimiter(msg) & format_command_idx(v_local_cmd_idx), scope);
v_done := true;
end if;
end if;
end loop;
if list_action = CLEAR_LIST then
vvc_info_list.priv_clear_list;
log(ID_AWAIT_COMPLETION_LIST, v_proc_call.all & "=> All VVCs removed from the list. " & add_msg_delimiter(msg) &
format_command_idx(v_local_cmd_idx), scope, shared_msg_id_panel);
elsif list_action = KEEP_LIST then
log(ID_AWAIT_COMPLETION_LIST, v_proc_call.all & "=> Keeping all VVCs in the list. " & add_msg_delimiter(msg) &
format_command_idx(v_local_cmd_idx), scope, shared_msg_id_panel);
end if;
end procedure;
procedure await_completion(
constant vvc_select : in t_vvc_select;
constant timeout : in time;
constant list_action : in t_list_action := CLEAR_LIST;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
) is
constant proc_name : string := "await_completion";
constant proc_call : string := proc_name & "(" & to_string(vvc_select) & "," & to_string(timeout, ns) & ")";
variable v_vvc_info_list : t_vvc_info_list;
begin
if vvc_select = ALL_VVCS then
-- Get all the VVCs from the vvc activity register and put them in the vvc_info_list
for i in 0 to shared_vvc_activity_register.priv_get_num_registered_vvcs-1 loop
v_vvc_info_list.add(shared_vvc_activity_register.priv_get_vvc_name(i),
shared_vvc_activity_register.priv_get_vvc_instance(i),
shared_vvc_activity_register.priv_get_vvc_channel(i));
end loop;
await_completion(vvc_select, v_vvc_info_list, timeout, list_action, msg, scope);
else
alert(TB_ERROR, proc_call & add_msg_delimiter(msg) & "=> A VVC list is required when using " & to_string(vvc_select) & ".", scope);
end if;
end procedure;
-- ============================================================================
-- Activity Watchdog
-- ============================================================================
-------------------------------------------------------------------------------
-- Activity watchdog:
-- Include this as a concurrent procedure from your testbench.
-------------------------------------------------------------------------------
procedure activity_watchdog(
constant num_exp_vvc : natural;
constant timeout : time;
constant alert_level : t_alert_level := TB_ERROR;
constant msg : string := "Activity_Watchdog"
) is
variable v_timeout : time;
begin
wait for 0 ns;
log(ID_WATCHDOG, "Starting activity watchdog , timeout=" & to_string(timeout, C_LOG_TIME_BASE) & ". " & msg);
wait for 0 ns;
-- Check if all expected VVCs are registered
if (num_exp_vvc /= shared_vvc_activity_register.priv_get_num_registered_vvcs) and (num_exp_vvc > 0) then
shared_vvc_activity_register.priv_list_registered_vvc(msg);
alert(TB_WARNING, "Number of VVCs in activity watchdog is not expected, actual=" &
to_string(shared_vvc_activity_register.priv_get_num_registered_vvcs) & ", exp=" & to_string(num_exp_vvc) & ".\n" &
"Note that leaf VVCs (e.g. channels) are counted individually. " & msg);
end if;
loop
wait on global_trigger_vvc_activity_register for timeout;
if not(global_trigger_vvc_activity_register'event) and shared_vvc_activity_register.priv_are_all_vvc_inactive then
alert(alert_level, "Activity watchdog timer ended after " & to_string(timeout, C_LOG_TIME_BASE) & "! " & msg);
end if;
end loop;
wait;
end procedure activity_watchdog;
end package body ti_vvc_framework_support_pkg;
| mit | 114a0eb56a7eb37bde5275b3ca7039e9 | 0.568072 | 4.023681 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/xfft_v9_0_d.vhd | 2 | 122,331 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ggDzX6H0/8saq5uB0g5W3Gzh3yw+H/SRhZKr76+Wa8hKbgmAg3uDuxzjfCgTJhaGe6D3bPwtWESp
Pq0YxRtZAA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
e4oSlci92SOj2gPNeW4JhsbNQsSK2LOqbOWD7tWr3WWfaLfx4J/916Xei47BnaXYDtpSCOH2IflK
WkZLpD2YrckVoZxE189ZeJaRGgeD/ts+t4aSEbKccucxeyAKwSiP1s2RRwQk2h18LvsHcksSM0in
YSWD93KfAezZIBaWB/k=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
m+ruyZUcmLUwv0ynefsMUk8NnNrBKCbF3EcDbYmDij8kzQlyNZIG2d+nwwf8RHzU6sx8CnqM16ch
mfdjgMqjYEEp9LNhLtJKEYtawDGI+bnCWbtGJLFbuqZZQMUd7N7Rnf3Br7+kGY/U1tuulL/FGHmQ
AQwq7jGD50b3TcY+xmJ21nOQG2gCWnnYgbJka9/L9rBISQj6p8TETaZ0159TnljtcPo1bgXQmlA+
m6qfQGUtJh4hJuGzTny+jwgD48DvH3FImUyX9Hyctv7Wmsfo/ybRS1IhJEcoIVCOwYfT37bL99vn
UyQxTvBRzPjKrS62IaoZK3nL9aLJl097Pwsm5Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
x3sxy4jo2L/DfuWNyiHX41FiNXzwbGBZ8DOKpxpEEa9Cz8Jm/J9xkqw5IpHJ93ceLDoCrQBlCd1r
zNJkPHpGOQx7ClVgoBoF+p2eKozwgDEMn5rUc0Pqk0k1MZmGW+wV08nBGmDD2DKmQITWsMPTv0dp
donpBITkaWMR7C04gww=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EpQKLE5AL4UBmszKW26OAVioUt/NrpeILlL9E1zUKzkjq2rsI2M4s81ZjDyva96EBzAP8poqUk7a
8U9ovRNy8IPUYD3h6iyCVNOVSUPYnzfV3d+cCql8rjlsqA7Ew8RFPpxxyns9xPPJ/XtqMLQxSofX
IvAaOU9DLO1lkel6R0VGp/yS2K6UgiS77pQ2TKq9hhbDFz9TewEmbBA9snk5NhjrEUDSczPnX/w/
9XzXaffDFyp7anrpt+rUeWpecWr6jdBPoEal4kjZup3TOm9S6YLKtCE39V4v6p1labBtXbnYvjP2
kTf91tLq16lbPRFRCk4R+2nLUOdeL0GiPHoyaA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 88816)
`protect data_block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`protect end_protected
| gpl-2.0 | a230bd9c9319aa08d6c05df0599e14c8 | 0.952931 | 1.809175 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/c_addsub_v12_0/hdl/c_addsub_v12_0_viv.vhd | 3 | 41,084 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cTC2a933liQRCcgrhNqta67EtA4kCaumvT4RBQ8bMVpgTaN433ihGYa+x1klP6gwCt6Ws9Li4Sh9
Foo80+l40A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Iq5qAJJPe6itC6CvatiSeSVrC39AVx9MqDJtfNsFhtID41FuzTIHiLThGv7j12hq23XsYGfUHFjG
7CrScYdYRk61+76znCaAmXWw3XuQvfnsoEDD5KNG0bQEhr/1a5R0MigNN3Qc2Oi2lU+HhaYhT9Rg
7h6ecIh6hfmAUsDDxxE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BC5PJVHQDXPwF23vqxqg05UJeC41cbIkiGeL+hA008bKEQbn1g2ahnGQ42UkyGh8Y6rrYjTx/sSg
CIuZBcqDy1wqzYrjlLmWB9uXYHO+tgz1xL3h/em0XS0M9JqA1dHPRWYeZ0msBQNaG6nFeePwTLTZ
nYkmk5PjV5RIRyS6jSNhO2aQoxLdOHKmBoucjjQt+veJhmYKf1y3i/FGNLYcqDGgzSllWU2wrgc0
AhMgdzW8m+htQMcq6m8VBwVZwDxi+t9UMi5ZU16Z/YaZ1nT5VimObYF9gjzKpgRXyFHVdi2xTjT3
RZ+ab2if1w5dVpABkMq3Q7LZJEps4kFbZDeSNA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ORpjGM0+ez7BnrKrDT3ZyPTEPe8EaK2pMjr9X7jXcK3QO+sTyat5pDLSRQUyNyLCvHkBSYxJJXyE
tXx3TnA+Rl5f7V8WsmBJTXiztxaN5DR+qnn2B3+KsJE+DzDXxLGXrupYi0ZDi799ytT5GrVvx8F4
DPAPHQewsH6pjclu3j0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
kfbWj5SZgjredyseQvjf2sTPwG+bbsoV2oDZwBvIFDGjuZY1icpN4+L1MJVVrUFHcb7M1Ajkjvbf
SzoRqaEzmdWx+85eIXwkjHSymhwmzN2gVtRcts4tKzf9sXHG0CK8T9dxViyvcPck3pyrL4ZqfcrY
UHfPWj5ckY6/bF2nTbL26xD23ky6AYioN8NLgj++QTuflh8OTd5g2A4FCCNEqAZBFRLVuhyqmUYp
ScnXruoy0bjrVF3CjB9D0Y+KojARwxYvkL4/Ite7Au7OhLPWVRko36ChZ0z/StmC+5Ldp4ZrKfQb
/ABZYLtTk865Xv55him5I+g7tE5ZAZRcfTfGfA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 28672)
`protect data_block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`protect end_protected
| gpl-2.0 | 1765d786cbf0ebd85b0a9efe969fff7b | 0.947376 | 1.831491 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_gmii/src/gmii_bfm_pkg.vhd | 1 | 19,781 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
---------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library std;
use std.textio.all;
--================================================================================================================================
--================================================================================================================================
package gmii_bfm_pkg is
--==========================================================================================
-- Types and constants for GMII BFM
--==========================================================================================
constant C_SCOPE : string := "GMII BFM";
-- Interface record for BFM signals to DUT
type t_gmii_tx_if is record
gtxclk : std_logic;
txd : std_logic_vector(7 downto 0);
txen : std_logic;
end record;
-- Interface record for BFM signals from DUT
type t_gmii_rx_if is record
rxclk : std_logic;
rxd : std_logic_vector(7 downto 0);
rxdv : std_logic;
end record;
-- Configuration record to be assigned in the test harness.
type t_gmii_bfm_config is record
max_wait_cycles : integer; -- Used for setting the maximum cycles to wait before an alert is issued when
-- waiting for signals from the DUT.
max_wait_cycles_severity : t_alert_level; -- Severity if max_wait_cycles expires.
clock_period : time; -- Period of the clock signal.
clock_period_margin : time; -- Input clock period margin to specified clock_period
clock_margin_severity : t_alert_level; -- The above margin will have this severity
setup_time : time; -- Setup time for generated signals, set to clock_period/4
hold_time : time; -- Hold time for generated signals, set to clock_period/4
bfm_sync : t_bfm_sync; -- Synchronisation of the BFM procedures, i.e. using clock signals, using setup_time and hold_time.
match_strictness : t_match_strictness; -- Matching strictness for std_logic values in check procedures.
id_for_bfm : t_msg_id; -- The message ID used as a general message ID in the BFM
end record;
-- Define the default value for the BFM config
constant C_GMII_BFM_CONFIG_DEFAULT : t_gmii_bfm_config := (
max_wait_cycles => 12, -- Standard minimum interpacket gap (Gigabith Ethernet)
max_wait_cycles_severity => ERROR,
clock_period => -1 ns,
clock_period_margin => 0 ns,
clock_margin_severity => TB_ERROR,
setup_time => -1 ns,
hold_time => -1 ns,
bfm_sync => SYNC_ON_CLOCK_ONLY,
match_strictness => MATCH_EXACT,
id_for_bfm => ID_BFM
);
--==========================================================================================
-- BFM procedures
--==========================================================================================
-- This function returns a GMII interface with initialized signals.
-- All input signals are initialized to 0
-- All output signals are initialized to Z
function init_gmii_if_signals
return t_gmii_tx_if;
function init_gmii_if_signals
return t_gmii_rx_if;
---------------------------------------------------------------------------------------------
-- GMII Write
-- BFM -> DUT
---------------------------------------------------------------------------------------------
procedure gmii_write (
constant data_array : in t_slv_array;
constant msg : in string := "";
signal gmii_tx_if : inout t_gmii_tx_if;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant config : in t_gmii_bfm_config := C_GMII_BFM_CONFIG_DEFAULT
);
---------------------------------------------------------------------------------------------
-- GMII Read
-- DUT -> BFM
---------------------------------------------------------------------------------------------
procedure gmii_read (
variable data_array : out t_slv_array;
variable data_len : out natural;
constant msg : in string := "";
signal gmii_rx_if : inout t_gmii_rx_if;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant config : in t_gmii_bfm_config := C_GMII_BFM_CONFIG_DEFAULT;
constant ext_proc_call : in string := "" -- External proc_call. Overwrite if called from another BFM procedure
);
---------------------------------------------------------------------------------------------
-- GMII Expect
---------------------------------------------------------------------------------------------
procedure gmii_expect (
constant data_exp : in t_slv_array;
constant msg : in string := "";
signal gmii_rx_if : inout t_gmii_rx_if;
constant alert_level : in t_alert_level := ERROR;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant config : in t_gmii_bfm_config := C_GMII_BFM_CONFIG_DEFAULT
);
end package gmii_bfm_pkg;
--================================================================================================================================
--================================================================================================================================
package body gmii_bfm_pkg is
function init_gmii_if_signals
return t_gmii_tx_if is
variable init_if : t_gmii_tx_if;
begin
init_if.gtxclk := 'Z';
init_if.txd := (init_if.txd'range => '0');
init_if.txen := '0';
return init_if;
end function;
function init_gmii_if_signals
return t_gmii_rx_if is
variable init_if : t_gmii_rx_if;
begin
init_if.rxclk := 'Z';
init_if.rxd := (init_if.rxd'range => 'Z');
init_if.rxdv := 'Z';
return init_if;
end function;
---------------------------------------------------------------------------------------------
-- GMII Write
-- BFM -> DUT
---------------------------------------------------------------------------------------------
procedure gmii_write(
constant data_array : in t_slv_array;
constant msg : in string := "";
signal gmii_tx_if : inout t_gmii_tx_if;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant config : in t_gmii_bfm_config := C_GMII_BFM_CONFIG_DEFAULT
) is
constant proc_name : string := "gmii_write";
constant proc_call : string := proc_name & "(" & to_string(data_array'length) & " bytes)";
variable v_time_of_rising_edge : time := -1 ns; -- time stamp for clk period checking
variable v_time_of_falling_edge : time := -1 ns; -- time stamp for clk period checking
begin
check_value(data_array'ascending, TB_FAILURE, "Sanity check: Check that data_array is ascending (defined with 'to'), for byte order clarity.", scope, ID_NEVER, msg_id_panel, proc_call);
if config.bfm_sync = SYNC_WITH_SETUP_AND_HOLD then
check_value(config.clock_period > -1 ns, TB_FAILURE, "Sanity check: Check that clock_period is set.", scope, ID_NEVER, msg_id_panel, proc_call);
check_value(config.setup_time < config.clock_period/2, TB_FAILURE, "Sanity check: Check that setup_time do not exceed clock_period/2.", scope, ID_NEVER, msg_id_panel, proc_call);
check_value(config.hold_time < config.clock_period/2, TB_FAILURE, "Sanity check: Check that hold_time do not exceed clock_period/2.", scope, ID_NEVER, msg_id_panel, proc_call);
end if;
gmii_tx_if <= init_gmii_if_signals;
-- Wait according to config.bfm_sync setup
wait_on_bfm_sync_start(gmii_tx_if.gtxclk, config.bfm_sync, config.setup_time, config.clock_period, v_time_of_falling_edge, v_time_of_rising_edge);
log(config.id_for_bfm, proc_call & "=> " & add_msg_delimiter(msg), scope, msg_id_panel);
-- Write all the bytes in the data_array
for i in data_array'range loop
gmii_tx_if.txd <= data_array(i);
gmii_tx_if.txen <= '1';
-- Check the clock margin
wait until rising_edge(gmii_tx_if.gtxclk);
if v_time_of_rising_edge < 0 ns then
v_time_of_rising_edge := now;
end if;
check_clock_period_margin(gmii_tx_if.gtxclk, config.bfm_sync, v_time_of_falling_edge, v_time_of_rising_edge,
config.clock_period, config.clock_period_margin, config.clock_margin_severity);
-- Wait according to config.bfm_sync setup
wait_on_bfm_exit(gmii_tx_if.gtxclk, config.bfm_sync, config.hold_time, v_time_of_falling_edge, v_time_of_rising_edge);
end loop;
gmii_tx_if <= init_gmii_if_signals;
log(config.id_for_bfm, proc_call & " DONE. " & add_msg_delimiter(msg), scope, msg_id_panel);
end procedure;
---------------------------------------------------------------------------------------------
-- GMII Read
-- DUT -> BFM
---------------------------------------------------------------------------------------------
procedure gmii_read(
variable data_array : out t_slv_array;
variable data_len : out natural;
constant msg : in string := "";
signal gmii_rx_if : inout t_gmii_rx_if;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant config : in t_gmii_bfm_config := C_GMII_BFM_CONFIG_DEFAULT;
constant ext_proc_call : in string := "" -- External proc_call. Overwrite if called from another BFM procedure
) is
constant local_proc_name : string := "gmii_read"; -- Internal proc_name; Used if called from sequencer or VVC
constant local_proc_call : string := local_proc_name & "(" & to_string(data_array'length) & " bytes)";
variable v_proc_call : line; -- Current proc_call, external or local
variable v_normalized_data : t_slv_array(0 to data_array'length-1)(7 downto 0);
variable v_time_of_rising_edge : time := -1 ns; -- time stamp for clk period checking
variable v_time_of_falling_edge : time := -1 ns; -- time stamp for clk period checking
variable v_byte_cnt : natural := 0;
variable v_done : boolean := false;
variable v_timeout : boolean := false;
variable v_wait_cycles : natural := 0;
begin
if ext_proc_call = "" then
-- Called directly from sequencer/VVC, log 'gmii_read...'
write(v_proc_call, local_proc_call);
else
-- Called from another BFM procedure, log 'ext_proc_call while executing gmii_read...'
write(v_proc_call, ext_proc_call & " while executing " & local_proc_name);
end if;
check_value(data_array'ascending, TB_FAILURE, "Sanity check: Check that data_array is ascending (defined with 'to'), for byte order clarity.", scope, ID_NEVER, msg_id_panel, v_proc_call.all);
if config.bfm_sync = SYNC_WITH_SETUP_AND_HOLD then
check_value(config.clock_period > -1 ns, TB_FAILURE, "Sanity check: Check that clock_period is set.", scope, ID_NEVER, msg_id_panel, v_proc_call.all);
check_value(config.setup_time < config.clock_period/2, TB_FAILURE, "Sanity check: Check that setup_time do not exceed clock_period/2.", scope, ID_NEVER, msg_id_panel, v_proc_call.all);
check_value(config.hold_time < config.clock_period/2, TB_FAILURE, "Sanity check: Check that hold_time do not exceed clock_period/2.", scope, ID_NEVER, msg_id_panel, v_proc_call.all);
end if;
gmii_rx_if <= init_gmii_if_signals;
-- Wait according to config.bfm_sync setup
wait_on_bfm_sync_start(gmii_rx_if.rxclk, config.bfm_sync, config.setup_time, config.clock_period, v_time_of_falling_edge, v_time_of_rising_edge);
log(config.id_for_bfm, v_proc_call.all & "=> " & add_msg_delimiter(msg), scope, msg_id_panel);
-- Wait for the first rising edge to sample the data and check the clock margin
wait until rising_edge(gmii_rx_if.rxclk);
v_time_of_rising_edge := now;
check_clock_period_margin(gmii_rx_if.rxclk, config.bfm_sync, v_time_of_falling_edge, v_time_of_rising_edge,
config.clock_period, config.clock_period_margin, config.clock_margin_severity);
-- Wait for data valid to be active
while gmii_rx_if.rxdv /= '1' and v_wait_cycles < config.max_wait_cycles loop
wait_on_bfm_sync_start(gmii_rx_if.rxclk, config.bfm_sync, config.setup_time, config.clock_period, v_time_of_falling_edge, v_time_of_rising_edge);
wait until rising_edge(gmii_rx_if.rxclk);
v_wait_cycles := v_wait_cycles + 1;
end loop;
if gmii_rx_if.rxdv /= '1' then
v_timeout := true;
v_done := true;
end if;
-- Sample the data
while not(v_done) loop
if gmii_rx_if.rxdv = '1' then
v_normalized_data(v_byte_cnt) := gmii_rx_if.rxd;
if v_byte_cnt = v_normalized_data'length-1 then
v_done := true;
else
wait_on_bfm_exit(gmii_rx_if.rxclk, config.bfm_sync, config.hold_time, v_time_of_falling_edge, v_time_of_rising_edge);
wait until rising_edge(gmii_rx_if.rxclk);
end if;
v_byte_cnt := v_byte_cnt + 1;
else
-- Data valid went low
v_done := true;
end if;
end loop;
data_array := v_normalized_data;
data_len := v_byte_cnt;
-- Wait according to bfm_sync config
if not(v_timeout) then
wait_on_bfm_exit(gmii_rx_if.rxclk, config.bfm_sync, config.hold_time, v_time_of_falling_edge, v_time_of_rising_edge);
end if;
-- Done. Check if there was a timeout or it was successful
if v_timeout then
alert(config.max_wait_cycles_severity, v_proc_call.all & "=> Failed. Timeout while waiting for valid data. " &
add_msg_delimiter(msg), scope);
else
if ext_proc_call = "" then
log(config.id_for_bfm, v_proc_call.all & " DONE. " & add_msg_delimiter(msg), scope, msg_id_panel);
else
-- Log will be handled by calling procedure (e.g. gmii_expect)
end if;
end if;
DEALLOCATE(v_proc_call);
end procedure;
---------------------------------------------------------------------------------------------
-- GMII Expect
---------------------------------------------------------------------------------------------
procedure gmii_expect (
constant data_exp : in t_slv_array;
constant msg : in string := "";
signal gmii_rx_if : inout t_gmii_rx_if;
constant alert_level : in t_alert_level := ERROR;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant config : in t_gmii_bfm_config := C_GMII_BFM_CONFIG_DEFAULT
) is
constant proc_name : string := "gmii_expect";
constant proc_call : string := proc_name & "(" & to_string(data_exp'length) & " bytes)";
variable v_normalized_data : t_slv_array(0 to data_exp'length-1)(7 downto 0) := data_exp;
variable v_rx_data_array : t_slv_array(v_normalized_data'range)(7 downto 0);
variable v_rx_data_len : natural;
variable v_length_error : boolean := false;
variable v_data_error_cnt : natural := 0;
variable v_first_wrong_byte : natural;
variable v_alert_radix : t_radix;
begin
check_value(data_exp'ascending, TB_FAILURE, "Sanity check: Check that data_exp is ascending (defined with 'to'), for byte order clarity.", scope, ID_NEVER, msg_id_panel, proc_call);
-- Read data
gmii_read(v_rx_data_array, v_rx_data_len, msg, gmii_rx_if, scope, msg_id_panel, config, proc_call);
-- Check the length of the received data
if v_rx_data_len /= v_normalized_data'length then
v_length_error := true;
end if;
-- Check if each received bit matches the expected.
-- Report the first wrong byte (iterate from the last to the first)
for byte in v_rx_data_array'high downto 0 loop
for i in v_rx_data_array(byte)'range loop
-- Allow don't care in expected value and use match strictness from config for comparison
if v_normalized_data(byte)(i) = '-' or check_value(v_rx_data_array(byte)(i), v_normalized_data(byte)(i), config.match_strictness, NO_ALERT, msg, scope, ID_NEVER) then
-- Check is OK
else
-- Received byte doesn't match
v_data_error_cnt := v_data_error_cnt + 1;
v_first_wrong_byte := byte;
end if;
end loop;
end loop;
-- Done. Report result
if v_length_error then
alert(alert_level, proc_call & "=> Failed. Mismatch in received data length. Was " & to_string(v_rx_data_len) &
". Expected " & to_string(v_normalized_data'length) & "." & LF & add_msg_delimiter(msg), scope);
elsif v_data_error_cnt /= 0 then
-- Use binary representation when mismatch is due to weak signals
v_alert_radix := BIN when config.match_strictness = MATCH_EXACT and check_value(v_rx_data_array(v_first_wrong_byte), v_normalized_data(v_first_wrong_byte), MATCH_STD, NO_ALERT, msg, scope, HEX_BIN_IF_INVALID, KEEP_LEADING_0, ID_NEVER) else HEX;
alert(alert_level, proc_call & "=> Failed in "& to_string(v_data_error_cnt) & " data bits. First mismatch in byte# " &
to_string(v_first_wrong_byte) & ". Was " & to_string(v_rx_data_array(v_first_wrong_byte), v_alert_radix, AS_IS, INCL_RADIX) &
". Expected " & to_string(v_normalized_data(v_first_wrong_byte), v_alert_radix, AS_IS, INCL_RADIX) & "." & LF & add_msg_delimiter(msg), scope);
else
log(config.id_for_bfm, proc_call & "=> OK, received " & to_string(v_rx_data_array'length) & " bytes. " &
add_msg_delimiter(msg), scope, msg_id_panel);
end if;
end procedure;
end package body gmii_bfm_pkg;
| mit | 662e7aacf5f3bfafdb63c7cd870ba10b | 0.541985 | 3.920912 | false | true | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/so_run_addr_gen_left_shift.vhd | 2 | 34,166 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
W886I29dgaTKyQRWZ8WAkM63y1MwUxgQQCIFFdmbxEPYslfVam6zI5FJxjcT7fprQQ2ckJybsZ87
jgidz3kbMQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PWvbOTEdmHClAkvAMCj6YwcGmTxfpUJee3DjR0Pzg1EkYFN1OlzOrLP/TZepctKGVQlTWUU0zF54
Xx4ONs0DGCXjupWUfoOX7GMhn4xo/rHdC9y/QJISKi0KXOpoV7Ei7q9ojIK6r/PQGtPO4oBsdEY5
k1PuLpwEoCZell9wsYk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RacFRoz0w9+Zl8busEd2MwYNjH9izEN6GWU+0JIpGPA3LDbm3DgLC/d2lTgmGTi6CNKhWEukDTWy
o8wOTWurTaFD3aHKgT/LjpW4ih5xYyhO43sfw3gbpSihIzFTyXgDxIg0vs7hEMO3F+X4PEKyGNyC
NOKJFjwjjIzxad+jrUQmbW7sv4Kb/sbGL0PAq2LR63umzwZBl4ztpZHHOHGtEOlpM2kdJGpk81NB
2Umi+k4pSbHDstfDRx7P25MT2VgDG1aXdBlxbqZ594vBOkywj3PZzpXVKY0PYWgZsk8QTwiWDX8b
YQoP57MsuwitvLZIpPSDsG9LX03+viLUYlDBFw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KGUW+FAnUL6KB4Pf97Q0FWFF4UxCGKj2JxRqSgJdVX8BTmrX6vD9V0DH6aQmFFblhrd/YOY3zRIV
Tpki0b7ZqzmTgRO14jb+MiPErghXXi2Yj0RrLcK6bVselBzZi/s3fLdzAtgvXz0xre+6nCG8lqX8
TEP2u4v+8qdLOrgs2mM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lz7zAHvHuB5xXBAq/8y0WoDKVGfi87n7q7Ea994fVTLkU0lD+9zKJpcwsXePu6yCG9vu8RGTbYLP
BT8KtHY56xXoNVAGCd25hhRn6pS/Tv3kMCMRxuynwqzUBtWA7ANWuVExCjxY4pwYPs7DEJiYD6w8
UenkE9R0YoAgzRlLWuhalJztnfm+9SlznuwE6xF+SYn04NilhY8lHJmzj5XQL+c3ChJ6bEIJqJ6D
6+EU7R7waY8sS7rCCgBO1JlAh0H1c2vrhTDp7gvoC5RnI7Q9Y/sRJB5oEE4muo9dEEce74Ji37nA
QXFbllynVautJrXXiOnthqmKmo2859TBLyjY1g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 23552)
`protect data_block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`protect end_protected
| gpl-2.0 | 7239654afc587a720753ee2e78259cc1 | 0.948487 | 1.843125 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_fma/flt_fma_round_bit.vhd | 3 | 30,968 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
IVdhbAqIZuXqh+/r/SQDX1SlfzXrQo1kAZUmc7CyFUL+WtfySYG3i7tLm5C4yLs7O1nAFCG/ySRD
KQZ6P+9oIg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
f3Vm6A7nFUJ3AkFKQuW4QZOMfCwFkGp7LLnxhDZa5phMGUPMMjlhgYjJxI7ZGnau2knjvOyPgOTr
p+opUCLjAs2H2pO2YzoLpaj0g7g9VHOOMOkf+zq42eOnNjScOHY3zhHDQ14KKfho17iZkE0dDZVd
GRqhEaAyw5OtaZC92gM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
PK98UJ3PCmSv5hX8chlg9i8MeRAcX/jiXvJsmHwADiAfd4VpZTXdvFvbtcodTiUdYri0RfTxVWOm
UYC+z0RQio8o3HzrcY8L3fA+rrdwjukyow1QkbA+eC9ukH31RLRVuG9GzRNRaB+nAbq52XxYj8+0
AhcHS1ltwyaZ6EqmHJPWKjdN/vSQ5o8Ty9C8DqFaVFAR6fLtJ35EKBoEI+BKWgiYNaBaqPqVZInA
6W0EZFklwWRR5nPhGCpSoBkX9kXInHvHEw1vb0dw4qL3OzFO7tEjlL7kvuWrW+cC/Z28gm0MI4Mw
EHIeMgYtyXMZfzW0Huf+b5icMOs5XSIuf/cJYQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
o9ccs/odalmplzJFQHam8qRZm/ioMOjfNY71BRiC+/exVOeL6+e9yqS9OgNVrY/NNimFCCChyUwW
CyYpTD/0NtQ37UgTbpxHvu3tgb/7M4J9yGZroc+7Sbq+wPLguROfbPxBSNaPQ9DPV3BfjtHQVzZG
XgfU7OmXvYFnCzU7Q9g=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tEkixzRQq4G0JpndLadSLrqbHeS9Zi0AxWTSNbAftpd0obLsGlMVh6Zetx8xuUrzMkorHB1PvrDB
D2/nhi3/P6QtJgdRRGi6K4LQvTK97VwmkEu+KKTSUGrI4zY4hUKfjmhS9GvOES23FQinKA2ud9fG
ZZV4vd4F70u0UGvHoEIVROlwf+T+wJsRcrtXSPKsOUgFvQ+MYMvxuTmkM+4OqEqhhOtv+EGICMsS
MwdqtUlH6GY+gmJO9AiUz35Ufz+iOGBrGzAitf1QreZsbO3MeDguygT11f4dVcpXHkv2VB6JDesV
6wV/RBXtixO7Cx2lxrQzgmNHsnEvjmjfRZ59IA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21184)
`protect data_block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`protect end_protected
| gpl-2.0 | 8254f190fcc96a4f2b40165ea45e7347 | 0.944717 | 1.838627 | false | false | false | false |
keith-epidev/VHDL-lib | src/components/debounce/debounce.vhd | 1 | 1,753 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.VHDL_lib.all;
entity debounce is
generic(
delay:integer := 50000
);
port(
clk: in std_logic;
input: in std_logic;
output: out std_logic
);
end debounce;
architecture Behavioral of debounce is
signal press : std_logic;
signal debounce_enable : std_logic;
signal debounce_pulse : std_logic;
signal hold_check : std_logic;
signal hold_pulse: std_logic;
-- signal rapid_pulse: std_logic;
-- signal rapid_enable: std_logic;
type states is (idle,wait_noise,check,debounced,holding,held);
signal state : states := idle;
begin
output <= press;
pulser1: pulser generic map(delay=>delay) port map(clk,debounce_enable,debounce_pulse);
pulser2: pulser generic map(delay=>delay*2) port map(clk,hold_check,hold_pulse);
debounce_signal: process(clk)
begin
if(clk'event and clk = '1')then
case state is
when idle =>
debounce_enable <= '0';
hold_check <= '0';
press <= '0';
if(input = '1')then
state <= wait_noise;
end if;
when wait_noise =>
debounce_enable <= '1';
if(debounce_pulse = '1')then
state <= check;
end if;
when check =>
if(input = '1')then
state <= debounced;
else
state <= idle;
end if;
when debounced =>
press <= '1';
state <= holding;
when holding =>
press <= '0';
hold_check <= '1';
if(hold_pulse = '1')then
state <= held;
end if;
when held =>
if(input = '1')then
state <= debounced;
else
state <= idle;
end if;
end case;
end if;
end process;
end Behavioral;
| gpl-2.0 | 727728b0ca86aa0d9affe8ec442841ec | 0.593269 | 3.135957 | false | false | false | false |
notti/dis_se | testbench/tb_filter.vhd | 1 | 6,011 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library std;
use std.textio.all;
library work;
use work.all;
use work.procedures.all;
entity tb_filter is
end tb_filter;
architecture behav of tb_filter is
signal rst : std_logic := '1';
signal clk : std_logic := '0';
signal clk2x : std_logic := '0';
signal ena : std_logic := '0';
signal addra : t_data2 := (others => '0');
signal doa : t_data2 := (others => '0');
signal enb : std_logic := '0';
signal addrb : t_data2 := (others => '0');
signal dob : t_data2 := (others => '0');
signal web : std_logic_vector(1 downto 0) := (others => '0');
signal dib : t_data2 := (others => '0');
signal bbusy : std_logic := '0';
signal mem : t_data2_array(4095 downto 0) := (others => (others => '0'));
signal serial : t_data_array(1023 downto 0) :=
(32 => X"3F",
33 => X"3F",
34 => X"3F",
35 => X"3F",
36 => X"3F",
37 => X"3F",
38 => X"3F",
39 => X"3F",
40 => X"3F",
41 => X"3F",
42 => X"3F",
43 => X"3F",
44 => X"3F",
45 => X"3F",
46 => X"3F",
47 => X"3F",
48 => X"3F",
49 => X"3F",
50 => X"3F",
51 => X"3F",
52 => X"3F",
53 => X"3F",
54 => X"3F",
55 => X"3F",
56 => X"3F",
57 => X"3F",
58 => X"3F",
59 => X"3F",
60 => X"3F",
61 => X"3F",
62 => X"3F",
63 => X"3F",
64 => X"3F",
others => X"00");
procedure hex2slv(c : character; slv : out std_logic_vector(3 downto 0); good : out boolean) is
begin
good := true;
case c is
when 'A' to 'F' => slv := std_logic_vector(to_unsigned(character'pos(c) - character'pos('A') + 10, 4)); return;
when 'a' to 'f' => slv := std_logic_vector(to_unsigned(character'pos(c) - character'pos('a') + 10, 4)); return;
when '0' to '9' => slv := std_logic_vector(to_unsigned(character'pos(c) - character'pos('0'), 4)); return;
when others => good := false; return;
end case;
end procedure;
signal init : boolean := false;
begin
process
begin
clk <= '1';
clk2x <= '1';
wait for 5 ns;
clk2x <= '0';
wait for 5 ns;
clk <= '0';
clk2x <= '1';
wait for 5 ns;
clk2x <= '0';
wait for 5 ns;
end process;
process(clk)
file memfile : text;
variable fname : string(1 to 63) := "/home/notti/uni/master/dis_vertiefung/se/project/src/filter.mem";
variable buf_in, buf_out : line;
variable f_status : FILE_OPEN_STATUS;
variable good: boolean := true;
variable o: character;
variable i: integer := 1;
variable val: std_logic_vector(15 downto 0);
variable ser_out : integer;
begin
if rising_edge(clk) then
if rst = '1' and init = false then
file_open(f_status, memfile, fname, read_mode);
readline(memfile, buf_in);
for j in 0 to 4 loop
read(buf_in, o, good);
assert good report "memfile error" severity failure;
end loop;
i := 0;
loop
read(buf_in, o, good);
exit when not good;
assert o = ' ' report "memfile error: " & o severity failure;
for j in 0 to 3 loop
read(buf_in, o, good);
assert good report "memfile error" severity failure;
hex2slv(o, val((j+1)*4-1 downto j*4), good);
assert good report "memfile error" severity failure;
end loop;
mem(i) <= val;
i := i + 1;
end loop;
assert false report "read " & integer'image(i) & " tokens" severity note;
init <= true;
i := 0;
elsif rst = '0' then
if ena = '1' then
doa <= mem(to_integer(unsigned(addra)));
end if;
if enb = '1' then
if addrb = X"FFFF" then
if web = "00" then
if i = 64 then
assert false report "stop" severity failure;
end if;
dob <= serial(i) & serial(i);
i := i + 1;
else
if web(0) = '1' then
ser_out := to_integer(signed(dib(7 downto 0)));
write(buf_out, ser_out);
writeline(output, buf_out);
end if;
end if;
else
dob <= mem(to_integer(unsigned(addrb)));
if web(1) = '1' then
mem(to_integer(unsigned(addrb)))(15 downto 8) <= dib(15 downto 8);
end if;
if web(0) = '1' then
mem(to_integer(unsigned(addrb)))(7 downto 0) <= dib(7 downto 0);
end if;
end if;
end if;
end if;
end if;
end process;
process
begin
wait for 61 ns;
rst <= '0';
wait for 20 ns;
end process;
asoc: entity work.cpu
port map(
rst => rst,
clk => clk,
clk2x => clk2x,
ena => ena,
addra => addra,
doa => doa,
enb => enb,
addrb => addrb,
dob => dob,
web => web,
dib => dib,
bbusy => bbusy
);
end behav;
| bsd-2-clause | 237967947fc05b83c792a37ba022c8c8 | 0.417734 | 3.865595 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/r2_datapath.vhd | 3 | 50,052 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Utc5kfC8dHAthzGiZhK+EkRLNpyg0Lza9cYpbOEhuKm1S1DCJTw3bb4WfQh8VnyRIjmu4YzA9dNZ
C1+tgMHDIw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UkTKhUcw2aFCr8GHnbhH4t8fFVk5hP4ibz9GuIpU21sLKWutytxTtXgH078XIEmWCB0weHxd3AN7
hLd907jBumFh9BsOpWm58KfYaQc0ervcwjIEM/Nn2eRieKTP56FGsEXJ6vZyYjhltbQ52OTIyZuA
+Q0oICPtaPEOew1vpLQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Xo4nXJv7/cIoNk5Vjj4S7O27GfXC+c3En/0XB+iDz6PZWKVzGzOYliTnQ3zNN4YZeXzgc+l3sOMV
vbJ2Yaj1J9snvJWwCnC1+/A/qdzf9XrYvMuDB/jXCmSm/1VKBY5KJvZxe2mRFyvsyPX8FPBF9b6z
J0Cs/cwCIpKV4zWr/XoauB73jvFd99B/h/Y38zKlg4Ti8g8wykW2DxfUEZRsfvUTfSsKgmTwLL6d
3iUU1UYLB7c/BKwNWsCTn36g2xXMb4o2l6likzY1LulNyeveHw/RXBVHDHWm7mxCvS4H9850iTDg
Qoaxw0d/ub+3r7vhWFYRNrJLI16FX6+3YKDuYA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UNGnXIDZLldXRL75T4olZ+EzIVrwgIABxfeFc8H4Orv83eXGgRC2wNmxQP4MF9NTG4KClqc3GQ8X
J8w8c40Poot9xJacK3AF/x1g7F8Yj8n5HT9pC+FD3OXa53+WslY0BmAfh6PymsG/ARFPfa4Z8tu6
VEYSxRf5L1clOXJxVwc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cbfOwPNIIgXj5cK2RyxrW4Z1ztL4p1Ij6kmrmOw9WL5g3d2Gu6cPC+oey/pjW/cVx93qEWVeUhQZ
VjR7SVwvjTrQtcLZKHud41u/5ocl4FELGxeOFBTSlly1jVKUAw3mAqEARJTBkw7weECd+XffqDAJ
BJnaTgjDMBqFz0pMxUBgX73dTMKBW4k5aKD5Mgk5f6GT9CZEERfOKCklyfbimPBBSQbSLTuhHq5c
7xyoY8979j2l9qXEZSCeBh12ZMTgTeT5KeOZRLO+75XJqa15MLWVy7eMaXgun2i0SvsCj/v+61hc
tdGkpOQRJRbxLasu76yy9zMjMtspwnJ/UaUKBA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35312)
`protect data_block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`protect end_protected
| gpl-2.0 | ade1077896fc7a185553486bd048ee3b | 0.950851 | 1.824385 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/NewCombined/RegisterBank.vhd | 1 | 4,293 | ----------------------------------------------------------------------------------
-- Company: UNIVERSITY OF MASSACHUSETTS - DARTMOUTH
-- Engineer: CHRISTOPHER PARKS ([email protected])
--
-- Create Date: 15:33:22 03/11/2016
-- Module Name: PipelineRegisters - Behavioral
-- Target Devices: SPARTAN XC3S500E
-- Description: REGISTER BANK TO BE USED IN PIPELINE DEVICE THAT USES GENERAL PURPOSE REGISTERS FOR PIPELINE USE
--
-- Dependencies: IEEE.STD_LOGIC_1164
--
-- Revision 0.01 - File Created
-- Revision 0.02 - Reset line added
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity RegisterBank is
Port ( RAddr : in STD_LOGIC_VECTOR (3 downto 0); --
RBddr : in STD_LOGIC_VECTOR (3 downto 0); --
RWddr : in STD_LOGIC_VECTOR (3 downto 0);
DATAIN : in STD_LOGIC_VECTOR (15 downto 0);
clk : in STD_LOGIC;
RST : in STD_LOGIC;
R : in STD_LOGIC;
W : in STD_LOGIC;
RAout : out STD_LOGIC_VECTOR (15 downto 0); --
RBout : out STD_LOGIC_VECTOR (15 downto 0)); --
end RegisterBank;
architecture Behavioral of RegisterBank is
signal R0dat, R1dat, R2dat, R3dat, R4dat, R5dat, R6dat, R7dat, R8dat, R9dat,
R10dat, R11dat, R12dat, R13dat, R14dat, R15dat : STD_LOGIC_VECTOR(15 downto 0) := (OTHERS => '0');
begin
process(clk,RST) -- Synchronous register bank
begin
if (RST = '0') then
if(rising_edge(clk) and R = '1') then -- Synchronous data read when read line enabled on rising edge (before write back)
case RAddr is
when x"0" => RAout <= R0dat;
when x"1" => RAout <= R1dat;
when x"2" => RAout <= R2dat;
when x"3" => RAout <= R3dat;
when x"4" => RAout <= R4dat;
when x"5" => RAout <= R5dat;
when x"6" => RAout <= R6dat;
when x"7" => RAout <= R7dat;
when x"8" => RAout <= R8dat;
when x"9" => RAout <= R9dat;
when x"A" => RAout <= R10dat;
when x"B" => RAout <= R11dat;
when x"C" => RAout <= R12dat;
when x"D" => RAout <= R13dat;
when x"E" => RAout <= R14dat;
when x"F" => RAout <= R15dat;
when others => -- BY DEFAULT DO NOTHING FOR FAULTY ADDRESS
end case;
case RBddr is
when x"0" => RBout <= R0dat;
when x"1" => RBout <= R1dat;
when x"2" => RBout <= R2dat;
when x"3" => RBout <= R3dat;
when x"4" => RBout <= R4dat;
when x"5" => RBout <= R5dat;
when x"6" => RBout <= R6dat;
when x"7" => RBout <= R7dat;
when x"8" => RBout <= R8dat;
when x"9" => RBout <= R9dat;
when x"A" => RBout <= R10dat;
when x"B" => RBout <= R11dat;
when x"C" => RBout <= R12dat;
when x"D" => RBout <= R13dat;
when x"E" => RBout <= R14dat;
when x"F" => RBout <= R15dat;
when others => -- BY DEFAULT DO NOTHING FOR FAULTY ADDRESS
end case;
end if;
if(falling_edge(clk) and W = '1') then -- Synchronous data latching when write line enabled (after data read)
case RWddr is
when x"0" => R0dat <= DATAIN;
when x"1" => R1dat <= DATAIN;
when x"2" => R2dat <= DATAIN;
when x"3" => R3dat <= DATAIN;
when x"4" => R4dat <= DATAIN;
when x"5" => R5dat <= DATAIN;
when x"6" => R6dat <= DATAIN;
when x"7" => R7dat <= DATAIN;
when x"8" => R8dat <= DATAIN;
when x"9" => R9dat <= DATAIN;
when x"A" => R10dat <= DATAIN;
when x"B" => R11dat <= DATAIN;
when x"C" => R12dat <= DATAIN;
when x"D" => R13dat <= DATAIN;
when x"E" => R14dat <= DATAIN;
when x"F" => R15dat <= DATAIN;
when others => -- BY DEFAULT DO NOTHING FOR FAULTY ADDRESS
end case;
end if;
else
R0dat <= (OTHERS => '0');
R1dat <= (OTHERS => '0');
R2dat <= (OTHERS => '0');
R3dat <= (OTHERS => '0');
R4dat <= (OTHERS => '0');
R5dat <= (OTHERS => '0');
R6dat <= (OTHERS => '0');
R7dat <= (OTHERS => '0');
R8dat <= (OTHERS => '0');
R9dat <= (OTHERS => '0');
R10dat <= (OTHERS => '0');
R11dat <= (OTHERS => '0');
R12dat <= (OTHERS => '0');
R13dat <= (OTHERS => '0');
R14dat <= (OTHERS => '0');
R15dat <= (OTHERS => '0');
end if;
end process;
end Behavioral;
| gpl-3.0 | 5c2e44a64e0d997a20694e63e0aa8352 | 0.528535 | 2.805882 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/shared/mux_bus2.vhd | 3 | 10,562 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
kfNvsHwfdPI9pRX84ihhLCtzZoQko0X6Uwj3k1FCWoJKCkUzfBogCTgJOnXKX/v/GJ0Q21aSxG7H
tOEVQkd5Ng==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XvDh2uNCTVj/706cSVsNkIGfESfIpeSJsLc9RIn3b9Q+fKUldLkrsRSF0qJ2CKnxoObuyibhTpdh
9Z/zY+tixhPuFKyM7tYYxE06kYEwg7cd18PWhxlsbfSBfiFz1xUaZNgXawDF3REF6gNQoQpFkayP
25HqpGHvk3HvU5mzz6c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Far50RAuu2Q7CNCpC5vTXktKhEj6VikmvHdQqP7QJilvRs7qkLt8O9nbi0yjVEmeJsge5r8NuW+g
E43NNgzLOKlMcyXV8I2QJVzZB3/bbUMRDN0iv3tw+Svar/a5YjFCsxZ+qwiwxbg8Pjrt6DWzDK1t
94HoORgfVsedNqD+D/SrVX3Zj0EkmdfjujYuIP9wVNWj1hCooq31A5A1/pv28k3tA1Sj+bpB34VU
EABH7NXhbwow6RzQ/SaHlVr+6oksBMwyexjG4uVH0g28h+Hml5oldrXZ2yq24FeaoWmLvr0PUjlI
6QdoPJhE9+FZImCXbP2HfaCWli5ekwJWKANreg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BHx7pGqIIGczOSc2CzfGUpuDSkh30+MDD/PzvXWOguJlR1e2ViWvmBYM+7rmMMOCqfXY9A3264WS
dBVtKXNUXsrvpG8+ry23iA3M0dGNpegYW9JiuwMZtir3TrDkk3xiF8VN6OsNLjjv4waVLlqX3hwY
FXXk8fR2x+Mw/3S1AGY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
H2eVkfiC9y+hRb8sKexUwT/5syWkkdtB23TSqo6RSYzWgt98e+dVSvXIYaDXfn37rjoBtnUoXLO8
wKNrVdP3phU0aU09Yj1P4D3Yu29w5kJxGXJFYw2RpqeaFFQz+y3YIbWwHawwo3WPqCmvtAYDVmBE
31wSas2+HEqwi/wS8MFDil2Ud1p4uW1/g5SgAP4LGYhThNHRxpuNvEQF+PbHjc1xBUx1x8xUrxdW
wwXVZEG9NlrKd0YNEx9droz+8dRJ+6/fAUrJD98acVmTd+GkPuhkyeruwcUxw2NdXcFQoZY75Lkl
VOqQqvulKVZEBuJ6Gp3qEpwVfyJG4kYnNJvNJQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6080)
`protect data_block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`protect end_protected
| gpl-2.0 | b735f0042d70bae502308c57d3598be4 | 0.924351 | 1.901692 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/Combined[old]/ipcore_dir/EX_MEM/example_design/EX_MEM_exdes.vhd | 1 | 4,601 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level core wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: EX_MEM_exdes.vhd
--
-- Description:
-- This is the actual BMG core wrapper.
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY EX_MEM_exdes IS
PORT (
--Inputs - Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(13 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END EX_MEM_exdes;
ARCHITECTURE xilinx OF EX_MEM_exdes IS
COMPONENT BUFG IS
PORT (
I : IN STD_ULOGIC;
O : OUT STD_ULOGIC
);
END COMPONENT;
COMPONENT EX_MEM IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(13 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END COMPONENT;
SIGNAL CLKA_buf : STD_LOGIC;
SIGNAL CLKB_buf : STD_LOGIC;
SIGNAL S_ACLK_buf : STD_LOGIC;
BEGIN
bufg_A : BUFG
PORT MAP (
I => CLKA,
O => CLKA_buf
);
bmg0 : EX_MEM
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
DOUTA => DOUTA,
CLKA => CLKA_buf
);
END xilinx;
| gpl-3.0 | c3fcfe0103bf36e553ccc5d5c7dad2c7 | 0.565964 | 4.718974 | false | false | false | false |
UVVM/UVVM_All | uvvm_util/src/data_fifo_pkg.vhd | 1 | 9,470 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.types_pkg.all;
use work.adaptations_pkg.all;
use work.methods_pkg.all;
use work.string_methods_pkg.all;
use work.data_queue_pkg.all;
package data_fifo_pkg is
shared variable shared_data_fifo : t_data_queue;
------------------------------------------
-- uvvm_fifo_init
------------------------------------------
-- This function allocates space in the buffer and returns an index that
-- must be used to access the FIFO.
--
-- - Parameters:
-- - buffer_size_in_bits (natural) - The size of the FIFO
--
-- - Returns: The index of the initiated FIFO (natural).
-- Returns 0 on error.
--
impure function uvvm_fifo_init(
buffer_size_in_bits : natural
) return natural;
------------------------------------------
-- uvvm_fifo_init
------------------------------------------
-- This procedure allocates space in the buffer at the given buffer_idx.
--
-- - Parameters:
-- - buffer_idx - The index of the FIFO (natural)
-- that shall be initialized.
-- - buffer_size_in_bits (natural) - The size of the FIFO
--
procedure uvvm_fifo_init(
buffer_idx : natural;
buffer_size_in_bits : natural
);
------------------------------------------
-- uvvm_fifo_put
------------------------------------------
-- This procedure puts data into a FIFO with index buffer_idx.
-- The size of the data is unconstrained, meaning that
-- it can be any size. Pushing data with a size that is
-- larger than the FIFO size results in wrapping, i.e.,
-- that when reaching the end the data remaining will over-
-- write the data that was written first.
--
-- - Parameters:
-- - buffer_idx - The index of the FIFO (natural)
-- that shall be pushed to.
-- - data - The data that shall be pushed (slv)
--
procedure uvvm_fifo_put(
buffer_idx : natural;
data : std_logic_vector
);
------------------------------------------
-- uvvm_fifo_get
------------------------------------------
-- This function returns the data from the FIFO
-- and removes the returned data from the FIFO.
--
-- - Parameters:
-- - buffer_idx - The index of the FIFO (natural)
-- that shall be read.
-- - entry_size_in_bits - The size of the returned slv (natural)
--
-- - Returns: Data from the FIFO (slv). The size of the
-- return data is given by the entry_size_in_bits parameter.
-- Attempting to get() from an empty FIFO is allowed but triggers a
-- TB_WARNING and returns garbage.
-- Attempting to get() a larger value than the FIFO size is allowed
-- but triggers a TB_WARNING.
--
--
impure function uvvm_fifo_get(
buffer_idx : natural;
entry_size_in_bits : natural
) return std_logic_vector;
------------------------------------------
-- uvvm_fifo_flush
------------------------------------------
-- This procedure empties the FIFO given
-- by buffer_idx.
--
-- - Parameters:
-- - buffer_idx - The index of the FIFO (natural)
-- that shall be flushed.
--
procedure uvvm_fifo_flush(
buffer_idx : natural
);
------------------------------------------
-- uvvm_fifo_peek
------------------------------------------
-- This function returns the data from the FIFO
-- without removing it.
--
-- - Parameters:
-- - buffer_idx - The index of the FIFO (natural)
-- that shall be read.
-- - entry_size_in_bits - The size of the returned slv (natural)
--
-- - Returns: Data from the FIFO. The size of the
-- return data is given by the entry_size_in_bits parameter.
-- Attempting to peek from an empty FIFO is allowed but triggers a
-- TB_WARNING and returns garbage.
-- Attempting to peek a larger value than the FIFO size is allowed
-- but triggers a TB_WARNING. Will wrap.
--
--
impure function uvvm_fifo_peek(
buffer_idx : natural;
entry_size_in_bits : natural
) return std_logic_vector;
------------------------------------------
-- uvvm_fifo_get_count
------------------------------------------
-- This function returns a natural indicating the number of elements
-- currently occupying the FIFO given by buffer_idx.
--
-- - Parameters:
-- - buffer_idx - The index of the FIFO (natural)
--
-- - Returns: The number of elements occupying the FIFO (natural).
--
--
impure function uvvm_fifo_get_count(
buffer_idx : natural
) return natural;
------------------------------------------
-- uvvm_fifo_get_max_count
------------------------------------------
-- This function returns a natural indicating the maximum number
-- of elements that can occupy the FIFO given by buffer_idx.
--
-- - Parameters:
-- - buffer_idx - The index of the FIFO (natural)
--
-- - Returns: The maximum number of elements that can be placed
-- in the FIFO (natural).
--
--
impure function uvvm_fifo_get_max_count(
buffer_idx : natural
) return natural;
------------------------------------------
-- uvvm_fifo_is_full
------------------------------------------
-- This function returns a boolean indicating if
-- the FIFO is full or not.
--
-- - Parameters:
-- - buffer_idx - The index of the FIFO (natural)
--
-- - Returns: TRUE if FIFO is full, else FALSE.
--
--
impure function uvvm_fifo_is_full(
buffer_idx : natural
) return boolean;
------------------------------------------
-- uvvm_fifo_deallocate
------------------------------------------
-- This procedure deallocates all the FIFOs
-- in the buffer.
--
procedure uvvm_fifo_deallocate(
dummy : t_void
);
end package data_fifo_pkg;
package body data_fifo_pkg is
impure function uvvm_fifo_init(
buffer_size_in_bits : natural
) return natural is
begin
return shared_data_fifo.init_queue(buffer_size_in_bits, "UVVM_FIFO");
end function;
procedure uvvm_fifo_init(
buffer_idx : natural;
buffer_size_in_bits : natural
) is
begin
shared_data_fifo.init_queue(buffer_idx, buffer_size_in_bits, "UVVM_FIFO");
end procedure;
procedure uvvm_fifo_put(
buffer_idx : natural;
data : std_logic_vector
) is
begin
shared_data_fifo.push_back(buffer_idx, data);
end procedure;
impure function uvvm_fifo_get(
buffer_idx : natural;
entry_size_in_bits : natural
) return std_logic_vector is
begin
return shared_data_fifo.pop_front(buffer_idx, entry_size_in_bits);
end function;
procedure uvvm_fifo_flush(
buffer_idx : natural
) is
begin
shared_data_fifo.flush(buffer_idx);
end procedure;
impure function uvvm_fifo_peek(
buffer_idx : natural;
entry_size_in_bits : natural
) return std_logic_vector is
begin
return shared_data_fifo.peek_front(buffer_idx, entry_size_in_bits);
end function;
impure function uvvm_fifo_get_count(
buffer_idx : natural
) return natural is
begin
return shared_data_fifo.get_count(buffer_idx);
end function;
impure function uvvm_fifo_get_max_count(
buffer_idx : natural
) return natural is
begin
return shared_data_fifo.get_queue_count_max(buffer_idx);
end function;
impure function uvvm_fifo_is_full(
buffer_idx : natural
) return boolean is
begin
return shared_data_fifo.get_queue_is_full(buffer_idx);
end function;
procedure uvvm_fifo_deallocate(
dummy : t_void
) is
begin
shared_data_fifo.deallocate_buffer(VOID);
end procedure;
end package body data_fifo_pkg;
| mit | f377799e4cb0933c76478b83aa23e2a4 | 0.529461 | 4.477541 | false | false | false | false |
r2t2sdr/r2t2 | fpga/modules/adi_hdl/library/axi_spdif_tx/axi_spdif_tx.vhd | 1 | 10,647 | ------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- Copyright 2011-2013(c) Analog Devices, Inc.
--
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
-- - Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- - Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in
-- the documentation and/or other materials provided with the
-- distribution.
-- - Neither the name of Analog Devices, Inc. nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
-- - The use of this software may or may not infringe the patent rights
-- of one or more patent holders. This license does not release you
-- from the requirement that you obtain separate licenses from these
-- patent holders to use this software.
-- - Use of the software either in source or binary form, must be run
-- on or directly connected to an Analog Devices Inc. component.
--
-- THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
-- INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED.
--
-- IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-- EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
-- RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
-- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
-- THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- [email protected] (c) Analog Devices Inc.
------------------------------------------------------------------------------
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library work;
use work.tx_package.all;
use work.axi_ctrlif;
use work.axi_streaming_dma_tx_fifo;
use work.pl330_dma_fifo;
entity axi_spdif_tx is
generic (
C_S_AXI_DATA_WIDTH : integer := 32;
C_S_AXI_ADDR_WIDTH : integer := 32;
C_FAMILY : string := "virtex6";
C_DMA_TYPE : integer := 0
);
port (
--SPDIF ports
spdif_data_clk : in std_logic;
spdif_tx_o : out std_logic;
--AXI Lite interface
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_RREADY : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_AWREADY : out std_logic;
--AXI streaming interface
S_AXIS_ACLK : in std_logic;
S_AXIS_ARESETN : in std_logic;
S_AXIS_TREADY : out std_logic;
S_AXIS_TDATA : in std_logic_vector(31 downto 0);
S_AXIS_TLAST : in std_logic;
S_AXIS_TVALID : in std_logic;
--PL330 DMA interface
DMA_REQ_ACLK : in std_logic;
DMA_REQ_RSTN : in std_logic;
DMA_REQ_DAVALID : in std_logic;
DMA_REQ_DATYPE : in std_logic_vector(1 downto 0);
DMA_REQ_DAREADY : out std_logic;
DMA_REQ_DRVALID : out std_logic;
DMA_REQ_DRTYPE : out std_logic_vector(1 downto 0);
DMA_REQ_DRLAST : out std_logic;
DMA_REQ_DRREADY : in std_logic
);
end entity axi_spdif_tx;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of axi_spdif_tx is
------------------------------------------
-- SPDIF signals
------------------------------------------
signal config_reg : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal chstatus_reg : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal chstat_freq : std_logic_vector(1 downto 0);
signal chstat_gstat, chstat_preem, chstat_copy, chstat_audio : std_logic;
signal sample_data_ack : std_logic;
signal sample_data: std_logic_vector(15 downto 0);
signal conf_mode : std_logic_vector(3 downto 0);
signal conf_ratio : std_logic_vector(7 downto 0);
signal conf_tinten, conf_txdata, conf_txen : std_logic;
signal channel : std_logic;
signal enable : boolean;
signal fifo_data_out : std_logic_vector(31 downto 0);
signal fifo_data_ack : std_logic;
signal fifo_reset : std_logic;
signal tx_fifo_stb : std_logic;
-- Register access
signal wr_data : std_logic_vector(31 downto 0);
signal rd_data : std_logic_vector(31 downto 0);
signal wr_addr : integer range 0 to 3;
signal rd_addr : integer range 0 to 3;
signal wr_stb : std_logic;
signal rd_ack : std_logic;
begin
fifo_reset <= not conf_txdata;
enable <= conf_txdata = '1';
fifo_data_ack <= channel and sample_data_ack;
streaming_dma_gen: if C_DMA_TYPE = 0 generate
fifo: entity axi_streaming_dma_tx_fifo
generic map (
RAM_ADDR_WIDTH => 3,
FIFO_DWIDTH => 32
)
port map (
clk => S_AXI_ACLK,
resetn => S_AXI_ARESETN,
fifo_reset => fifo_reset,
enable => enable,
S_AXIS_ACLK => S_AXIS_ACLK,
S_AXIS_TREADY => S_AXIS_TREADY,
S_AXIS_TDATA => S_AXIS_TDATA,
S_AXIS_TVALID => S_AXIS_TLAST,
S_AXIS_TLAST => S_AXIS_TVALID,
out_ack => fifo_data_ack,
out_data => fifo_data_out
);
end generate;
no_streaming_dma_gen: if C_DMA_TYPE /= 0 generate
S_AXIS_TREADY <= '0';
end generate;
pl330_dma_gen: if C_DMA_TYPE = 1 generate
tx_fifo_stb <= '1' when wr_addr = 3 and wr_stb = '1' else '0';
fifo: entity pl330_dma_fifo
generic map(
RAM_ADDR_WIDTH => 3,
FIFO_DWIDTH => 32,
FIFO_DIRECTION => 0
)
port map (
clk => S_AXI_ACLK,
resetn => S_AXI_ARESETN,
fifo_reset => fifo_reset,
enable => enable,
in_data => wr_data,
in_stb => tx_fifo_stb,
out_ack => fifo_data_ack,
out_data => fifo_data_out,
dclk => DMA_REQ_ACLK,
dresetn => DMA_REQ_RSTN,
davalid => DMA_REQ_DAVALID,
daready => DMA_REQ_DAREADY,
datype => DMA_REQ_DATYPE,
drvalid => DMA_REQ_DRVALID,
drready => DMA_REQ_DRREADY,
drtype => DMA_REQ_DRTYPE,
drlast => DMA_REQ_DRLAST
);
end generate;
no_pl330_dma_gen: if C_DMA_TYPE /= 1 generate
DMA_REQ_DAREADY <= '0';
DMA_REQ_DRVALID <= '0';
DMA_REQ_DRTYPE <= (others => '0');
DMA_REQ_DRLAST <= '0';
end generate;
sample_data_mux: process (fifo_data_out, channel) is
begin
if channel = '0' then
sample_data <= fifo_data_out(15 downto 0);
else
sample_data <= fifo_data_out(31 downto 16);
end if;
end process;
-- Configuration signals update
conf_mode(3 downto 0) <= config_reg(23 downto 20);
conf_ratio(7 downto 0) <= config_reg(15 downto 8);
conf_tinten <= config_reg(2);
conf_txdata <= config_reg(1);
conf_txen <= config_reg(0);
-- Channel status signals update
chstat_freq(1 downto 0) <= chstatus_reg(7 downto 6);
chstat_gstat <= chstatus_reg(3);
chstat_preem <= chstatus_reg(2);
chstat_copy <= chstatus_reg(1);
chstat_audio <= chstatus_reg(0);
-- Transmit encoder
TENC: tx_encoder
generic map (
DATA_WIDTH => 16
)
port map (
up_clk => S_AXI_ACLK,
data_clk => spdif_data_clk, -- data clock
resetn => S_AXI_ARESETN, -- resetn
conf_mode => conf_mode, -- sample format
conf_ratio => conf_ratio, -- clock divider
conf_txdata => conf_txdata, -- sample data enable
conf_txen => conf_txen, -- spdif signal enable
chstat_freq => chstat_freq, -- sample freq.
chstat_gstat => chstat_gstat, -- generation status
chstat_preem => chstat_preem, -- preemphasis status
chstat_copy => chstat_copy, -- copyright bit
chstat_audio => chstat_audio, -- data format
sample_data => sample_data, -- audio data
sample_data_ack => sample_data_ack, -- sample buffer read
channel => channel, -- which channel should be read
spdif_tx_o => spdif_tx_o -- SPDIF output signal
);
ctrlif: entity axi_ctrlif
generic map (
C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH,
C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH,
C_NUM_REG => 4
)
port map(
S_AXI_ACLK => S_AXI_ACLK,
S_AXI_ARESETN => S_AXI_ARESETN,
S_AXI_AWADDR => S_AXI_AWADDR,
S_AXI_AWVALID => S_AXI_AWVALID,
S_AXI_WDATA => S_AXI_WDATA,
S_AXI_WSTRB => S_AXI_WSTRB,
S_AXI_WVALID => S_AXI_WVALID,
S_AXI_BREADY => S_AXI_BREADY,
S_AXI_ARADDR => S_AXI_ARADDR,
S_AXI_ARVALID => S_AXI_ARVALID,
S_AXI_RREADY => S_AXI_RREADY,
S_AXI_ARREADY => S_AXI_ARREADY,
S_AXI_RDATA => S_AXI_RDATA,
S_AXI_RRESP => S_AXI_RRESP,
S_AXI_RVALID => S_AXI_RVALID,
S_AXI_WREADY => S_AXI_WREADY,
S_AXI_BRESP => S_AXI_BRESP,
S_AXI_BVALID => S_AXI_BVALID,
S_AXI_AWREADY => S_AXI_AWREADY,
rd_addr => rd_addr,
rd_data => rd_data,
rd_ack => rd_ack,
rd_stb => '1',
wr_addr => wr_addr,
wr_data => wr_data,
wr_ack => '1',
wr_stb => wr_stb
);
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
config_reg <= (others => '0');
chstatus_reg <= (others => '0');
else
if wr_stb = '1' then
case wr_addr is
when 0 => config_reg <= wr_data;
when 1 => chstatus_reg <= wr_data;
when others => null;
end case;
end if;
end if;
end if;
end process;
process (rd_addr, config_reg, chstatus_reg)
begin
case rd_addr is
when 0 => rd_data <= config_reg;
when 1 => rd_data <= chstatus_reg;
when others => rd_data <= (others => '0');
end case;
end process;
end IMP;
| gpl-3.0 | 1b555b7861f473ae672f0c856a395132 | 0.609092 | 3.011029 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/clk_108MHz/clk_108MHz_funcsim.vhdl | 1 | 7,474 | -- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.1 (lin64) Build 881834 Fri Apr 4 14:00:25 MDT 2014
-- Date : Mon May 26 11:12:25 2014
-- Host : macbook running 64-bit Arch Linux
-- Command : write_vhdl -force -mode funcsim
-- /home/keith/Documents/VHDL-lib/top/stereo_radio/ip/clk_108MHz/clk_108MHz_funcsim.vhdl
-- Design : clk_108MHz
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity clk_108MHzclk_108MHz_clk_wiz is
port (
clk_100MHz : in STD_LOGIC;
clk_108MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of clk_108MHzclk_108MHz_clk_wiz : entity is "clk_108MHz_clk_wiz";
end clk_108MHzclk_108MHz_clk_wiz;
architecture STRUCTURE of clk_108MHzclk_108MHz_clk_wiz is
signal clk_100MHz_clk_108MHz : STD_LOGIC;
signal clk_108MHz_clk_108MHz : STD_LOGIC;
signal clkfbout_buf_clk_108MHz : STD_LOGIC;
signal clkfbout_clk_108MHz : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
attribute box_type : string;
attribute box_type of clkf_buf : label is "PRIMITIVE";
attribute CAPACITANCE : string;
attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE";
attribute IBUF_DELAY_VALUE : string;
attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0";
attribute IFD_DELAY_VALUE : string;
attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO";
attribute box_type of clkin1_ibufg : label is "PRIMITIVE";
attribute box_type of clkout1_buf : label is "PRIMITIVE";
attribute box_type of mmcm_adv_inst : label is "PRIMITIVE";
begin
clkf_buf: unisim.vcomponents.BUFG
port map (
I => clkfbout_clk_108MHz,
O => clkfbout_buf_clk_108MHz
);
clkin1_ibufg: unisim.vcomponents.IBUF
generic map(
IOSTANDARD => "DEFAULT"
)
port map (
I => clk_100MHz,
O => clk_100MHz_clk_108MHz
);
clkout1_buf: unisim.vcomponents.BUFG
port map (
I => clk_108MHz_clk_108MHz,
O => clk_108MHz
);
mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV
generic map(
BANDWIDTH => "OPTIMIZED",
CLKFBOUT_MULT_F => 10.125000,
CLKFBOUT_PHASE => 0.000000,
CLKFBOUT_USE_FINE_PS => false,
CLKIN1_PERIOD => 10.000000,
CLKIN2_PERIOD => 0.000000,
CLKOUT0_DIVIDE_F => 9.375000,
CLKOUT0_DUTY_CYCLE => 0.500000,
CLKOUT0_PHASE => 0.000000,
CLKOUT0_USE_FINE_PS => false,
CLKOUT1_DIVIDE => 1,
CLKOUT1_DUTY_CYCLE => 0.500000,
CLKOUT1_PHASE => 0.000000,
CLKOUT1_USE_FINE_PS => false,
CLKOUT2_DIVIDE => 1,
CLKOUT2_DUTY_CYCLE => 0.500000,
CLKOUT2_PHASE => 0.000000,
CLKOUT2_USE_FINE_PS => false,
CLKOUT3_DIVIDE => 1,
CLKOUT3_DUTY_CYCLE => 0.500000,
CLKOUT3_PHASE => 0.000000,
CLKOUT3_USE_FINE_PS => false,
CLKOUT4_CASCADE => false,
CLKOUT4_DIVIDE => 1,
CLKOUT4_DUTY_CYCLE => 0.500000,
CLKOUT4_PHASE => 0.000000,
CLKOUT4_USE_FINE_PS => false,
CLKOUT5_DIVIDE => 1,
CLKOUT5_DUTY_CYCLE => 0.500000,
CLKOUT5_PHASE => 0.000000,
CLKOUT5_USE_FINE_PS => false,
CLKOUT6_DIVIDE => 1,
CLKOUT6_DUTY_CYCLE => 0.500000,
CLKOUT6_PHASE => 0.000000,
CLKOUT6_USE_FINE_PS => false,
COMPENSATION => "ZHOLD",
DIVCLK_DIVIDE => 1,
IS_CLKINSEL_INVERTED => '0',
IS_PSEN_INVERTED => '0',
IS_PSINCDEC_INVERTED => '0',
IS_PWRDWN_INVERTED => '0',
IS_RST_INVERTED => '0',
REF_JITTER1 => 0.010000,
REF_JITTER2 => 0.000000,
SS_EN => "FALSE",
SS_MODE => "CENTER_HIGH",
SS_MOD_PERIOD => 10000,
STARTUP_WAIT => false
)
port map (
CLKFBIN => clkfbout_buf_clk_108MHz,
CLKFBOUT => clkfbout_clk_108MHz,
CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED,
CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED,
CLKIN1 => clk_100MHz_clk_108MHz,
CLKIN2 => '0',
CLKINSEL => '1',
CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED,
CLKOUT0 => clk_108MHz_clk_108MHz,
CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED,
CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED,
CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED,
CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED,
CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED,
CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED,
CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED,
CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED,
CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED,
CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED,
DADDR(6) => '0',
DADDR(5) => '0',
DADDR(4) => '0',
DADDR(3) => '0',
DADDR(2) => '0',
DADDR(1) => '0',
DADDR(0) => '0',
DCLK => '0',
DEN => '0',
DI(15) => '0',
DI(14) => '0',
DI(13) => '0',
DI(12) => '0',
DI(11) => '0',
DI(10) => '0',
DI(9) => '0',
DI(8) => '0',
DI(7) => '0',
DI(6) => '0',
DI(5) => '0',
DI(4) => '0',
DI(3) => '0',
DI(2) => '0',
DI(1) => '0',
DI(0) => '0',
DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0),
DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED,
DWE => '0',
LOCKED => locked,
PSCLK => '0',
PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED,
PSEN => '0',
PSINCDEC => '0',
PWRDWN => '0',
RST => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity clk_108MHz is
port (
clk_100MHz : in STD_LOGIC;
clk_108MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end clk_108MHz;
architecture STRUCTURE of clk_108MHz is
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of STRUCTURE : architecture is true;
begin
U0: entity work.clk_108MHzclk_108MHz_clk_wiz
port map (
clk_100MHz => clk_100MHz,
clk_108MHz => clk_108MHz,
locked => locked
);
end STRUCTURE;
| gpl-2.0 | ce6e1274516c7af001c6a8d778cc4847 | 0.61841 | 3.318828 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_recip/flt_recip.vhd | 3 | 44,326 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dRPyPKIotlmxhYMz81rVSAdtdHlNVfc6dPI0wUOlA/0fsImqArsCYqsRQVFrfdLLYClSf4nvhujs
MeSx8CMIpA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FLY4tYYoOXm7BBAVrydNeUvulYTBaJU3tEKVwzI6Ls7rsvFii88AqR6fmXsDOxpjxiy6De0KX1vN
NUUnSjDUvjO060tVufULge24MY+Hzwbj2AvPwmzUIKEaTBn77LHOOipABrx/mwE5qJ3tKhoQfe4q
4bG5Z/Uni/09jp70A/Y=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CyyXcBVJ357hGP5I7+JdONSQCA48iZ5g3oYq4wcXL0C5/MGI+8dxnSi/tBYIP78Tg95/bPJdXnPV
9wXGYo7UnnpMcOuTj7bjhxqDWj2sbkJHXSIPW/TkljIqXrZUmlOsiSuNXZELXeaEvR1aZwfV511+
Oj+7zPL2AtwVm7pUgGIsjJ+KGNOr5N3W7ahYR+MM91dsxcgxTntT7pJu2r1HZdcthcv2kfqmSViR
UyIW/qjDhpAsFqU0x/hAVYVS2QX8BnZlNmtUN+4iHDXyqVy/grUexR5N8lRQ7gpEIfybMkBcICO5
gO92tuQa5zaaZTDrpPAx31kPdTJr1bE9OgYouw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BDXiNBUuAX5aJjIB4q+UgX025MyvVgGXDo8jdQHlx/IugIpQ6QtT9Mb+ZY3H1ZGzrrNV5MOp7ZEb
EHtjD7VnsJq/h4/qNCQrTTjpraSdnOn3jUuPdoqF2HDjaoOI48piIsdS0nvsfGPDgrG+7CVStygL
mH+B4ecIuVyXo0jJ/+M=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XX/tLKdqlgockldwi6lD6b6sEX49oOSDHrVNKL21uU5o68mAYzcenXPPYZgfGemnageSaunbZLLk
/Qf3GE7ViE1VFNjBZBL+lBdt7RtPLc5+ccpD4UZEtW0iejqjtKIP8+CX2sqvp1MTuQmDvfXviZs+
UrRSRWiNFU+WmncjEeTkIc0kDDTwyOw87Zd1nGlDz4jvCu3lGm2l/cgaXa5sFKFiClK2glWGidjU
j6AbWjrAzYU4Kay/859TYFg1ogGRoKOdTYaKMRmFg2rHIpiBmjy092TSifin4uy3dFF8RXF1eyW2
E62EsOhlmrA9e87Chom2L0uXYmZb2KiPmrsCmw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31072)
`protect data_block
GdAkXmS1zhVrET+Qp53/KHnxlmAHKXR6spvcqWmk2NGLm43Bs7iCYHhkihwEGApqRMdD3udFjjEY
N4NdjaBSgG+8Ajs7vhWF2ZHgS6IzOvhK7n8L1birWz+0pAMi/LXJMGnw3+Ud7csCRGnCz8CaDfsi
DrX4AAdDNWzU/4J0V+7SBChkHcPeuP47EZOZPNPd6Kp+A4pIghawB490+80um4duyM2dNauxD+Rc
RW+A5UJ2DgDlA1/WZHiJ3Hj9cJLXSoTC4LkdRH59BG/ZS12lz2ms4WX8FZd/Lsf7QH5zVH/N4o/2
bjqpg3xp63E5a6rJI/4LXD3VKY/mGlN6Lu2AABEhRb2Mn3js9C/1DGmdgFuIUw43DKDMMt+0E8mP
suyk8Gtj5w1ZKhCH7IsvEO/DwxgoWpQsBOiAIrXaWMxagxwJq8+uIme+76wXmCBBaHQhwo2H3Uvj
PN9Go5Fi59IwJbN0akA8A2Venud8laTuUSw/cAS4Vtuy9dQq3tTEwLxNRI9h2AQ7+ndbi6p1jMIq
j2LQD9Qs/+HdHFjFR4f16J/tdCTdd5A2dDZ96VLfeG2AuCXBzmGD1MUlXTN0VtmRV42++8qXg8KN
HK+7jgaOGvAfLBK+ak0h9OS/UgaJnqmFTAL7hCRmqwIV/WuJ/P46W47aDqmyVwdoF0tfnNQCXPj2
jO9+d2V4X7ejbkSOJifn7tsIjhtmJ324dbf0KZ0ZzYmjXooGIEMEusfIx7NUCxEzxhpnXXYTx6fp
YeNcNGgMjwcA5kL1BkRlvB04p1sEcYLDcpFJSIBwB1NhA2bJDIAJnd8HfWiHqNjURpc9XkJkeJAh
KvmEMi6qYSQxUocfnZ/dCWQQZkuSoQuPDrBVC2AMd9P5W594CoEec/Z4pSbZgKhtEZhJBcphoJzY
okp2oBaQjkAZQ/Eaylr0hd05nJP27TVxO3Oiai85beYv8gHrc0k1I0tTscHUQ7MR4v7S3r7n/bWq
0aMnnNe+I5rYYWgBzjjKa20Mma/cLTs1f0Q5AstbjqeuqUKvO2WMdxH03muJnGZp2+K0l2Df1QD4
tl02jUu/YEyw92I+8JG/z3RmAxNBuJLeiXrF+6M3nF5v/VTzWamujkrunEJRcoietfwOIujSyV4d
7M80WAD3oSascXd0Lx5aijoCGaLIVreDtZkI+DD/VOQwq9GXivgnpOFs0dfEsxEKlhACsV6ooopf
w+tY7xqej70YF3jGRAOwTgsW6c6cg/IcfOYKZN1tEnFBkp0poOKCFFt8Y7UXEvivQp4KdYE7So4L
lKxzdwa37V+/M0uHaQT6S84e6Yujx6c0WLgEkhw4GFTZyEJCVyq0qanQ66BaY2VmpLH/b7S4zkTF
ALolp2QBjO6vU5pZmKhXeUFUmRZqvJE5u6ONkhSQXC7lanC+H48DWDCAYOM0OCpe+cf33WJ0luHN
ZVtkBM6BsTKr5fdWTXZoFWfG06AX8r3WbEdOVPsz9jIYWh5sYMxt54RlEkgHZ4Otjs7rJ0XOJAbt
Ox82qX35jXLK0al86XRtvhdkNbF6dAUdR6BfQ5OpiD7CB9UmjR4vyc51iJVzSGNGJURp9F9SGimn
hEUc/g5vP+FdSMm9HR6CacllWdRMHt8fq9W64rVvRfQCAmlXKRCrjHg2F5dJd6qYBQSturaddIAg
JkTk1oeeDpln4f84h/g5K18HFjd9TRzqYBV9gm3ooy+aXNA2yy8GqNQT3bDlph8IrtZzcE3RwzKj
Paww5Tw1s4kwcAaFugg55Kj4PJKmpA4Vqgoiy8SbixWZJ7tTsCdroSwscWD6abnAtkdJFR7vCWgV
lsWdgDajbx7lUzWFUKO1rk0WqZUhwk/F3fB4Rzvdsd5JQirCdRbwr9zGkUyrtNiX2lyy6Arec7B8
GeobhOrnvj8R4NSZMKm4AVdLOe6y0RQNl+cjJwGas/8pRUhR+S0SWVAPEqILR4TafI5fesXPulK0
cEKbbCFFT+nixHe7EggsL/x1JUftKwOlqf3aWgPPmSK0+4lPY8Y2VdYAQ0NOoo8eibA7J3eVDoNG
ssWl5GO9cCF8nQYLS5v697Zqt96lrweMJDD9PkHNekhrN7P1n7jkhTBzhkuMDcB2NngQu8rea0CW
c6A2SQ3ErLyZYoM4st9JQSiD9KxnybdwO6Y+9MT6Z/G6R3fiucyW5Lt7I5fv/vS3pNK1NUWNsD0F
u+q+SxdICW07Gt3RYHE5Tjoh9yAsUlTI0IljZsGT7AVy9QYBAFFQxkSTR5tOID6aK9pbhms0ZOuK
3FuTK6HQvcUaCqHKC0p7O1rKO75Bf2BHqP2KTWdeBOXiLVgZVRIYOrunYSZjwFQ+BmRPid2pv4T2
OiyD7JzvK6roYH7Gucvf46LR6BO+1GxCv58VBiOvSQMwVtoCC2ZZh01zRGudQy3DVI/g8leP4v9y
CdKs+6OK7ynZAx0hjeR79VaGKp6xZQB7OP1E/6a0CfsWLC75CPhZUlFCiKv9d4GZYyYNNTrK/pRP
pnAKSZvDsI7uma8Hn787GtlCVONZI31ua9PLlumfTR2if5HLjrwLM/lyMS+EH/Lr7hUfuzAEwlzV
EHp3fmkKnadtfWi8KcqMTmAlAJiz6huooA+FUmKwMR72uOjYenLVlHUUbIotNlih8uzc/QIqJZyY
OOPh2liRzxk9qczI4ezm2+lZGd7fix9n9hi8YpAYml/r84ffWB0J6fmTZZldySixxYaf4SdWUdg9
23159bQzzsafpBmD1j3EeSNw7FYrLl7srxKMKnXl5yA3Xm6kKwvrFq7vJ+1ycM3ze5z28Q/d+EnV
3Cc7JIYFCShkJUDxG7Ewf1lF+EaCpSgJiUnmUzj3Pgv5FnXuKQuUlApEb18PreZ+fqmnt4YNgLEj
CJLdkZLtwfVlkBsWAGBtH96UxKRBb3LL6GOscMwgjMYaqRCuoeUkfUaJ9pe5izPDKxBySQ715g2n
jPFMSmvPMzQlf3RKp0f72ergo/5jaxOO6275HEfG79IvVhoSuZ1P/FhQuNgSJs5H/5SmXvAZ8/CL
7eBOD+vxoQSQU74PG3Bum7akYo7xVWPy/A6t0yGrjqsSEwTzjEH7fDOrv0DLAk+h9UKCAhrl06V1
+usX1X3hHk+69fD9OYNM+bNRcA1LLeq/kn0u9INfljkFebEyCxwx00W+7ZUNW6zDoXSwDL8yaUnV
9Tqz6uf219qCmcLz9Ik5SISX/xRLtPduL4LdGDNEfuhzkWUPC3gdqGV3uXPbNpEs9EiUZDh3cTzr
WjN39VuPpPQ+MWj+SToYGI012aWNm8tAuxDRIkVAp/FpipXAaVyd2M6zlF6X4ekSjYGmYBHSxm43
pz4hc+cBdsxN0swg8gEfkmSW4rjoSVNi8kOiR65iIecAbUhVjfyy0gUCNWaNtLah3hI0JnA2Hanq
3GNi0qInOpeG1/1b1zs1yIe5MXag38oTpeMFlWY4IaXg/V2LvVfnQHY5BE1VpUsD4VIxfewK6aIg
kbM+nv+Xrbq5unpYKtCdG8Zn5eeuEY9N1aiPVl5oPf2P/RixPwXAwck1PEmqmWJJP2akdpFHrr44
4RNyFyR77fFINIQdOpRBAsZFyDPtoV1kDJTSxIZGTl/k2AQmX6cASWn/opVxCbnvhP8bFgQXM2w8
gucBRMBHj+P8K4RZcmAfHZ2dL1Lk+buRESbEGzS2tQZENRXB7E5umS7Fnwg7t4Vw3+ynI9ucrseM
q+0JMBIQbdJjo8cePraX3phojSUqTgOqqCNu4quoubscnamT+NdZWbiAaFlChHrYGCniQwasZ1RF
aGMWzLIpz9Qd8kZYx9I0CDj6dsfg96XOdxBjwcXORmNIexmSJIvIY7HiRiDbsdLIk8uoZTDJbs17
f3KTXgoJhearM2bQI8n5uybWngg711GkvMFcVipXTQturnNkpJZ74ZVTr4V2Qw4R2EDXJ+/Vzfod
EsAvHD6wWAmOiPIDeOv4ChrkRV7biRvjN4GYlNcRxzwrqjHnh/5FdHlW9N3ZzDqHKydLiqwVb4hy
yZhb8edj/Ja8j0A0T5KNXyszdFpRW0M5479WOzmjsJXInFow9sBFRbAG3KjYqoSBIS04H8IIIQ9/
Nen+dXr2Uqqpf5zNbw56ey9BwMDuH/fORX3vk9LZJX9tuXoFTXx1HHxeHeKfB4lU9ZSGVxYsFn0l
FHBMwcZ3l0iJhR+FN07zM6YzCWJr+lNOj+OpPoygKLrTxtU6WArpLqX9wMN4LZmqrYfJzuFZvRy9
dZuyUO9c/C51a0EYyyDibvB5SBbgB9PjuYNCU4fdzyDSYK14PfRHfMFED+ooHLk07JJUIpASH4V6
ucQA3vPQyzzB/1QWsrKwjrcfd8GSF5O7Aqkal+777NiuHAQLNg5VtOdPMmS6kauLlL22W8ZsI4eS
MazZO4eGlns/lM4KOyknRv9axm+jrT44JmNv6DcaARlV5O331AnjkLNNRL1ap+cWB+LBgx34V2VP
ICFFW87XFSdL1OpauF1Ey5uBnKU3AK0Yl0jkQc2crMSxSVZ8qsxJgnN7VK31xnFFZX2+Md1UGa5x
KKdoD/lipQxconpRMLybpXwNs6CARUvhcEkh6NA22uWeFrIHcIWuKjHtA4lskNvSsedw6burFpRi
5RFZcGYkaW+c2+49brAkCcdnZOV0PodWKkPNB8pewwynIIMkmHUsKcDBaIdxT5dSaT5YOxnFC+PF
j49TNiMeRqe/z/TiXErKL+EvAx5fIM7T7AF/NiQyuJUl+FATXs3BE26MRrco5pN1scn8ix3RjD2u
7wV0bC/WWhQRD41yduCMQfOVnbM6gM/575ubWV7ozYj+6DIMfROioD1xnqxziTSBa88Mkba8VIoe
t7l+6cZ5ksWyrU9kXsIMILiyRaGvUYSwbiDFCM+L5gzdO+BO6uUF+/RMW3yyIPSNTkWpF4Xkpauh
DYB/N6z8K7zP4hDXqiHKvGqbxrCLxYWOjmR4RJGe5KErASHbuYlmh5LzxTepWCwl1TZ6GVQAosHn
HkIgXhSp6tjq6rdVIibm8tF6Q2PJbfO6T17eJKGNxef0rKiP1bMMe0YgH8G+RHG1Q7jaT16qllhy
y5rLfTkQ8EH8egixSyHYjppaiCCPVqwCotlqmjnRu+Y7Q/95QmqpB4zz9g5LccZDVW/HA3eXU53O
iY/y+RuUwRC2W7KaYn5zAZ6Scrix0Lp0+fWRxYVtw6nc+R1cwm+a0+igWlFhb41MUiL8J+bwhJYV
C2BcGbUPOCxHfFg0tQyc51IQGkqvsBjeOpQ9r+tWWnK+tp4VMAsMSFlWoya9JR9DAPcP6f1mloVi
JTXDzzfbxhGsqky/+cIyj05VqfWclQxHMByxqVc/R73IOOcHWPwjXaMDuarXc09IsHq1m5DyY/Yl
KgIHDzTLxqoJTWejA+6hm8nwOibUFetAVKpSyWbO+T3r9UG93+YIw8kG8oC+YNNRxq/rHvmwNBOS
NUN0pWlVFTqOcaA0BgdHNCIGgenVPqWI4DT+cmNoT9j1zd3DK4NQG9RE6GoYgl6+3vCKNErQFX8K
uwSvuklB3XUyi0/mZIqn85L/yNC2SLEReoRRsZ/BGj3lr49J1aar+0yDGJmGg81fEc4lm9F4G4/N
BVhAZ3d8/VBEuyrETPIUYnCv/orb7irGjT0QPgI41kj1L6n9PSViIHl82T8L0wnX0ioCER4SaSwJ
j1RETC25N1GWkRn4hX8t0veQvNdkmWz/r+2HQfZRlhA9fcejcXgDQ3OgWz7AtaTKCahfhJ0+ViE9
RRPTwPL1W46uEWnz31OPpgxbt1kza+ZTzpk1jhXPUqUwdYgou+F31JFZIVL7BAF5MYtK6Ta1VHSO
naNSbHPskJ3jByMKPsfqeyjlrFodofasmIYbwtWOKQ5TjOswZnOS3UnHjQ+f+Fd3rbWqkNrnCCT2
hqDTqcvpSkS652lw1up13Uw2dMW7zinzVSSxF8+5YrwHSrvL8NfoauoOco2JgVxKymm4AWOc/9+t
O6PSACbKXiOIvvBnDUez5tilRcR8jH2TXLDKgxOZP+3BUB2T8aFqwSFU91AaU/B6TOBtUgBcwopx
Qr0Ynjg7mZmmLVtjmRKc2BlX4T+yuHcPfQqDuWDSVCVpUa+bk8ox5lT9Mb6x7gRv1X9Mrz34eMEv
q+frA8hwLMJQuonaz5xkyz/uTdCyUIZLYhITQd4zBzdXfgl0LyTwbZvN3rXpPmYEig/WSVniUlj2
STIa0m7HFijSfhByB/5/9qA9CbQehBdKhj5zIOFSxZOpYnttTe17PRCMvfRFOmYvX5iUT5UTHIN+
EXkiXlBOlUAizsdBtwJTGgwLDIEaBRXCAcqltRaxgwARtf5yElBIZJll1ilmkfxY2mqa54DaCCN8
ab0XgTiIsH6NZtkQ2nAhNg6YczukmokOpz4yL5hnoBirLMs1duPOOFI60Pm9YHeXQuvjmWaCIa3W
hhc47n2DlDuVI2bHb600o4dNicMqZjf2PaIaHdBddFaen3ArcfQmgJgGjr+XmuOM34JhEqm4oGKo
CdznWzCsLVksq8sIDhEyCUUX/rM8hjJN13dipLYRSI4sUsUAYerclgmsHS55D202j3JXqhP8CFcD
OpmPU9m50hyJsRooXNYM/g0lmJ1OzHgsDtS1uXPasTwhiZE18kTJBl3HlxpYcG4Brax5r48TgUZs
Bbhb2ZXbrCk0Rf7FlKicDRHhHK5M9BLqm5ey/H12ySJDLyYHABaH0ovVgNtuzvHGDmV2buueCykk
T6DI4yg33AFChHUyFIsJBPGpJI3WlVPNJQZUphpRhcFiwLIOCpxSBGwIRyJw8yeuS37IpI3DuQPm
1/imNtXPcrl+4X/Q64aj9e6rerz/ce3wesOmqWq2jTy6E+n6e/+lIK69/gf/+6eBSoCUh5YCL2b1
D5LSi203eeyNFogb9/tF7Km9UJoiVmM8hRgsIxg9onv5TVVIjiVHpjLMtmIFIJxPCf6KR2+iqAoY
IKEuX2q1gPS9kIsVCW6D8TIcZve29CknpE1lj/hF3aYpeD6bFfpDr/DKadSh8ujYGFvE/Ds210gr
u2OxNVGi6vTfLB9fpbJUgTQeRsHYlKS5kcXzMYEiu0zHpPBc7enm9GSlJZ3YI8o88sit0KIZ4Ml7
hTLP2uPtg55scPubpdKo8MqoxI1ksaa36vG6vmOePjSORFQh+qPufaHgjmqWUoL/FO5wXwMMHnqa
Py5fERqxgRpYNj/2xHkDFXAE04Hmh0WXM3LZOUHIBe/Nq7UzXG6y6iDscibbg1XCfgElAtinTa2B
AunO38dWVcXU4yWn/GVj9xfgjYQ0kUqm0PPnvsr1TjNXYkZucd7GNvhglLQclbj3Jj/MZ+O5ih1F
IOZZeixi+Nu6qwnvzHGd3qFQGiHc/7GPB5oS+b6MHk8gdmYpOIqntS9+lhz65/pBxwRN/w9Ab1la
0gtuOk0cfY6GgcI/Mv/jyaxtYeCNcM0ms96F7zEiKj7iKhxH1R6xE80AhvGKteUYl5lcU9aG0JwE
XllTdEKDW+in8vzUHH3anzULFvm64BguAFc6jQ+cCzX+aut84xdAAMUKTvRQNk1gAb0MFIPseQkD
KolJLHZn7oty7aWyTpijH/5jqoWKHzlTj/qlUfPirBwliLkV8DzAeoL4DsGxeeQmfVsOS4KAfxvX
ssE4kGdckjhsNSh4y9uEFO/mFcxommfXGGtSJ6p95DnM4AflmW/AZs0g8GgO8h7nCsmduZpHuokl
biuNApfqk5bzy/fwPO7HO+qWSyjklQuhemAN5iC4SqAB9C4VR2CQIyoXTPqE+tENa+Z7Sj36rq7D
IsX5E39/toifIiLd6qtOa0utDNyqd0E1cQiYMge8v9h10a2bBxNjwFJ684LyYa20zOkuWUUdJAOy
yoPy+UnCGIleS6ITlh5X6awo1K8ruLK3ChpDo1S/0WC/cxg+dh4xaQKO3GU6fyMmjBIg+VBXnlyw
mwIIdNkU8lNZxTe360AAJpm98djxVzhScXI9wCJJQOjxRhwRPbDrzJd3ZbovhTDivZLhXaPignGs
sK5xV0rcSM0F7piAPk/yyjMuiH+aBckhh3w8FjzfdC4zfzV3+FWTHo5h3BIw2PRHnbqwCmYC54Cw
fKCF7lQBZDhl540jBaSrUpuN6ARNsfKb+/mF9SnVtyZ9yN3rOGqtMVj2h/MLEErz30nq9USPmH77
3Y+J7ZJbmAglMgM8K7FI/65BwAckb4dcgjioKnBJvNHqCjtqQ6hfmdAVqwOJFdi5W7u6ZIyCmXzS
QR6QK/7OTPrnxJg899TYDkiaR47B/qbYuqasLhpNKFhBZLUs2cO49YlAWIKbd0U0Xodu08uyPx2c
N7lF0hQY/8wLt0cRgetuFnB+AYPJL2P+0aVG6Op30hnGfnYyZlD5aeohCkljkMDHd4n2bbKArMGB
W9kYUarx6X4U/Qwfj8KnXLHeBkPFfdvFPD1rmVlURKm3eTtCm5I/2NFnrnpOa1RDeZ/K0PwjRAzz
Wm0qx6BqqE7mgK0vNooa1fSN1SMeZ7WOKYk6j/TZailTvnfAFFGKG/jTtGPh4FDl/Pt6W41E0TWq
AsAVmj544br2dwrjDVclYndtuDp9ni5uMwi8XHk0HcdcGBIJ7qpViaP6rbTFL6c6zvp+opvxFUW2
F6qcvvBdM7wux09llhKzCxqQLpaIXM86I1xVha72wiA3yQp6Krk9Apo8P+oMBIE/tzNX8wZ2I6i5
tMsTOgEpNQy7Eld/o0TJIuPsCUFeRHvju7uqanpvZaLcnE2GBHfdCr2FWCu/jAUPl1q8w1Vo3vot
k/7u4KT8tZIG+BEphyIwF6umj+bIIQpEpZ6uZOIy52egZOEz5vcYH+EAsoWe4MwbMRiEZypP6WIR
1h4daHtRNyDc81hUUpHtd+WdDFhvVgrVgwfcxogTWuN1f1chbNANxBlH0T6Scmn4qQkB7Iuj5Z6a
ZjNL5IldkzA0v4b9Slee8EyAG7Mjx/DIuBhbzWV2IAUYhXoiAvPV9h3xtwSXN7he2mgcQE9g/ch+
OuGK4SM9kdbd9q97VTK1k3zqQCnzKOgvtU4jgPCp3A/4+0Ez0Lt+L8JAVA84iEQMKCSdWITwVNkt
4NwBuz4nqeEWpQ1clzt2LXwaohrrl+3CnT6ySt7kVZpvNIaMCBKdrAwIQB18i9hmf+yiQqBOuApI
JZq5UNdQ5+WszH+8Bj0Ql8+Gs1KHII+JeLNSu9AunTdfqIgHaMz1IRP7cXiZ3IGidA2O+yRf6S1t
jahP8ZcrEgDSi7TCHfhf2vGKWJSoc1zY2H1t7fcuuz0/bIQEM0gJDviFbbg2ZmI2R4p2VAdjoeSm
I93KtcdTQKu2k2j2tgIa1nSzBAyQLejW26W4HnvHxPAesgkJk+pRwS7pl8rp5iy0UhlwGQJ25A18
jB/1b345wOpRvNfmhHYbu+Vym2uM6LBv5r/LnwG2/qsSRawP5GyvJChYnfQvfx2ARNV4K0vg6pyJ
4eOaXlJFflhLQVZvzOOXfaAn0TjeNDXBmwOrSvlQfgPMtAUXfgy2iOsnng9uUTK2PCIzrZHYdBX+
bdTeeUL1QGdBIVt1y+kd1rB1zeMhc+PXDVJZ/z01f10oAW14X+BqJR3uRtilG+w6rYfVOpZ67tB+
+jEfiIarKEFCWrNcqnOMoHUUOMgHYGg90HEPqHJrU53kb7YuyaiRwdIk96RU6KdJf+FuGViI8n7y
YfxJuDg0fjWgReEELd1KJUKHaMHnqPHtoTUenvv8EJLXIrBoF+Ce0910fHeHLdWCrkSY1Guy+dwK
vjQ0dZ6CD+0KVSzJ6NOrGJ1QNRV+HKN6cdqvpAw+f2f+p4z1bmbUgQFEJhF/xPofMLTTTMucNQUf
76pNFpOi5z2Zy+oeujueL+BMUk6DCyP1UuyxXiUEBbRZed1K+O143RmdtAxAvZVTGMQ+CLGEIY7L
0vJ822dyIySLjzYiaooznZdR2IQBpCMgOZVloACNeD6jpC/ImEy6lPVARr8sioJobuARa3W6ySob
Gx4WMjpYRCFLs5TitOMHqjRD2jO4hOgX472215aem1GdD1wf2NJMcDVaKyx6tiO7d1IF1KW5F67b
jG7PMkXVtHLBC9oPpYg+MlCDAb61ZUtvwjZMPQzb1a1ER8RvAhO2rrBwMl6s9Y0QvCb95q5HWV+J
v0Zhn2Q2UgR94QJ7k4PjoySun5p2Zb3UMGrqbekmG1Jt01S+y1Tn1AcVVNhDXtdCTLedQadfiptI
sWd4B5lQgXTwVkjC867DPtXyY6qJ/t3CG+KfLF3IIsRTcfzq1brgL6qUkI4u/w48IlVqrHrbW6EV
psLw/4265JVRb6p6NEJkPoW7ih9A2p9AuKWgg6e3QNWYxI/peHPYFdtOXCNMO3utehQIeCiNHbRR
DUyvKit169z5wjVcfKQioGQMzjhEiS5BXMJgetMv28iHztOc6y1lpcldULbTWtwwkc8q3fRy7z9l
xoyvpYZcnZyKDKDHMNkNqwTf1aMgKtgsjzDT7wHFOHRud7I76OZD2p3dngyG3WUMSk1wauqrEPLj
mN+UtNVfAIzORTANiuJygCmQYyWmXK/c2reC5IDefD9FXgszHFiBrZ2p1Vtl4hzoFdR9aCLzn5qK
iHzvv3ts233Sq7wAhIfpfxCbLrDNq+YDiywOWD4X3qrIhAyZPt3uZWD9suG4q3uPJwqWn3ITeprE
TqDB0LeA7ayYlJ5R9TqQq3nyhPaNp3SRfZqHYMEqbgxzOC7sS+FCvA4MQH2yhIgHiIe+Ed8beNZV
+VfSTjOUW/4ina1kLQLCHBo07iha7kQelaqgPhIvpwQou16Ozgl7RSPZCVy4JrI3L2LB/Gnrkiyd
LJH0j19Govs3Nd0QqU/LRwRdeCKYNXEYMzDvxcXfVwxEEu9shPDdpH0ej+rXUPXAzvqydXKjjLX0
HLVXTRib7Ka9O/ULxlrzaks4sAXdP4xrQ64aeEK6WxEA+fnvGWfHt4RrChGB7Ic36tQQl/DGX4F0
eHytxi8OTmkJQ+j6YbMKsP9wvyKJqHapKRV+LM3xDEX7jaQpQ+A6IV7wwb82RUeVgvVADGCUva4k
bsIbag3JpByvUvAPXW1ktqGwwsO5ERUMTNx5HDSmfkQGBlwVgxXKX1770alq6CivdZVE2qOkqhJL
CI2rR38NTIaTHMCQpGuFIMD6in39J8XgRgHgQX4pPv0j7ucFFbMOcdIUzpabmHnssHK4OCeFDsfK
yhX7L7tE5wx+iuQY1p4GoZMHm++sKf5DXBmPVDVrEVjCtkXq2PFCQEO3kzwyF4fwtos3r2Rp3OZC
FUfPi979uu4fSUn2VzrLfmVgF5uyL38V4hQ2HlkDPFJ0wtnY/20yVS96TfHOeLNLSmiB6sJsJQ2s
R/gAZ1zOb/TjSVKLCfbXogAlSkNEde9CoIoNHueBz3d0Iz5wczrCgRDsoh7FmMrcVV/f/44bNyoZ
z4zJ0e/NT6sn+DA+RYyMEZMzySRy1500Ima0Er8DXWU7tW+ITDwF2kEOtMLOWcElsJShUmwrWkrx
MndZvGsISvYSyBZuJ8gzMvGcj2b7C2csDLgm/oUWxrSYd3UVO5/lD3hpXhH28AqPCvmQoTd6PYvx
t2UFM0lHT6gOfTrWSKE9rTm3fUSSRwSzC96/0B+NwkkJUrQCPKirGd1PVNz1Cws+o5Z1RDUrRaJv
YhSdV+/3kbDfYUkrfqoYUOl++9T1uyFB8qOublRVpmPFcb4HIgU5KhLn+jeb35gyTQV4B1fdLEN0
NO1TXlOxhRhTh2DQtW/XMFCODxOmzmJ01bNPiJGQHhjC/hbStgMMzQehsC2HHxlC0zr9D9SfIaAB
kyb70D0cy2RDA8qmDW7BYW6XA3LYitNxfJVt6O3eHhKWI+ced1jnrgZ0hjZdi9ZC4k4CyzX7sQCR
IDrtQMMC2cRtQeBFjwDtkS1NwACB92PsUcf4MDXdKfHtw9W5vEkw4dzw59LuwSPSMKQos4aIR4SE
PHndVt1Flq79LnzTFl1S87GqFx8xp6UEoUGHnwX+s5IBqEe73pe8AroqaVXqdDeTnGK7lhvr+Gng
PC6ybPvIl++oiD86JmL6tcQpWgHfXRZeBKZsW3/lP4H+AjdITpYqmvCiMIaeCuCUZ+H041BfTc8I
RVBS5GGxQiTuMfBZG8VEA6+Ik52gQRjug7Iw5cQETkILol9QuhNHFxV7GZNF26eWt+rBla/gY1NO
L2aG1tm8ttm8lhXuoOWxJFM9nE65KZowVPJQOfJXaywzLVANa3UtCXM7UqNqP5rfL1aMdZzu8BXu
xLl2KmIbD2BDTiCY+BJJ4ct+jS1WQGEomH736gSCjXXMaPkh723AVOC7i1dL8V/JpwJwG0DY4eKl
mxF0/j4Z37xEVr/3rdwbDhdIPHHBSiS42KRZ7Rx86YnBdiYPBK632EdgRlAyWxOjVUFKLIpBaP6T
tIpbAHzRzCIGU5kKVw6upqpzHDjbDgi+1HP0il7FPKh+thgSa1PvMjhz4/k2BwaVhsja2tXLM8sl
99pCFpj3lq0CEbbVxtCXNKnb5CSSelKtOjqYoI3J9y5rLIu8HTOdJvi1AsSRG9tDadGOMnHkw85W
Oy88X3A2QVkMkQURh4zkHQpTY++YR9JiVwOrkrn4mVJ/oFxp/Ce9DE2oL1aiqQvXao99Gt0RcU+r
UdXEtBmY2uBPY5ka9POHyjnv9UIRrgTMQ4+Wq8ZkV3TqlLGmTSckhLa2OnTb8BmC1raGGSDWMJzt
NKOXKOC72CrLGqMR20RkHYOR8ejortJwWFEY9V61zlI+PAckLvGxnxk2bdgjCGWNietXXCqeFiDv
CQWeJTlr0LFBTHboFra0LP57s/Ex5OFfz2Al1cvN93AKrsxTbjdB1z/5n1kuQO3lwHqd7r+cVgEC
iT9DGWbsiVhVtPNq81ZG3+PgQyLSZFVU8s/FCZpnDyIKXZ937s6KVaYBgzq3LYIQW1VV6RszVUlA
Fre8FE2sxSoYYMHflFKpTzcS9GplXk44Z228w2vGR3QTOdqF+vWzg+58A2JIcGn55wNpWA/rgavu
0I2JOY7yspTebHFu0pRtCi4R6BpBVNA/I/F3YW1fStQ6B9IdZ2mOWInPgEy9aBHvv4oYBPHWdEqE
JVhZzRJtZgdcGcoN4Er10xtU4p2XPNTMc0l0Ac9g0yGVN1CXc3QxXNj3zpZLddopNBy02y14mflH
yGn+ED/NO26nMrxgWBSAu3S1TXdlgj5zncrxPHdRt9YN8vcf6jyNsv60SXLPiUWWl9Mjrc/WmnMR
9aFnCDrN2Fmfed5+pVRh7lINd8XqRK/qlO4G8qcokcBWgMFTHpwDo6Xub5gATLrvbRLFhJFCT0cL
Th0vhnk+WRoxA1BD+FRv79tfuQYw2DP+tfg/zQ8SMUy/9LYdWjPxFneScUHPqPPF8mD6VDcV74s4
B+gGLCmda6RAfjQRIXsltgXwDP55yLqio8T2W+tC8hYRXJWKJUHFRgFMUgmpXyvDRfsmJH0a1zjB
AovlBML4ObEO4c0H4GlXuluuE6a8DemKUpGI62Nvcn0RA8Dk2cfDgQImmi0xgo36e8W/Q9QWp8Lg
FmRHToU+OgH/tu6gEX0tGBH/8bMYCArU1Q9sepW5wFjLUNKA0gOR69wpz4znxRm8Xq7KQjHYofck
sIlpRRwIDl/a+4xf9zoRz98x1gyS4QiVIgw0LoHRiWQDQqVtOYoXL7KwlLxgZihPlbAMzp9vIeqK
qiz2qcpBuXcpb5DRd4ag/2NafbvuedmsrqXkeDNvVn/WOQqHkvk6XROte8/BMy3WFNwwPk3M5kC6
dKpeLH1YdyVC6lspQE2jz8CjviNzlT/xrBwqngCf8gW7DfIW4B+cRlYNgfBRcTLLhT4L5DYd+LAn
7/k6hK2atm036zUF7ZYQ9R8h2qEH0OzjNziFsOumOJY3OxQBKf4PhUrNLiDVcT2bQAtWLhyM7AJ3
x5LVUo9ch+KN+hDSv8czhXsVed1W5D1hrs3zNwvPHoeQ6qYRPqazeCjHvfLE3SLVao2TOeus6HhP
n5neeaGB9AvhStev5BjcvkF7aqO5blcEOWmfwbLuJwOMcUQFpn91lNMZ6fXYCxWwWTKI1wnwN2as
qFmYfq0i0lajwsCySRFVrHW1x9+nJsJpI3MeUkYoCAHUWmnCNwoFh3bdVLU618KwNW5h+Crq5NLL
HI3hU3CNjeGuzMACor35fKrwn6YGtfTaEPPVWqeh4Vh8D9gWs7V0hU8FOYmB5RovbiNFK9QXIRWf
3ENlj1iHV8evKPtwTyfaFEiIL/2W4I0UojxCqOsB+iK6JpyU8Kb8EnlYTkiqo4hFnNMqcPjBrWEB
HxlwDQdOB7Y2Hgi2ulT8npFfG7ZDmNJXOcrHRWovh9JDaavtjTGAeJlWlGOi0kyr2dNBrQ8S5C3S
k5vHsbbbm9Zg45V+R+DPNeXJuXfT3SQK7lGGEyxZ5u2WKqvH5lGB4zk7aR2O2gFVwoYpc2eKFO+N
zPpwZrARrRnmfbGHVKSHyLJhYiLajIs46yPeN8hPKm82n0xyO/4zGih62CFb0mUv+0H204PxIWhr
gsVkBmHIAZ7q/xxhVu/u1vTBAU6mt5k/7gqZvStfuinph+yv8EZIWAcsGqbPe1QG9Z9JjTEt/cY1
uWS5dUVkaAXUvKtfjTv9LJGzIibsO6EmniTDpP0J3/iY76OVEfXLCb3qra/gg/sQXezmJAUVsfM5
tbhgr6ocDlvHSyosbCVgk5jnHPzWp925XgB4Vlrq8JS4JjZJL2vXsmY/OaxAF5mWU/xtjrRUWP59
KHsAyehj50bcyNrkVpuDwgScs6JN9uE2wefNZrLE/fUjsSucTKezmiBlx41fcT88LBwiWelPGJ7s
b2cgN8w/EA6/vF+zFvJ9QtoLjt/U5VE9EDBRG58mlC+Vo0+qZxauCMLD6uI3uWcWOerQrQh7vWI2
rVYHrY+jIZc+QdvZtpyWBTsyu9uNUayKNWziEgRlI3ieYvEELQ4FFPNRvA9CdZUYAmtdbJ9g4oPZ
piGsvbnZDbZItuPLEdmsqm59dSlvkgn4AyRfxRV58iDnfSe4ZtA97rNHnk7KDFrqKLduoDo48nEp
ytfURadbYyKFMCG1gzeRqJN7/P0/lzZyzZ7k4JcDs6EktiBKoyvTLluwbHy7CxP6JqvFKm7BZdlB
Y6PBlR5q9/g7bgPdcXHGH23sSpl+F29Gq14/8pP980syqdz18/iU2QItlHjZNDQbyy5i0HWwyF40
Jkom2/jRkhMz6LUu8S67fdqqjalRWdmjPXFRyNkFEDOwbVMJcZIQxlhvZpp54pZxAZn6C4nj1v/q
jtnwjj77j9CwBnWm+ZgQc40RgEoD/+sbHlH7tS+ZEGDzMLL6Z0tBIKeWfpfMTGHJ+0qzQgvs+mwG
kT7bwAt3xGu3xxMGbBn9W+t9xNaC9iIq5v8uJorGGKFIA0VAWPVtgVpkCpys5/oiN2yu1SkCFZb4
qapBMOcvwLshCUqdrJeIe17EPy6DZt23ggFNZbeuN5rpGHMQMsnLDcl9amh0vHNJ2IdZXCubjgHy
O2B1go1ofBB6zdruftcf86VxBlhYSWdBgwM+eKWy1L/sILs4Y8mXET7tIeITh0Qph6dG0YEASazC
Rnt1WC0FAaWCchvOaw5Arusg/yrzSsM2GHKY0NcbcmjlZtlGl+U0S3xsRy6wuMmSvdHVGRDRdgwW
7vJOaMGEDbM/m309+dblS1/dp1fC+NhyRQvB6emm5NwRB7ypWlOPabEtECTFOrE8Z6tE/IY30LE1
uyothDR+1yvL1CRamJwK0+5HNKKhfonHxCLKb0+Nz+9OLsIAZutkSS2QWQFgoDScz7ysGG7H45vc
UIgbL4cd1iBYW/K5Rg/ez7HL8nUS08taTeD1eJngXGi9qldolYOlHxel3ebuYJZ/IzPb3/yRxrkf
gjx129ZPtTr9uSzJxBetF5l8HsjOh5lHiGMFOQ+s+5e9w3Khb6eQr/EPrj/S2aE3eFAxWbMTis8F
4JmLmQYITF9DM3NGKnqsDISJkEJL3J55qMrkpFKnSMJKeuLVSn2SL50LXrqLmout8PFMsx+8+cD3
y833pjYrwko29u3FJ9kiib0f6zn7h/+V4zOSlzwtt+zYze18KHXLy0AXxFbLhsRNI40kwfFg8Zx0
6vzJA3v6dNnImZUS0hq4SfvT6/ownMOQb7tdHirWkCpF77a0BAX1gZkiQJ6iE1C6rts/6O+PuYL1
x5vsrBrENAOwpvtFfGzLbjYYLkGHtIjyKcUv4sth1E/lofU+Cr2cHwlO5bLuSl6aZhOwDvoiqGDY
AiufSepo52fphWkTDzINXU8CKMnFtxsEz3x+xCnSHlfP/8jQ+1AF0C7Y2hEp6xhKIhIQLUttQw1m
q95xdNKYStdqzDpC2xONWrRKqpUclhu7ezg+lwTejTaoQaIiWjqdqUNwk6JxrmVwzXOEIxMs+KZG
r5MTRkalCUSrZ+oza0J+t52TLio2gkFrwfGauNek9yozzGdG7KFpHDNKo4l/ZdNGXPfg9Rc9DOV4
gEYki1NxgLkTSGsGpl4fkDkqFYLjNrhma6w9egt47efGD48D/HXo/S1KC5OhdmXy3f26xxPliCA3
QPRpH4kAbrw3bJJS5Xso/APGqF/csJ1VDh7qpuAK0td4kwecPDMBb609oBKt7UQGtv6XNRutxldG
cmUTGJDTNOJF3p+nPjgoNmbu059nNbkNzeIyh98V7ACXC+LibKUJ6Buhz2dr/Tfm4sx+HAajLldk
k9FHAxem0VPlLQkP8MhFN+Xe/XxcJqdeiX4yvvk2a427mfTiTcHyWENwS9Iv/FEfXxJuvmzGC1eT
zTWhgpuAkgwvNxoAQnoax6nW7YEHLWWv2qq3+ryjMM+agNASJ3/lvxvx+tv7f/ucsAaHqWBl59ti
CQZuU98LN/OQEGqk6hXGvTAQ2I4DGjxKpH0vHppIfhhDcP1s8oIYsvTi0XQk6YvrHymYKBhXu6QW
qVS/ppswlzIhJjKaF9QP+ikix5sgzYszU7eGHMANgcHBL9rZfGpi1yw72b/91mCaut7F5G3M8bdB
A+BuM546Wr1dnQiUxQJQbMDhajgXdlPB5/pO5KpBJLzV6bLY6TNwThx6rDNkpj3wWnF6RlMCyIu0
b1ZdQlCHRMxvzAN/6bIGsXGpAcXyZ19DzcRVjyN/jH93HCaa1YXlfiw8lDjfpui4Uqdg9dWPo4cq
ZlrxEOa4jFloiHV0xJwL3fnvkpJa5eSlkwDYm7ermM536EFXawjvsesyCIMYUDqzEKZj/n0S4iM4
07+a7UNO6z6mWm0Hkx756rkfuF3ZQeGyOyaeXQz1vMk0/UNJrykWAtckyKIqZRUp4kFID7MOGHuG
8LHCzWJbJU0A8eupPddyu5nZKA9Oip2FzrMjpnRfGyYscObAccApmzvBAmFeZuLVeNqoiTnIB6nt
J6PCbW27LpKG3KZ5X+fYERt6p0XURO4LVhxs+cRfdkh4E+1l+qpMJT9l+b6CNeqPD+8aRw39tv6E
ZpmhBvTNOL3h5o8q99Knsnj3pOiYFAD2it5xu1z63sJC/zXL6Z5nbT309YGJ/4QMulcQyF2WHuk7
+EPgcqL25bGC0bF7KrBvIgCYktPtGc4E9Rmf4oRCxfIv9FBlAJOAsmWZMyv381e+PF4123OWjV3K
ALLBQq7Vlx/uNHkzr8Jb0DGWjGxm2T9XG43BwKcNTbTHZxYXTz7UzEKWYesJSqhtng4cFOvWYr9a
9dbxPogCtm6f3KQp5UdBywbKWQLgUtWwdxtrvsOWlCvn4nzuhXs+RVTdfYCuGcVHd3HrR/AynRct
dBqVTw6/TVMPJkNOZh5PVUyg/VOeEFMvMc1ohG1A1G3C/jkX4Kauz3zZiva/8jMVhJfvgJ//YPSk
j6vLN6WC3/WB283XNZ+CR97tVK4ZGdbbfJRtolFAQi6SJBQxVGtqJv5axXehGdaebNYipKVJVI/y
AruK3KP0tj3zyRpZVS/BR8v0zjF80lwy8WRxPYQubtmnydsgGklyLfBRrXT0jSD6Rt+fwAJJh2MS
x/oXdnbBvCo2H8mJzQAPsHeQBDhFY+QkHCfRBRE7ASKnP0FaayxiNTnSqETFCisvisAdGPf0Yw+q
xh8oWYaFOgn9oHNVGiuZoZl+EAW4vING6nIErhoxp968omrK2WztvGoWdZ3a2CHXGVRr9poOcbpq
Jkkz6I/dhRLKzM2lURslxJfvNA46ruqRrKzuUqLFybiE5AdOMA7rz5VZbmoZnPl9J0dXahhUZfEs
vFCtYqtdYImSj9EDy8Lj1s3wRSNfx3jcfBqpePbUKirJO7WJ61wXfUrgdAgsiUrztT78fEelGs7W
V67r8pLbFBiEJ/JMnBsCYX90NCZC+TqlcM0+uYlPTXAq9vKmbXGkgp+wZMIwn+NUihh0BZkzUCVf
iX7nmOK4m+MXjkRS75ZyU5G9zSRUerTXB40lAyqON26+7WYi1HLHq0wOMr+0HgnxZONDga9HUt4o
3vJ2O9zn5MNDsZrafcR2+cHoHi9RKN7gE8HP65T4Q6Z0pbTrK974fg9gmyuBF5+HLt1U/Z6L9vQD
JBTXgp4gGjVZAdGtGacutNSQELpmd1XHTlDGkR/sN6h5FZ0lpfm2jVnX92YlFeSsYcnokkx8jPtJ
opeoo7GK+VyOMZWwp161eKCz/GWRFWX1ivXcMu0cnrodQ+TY4qZ3PjDG4jujfDziJPtRxeSzVnsZ
of8YDNhIVtwRgZ2aOMmdVFUHcBh0Fau8Soku3Spb/IDYVg0m/56L8h7Nf2WW9LCX03gLa2+4oIgW
FrOKL1U4BrAUOcWtcxtRPnKzHBCeshJG1ZavN+dFocyXT0mOw8IMpSLQSf4Gg77I/dS4hpe/ITox
3Y9QVeusp0uoKL3Nnoz1poot+zQkdaOM5WaCTgBp8EpLFg0Dnb8I7FW/5gLsrHOhksY7sVOVWfUN
8nvm2WXYi+dDvmqr433fYj/SpkR8TymwjtlEoz9yObbJY0nr/l6+jq0ZyMxZFYlCTXlzvbUSFRNm
wPobzXKoC5SNos2d/om/0K9/XAWr+9KfVDvMi6Z5WbzsJWy/5hg5wHOQ6RcNOUSKCjIew+cuwvY8
tPM/dOreHdstqHZrqClMuh4mD7kV8yH+FdwYoLXtiFQUFi79yB8fbzisFFB89RfvlS+tT8Ihae2r
B8FNJWhK+oKi9ggVnmsdhs1j6HxFLUgeF3TKfFJMHpVEk3C05YD9lU8nSGJXjSHyZDtYkpWm7Gr7
qvjd+IG4QMTpC04bDxUS5el4YD+TG0s0cIxG3gmrD+DRm6w2IxptXXjUPkB2aGjdOslwoTDhvd+o
Krk8UlfIpYau3OOcSUOmuztixoPzTUSjqDRNpvQ96NxSW+i82mk52NkSj0LAJFL2RSTxG4y+KOt/
HPbG1IbpV1bukbcMArMlacUhjkHDS53QkdOeHH57cmzFLIekeHQXQsITh57cY2qu5FOo7jLpvOc0
96ZKQQb5HZDtrzOlS5k8uewn4ZiiBSxrN6jdMUNnR/K83s6rcrPvkHijT2Q6M28IIhGOBymo1xzm
NPnFsVJgsUYDKlyHXkqPRGX1JDBaqiToHCypNVhaSHpXoCyTwKOaU7flvwJzJhWP0R7kZvtd2g9D
ME/FgLD24D12S8RUiwssDEdcasbT/E4k0bYmku89XePRDloRymtBw71lItt+IbC7orSDuHhqJf+a
rJo3asLvJzwbPApWt1jsHckT11TEPdHCZWF0nWpwE9X+WofwtkTtsDgQigWD8p0oEJGjOHMVOleb
yYrF1gitYaPrPZa8BgQ+Ns/t6xM332Kvz95Qyot7qc6WqlYCX/Soiu7xk5lG1AsiDc2x9PnwGTNY
THWx4PSiqNm0bfxCfHwyWgDfXWaeafA+17eI61O0B398Sla9KwDZ6M/eZtVd9s5WVmwYC+U+WMen
LYqaXlr+4fulCEruoQmYeGqnGff5aUYfAqio945mCX2iHHX43L3RnROHAylBttq8XGeELbOm1StR
doRC655cpVv1V7mSnvq7+KjlcgobkNU4xVnxhscsS9B6ftUaOS4H5TdXEB16ZGVp8+GOzhH05eMY
QpeS1oIsjkUzKz9Q2htYTwY+a3rPbMN2eGyK2aBIW8fE7A/IfxKEaqh6EZsUV64WxeGzdfUQ4nYp
ewra0HJpWkDbpVQeO+uxDBN+QUgl8yO3C9bBvAHWGZaFtEOOndvwWO+N1SyvirIA3Bs5HIqH55Ce
QyD1oKjT/0Y1VLqGYWiYPps4+GPCbt/AFudaMXhzJZT8PpFKGAZe1He63dPddFWbcvUSne1wsGY6
OY6y01bShG6P4IKBa32q+Jw50PQn6pNzFK4lc9BXfJFHse7OjlYtXWi0Zs7YLnAhXtu9+qQS4Yq0
lFeRTjTlVUjpHOKdq7LIxd2GI+viHFvoRTGT78BTVXrrBWL4fT3jGkFzqKzfsSEdnSfxjsBxB3L7
f6XSeMlacEVhAkcwRgu0UXe+ijJzBeiGIVPFfLS0wkxT7I+ytPUR5TEeMXrumG3PHmXYZJmYj2qh
i6AggNWEuW0Wd6Z03bDgN4YduPXjUAJFaVKzla9QIsbeLrYjpNtAMeOy6T22kaXCzrOeJkrWHzlg
l3AnJQWv86Ee+MjgtMcZJRPRcx9ck/v0qo4DOwI0Vprs5niHGaVylqM593kPYYPYhq/UQmJE5UpW
HNS6CcJw4xr0GqgBv1Yp+AwnPG2wUOfQvWQ/XIn5utCzT+FysSfmeA6XvckYBPs2vHupNoTLx2ah
piEDXcAJzBreC4gJhc31wqcgChfAqDu0kjfO5KtnqxIiZ+ev2lF82S0ryi94g2QZVtUUTfNgbTgE
2xkIB80A8wX7CobYSML49psSgGgUX80HjtJxgLkQpuDMImPp60FVhb4L9BKqcxHkq4xEmb6t5IIm
Os5oCN3xhn/5fV7xMK801JHxHhl3z08ZIsGnEz5JhoH3dTEuHKOMPH3cxOthcAuBo6v6w4uUQxNS
GF78pu9mRwVqAIWgs6MWQsEFSri6lKLCct8L18NGuAoE7BP9o2IDWH8lwxfxYkfnhf7bSoUYiyd4
ZM8xknZFwveLvwoyGFluTNA+uHTrz8C1ux9D41E7coEsp9RNq1I56+QY6h4yHGOORWXXlpRGOuZm
YTycUQp0+0cjZLqyvbHYuDGa8m5LDgoJC34wGlXu5IJPZqE9UQ4oKuPFRjX7p6Sfx6aqvjPX/94T
T/vR0uyhbnpSgpd0NmSnZ4P5PiVZWOIPZkuCas7qNq5syg00eRnrEwiHD4VBFvOULywUw7heNGh0
a+ri3AhoGYqt9pw4gM0BBd5reoERayAIjtmNtFWEKgLSWhvSGAr166wK31mENcetn9KTOrnHq5fh
ay7+SoPpnIFg/buoFuzS8JbfTub1LiZawKWPcDYYmGUB6/auNCyA029NKP9Rc6yZhvKPSYItFHOp
ee2rKyp17tAApDLPPu0jfGkJ4gegIU5rlY3QWduMbB8xSJLeafonyHSSEbakTeH4Zos7r5Y7rBCQ
7cRCLlTfHtSgJaUXskvRIZNw4A/LCpJgmRUnE5Fv+KqlEetSqoCXGlTz8TAl9Q5mmYX+51YVmqRS
n7yuPQ3Yn3QjXnGe3W48HpF+rOsxK5u49oZMVeH1y07g8CfQGttIt+l4eTZEe/7GMgK2k0BJUZvc
+wLZ6En8NZ2mB9SZqGbMmmTV/6/8HCiK+rEt9eqkUDw2in8pbF1WpKvIiImXB5IxQGH62nUEcyVd
fzJC5h5SVHUBMeqPhZJ8I+ef6D8VFS9u8WoB4be5rIVogf/3Bfsf24BknytjxcbIIG1pcViMDPo4
YXdEYZ761SHpcHdgdPq6Xau308qXya1lctKpZNuSvV+WDxaif55DQuf6J5lG+1qmpkNV2M2RVpRw
EWA3lat17ARnxYdxgF/ufFGfQR0iBSMcMTEroguVXaYPG+H1VVe77iDyp+AKyMqjz4Rn1AfvZ1ro
dEug8zLs6uFgZpQcreKU3/LCr2gNXDLYzGvBMyqes+hYVvfxq0uoM0Odj99JcLSSthzt8GeJ8071
oX2g9Z4iUdHWCcU+0c8BEjBLbBC295+vs5rRm4vcpFmWUFFRyr+q3Hn5czqm0xQ4PJqGl8o/OHmF
bSipEWThmu+budSUjSs+ev7drC+Y9riJ9JUV1Y+k2SLFTPesNYMxZTXY37+TidAJyQAUlalVBFle
PHWF5mpXK/YLDEF4Eg8nAjiKh0fnryteEgBz7VjWl4NRYPUgc0i+fSOVCug9uUpvz4AIbik3eE2g
+Tyzo6rAeuKE9uUVacJnKiZJQwdc1eH2KUhA2AuBzcRqdqGXv5xX0S7yXSLdvI7vfcIIbU8503Li
uzD8Q0mEqGMmGvHgzibjAb0hfDU2kKR+BaQZx+7OcQ1d5K4MBCM/8ALvkp+9cTMeDs+A3mTSAMmb
SOyLDbpjTkO7guQ4CNplwlpWxit+EjQ66+G2DKv4PFtPGWmG/USPtYrbsDE1RkU5NGbGrTk4HXV/
9qYP3RJy22OxYxscVRp8RGsTdVpGLYgAT4aTrKNvC0FRjL5zQ7HcmnEGWmJ22lV3d1FZ/ZRxYWJ7
d6WTC+LL1bvRKMD8618rhF+9cA16nL3FTIvTwtKKfJjyF7fHcXytSjoE4oGsINRpmSBWyN5HaAnT
y4DAAe5GyjXJFd+MxeWfEfj6P5dStgN0Jg/CkfZ5IVhbhJg5J6wNr4U/YqxQ+TNCahDsoyIPSAXm
Uggqsan+cGanjphxP7Hm1HaCFUZoiqS4vKjWoxQG7v3sKtOu8J/U2VXuHrWeS/02voYXJBxNYe56
RcJRIcgCmg1hzB3k2JnS0FkLQpXXkti2+WWeg/NgVkyyBLimRJo3Hwhuu067PKJKbyzbYB/SyoVz
G+xFxmCmFjcsgtmePnmI/jby8UOKoXugXpgKkFe8SYrap+nhgW1KPRpx+Oj2yErJZlv3IGu6POZd
kQIG/TmgLpoJTFkW5cpS6gLbZo1J/+uhXe/FI+T5LQXSrhfFDnutcad0fnJITkBrgL3M6yQb9cuZ
c0kPs/ncu0U6gwgBBdrniHfGZsyNd2ubdFs5q7/ihE8MLa3A6TcbEhANu9tZ/cwYJ+gpdZEq4th7
hLC2b4sc1zHZGtEW0aGMBAcin4pjgI5lr4TbKlSxFUJSPaOONiANibxp2kT9ys7dqwCmcJE6Z4qC
fww4lQhq9lyNOwVJJq1U/FrM10f2/T0vzPkqrKT8ejT5h9DG6A9ZiBMhWjmH2x1GwQHpxnz5ELgn
X79mmYuZ3ZUQBG1tLz/ULL01XMTkquOj20+eByPGULEF+ykqTRZ1+k5o4Hp3tqsyF1550wrK7bRW
FbSq10ArsptqZQf2L1l31Iunk1C+h/NdxNfzJe09oqrm/Y/ha1vVbzQAvmjgl9t5PmzzhCze/MyR
rYIAnkOPAIWTZB7rOylLiq+ytkKLY68+qZYWmnowoucg4A6rNQdEc2q5NLh5ujj37efQa4jeZ4dO
JR911ynXsoaz391yk7PNsg0u78yBrl27sDasWTvHDP8Lxka8LbEeIQr3N9OAQJfQ1zEXgrTfOCcq
ol1SjlAfghWOnqbxr2MIal0Fr/NfwIC/fc59B1i9wiYSswsOms4ysfblqc4MWeS44ZY+Xz8fuSaJ
y2Os/k1MkL0mtryEP/Ttlc/xU5oCWMMqHwYVFPU6W00DeOkJgNHI6ITCFQtByWnClbKK/AjqULtB
5r6H7U4XtMGJQB+MFU6b5fqWKDkeYyryqS/HWBxHJKoCIQhviQngx8Xzc3FjtHF1nIiXo/qRuwdX
TsOTSk+sgAhLwcihtsZbINqcwfEL2v8o5c/8fDWwVVhudccWU7DGr75kBsZ3XeOZBGkiM5QxfdRx
0oIE3LOVtWIh94ROQdqZjNKGlYTo6r+ABiqneZexNBVsWn5TMP5wrbFeiAheagMHis6LRlr7yi6S
Rb4OTafG2d9AS0J0esMShYSd6/z05OpHIGWJY0nTBa4yv89qdfp2rpxbeOl/4zhZHhs1hFdVU0R6
N9J6WLp3qFoLCOf+UHDQ46wTxWhBvDpHh/faqy7SonAUVXCHU1iyI/g/kjrYXbdd+kifDyV+P9+w
dx/dJ0SjRID/N/uhlXlcZ/4HegozM81XXDO/2OpQFRmbHghSReuUUyAGUPuObJBoxJYw5X9oyFrD
+pVWxYLJk7AO9Ri2JzB7f5xFAYkeX30rXGCpKOfpvBsJ+phVpiuopn6XSlZdFJS3mDp5AwWFSWJS
zQLX9qUQpYo8igtvmC5nIYA1mJvmK1jFJkTU4Nilbp3UMFeG1DeJGWmvHbDFkTo59IW85cePnE9+
KqKsP4MvNI3S6RMmnfJgXJm5ESlmOeXs3NHaWynvfWD7CBSDOk2HzqW8sXhrr6ooyPdP17cbo9Ve
ONt3krFL+7NLYq3pzYuQbVAmsknlSkOJWDEMLKHVOHrfRjXIh4hVCe82AjkvurfFTmibVdyb4f90
1Z8a6B4VYhasAYcYm3Ih7y7wv5efI5YjRlepXYhCha4/56jOpu2lGuv/u8exDqp7I+0JziGkPFtw
aS3kvrUvE1fQkd8qsW1zV3HFP03aA+ClPy+WoJt0/FeTm+uWKIdoML0si2ifqCuqQoYf3cgWMy5I
w6MvcKz+21YJkpOICzJbzCCaSQf4jMZayvYNKpEGrI3aIqs3obNlGTRAIu8E60YwcIaO/oAU/p30
iSHu6Uf6pkLTrhkGzGWFYBx234/Bj+JTh09YT9sxamxEoY8bBioC6ez3AW7VynvHoVVKuK0eClh2
Gg8iqQDMOpFBVY/6qbISDHP0Dn3p07IfXmyE9btG+fQyEbdYMQAOpy3iFf+0DRB5ICI1cuaMm6Xd
HufYgdAjgjz5Mp90U5xG0UByCLFefmUmXlkJVvzeS49q51iEBN9yPBhGIjSDZs4RHIUm8kB8o1FC
7k3wLzCpo16fgwsf8cZgFOkP+x/8wL8oN+d1G5KqSZo/XIigUi8ruEXZse5AXZLllHIpYSrsvxgz
Xd2WGX45V0xSGLJnfVqWN11Rcn6iLsM7av7zABxy1nWXXmBPElcS/FnHupMon3bekPTHuDP5bKWD
HcKp10/rIeDUrZPYIG/y0KaO4PEf4qIl4jcpsplRCU8w2cDbm5VdDxGQNOiBQd/HSCiAO4vf+Fhz
JcPNv4A+G21EGpkEzmDpIkJPgK61mtj8wPvBPxEPpydka/SYODFYr0pWtIpwCBWSp+xWzjLp59YR
TLW6iReDNt9rcCEIaIbiNeFUowaVNBrnvMVBx4QIoWswYAsT0T1QQH6/H9ABlFIl+T/eBQbkX3Ck
zlHTMZyIedoux/3Y4xzsSddUUY3alwtTN/R2c7JOzNtnrqCxy7ZpeOlsdn6WL6KBusOCKvVYqwW/
MQ5fcSegJE8l8/EdXU9ybuJ/cZ34ZqgKP1t7vfEM9/z/DcSumI+haEfEQOqXgwzb2UiSFtVIVxbm
RTIH13THHCLhCVJHIID4HX4DU7ILZbJXQaow3FKN9ejxtngNh0YMWa3B5wpAWdSwApJ7KLqcXuMz
0zIXJpvCd9On4BOD55RMUYijBf6USEHDn8+U5db0IDd5Z3qqZi6btqDJbDdXBayFLBV7ZagyAnfo
xuJmM6I9zRNIW3e8bMIUivsXUqTx0e4ZKxnrk/3WhPfj3xMoQoryTlleNqcswDAaPmdozatEfmUT
SwIrT6yOjM6spRSm5NnkweMTUrNzTRSXZfOp9B+TgcVcJvNyHT2wbzyEwUfhI2F7fkhpaoV74grR
BIIpSfpfCeuDlp7duoNeqlDaWJiDP+wlJxGUA0SXnO0xPG8hy30DZvDJJb5kZDiTmfsbws1e9KHm
+BkVwHV05byWLO5edfNTjNZlEJN7gkfOMLq6somoisaodOcpSkwHexk2EGAF66opH9TgzCkb+oUO
dUkd9N2Dp3deLTWBPpGg8uGQGELbm5RN3OWi+8U2ISmWS1Xi6y3ynPX1ywL1k6VqeKBNHOaiUaYs
ZCvQsxTP+vLHuOpbgWRzmmfdYrbeebqmqGJInTWl9/N5rviAsCU/n+q+drH0Xz9RyHBLvpnVEvz+
jMYBQzQfMOraHhbxlJ6BIEEVwimovUdbrJ0KUZJvsn5WFnQD/jwaVt8zO0ML3ENVsUVOhL3YF8sE
mIgjf9i+it8dBYINOTcFPAWUfWAV0IKUG434dn2M3rzpw7BpbZYjY4gc0jvz8U6BMQ+0KGDRtBBm
Q0CDGRxcSEyycpIGhhhiFg8/GKWMUcYLY6ab5/ThutVhuJu+SlV5lrF+I/1QQevguy7U0Ccx5vKT
iu0LTPN1C4xGA7wTA3Ehe20KK2MynlOMduCTgbAQjVYueknYeqGNSGR/8BsDXf4DEyrXjaNwGVaj
/NglgbErrt31oeSnqQK7KFcEpgDzc0pkB5PY1o+FdlNSa+WyQBBPYtXNqlEuWtBdDBt9/AG0n33P
6xGubg++5qyy9XvgjpMlFL5NpDJAesCSJV2dKGfHmT4y/Sxtj9pLsqA9nAZa1tsds0T3uKOwZxQc
I4mGPsiQoD4RcjDCI56Uv0/NuP1gCVZY4urNv3SKRhOQ+tptgKBIHP6Wf9xLzq8qd+F49nDWU/h8
AB8RqAvZ7FM2IMlXq/o7UlQDGHfiEkgXb00dBsDa7nd7KcmVl5QtRv3Tcgda77NB3mhGCGloVD7Y
Y8FsHfbbWiafBy9US2283JtnjNu0Tl7Od16Q8ZvfYkV43mF4OClEHRascGF2gD60amtHHVYARd6R
G/zU3rvnGT9GZTc9N+cIF4hG0TAwixqQ7b7CMm/0IDJ2fRoSagb9e501zyyBlXwwbPF6m9NxfCkh
vaaN0aFArZ49+gYs525PFng3r7P/0FmLKmLVJ4p+X+44f/etH4iPWuePyqcSi2tajk9RqQtkKNkO
DZBOogR3opygZ6v7AzsC1IWF3aayfZ/TLJenVGM1pQ4fzUE3//VLZU4xVAi+SGMCcNLVWBqPQj0K
98gDRxyjs5ERCkaOdQ02D2MLXA6QmDuI4JgxmhxFDkxKPsHI050xLub0kzygtRdZIW5Q0AeDABtw
1piLHDELJIWv7JQnYRuWCH4KGuhy2zMjpjqz8hMQHe62kBBR59/Kxdk2fo0j1KqidaSe390Fmq5x
HeCg0wkJrmyU0l/UZi7fbLW65lklo6BrNDmInpGPfyDnLYBh6lEQXMggiaSIagrk9UU4EQTgb+Bp
gbA+rfsp84G0aR9crT+U0IrjzyzCSVBGIVQd3pTKJHBmE/0ivlS7Inxg5c4S3wP7TebtLcAnqYmg
LalJUsJEiaDI82V83N+cwQ8bDpzTbuVmQs37cSADMBeJ7YzgNe1RT80MxScplH9+k/QrBqrr30i1
XoOdJ4z4bgvc9zRGr7mbgdr9R07mJJ7YjCOP4PPBiLaROAopFMXq2nnN9FZo4NG5Lz2Lu+Sl4n0n
zv5h8/XqM4kx7Afuu8lMHhwVoy3t58wLsZRZmX5n4Sl4E91aa7WcETJQ7av6yO43QkW+T4FqjSig
uUAV7Qtd7X1qTCaK2uA0XnDCy7UKkHYKE5BJvb6KBIBiGXxbiRYOvPVzdehgZrdcNPYrdJkeYi2h
NSQe34DKfZjiILFBrAUZPbnlRhaZ/Tg4frumtlywlPuNxPA+7cis1kfhSnX52pyYRLuSU65ur/oo
ejdteEQNk6KjKyWyZmQtNsSH/G7doLfoGBzDDcPdHEBrS6fjAI7ltIGTPci146WfePvjzaPd6Yvl
kfFP0q0xQ3wy9g3hGJwuWkFo55yLj8MggkrBkVTnvR2WetG9iN59nT0RgknDFoqn/rYvHARwFtWt
edu0HMjETnORpG9hdBro/IK4cnM/9RsiLmqwPVcB6gAzdn+3P+LKvr+KxbjmLAatiPxukKYhaOu0
bNZhsZFfLMTep0RHwzmu461I6ncK7W6jISetMdbNAJYKmDzgM+Eng/WoDletvVMvhogkJb+YhBl5
fH/wQKm2Al9zAR4W5FwGbQgo3ZGjQVWTsYb4VsOwx9OJmhV86cymD1u66El2oNkmEedsdWu+hQs7
fgn+4FsMHHMzA//TLbiWFgntF7QC2wNUvevYL/JkaCWT9rF2620wPEQaipyzNFWXTe89JiCxXz66
aLrFZ4Gz3n7njgMjZTOMi51NAANmXCtXmU+WhVbIhY22cXRxnLHS2TxGmLhp8g4tiq4mJuVWZOCT
a6tZXflVNKF3Br/ZQgA8opyECdaf8GkFCupVaOojH+Hr1T5bK9lhBrVjxFKcnDUvXJHaK1dNHL05
hUyWxczBSs0kSOi0GPAdBlXm5B1xuQZ744QB8pMdDBGpMUfoL2i31YigeJLld+6JSKqntc0dP1Rr
+k7evDNLN8gnah5Sx7EtB51Grczp7agM0do/DrrycO27t4t09KHhKMii6bXJClQudsFcGppuqgM6
W5gJVsP4GAILObOtkhQcbjBqUTQapBvlUBZfVCpVvhgi03RnVEQpoQSe3Ns8pKqPg9iATNQ1aJYH
t1v56FEMDq4Aw6D8KPkSRmK4hFag/IXgoEZ9NaWkQjCfrL3XVq8xsXOWw49rwsIrxU3Hek24OqK3
EXgPCjbnZI//RHTXZISL7DkYmuWM/zu03dRS8m0nkIXsSH7Zs5TWz3IVHYXuNOha9AeeH6sop/wi
+YTCHxNVz1LbR/4r7A+cwWHsnZai9GLWrdrAlH0+sRNFRh68O++oNva4gaRr+pqt8t7AExJvOv/O
UHGoEYSvrFfiua2XRvlJSuobfkMnGNBbmZTZ0RLQx9Z0VwdVIUSO9xHOWzKhVVFNSt7gJK/3sFM7
KpThZINBU9jKxr+AZTERPfCuZFGrfqflfRYw8dferKFh2qFwBZE8AmnzLYiBFoU0uT7LY72VkCJA
VKO24yCshF0L8Luwa4SV6qyo1KhBRFvNCwXmEfllDuVvCs4JyVSeHIK8hLrynmrPHrgqOK9rMF1K
j71gQbEglxisC2PkUETAmzLqr/KSFLRVrlF1MqASrAs848u3F6eedHNqrP7JRn2QISRCxrPM5x0Y
0WeixbPaXTfzGpijgXE0kuifwz7a9PJrd/cCpcbm/+TwVZm6Q1j3AjGHcRq7S0r6vcSvJDhm+ijZ
iI+xcgl478JzDOMHCZnxq/kyLrVsqlIrwE0fjT09PQSR0FId3wCVxzb2xWLXVV37rWsMg3xwJYr5
T20UG+TMzw/1V8e4CdyMHLqKcact8eLsZDXqJUNp3u/fFb8cq8ERXUtcbmkmIp7+Kpx66rwWueRd
DNrQMVfRcvYboQ/tzUvOhJ9n59ZJPn4cJnV1pMvs+4qzhUGUt5riF2E5UuznDwJAUSeXP6g9mtZD
8bMkMRk5SA/5oh8yA0YfFAlU5DTW/llBar9zssfoFNHSpvVOO8V/ikL2I9R0xmbGqiKcJKPiydcb
psiF+yCjqpK1S8XknCMfxSr7oLA0LDm+cJISdbJ/W32GmS5zV3/6WX64dKSLD1KhUyB4bB9UkM4t
8BQS+6oWfF/cFkkM2NQAEL59frKYyzMmd4xUQLmS5BhdTtOkc6spyb/+IgxCwnLJDBWFvZTLb2Gg
8MRXbXDt1a/e9NJ9XrxWLfQSuD2Ra3CYK8Ct1fMkRWsZIZphnGb3qiX9dLwVvFTKp7RSQJm4gsHh
UZu7fhgGYEDyp5ttCo6Zm/ojfMUHq1R9Ymqm5YqaH4f0SL9CUu0dqMTWj86SfR3+xUGtkIFkluH5
FvqfxRIEJTeyn008Y4HC+NKlr0CMX15wHx0sumAp13VrIGpZux+R1DwuWev0VcF2bDoo9UwCvfbU
8gOtdIj+1fHaTYsCEJk1uDaeV8yKnKEJC2ML5OqC17lScHtIzFXXEljGQ0BMv87Bpgp0odGY/QiY
lmukynRq95a+TfTreuLojP3iPhPfy08zp9wh9SI2T/FwtScfH82+ucu8+RNAx4U0Atwlb49fiGTc
xlgNFyWlI4J0VVTMC2TQifnKnh9ir3vAYtEkKtzHaSgDGsqe3ONwGYmFYPJESZEjgvw9aC+W/qD1
TwknNtV8RsTKFsQch9K4+ntsyv6sYpnRt3+jD5R1HMx9Jw2SlKY1HD8YwPyUJYm4CSEC5xxD/Q5F
bwZAEtq+6cLbx175Y3w49jMtdfnPjrPmqFJOYfMNuBYOyPEv3PyrINORUUS9VaxZXRPIVaKDELnF
YOvlkZgz47ytSm4gKJyRZWiQ6q/fgFlAbh7tmJBSI/2aQJmlFq/sEEo4W2csQ8JkcN0r4y62sTg1
wDxk20m8Wmd+pTmBX9HBbg8oqxALJQJuFPt27WhUUw43AAwutQUqBWWdeAI6/lNk1LHIS0L6MBZI
B0XBrAUd1xQfAp2F3wCrTgXiB9Z8eO6KXtb+ihXuu1qtcwvnlEbXzk7FT4SrYHUQcq72DL0pdsV4
OJKaxA/9NO7VT2s9z0yp6P09G2HXYkv7qCsy7pKtJJmBbFJ5zhONyhmozT7HvO2l5QUg1JzB15g3
L6DgFNbsjnVboVEaFgIA+IDRnlTEfiXqNM3mzVHbbybFH3MNVi6UoPc/sW5R6BWq2w3VxfE6yGrt
d5h62ihBKpxCeRnf/aL0IXYXFJ5VH3nKO+MHEa/Mb1PCLTmrMHrNoJ2YEUrRPWS1u3HmCiOIi4CS
YJvaE3mn3n2Ly8RwaVsUPCMqCb/kNDDVzjG9ZA1AtSEbUmoKZa+xg6sn+iaTvBCjCOTz5tlKtf8N
oqHIcnQI+Y5P8kutuP3dXWbZgMfQ0MtKtaYRRqrmQVPl3uDpP5fSt3KOLmLaedimAFvzkjYR1ZM4
EbeEm249dbPAFIuIRis8w4Z7Ngi/gGLeTLZSPHG5vguYijtz1K5FcNfttwDV2zSnPFYdNADWEnTi
CNKtT+cl5N3mssxWu6gqbcsSKoBSVEICycyUCuKZYpGy4zSa7TFHYTcZ4Jba+29qwRDHMlra5/nM
Hoq2oe/K4c+aFSZdDvErEEpoDlZIpp7cE+GVT326Zj1zwTp+6jxREXyxXVQdgYnasEpkAwLdcCni
a2YuCqDtcMz0hiiZzxNahgVzk7AycINkpzCy+q2sSrEGiVSd9VXWh5Z+nShVeyNmhWhygegK+IjF
E3K1RZWQ8Ang5rgtMJc9wliOSN7Z9p8Fn9j/1ZtbFzF/eTtzlLQTXbOilYt1uCJyqYRHhWEyVgIK
V+ZXeM9n9wH9Qz3nNUY8uGa6TkiANzB/nmXHS/0fW1l3bR7HBohD6gUbep1SA9jrAr3XdXcPl30D
WkhMLAgvf9/lUZGi9y3xXFh6FpjrMg/QcgULMLcR3Tml4UfAQSljsih0m7t1BThF5iRGnldVE9K9
80ASztvnYglfPzvzo2Or1tG3tYlM55xvxG3M5vxBoTU19hSfQYBIt/dA5poB7kt2ay5Ianc/Zicq
zAFhppALx7r5MuVZ+JYdNm04CXhvPY102H6MCo66tJAR/0RU0N/INS0fTXgt6yYBm0mF7oLj4Xk0
PwDcBUapu48zq5Fb/WQf0E/6Jm5QiK1VTU4CLj8YbmBo3AvGLHmR7KBceU5uy92svHvIpMK2SbWS
XJuzeh1YD17XaJh4njCzMnY4M43FSIe2m+LYLXpZOumsj5WNq03lvPehlzddeMYWc54VqObfqmA9
Ii8DzXLh83k7jlOmkqFb/1rxgzOOBpFKnDysEsuxELeyvQQzBPqoppftuY6Jw44wX9lMWweGln+s
OKAiTZX5LKtQyNeySlHMx8in+pURZdfzpHOIjEgjy8yrAyezQyizDZzkTXOpRgyeKE76hhTW4Tu9
9dC0kmBe4nJ/p9eI7tciB72035g09AyLaih6rEIcqKXy1RO78GafcwakjkQwDENiLR4pWfc1+EQe
Jinfj5QG59dtA+2cw/hr/11xm3HgvmJsY+JbrmYu6ZmSsrv/F7yMVoBSALbaGm6EmUksYocFZS6k
hFGlTbGgf31z+5K1f1X+4SQhcC1p+tV/N6w8rjH/2hhmUo6VZkGV2mmBLoRE+sL5UYJc4MV8ULUg
kJagq9bFpEGC+UhKfXuUUU4JIX1jflXOawV1thjOwXRwXcP6YPWYqq11RXMZUyCGBk3yCFoF4sBV
LLxqS5m+WPtbYjTeeuZZioA5DuknJpPSY6kFbVeVrW9KPzn9mU5Kdm3v5WEgsrgwHJjRkQ2UHMRT
F6NwvAIMeWEXFogwUbVW3/fGS4PHlktQhJ3ojcLgqYc4KIJgYDSvaosg9QxsH1aqt/ilfsQ2rYYY
fZ3T0RHyBj0FwA63IL/3aWW7FWJ+3srJHJj4RJ+l9p1NDtQ1vpspP4dqr2t/zns0pwe8ypF78qN4
VIcKc+kq4FqTp8QgEwntXMNDZSzH0CjJ2RKSP8uNP3IiTm2+Wq/0i2SvZlDJCJbaa3hczJ4hiO9B
PcSyaTV1rO7fVml2ZQKvr1t36FF0np5UdrdBW4LpmKJ8OYtrwTO7DZnb0rtIMYs8yH1+cV6vbVlp
+T83T8MeKF/fOgh+tdWo8fA9hEi6AEZJKr+BxmJBI5Opgd6FndG04Vv0n3mipaoUMgt2ncKcznrJ
1/apWpog3wM5hwQzG+rbq7itqgx9rf1IHvFBuGA0a864s5qKVwiCxNCmK3ckob/lMbEH4Kd5E19V
w5Tt4o0+KV9196CPBTipe8Di0DXysKDOXXo63TtTAPI6DRZ1JHgk0wMKYHmDrw68rItsRgAqr1o6
hJV3B6DtcTOTJ2BjYfYY45gndClrjNRE9oHgpJgNrgGybyI0VynvTSDT0JrLsvooSrMJkMLD6v1v
Iv5rIro12TBd29oAuJh7KV0CJU2l7D0zSIV58OaPMozg/JkneBIHWv1yW3cXEA02f/7vGZ+nxJqm
xkDz7q7pUVfwIhFSgkkRg37Jvnn++xMQw42bnxV2RZ16P9Fl+QbaauFndoOhyeNGeD/SFPkuvN+f
a7vgko3AAdKliVeSb+hB5poh0S/FfPVL+yIrB7y4IwmP2tLU8yVNJnk3ntnTQrn/tmm8UWuyI3Br
qdAsdIkBM4obfHFANxbWPglVAyCoGVmSOPR/j0CzCg9vBR0/D2mHe18zWlPC5AKMJt1HGIJ5tjcb
a87lJCfn9GZiOTqfrNHiZwcmFVMMOd5vpNX13MpN9adHmS8dMePAxlMBuc0daCQO3opphibd4P6v
OgXaSTi66zU3Ji7Q8TNuLwOud9vEntAt1kXJUkBYCRdydC3jybwTEWBcX5mbiYiGLWOSh5Y7WY0r
53PstgV/D7/ZZZer909XTdHBt+lsIjyWO343fu1ZzFD377DaKOq/MLv6AcWt4ynTeOAuMSkswMlj
Aqz/DBY5/9R+dVJXk7TZpdDcSnU4P6lbFZt3HQsiU13NZ+vS+gvqDZ5eHZLSRqMJ8WmHl8cgwe1v
ZcSF1HbiNwomj+klZLvz4GCiNTuA4+/pz802q6nNgZ65vlcAdPvWn7j7R3ifR60hoO4dc+gYtov1
ADtkjsSj6Kkpc1Avwo88V1qVS8Rlkb5Pobmw/Wdf00wmTBLF7/sjz3QBl0Sp86nIlAWr1ZbPEz8+
K/nyq7x1PyFzHVvwTaEqk15Xp/h3Q06Ad8KBuC2VBtieOFXFmdttMUL6yOzTQlBp1F5hBy171K+w
55pPuVPIgJ0q2KWZFIp/AjAmJL46zcLk1Xu218xLhGwWFUqPMMhwb6XpK5qSOyRovTj+WJEpVMe+
dJ1Ak8WtLFKDNDs803nrwdupxmGAjFhBTge0kxtIw/3t3Qd6eWMNIj6DHZZn9nDSTvywFGy/4wAG
y9OnZneUZCRuQ+rLkt1l1GlN7V0CA44n+bysOc8rMCdSKgDv1W0pexRDn0kPynf/6797OZrd2Osx
fxV5YJtBRRuWgsArA5lX7tvq89T11FAArSMXje7Exc7r6m92f7YAMFnUSMhgjvm87ocnU4nN8M1Z
vCVOft1DzbJJfEXJZDm9f71GR//IPEy3+jDXSbZqTYdGP3ADi88vcT42J66WdAJjMKBpt2Us0/Tm
3oquun9FaY991hzsQ6YnSVEqaNaEaQ7bskNZvAUSb1/9vwP6KSO1nHZbCZ5Cj3yqNLUjhAxk82AH
VOQpHkEoeeJQ+c08CMjT87qQEoIVxmfApg7sJ+HXoMEJOBrBgla0yDIMm8Tl7MkBSfytjiV3KoDE
aS+zhHD1c/9o505/8b9xhI8lA7W56nMPIHYVpus/Su2umaJwtBpC/YDqdRxzh/Ks4876c++2ZL9P
+hNhD10VZqiM+oGA1OrRIO0jxoAPHctUfXjst4yf/cCU41Q6qUm1lGZM5DfbG86edfBGDqfiyYLC
WDGQiaqqMgO2tmTdfaRpPovMLhePydt5RMDfZA2IhKAb4fvQRtxZBQ52cNUXLDyOYmPhtkY2ghkl
MUTLDv//9oCFdMQs0hipDPQW45bbuOt0Mwsj+WwMZ3CjovDjSHw5tki9l9QAGJpXD4U0xfoMtiz/
UJFK/n6RxxhLTwIHlqLq9heTG6NcEppjd9TtWi+GTc4m/sk9MLtgmuRPY87TCMnA61K/0zDmv99B
Ykh897Sp4tnQ5BVGp45HwhZ4ndw5x3OkWzWO7ZyWjWVgJR3pcMZMv+n89Vp6oHC4BXZRlsL3VkDx
2Yi+FYntncRLfSd4VdEnvat8gQJDGlOVBiuY2FBwK8slPKRv8U/MnUHYALLfShy3ObNRqAGSRx8s
a7IPN807pKPjq+1p+Q+vjlw1Ksh/5jBNzI2cs84iX93ago0sMKm/ZBCaifkLcPYiLrXAMjfGivoB
KA8px40FNk+Dqfg6POUnBosswsLiqyMNFPye6LpEVosq8oQkkTxnELMs9g8AJe6F5y4TJKP2qzyp
qqy54wI5ZKI6xNQQR5SH0qqnunlPoiuelFcbwwK7CjWg3HKTQVHbwiuGBIqirnTuvu7waVIO7LsP
jrBxtquSnpg1ZMFQY5TASox2wtvyIqVq62Qtvs8WovxFBsbDLmzgUR73g+8dVNJrIDwulk4lglav
a+/yWekOVlz8UewWlsI58eoRj40v7tSouWxFlEJ+ZXifjCZhHrL9LTJLJ6x3y1CmETccENfdqHuk
Bp4od01MEu5Wvo60T4jFoBDE0i3lTRucY5KDnPIFZdt5ftZ4EisOBPamca72v3AMm85LC6TAbCaU
NWQ4B4M2QF/cQ+ETLwXouJ6L9kdLJvjrGkl3FgRotuAxL6ABi3ObG+1lVLo9SkifGdysy7WCmPZk
QBgbo/DmRpZ7ZYQQ9yPHh5C3VnTxBvpRsOI5viFwHTCxn3ZIciQlrKu4uXbW0JVSj+0XLB4vsh65
UsYLP+SPO4nuUVHa5u1tcrLcHatjBj1a3llJ+li6YS1HvICF57iFg2M56EZReDXD9hlmtZ8LmXYh
/uZDAohGRiIYcZy/5+v4Z+dvohFPiHITD/8BlGmKEOSygcoAXdzux97L43ay6OB4ZVfrkxQzbZVV
t9UEGvlihqgPp5GV6xoc38Ie52HgzPENTaErRvqTCKHq4aAWKMs5qwgJjXHet2BA6Jeiw9UzqVpN
jVGMrLlFA/VduCoOzVTuW58QPfRy1Sy20qDnsGTDRHd1tRKNSk+m2RRkewvk3ORXQUx/sNmGHeFD
Y48L7lG5LxNJ0TULiDTJrRF8//lrCvpdWcGinIHE2u6VH1fBBnsnGLuz+ApPMDg03UiP+iF6tmza
3mG8N9etlMeQr9MHYjJZYWDRKEvy0pvUoWcaEwpf4mPOcS6f+0LtiIvAqAxoMAvdfCe1KvTAgQ3K
0NhpDpKEYi6UaBycIt+G4W59Cg0s/qFRby1VmtPV8ASETDpgQ2OeeSBOyKXgvWsBZ9KZu9x7VPc/
ZyNW+9bs+KXUBscoeqoa+Pcaa9f8THBJzhIGFSsildopckNrwmnSTVTytzeN5aA5wZETep1W4+a2
OGo7S2LmYEG/A85IBwPJO8P5dq3B96TLZADeesrLzWqavivWLDpYB5j9a42IfyifKSH6yFJIAaY3
GiaBSuNWH+KQbl4yiH3UhEujSRj2FsY4y3cz0EoWXToIJiC5W9256vAJMZRkFwv2mgHxhZFwqi7v
pIkIYZxryl0MAMRrecRgvrVq6Okbol1Aj6hmQV6ql4UfbpdkdOXtVad0R5ZONR2FJZ/dp94rwtXH
cqxXGNBxF/JiO3Gkt1WNZRYCKXUsFt9LfSOxgZtu4tre729dv/R2XiiVesEU/eX7iYC0y2cYeixE
JNpSWslfPeZv4bqDK+eTcoM38cXcS/aS1sARUIR7ADJQAtBhKQ1cCDta/d1tarj8QKTxAvUB4lTL
5cEl3OqVPMAnW89jkzgZQZi30KD93tnbZA/QoQEwKe1D4ZBYzNwyJuhKzCaWYcHmpqdbLGgkCa74
tx0xE+9fHisJGU4kBmj+AQLw/ks9Thrkj4FiWhDgstKJg1NXQbcWAsXGSv6eMbOHjJx3N2TZSGoG
+kXnCp9V1H70DIxIFHZgLczbG3jyNusVDefWP6Oc9QCrVdll3N/VQaWmarcf1L8Ta2qIpQF7/Ivg
lepuJrIARJYJjdwwqqDGWawUP4g2H+rvmPcOu+z3alguUsjiz4v5sOOuir8ggVolSvRuLjXHiWXK
w5eVNxU7suZyhIotCBZD1NkZRDTdyrdD98NWSjHANTR49HMBmkkZWXSnLH/u6cCAXW9E7xLbulPV
jppLlGHaG9oXk4RE8spV7n4go/H6WN+s4Cj0Cq0g66q6Rg9ZBKMzdQmdoGdQdVFjZv0g0QlUV022
SKHlER0x7U9MefswkGfh+drdy7R/py1AbkEKaKMl018qpsa4mw2gl7H0CfU624QhWidwMaEU3jpB
vBSvPPW9H6iO/ZlgmkAXZBr4SA69aX/Ce2aDgolpYnQLdAQbE0TBzfhJKvkpXcxWB6f3tL7HCt5U
EJNGW4uYF96wK0pM9efQ72/2UUprUbHPYxG583oSm96Mo/YnZx0SxkxYLRWLJhnee5OkKGch2Vxe
HhFKES9FbPY3w54sM3BinZ60ODmJtkWpSR1SNGtDpWOtKnvo21gQh///ZgQLTLLaxUo03cLiIuBx
c4Sjaoblf1wQntCL/RLkV2tN2q3Yo1AkVoHquntBvIKIrtfb0MmUGFjljvakAR5LYncKrParzrxY
2sOTV8IxfqJ6n3Aw2nDcc631RwmN1W13A1IooEPZdgxM5vy18VMFWot2pCetrVsLmWRbMmeNsIec
6aT2Xo4mMagAu/bCScNtncVjEr7ugynQudB6vIxkfO4GTdOVe+MIAkBQZRanBz2amk6gZIUJBaUH
XQIAS5h0Izl92i6hRsQbkfLK7q954PEmiYsENU0uUm+esfW8pMoJON5O2MPM/WW6Vk3JRArmTLVg
sOTHv22HSABXyp/lqkhx1g6EVO/GqztW7GN7o7wJw/Bf9l5DlLkZvOpLy35Mg9WYRiPViwQiTuBn
FSic+LKeJHKtz0XqBPTlHPZIEt7ZFQuSmRwh7qBlzKDtD704W1H1A3vv9FjG2Zysamg3Ik74xlIK
411afAwJoW6otcA0Z7oRu/vgblGlNkp4jtpRWYgRBb17zv10UE+PoP3hKkQp5WD/GzgKxjzu2pjU
vtG/qF8Y8W8TfJ/HybYVX4y370jQylA6zf6AUlFMnEVzg5zyBt0LlxdsQXm1DAmC1KvGJJvHgNnE
e/tP9k+M6eBLhHKvKBtGG/XshlL7wrr4FAm6nfQd0SRw/y945jGh4X3wAXu3/lPx3nW9pdvFw7LC
hLNaEFbYPVIChWkefq8l6he7Em68qoimr0BFmWQs7C0S8Mk2pKZywPUn27RMqEqX8KmO2prNG7o5
ROEZ4VRy0ZbKiaPnIhvqVcmsbHzrxNS47JvxBpn72LeK/GLs0SQiy/HhRKafM7KXgkLgqeumBjjD
4B8S8ExsCvhadxQ/L0Y1quyKsk2ihy1z4M6PaGVEDF+3LCJsQyBPvsGa6tFlMUhzZkz4M0JkHE/G
Q02L1w3BoG1EWy1F6Q8blKs7jceSQu87gtsvsPMfGQePWGPdDWVy0EIu8nNZOSxw9NkxrQGQzRGq
9nHpKJ9wnvV602/Y9ZXIkwGk9ZDUXXzhxFWvOLPSJ9GujLPXDFhDjsVpKk+szuN/0Pm7oD/k+uQ+
DjBkSnqhssqT2Le+FGH5YrCprb2BfkaMhlmPbBkCP0dwxpAkVej8GxJBkYdhY+HLxeXP5tIlgGvB
+obRS18Tia7wEtho+lwBKlBAkKy5v9H3SXVxMhxjtyjMf+dQKJk1QHQmy6gtnZ3UsFddnC5ZSm2B
qcK5VLrSNIWH1H4oVpMXa+0KvNegXAqfXyGGQihlsq3lW7BGHLzpqzMTl3qyXMEYlVWS2LQicjzi
MU2wih7/zuIWsX1fvjLNGwXBN9+HpbvW2pVxF6pqM2Poqgj6wQXkxNgyUp5bp3uczw2HrXsOK6jo
f4Hw6ofOWdCuAQFRg9+awkJ65JsDOBaa+tmTRDnCyNMqxtkfsrQRaw1jh3R6nW8d5TJjfuuzJCw1
qVz5525HVoB9ob/C2zMMj/TgCc7JCXapA7KvQFof2ww3OAJIbhHZf2ImANtWqhYmuwq8xA0a45w2
cH+J+TazrKvaSLsw4icdlJA+FMkyIDCDESnfBICRCuWVOixZu7pd+i6eifuPcbmZoQJZnMGHDmgH
WwnDrrOYNMKx9exIYRCfP4beTG5+s/a0s8fTdu8+5UxUr6INbqRShN7tPekMt2WN5BlIkW9RXh8G
WdCjHnbCO7AE2yBkypP2tsUSSqC89WCPa5d/8wBkM+byeujMZg1Fp4wdjtxv1cZXNMCevZomGYnW
Ayd0+tnYrI8ezk/mVQa8fqKqm7HOK4Aenev0wKCxs2PlbVRfTMxfJ6lwRItuWVz1fvIt2Zntf2SQ
p3p+BcKOef3rj4nsqw6201nOnFZOrYOSg1u4EWrMdWIjS+7hvIlToShVrAVeXsQJMfnwG79uoGgy
Zx7p4kimhOgykCEzb81ItXKrWMSEM9n/6Z6bt9itszrwBmlZwwohOUEsZqYFRgo5VnLTywQ3nI2j
uziNITgfHktzes7NYp8NMiBeHvf2NI8fzoUw+t+RbnCJoMNEmrnvbLscnyhfPKNXiXMGdLJ9oUmQ
EiT9CkdELbG0dzCIdyU5Tx4XyIFCYnrYAJeuGc3QX8AvngZHSn0xsm0nxhy/EpyqYcfE7taAVh+o
6y3BOiNDW3ZN+ZUgRmh3TwwmzfdHgA1KY59qSp6PONHyT0x5QmeFqC7M5bJW8y3LilN+8o76BhHt
EAoIQq//rrxUOquYTzYmMwGcHztMz7zw0iRCJD5BMatTJNICXuWAPA2Y4KrDacA21E3Nu055n+Z5
Xwx1ODgcsddca8ZMikyuhGTXwjmNd6j6z4ZRV9vyPK1s1XIUHBFKZTm1/bIzSdZUsim2dtkEA+fU
HArthR3FuQ5zhGYgcBT0Nkvnh/dYncdWp5jkm6ZAg/T/DoGEjvTiLQT8CKRHXjT36CX0P5A+/sOr
sSRbklR1GqcGo5okDHTm6jb18TjQtX/6PMTMIrEyf4FiC00buQhNUlw/tSwR8IgDXjdahighwbM5
Fg8Ud0Sjbaoh86AD4BfOsc3WrzDL3a09gPE4yi/nZInuBFFPosRWCC5hiwvlzrdDTtYsmVNeK8MA
ixVa7ycCXGAQ59tfrE7SuAFrK/N0AXR8Mbp+A4FcpFIYiQ6TeDeTaeW7+G/9+weF5Zpfe233vjut
JLLwcTTPnE9fIdr7EH/cD34pnJ4t8YvOAxvi0vI/J2nDluuaHBBRJLbg1rON6YEeqxNInG4cPxFp
KJ5JKELFleCj4+FIrMfOtqJRZoiAZJTs2LmpHwQvvsjKZSUXIg3vjHinA6TX6XBzmoRVLp+D3Kk+
mmRUw6JFAAJZxMoyoZot7A/YsUjdp9V+AN+9YP5VvZ26RfvDleFH22Za71j2ZMDgfueaPSmErW4E
2FaITucWUX2CAyR3YLRdIk6ofBjKBHc0WOK70uDGirGINISACcF7LB1ovBuo06nKdiVYzAjke/38
9TYttzrQ7y/nsYZNgII/xsZ9cKCBay/wR+wyH9fN282wPregiwU+fGM0XolVOTJXIdja/Ja1qOqS
HSvSBLeZif2C/2nxaeMFnIPl3NRf5Shb8NRnKQdq3h1QLGMtZSioZlNpUGollFz/N0txlnGi2ZGW
goblgs3ckFOG8dtAOe1CicqkkspxuHoUm30zWMuYZ8wcnbIeEwmjLTlWD0PnjqR22LdutznW3I6e
d1Tuo4H7MS9Cr72RWd3iZ61wUf38Rn9shcQm2vFFNLrmLp1XULZ3HOBOeBBt9gnz1J8IXryFfXkI
yjXnvl9ZurHwizrKdlLJFv/d+JkXFXyx+++bizmVUBLqwtfut+0S/974WYOywH2HdejszhLtzTUx
erv1hwPs00EQNySNajnWiHe9zTcjGBZpXjG6Q/O3IR+soOi89YH3bhEN9hB/DqZkaaWCADYTz6wN
wDpQ5+VB3/q9nT4RBg/81lMBZbP0gTuU2pfGjgcSxAn0RLGiXfFdc/2OMZpH4kiZ9Qq8sWcxOYVa
e5WEO1v9zFgh1SiHPLSDXfkEuhaFhU8+38RwWsjMh6NBtGxqxSSwRbGgxu868SlQ5P/KFHIuNqnX
+Uhc07EHseiv9bggSGZsujqeGQmssj1nI8Ma2JdEWjNp5HT++H50vDZZZb0rDPGcbmFZfCnG28hL
Ei4Ls2RC63n+lcB+51EN7I38NULuYKQfKOhzS3EULQlbC8gy24fW3NPuVmf34UYVV+LqN2y/I7KB
LqJj0apxoaLySj1EA2QlGdsJW9TlT/ZliL3XAuF1M57JtlL7Av7z0uKWz12sHl0jIVRrqqoUsgtb
LVYepUPWyiTVbM1AwN0rlCzthFzw/FUdGuzd0CW5Vb7DI1kpiCaz19ZzQtBpQisCauXVuAkFyo20
aPZpkr4MeDK2QrspdUjhjPrxFm1ZDmvsbQMB4cUkJ9R4bVP+DSi8iqH6YbpPcdPq8DeKhgCo672J
Ty1V7J/7BKKYNq277E/lIN3XSZkpXvIw3IYP5PQpOi0j5zviBU3j/JR+sJj2s8mwpDgHvkiTtNOa
wy4rM+N3AocwSNoKaUyWD7XQNgGh2i11D55pUS4xr/2zHNuLkdQC/V3+LRU0fwjld4hm2XPB05hl
XYObOZHz2Eulvb5nrEUsWp5kaKhuAO37t0n64xFFSvbpfwKJfnsVhDtc/zqqbud07EIl5HQzfQzV
92NYJX5EWiwYbnM64IOTj/xZxkNaxHqTPDHwIr9t/nkWj+Lhy5jZZHvzgUkuWwv//430KgGDcoPE
kAo9xfy7lSVFKpacNqOV45tUis4DueyAoeULWPWYyteCvY/h7JbJgAUrJtfJDKdaFLB61Eg99IU4
EiuzLaEO7w==
`protect end_protected
| gpl-2.0 | 39c9c78cd624bb4ce5924301ee951574 | 0.948631 | 1.824265 | false | false | false | false |
UVVM/uvvm_vvc_framework | bitvis_vip_gpio/src/vvc_context.vhd | 1 | 1,440 | --========================================================================================================================
-- Copyright (c) 2018 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
context vvc_context is
library bitvis_vip_gpio;
use bitvis_vip_gpio.gpio_bfm_pkg.all;
use bitvis_vip_gpio.vvc_cmd_pkg.all;
use bitvis_vip_gpio.vvc_methods_pkg.all;
use bitvis_vip_gpio.td_vvc_framework_common_methods_pkg.all;
end context; | mit | 495f85115ff5b0b2e71aa9351e92d9e9 | 0.532639 | 5.333333 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/max2_2.vhd | 3 | 6,651 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
DBKJW6AAYEyQeYvp+xXm0FZqTAUIe4etpxcsTGeOQmSrPs5cvp0EiZssG2f5h05rg7lxXuIbRv5x
sthvt18kBw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
S+Q/zoJ+NrI+54ic68PWSmOVVi2dvE4z47x8tfn0I4jqh9SwFfaMQrMzhh3uTcFq15Dh4cVw1S15
py2G5E4XEQP8cZXqpQ4sP8vTX5JCO/NCWReMT8CMK2Sg2mQYmk0FEmUqGp3PsxUkkzt38rG8gEIr
XcIJgIcB8kPGCPOfc5g=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MqxUjyd4oPvylW/7NAFX6MUV/CQKrHaGXe26otq3nS2N46kQc7xKqfGHdrwNbn0BLDayiv3Z2B0t
mJAsRf8meCNWHRut6xbCal0We6QeILtc2HiNzJKhFIqdGtZMKxjqu8VycOaH5pj7Ca4fiqLQoMUW
b+hyu/1iO34AWBHfKNU6IVENfy6ekGBJE5WSxkY7yt93gF1heelWkL9UXNoqVRJyqZiigwt22jIs
ieTuuPFcrD+1piLbzYc0s2Z2Z/DxxlwuiS7m6oDTU61RBB5nH3zW2zRwhk6xDl4p8Bbq+1DqJVwP
34WzhTbmiuLzYzX80lV7xbJnbF87TDFsoVlZTg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
b31vtBKgz2tBst+V3DpH+FD6ndZBMi4LGIKrIag+DWF9nbREms05rNCZznsxViqYQJHGBTcW75/F
JrBhdyQIF0a4ti9jGZ+2drSFsO9zVWp7qnPWhoURazpb3Ws5GTun9IHs8zxG6diEdELj5XE1BXVI
OcZ0AQexCv9mfq4pYOY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
A82IlP0cyiIST/RvDAexpJJdPcM+K88NlZRRFIWwB46f5ufBighVnYLChEJx5/5hPa0Nn09ynXX/
52pY6/piULYXznJOYA65Y/lZQE2jcZEm9EvkvozW07wrhR1yHI74pozpczIpNcllEzey9A7/OH3a
rYTaRptCS3vavcUW5QRJ7JIYmkdPLcqpBTpacjpQ+x61bvQmKVjoZzSjtSuZPF4oHuDzvwDz3Bh5
fnij0cP8/L9JBBz7ioyxpX7uvZJRibtDXVP5J9UkdwBfQRuS8YoRv3SeFUvbXVZn+tk0nv5HNAWl
Td4arsmP/Qev50WFBIlzHnkHmjWGgpsrmhMVGQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3184)
`protect data_block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==
`protect end_protected
| gpl-2.0 | f6d42977c1f581a58c4588d060d9dbc9 | 0.913547 | 1.977111 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/logic_gate.vhd | 2 | 18,733 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
DMet942ELRM4SgDdsPG0yFqSjuOPNXiW29auexLzkDKP8sZPne6iHTesP/jQnRG1z4MybQ0kh7Z4
1Bw+QZIbZQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Wa8/THn6lsGtGi76IWmSPlmHLFwtwvwKZsSFCP0Ha8biQSHDwfBoLjhlhCux+AfDv12qgol4K2Wr
30zlWh15WYPuwFMQA41t8LFixZPta7p4pQxYvbZbEGGB81rJGTIqp3e7bsyNlDO6zVyPIoip3Wx1
U0my2drEfupkAxvH4O0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
z61OeAW9HlDTfslVWkQKyEXNUOWF/sCYr/hMa6mgZHwjd0dBXcbR1slTLyTL8uleaSJgX4/1fmYL
cL3VAbf0itX3oXsVXz4SyqVLYPDja/HH6YbvlHJZBBSdw/XPQa7Fsh9Ht/rLOcz0V8M/KmSqoBlx
eOWHNF1vQ8n3gKKwdiWWnnnU2xNq1MEZNP4YB8yX3+bKQpF2S6/iAJMZzrTfYpI3K0w+GFIlhAky
qYGhV9nm3ZyLNGzgu5V4UyJ+yl4nYh0KQPd7KAN5aG7gCMPGJyFeqgJTq4utnCOnkLe8fqlgzScl
Q5/UAp4sR7+heCzMUGPyBcAwYzJz/p1SxDcxIA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
m8EaoPxcKRPub7dt6bt+zaL5cneLtX0XZT5AeiLrfOnp20BX97Nv6dfvcVxey/qSkkterTAtI2A/
IwHoaf8qZgtjr5SuduYSdiMtuyL1sl8ULCQiy7mjvcRpKEaMYjtSd0XegQsRfZiN6psc0RCwbuNS
kkC0TwBB3RUUvO7P7ts=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ksJLLpYryWOaDMvgXIMmnv8hNAA6sHoyJmW/KaiOAjpP8Su9WB/NEsx7MQ7x0lPQSbq7LlgvSZ3c
ktV0qeCjYq1n/YwXOue/ukSO1v4FAwSpNVl+lq7qp0fjELRZJFs3Krv71fSGmVilx3RD3fRByQ2J
HoE+hBlRW8zJeNQ2l6pKXdjBvm6dNqFLJP1Hz11bVcxIe50XqPl2wuUVGcaF5zdwBjN+BwTQ7F/M
gc7dCWcDk/VXuGtqvKPyU9RY6QU8XFC+MO4T/uNsHCB2e5Ix4SzYHlV8UsV8kX84Y4mbLfHh8okp
fA18Ffg5owfWc3InmwdJ1CWPI7VcDRkGFhd5Ew==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12128)
`protect data_block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`protect end_protected
| gpl-2.0 | 574f5f82312e3ab08979c618659e35fa | 0.9408 | 1.856407 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_clock_generator/src/vvc_cmd_pkg.vhd | 1 | 7,596 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
--========================================================================================================================
-- This VVC was generated with Bitvis VVC Generator
--========================================================================================================================
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
--========================================================================================================================
--========================================================================================================================
package vvc_cmd_pkg is
--========================================================================================================================
-- t_operation
-- - VVC and BFM operations
--========================================================================================================================
type t_operation is (
NO_OPERATION,
AWAIT_COMPLETION,
AWAIT_ANY_COMPLETION,
ENABLE_LOG_MSG,
DISABLE_LOG_MSG,
FLUSH_COMMAND_QUEUE,
FETCH_RESULT,
INSERT_DELAY,
TERMINATE_CURRENT_COMMAND,
START_CLOCK,
STOP_CLOCK,
SET_CLOCK_PERIOD,
SET_CLOCK_HIGH_TIME
);
--<USER_INPUT> Create constants for the maximum sizes to use in this VVC.
-- You can create VVCs with smaller sizes than these constants, but not larger.
-- For example, given a VVC with parallel data bus and address bus, constraints should be added for maximum data length
-- and address length
-- Example:
constant C_VVC_CMD_DATA_MAX_LENGTH : natural := 8;
constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300;
--========================================================================================================================
-- t_vvc_cmd_record
-- - Record type used for communication with the VVC
--========================================================================================================================
type t_vvc_cmd_record is record
-- Common VVC fields
operation : t_operation;
proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH);
msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH);
cmd_idx : natural;
command_type : t_immediate_or_queued;
msg_id : t_msg_id;
gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed
gen_boolean : boolean; -- Generic boolean
timeout : time;
alert_level : t_alert_level;
delay : time;
quietness : t_quietness;
parent_msg_id_panel : t_msg_id_panel;
-- VVC dedicated fields
clock_period : time;
clock_high_time : time;
end record;
constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := (
-- Common VVC fields
operation => NO_OPERATION,
proc_call => (others => NUL),
msg => (others => NUL),
cmd_idx => 0,
command_type => NO_COMMAND_TYPE,
msg_id => NO_ID,
gen_integer_array => (others => -1),
gen_boolean => false,
timeout => 0 ns,
alert_level => FAILURE,
delay => 0 ns,
quietness => NON_QUIET,
parent_msg_id_panel => C_UNUSED_MSG_ID_PANEL,
-- VVC dedicated fields
clock_period => 10 ns,
clock_high_time => 5 ns
);
--========================================================================================================================
-- shared_vvc_cmd
-- - Shared variable used for transmitting VVC commands
--========================================================================================================================
shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT;
--========================================================================================================================
-- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response :
--
-- - Used for storing the result of a BFM procedure called by the VVC,
-- so that the result can be transported from the VVC to for example a sequencer via
-- fetch_result() as described in VVC_Framework_common_methods_QuickRef
--
-- - t_vvc_result includes the return value of the procedure in the BFM.
-- It can also be defined as a record if multiple values shall be transported from the BFM
--========================================================================================================================
subtype t_vvc_result is std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0);
type t_vvc_result_queue_element is record
cmd_idx : natural; -- from UVVM handshake mechanism
result : t_vvc_result;
end record;
type t_vvc_response is record
fetch_is_accepted : boolean;
transaction_result : t_transaction_result;
result : t_vvc_result;
end record;
shared variable shared_vvc_response : t_vvc_response;
--========================================================================================================================
-- t_last_received_cmd_idx :
-- - Used to store the last queued cmd in vvc interpreter.
--========================================================================================================================
type t_last_received_cmd_idx is array (t_channel range <>,natural range <>) of integer;
--========================================================================================================================
-- shared_vvc_last_received_cmd_idx
-- - Shared variable used to get last queued index from vvc to sequencer
--========================================================================================================================
shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => (others => -1));
end package vvc_cmd_pkg;
package body vvc_cmd_pkg is
end package body vvc_cmd_pkg;
| mit | 308c28d4a8bee07bf3230306183142a2 | 0.43049 | 5.520349 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_ethernet/tb/ethernet_mac.vhd | 1 | 9,079 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
---------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library bitvis_vip_ethernet;
use bitvis_vip_ethernet.support_pkg.all;
use work.ethernet_mac_pkg.all;
entity ethernet_mac is
port(
-- SBI interface
clk : in std_logic;
sbi_cs : in std_logic;
sbi_addr : in unsigned(7 downto 0);
sbi_rena : in std_logic;
sbi_wena : in std_logic;
sbi_wdata : in std_logic_vector(7 downto 0);
sbi_ready : out std_logic;
sbi_rdata : out std_logic_vector(7 downto 0);
-- GMII interface (only TX)
gtxclk : out std_logic;
txd : out std_logic_vector(7 downto 0);
txen : out std_logic
);
end entity ethernet_mac;
architecture behave of ethernet_mac is
signal mac_dest_addr : std_logic_vector(47 downto 0) := (others => '0');
signal mac_src_addr : std_logic_vector(47 downto 0) := (others => '0');
signal payload_len : std_logic_vector(15 downto 0) := (others => '0');
signal payload_len_int : integer;
signal payload : t_byte_array(0 to C_MAX_PAYLOAD_LENGTH-1);
signal dummy_reg : std_logic_vector(7 downto 0) := (others => '0');
signal frame_ready : std_logic := '0';
signal frame_sent : std_logic := '0';
signal read_ready : std_logic := '0';
type t_state is (s_idle, s_send_pkt);
signal fsm_tx : t_state := s_idle;
begin
------------------------------------------------------------------------------------
-- SBI interface
------------------------------------------------------------------------------------
-- Write SBI data to the Ethernet MAC registers (can only hold one frame at a time).
-- Data is transmitted with the LSB first.
p_sbi_write_regs : process(clk)
variable v_mac_dest_byte : integer range 0 to 6 := 0;
variable v_mac_src_byte : integer range 0 to 6 := 0;
variable v_pay_len_byte : integer range 0 to 2 := 0;
variable v_payload_byte : integer range 0 to C_MAX_PAYLOAD_LENGTH := 0;
begin
if rising_edge(clk) then
if sbi_cs = '1' and sbi_wena = '1' and sbi_ready = '1' then
-- Registers are expected to be written in order (payload being the last).
case sbi_addr is
when C_ETH_ADDR_MAC_DEST =>
if v_mac_dest_byte < 6 then
mac_dest_addr <= mac_dest_addr(39 downto 0) & sbi_wdata;
v_mac_dest_byte := v_mac_dest_byte + 1;
else
alert(WARNING, "Ethernet MAC: Overwriting register C_ETH_ADDR_MAC_DEST!");
end if;
when C_ETH_ADDR_MAC_SRC =>
if v_mac_src_byte < 6 then
mac_src_addr <= mac_src_addr(39 downto 0) & sbi_wdata;
v_mac_src_byte := v_mac_src_byte + 1;
else
alert(WARNING, "Ethernet MAC: Overwriting register C_ETH_ADDR_MAC_SRC!");
end if;
when C_ETH_ADDR_PAY_LEN =>
if v_pay_len_byte < 2 then
payload_len <= payload_len(7 downto 0) & sbi_wdata;
v_pay_len_byte := v_pay_len_byte + 1;
else
alert(WARNING, "Ethernet MAC: Overwriting register C_ETH_ADDR_PAY_LEN!");
end if;
when C_ETH_ADDR_PAYLOAD =>
if v_payload_byte < payload_len_int then
payload(v_payload_byte) <= sbi_wdata;
v_payload_byte := v_payload_byte + 1;
else
alert(WARNING, "Ethernet MAC: Overwriting register C_ETH_ADDR_PAYLOAD!");
end if;
-- Once a complete frame is written, it will be sent via the GMII interface.
if v_payload_byte = payload_len_int then
v_mac_dest_byte := 0;
v_mac_src_byte := 0;
v_pay_len_byte := 0;
v_payload_byte := 0;
frame_ready <= '1';
end if;
when C_ETH_ADDR_DUMMY =>
dummy_reg <= sbi_wdata;
when others =>
alert(ERROR, "Ethernet MAC: SBI write address " & to_hstring(sbi_addr) & " not supported!");
end case;
end if;
if frame_sent = '1' then
frame_ready <= '0';
end if;
end if;
end process p_sbi_write_regs;
-- Read the Ethernet MAC dummy register
p_sbi_read_regs : process(sbi_cs, sbi_rena, sbi_addr)
begin
sbi_rdata <= (others => '0');
read_ready <= '0';
if sbi_cs = '1' and sbi_rena = '1' then
-- Decode read address
case sbi_addr is
when C_ETH_ADDR_DUMMY =>
sbi_rdata <= dummy_reg;
read_ready <= '1';
when others =>
alert(ERROR, "Ethernet MAC: SBI read address " & to_hstring(sbi_addr) & " not supported!");
end case;
end if;
end process p_sbi_read_regs;
-- Make sure to receive and send the padding bytes
payload_len_int <= to_integer(unsigned(payload_len)) when to_integer(unsigned(payload_len)) > C_MIN_PAYLOAD_LENGTH
else C_MIN_PAYLOAD_LENGTH;
-- Only accept new SBI writes after the frame is transmitted
sbi_ready <= not frame_ready or read_ready;
------------------------------------------------------------------------------------
-- GMII interface
------------------------------------------------------------------------------------
-- Send Ethernet frames once they have been completely stored in the internal registers.
p_gmii_send_frame : process(clk)
variable v_byte_idx : integer range 0 to C_MAX_PACKET_LENGTH := 0;
variable v_packet : t_byte_array(0 to C_MAX_PACKET_LENGTH-1);
variable v_crc_32 : std_logic_vector(31 downto 0) := (others => '0');
begin
if rising_edge(clk) then
txd <= (others => '0');
txen <= '0';
frame_sent <= '0';
case fsm_tx is
when s_idle =>
if frame_ready = '1' and frame_sent = '0' then
-- Preamble (LSb first)
v_packet(0 to 6) := convert_slv_to_byte_array(C_PREAMBLE, LOWER_BYTE_LEFT);
-- SFD (LSb first)
v_packet(7) := C_SFD;
-- MAC destination (LSb first)
v_packet(8 to 13) := convert_slv_to_byte_array(mac_dest_addr, LOWER_BYTE_LEFT);
-- MAC source (LSb first)
v_packet(14 to 19) := convert_slv_to_byte_array(mac_src_addr, LOWER_BYTE_LEFT);
-- Payload length (LSb first)
v_packet(20 to 21) := convert_slv_to_byte_array(payload_len, LOWER_BYTE_LEFT);
-- Payload (LSb first)
v_packet(22 to 22+payload_len_int-1) := payload(0 to payload_len_int-1);
-- Calculate the FCS with the MAC addresses, payload length and payload data
v_crc_32 := generate_crc_32(v_packet(8 to 22+payload_len_int-1));
-- Post complement the CRC according to Ethernet standard
v_crc_32 := not(v_crc_32);
-- FCS (MSb first). Convert slv to byte_array with MSB first and then reverse the bits in each byte so MSb is transmitted first
v_packet(22+payload_len_int to 22+payload_len_int+4-1) := reverse_vectors_in_array(convert_slv_to_byte_array(v_crc_32, LOWER_BYTE_LEFT));
v_byte_idx := 0;
fsm_tx <= s_send_pkt;
end if;
when s_send_pkt =>
txd <= v_packet(v_byte_idx);
txen <= '1';
if v_byte_idx = 22+payload_len_int+4-1 then
frame_sent <= '1';
fsm_tx <= s_idle;
else
v_byte_idx := v_byte_idx + 1;
end if;
end case;
end if;
end process p_gmii_send_frame;
-- Use the same clock for both interfaces
gtxclk <= clk;
end architecture behave; | mit | 22cbed63089ddcafca660aadea28ff0d | 0.52649 | 3.908308 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_3/part_1/top.vhd | 1 | 9,496 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 06.03.2014 15:08:57
-- Design Name:
-- Module Name: top - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.VHDL_lib.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity top is
Port ( clk_raw : in STD_LOGIC;
sw : in STD_LOGIC_VECTOR (7 downto 0);
btn : in STD_LOGIC_VECTOR (4 downto 0);
led : out STD_LOGIC_VECTOR (7 downto 0);
VGA_DATA : out STD_LOGIC_VECTOR (11 downto 0);
VGA_HSYNC : out STD_LOGIC;
VGA_VSYNC : out STD_LOGIC
);
end top;
architecture Behavioral of top is
constant vga_width : integer := 1280;
constant vga_height : integer := 1024;
constant xwidth : integer := log2(vga_width);
constant ywidth : integer := log2(vga_height);
signal dbtn : std_logic_vector(4 downto 0);
signal clk_100MHz: std_logic;
signal clk_250MHz: std_logic;
signal ch1_x: std_logic_vector(xwidth-1 downto 0);
signal ch1_y: std_logic_vector(ywidth-1 downto 0);
signal ch1_trigger: std_logic_vector(ywidth-1 downto 0);
signal ch1_update: std_logic;
signal ch2_x: std_logic_vector(xwidth-1 downto 0);
signal ch2_y: std_logic_vector(ywidth-1 downto 0);
signal ch2_trigger: std_logic_vector(ywidth-1 downto 0);
signal ch2_update: std_logic;
signal vline: std_logic_vector(ywidth-1 downto 0);
signal vline_clear: std_logic;
signal vline_enb: std_logic;
signal sine_out: std_logic_vector(ywidth-1 downto 0);
signal cosine_out: std_logic_vector(ywidth-1 downto 0);
signal sw_buffer : std_logic_vector(7 downto 0);
signal valid: std_logic;
signal m_axis_data_tdata : std_logic_vector(15 downto 0) ;
signal m_axis_data_tdata_cosine : std_logic_vector(ywidth-1 downto 0) := (others => '0');
signal m_axis_data_tdata_sine : std_logic_vector(ywidth-1 downto 0) := (others => '0');
-- Alias signals for each separate TDM channel (these are 1 cycle delayed relative to the above alias signals)
signal m_axis_data_channel : integer := 0; -- indicates TDM channel number of data master channel outputs
signal m_axis_data_tdata_cosine_c0 : std_logic_vector(ywidth-1 downto 0) := (others => '0');
signal m_axis_data_tdata_sine_c0 : std_logic_vector(ywidth-1 downto 0) := (others => '0');
signal m_axis_data_tdata_cosine_c1 : std_logic_vector(ywidth-1 downto 0) := (others => '0');
signal m_axis_data_tdata_sine_c1 : std_logic_vector(ywidth-1 downto 0) := (others => '0');
signal m_axis_data_tdata_cosine_c2 : std_logic_vector(ywidth-1 downto 0) := (others => '0');
signal m_axis_data_tdata_sine_c2 : std_logic_vector(ywidth-1 downto 0) := (others => '0');
signal m_axis_data_tdata_cosine_c3 : std_logic_vector(ywidth-1 downto 0) := (others => '0');
signal m_axis_data_tdata_sine_c3 : std_logic_vector(ywidth-1 downto 0) := (others => '0');
signal m_axis_data_tdata_cosine_c4 : std_logic_vector(ywidth-1 downto 0) := (others => '0');
signal m_axis_data_tdata_sine_c4 : std_logic_vector(ywidth-1 downto 0) := (others => '0');
signal time_val: std_logic_vector(6 downto 0);
component clk_base is
port (
clk_raw : in STD_LOGIC;
clk_250MHz : out STD_LOGIC;
clk_100MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end component;
component trigger is
generic(
vga_width:integer := 1280;
vga_height:integer := 1024
);
Port ( clk_100MHz : in STD_LOGIC;
input: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
value: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
valid: out STD_LOGIC;
output: out STD_LOGIC_VECTOR(log2(vga_width)-1 downto 0);
time_val: in STD_LOGIC_VECTOR(6 downto 0)
);
end component;
component cro is
generic(
width:integer := 16;
vga_width:integer := 1280;
vga_height:integer := 1024
);
Port ( clk_100MHz : in STD_LOGIC;
ch1_x: in STD_LOGIC_VECTOR(log2(vga_width)-1 downto 0);
ch1_y: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
ch1_update: in STD_LOGIC;
ch2_x: in STD_LOGIC_VECTOR(log2(vga_width)-1 downto 0);
ch2_y: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
ch2_update: in STD_LOGIC;
vline: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
VGA_DATA : out STD_LOGIC_VECTOR (11 downto 0);
VGA_HSYNC : out STD_LOGIC;
VGA_VSYNC : out STD_LOGIC
);
end component;
COMPONENT dds
PORT (
aclk : IN STD_LOGIC;
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END COMPONENT;
begin
clk_base1: clk_base port map(clk_raw, clk_250MHz, clk_100MHz, open);
cro1: cro port map(clk_100MHz,ch1_x,ch1_y,ch1_update,ch2_x,ch2_y,ch2_update,vline,VGA_DATA,VGA_HSYNC,VGA_VSYNC);
trigger1: trigger port map(clk_100MHz,ch1_y,ch1_trigger,ch1_update,ch1_x,time_val);
trigger2: trigger port map(clk_100MHz,ch2_y,ch2_trigger,ch2_update,ch2_x,time_val);
dbounce1: debounce port map(clk_100MHz, btn(0), dbtn(0));
dbounce2: debounce port map(clk_100MHz, btn(4), dbtn(4));
dbounce3: debounce port map(clk_100MHz, btn(1), dbtn(1));
dbounce4: debounce port map(clk_100MHz, btn(3), dbtn(3));
pulser1: pulser generic map(delay=>100000000) port map(clk_100MHz,vline_enb,vline_clear);
sig_gen: dds
PORT MAP (
aclk => clk_100MHz,
m_axis_data_tvalid => valid,
m_axis_data_tdata => m_axis_data_tdata
);
ch1_y <= std_logic_vector(resize(signed(sine_out(7 downto 0)),ywidth));
ch2_y <= std_logic_vector(resize(signed(cosine_out(7 downto 0)),ywidth));
process(clk_100MHz) begin
if(clk_100MHz'event and clk_100MHz='1')then
if(dbtn(0) = '1')then
ch1_trigger <= std_logic_vector(signed(ch1_trigger) + 1);
vline <= ch1_trigger;
vline_enb <= '1';
elsif(dbtn(4) = '1')then
ch1_trigger <= std_logic_vector(signed(ch1_trigger) - 1);
vline <= ch1_trigger;
vline_enb <= '1';
elsif(vline_enb = '1' and vline_clear = '1') then
vline <= std_logic_vector(to_signed(vga_height/2,ywidth));
vline_enb <= '0';
end if;
if(dbtn(1) = '1')then
time_val <= time_val+1;
elsif(dbtn(3) = '1')then
time_val <= time_val -1;
end if;
sw_buffer <= sw;
led <= sw_buffer;
if(sw_buffer(6 downto 4) = 0)then
sine_out <= m_axis_data_tdata_sine_c0;
cosine_out <= m_axis_data_tdata_cosine_c0;
elsif(sw_buffer(6 downto 4) = 1)then
sine_out <= m_axis_data_tdata_sine_c1;
cosine_out <= m_axis_data_tdata_cosine_c1;
elsif(sw_buffer(6 downto 4) = 2)then
sine_out <= m_axis_data_tdata_sine_c2;
cosine_out <= m_axis_data_tdata_cosine_c2;
elsif(sw_buffer(6 downto 4) = 3)then
sine_out <= m_axis_data_tdata_sine_c3;
cosine_out <= m_axis_data_tdata_cosine_c3;
else
sine_out <= m_axis_data_tdata_sine_c4;
cosine_out <= m_axis_data_tdata_cosine_c4;
end if;
if valid = '1' then
if m_axis_data_channel = 4 then
m_axis_data_channel <= 0;
else
m_axis_data_channel <= m_axis_data_channel + 1;
end if;
if m_axis_data_channel = 0 then
m_axis_data_tdata_cosine_c0(7 downto 0) <= m_axis_data_tdata(7 downto 0);
m_axis_data_tdata_sine_c0(7 downto 0) <= m_axis_data_tdata(15 downto 8);
elsif m_axis_data_channel = 1 then
m_axis_data_tdata_cosine_c1(7 downto 0) <= m_axis_data_tdata(7 downto 0);
m_axis_data_tdata_sine_c1(7 downto 0) <= m_axis_data_tdata(15 downto 8);
elsif m_axis_data_channel = 2 then
m_axis_data_tdata_cosine_c2(7 downto 0) <= m_axis_data_tdata(7 downto 0);
m_axis_data_tdata_sine_c2(7 downto 0) <= m_axis_data_tdata(15 downto 8);
elsif m_axis_data_channel = 3 then
m_axis_data_tdata_cosine_c3(7 downto 0) <= m_axis_data_tdata(7 downto 0);
m_axis_data_tdata_sine_c3(7 downto 0) <= m_axis_data_tdata(15 downto 8);
elsif m_axis_data_channel = 4 then
m_axis_data_tdata_cosine_c4(7 downto 0) <= m_axis_data_tdata(7 downto 0);
m_axis_data_tdata_sine_c4(7 downto 0) <= m_axis_data_tdata(15 downto 8);
end if;
end if;
end if;
end process;
end Behavioral;
| gpl-2.0 | 348e42657200fb8d503da5c3a704dbf5 | 0.589195 | 3.079118 | false | false | false | false |
UVVM/UVVM_All | uvvm_util/src/data_stack_pkg.vhd | 1 | 8,653 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.types_pkg.all;
use work.adaptations_pkg.all;
use work.methods_pkg.all;
use work.string_methods_pkg.all;
use work.data_queue_pkg.all;
package data_stack_pkg is
shared variable shared_data_stack : t_data_queue;
------------------------------------------
-- uvvm_stack_init
------------------------------------------
-- This function allocates space in the buffer and returns an index that
-- must be used to access the stack.
--
-- - Parameters:
-- - buffer_size_in_bits (natural) - The size of the stack
--
-- - Returns: The index of the initiated stack (natural).
-- Returns 0 on error.
--
impure function uvvm_stack_init(
buffer_size_in_bits : natural
) return natural;
------------------------------------------
-- uvvm_stack_init
------------------------------------------
-- This procedure allocates space in the buffer at the given buffer_idx.
--
-- - Parameters:
-- - buffer_idx - The index of the stack (natural)
-- that shall be initialized.
-- - buffer_size_in_bits (natural) - The size of the stack
--
procedure uvvm_stack_init(
buffer_index : natural;
buffer_size_in_bits : natural
);
------------------------------------------
-- uvvm_stack_push
------------------------------------------
-- This procedure puts data into a stack with index buffer_idx.
-- The size of the data is unconstrained, meaning that
-- it can be any size. Pushing data with a size that is
-- larger than the stack size results in wrapping, i.e.,
-- that when reaching the end the data remaining will over-
-- write the data that was written first.
--
-- - Parameters:
-- - buffer_idx - The index of the stack (natural)
-- that shall be pushed to.
-- - data - The data that shall be pushed (slv)
--
procedure uvvm_stack_push(
buffer_index : natural;
data : std_logic_vector
);
------------------------------------------
-- uvvm_stack_pop
------------------------------------------
-- This function returns the data from the stack
-- and removes the returned data from the stack.
--
-- - Parameters:
-- - buffer_idx - The index of the stack (natural)
-- that shall be read.
-- - entry_size_in_bits - The size of the returned slv (natural)
--
-- - Returns: Data from the stack (slv). The size of the
-- return data is given by the entry_size_in_bits parameter.
-- Attempting to pop from an empty stack is allowed but triggers a
-- TB_WARNING and returns garbage.
-- Attempting to pop a larger value than the stack size is allowed
-- but triggers a TB_WARNING.
--
--
impure function uvvm_stack_pop(
buffer_index : natural;
entry_size_in_bits : natural
) return std_logic_vector;
------------------------------------------
-- uvvm_stack_flush
------------------------------------------
-- This procedure empties the stack given
-- by buffer_idx.
--
-- - Parameters:
-- - buffer_idx - The index of the stack (natural)
-- that shall be flushed.
--
procedure uvvm_stack_flush(
buffer_index : natural
);
------------------------------------------
-- uvvm_stack_peek
------------------------------------------
-- This function returns the data from the stack
-- without removing it.
--
-- - Parameters:
-- - buffer_idx - The index of the stack (natural)
-- that shall be read.
-- - entry_size_in_bits - The size of the returned slv (natural)
--
-- - Returns: Data from the stack. The size of the
-- return data is given by the entry_size_in_bits parameter.
-- Attempting to peek from an empty stack is allowed but triggers a
-- TB_WARNING and returns garbage.
-- Attempting to peek a larger value than the stack size is allowed
-- but triggers a TB_WARNING. Will wrap.
--
--
impure function uvvm_stack_peek(
buffer_index : natural;
entry_size_in_bits : natural
) return std_logic_vector;
------------------------------------------
-- uvvm_stack_get_count
------------------------------------------
-- This function returns a natural indicating the number of elements
-- currently occupying the stack given by buffer_idx.
--
-- - Parameters:
-- - buffer_idx - The index of the stack (natural)
--
-- - Returns: The number of elements occupying the stack (natural).
--
--
impure function uvvm_stack_get_count(
buffer_idx : natural
) return natural;
------------------------------------------
-- uvvm_stack_get_max_count
------------------------------------------
-- This function returns a natural indicating the maximum number
-- of elements that can occupy the stack given by buffer_idx.
--
-- - Parameters:
-- - buffer_idx - The index of the stack (natural)
--
-- - Returns: The maximum number of elements that can be placed
-- in the stack (natural).
--
--
impure function uvvm_stack_get_max_count(
buffer_index : natural
) return natural;
end package data_stack_pkg;
package body data_stack_pkg is
impure function uvvm_stack_init(
buffer_size_in_bits : natural
) return natural is
begin
return shared_data_stack.init_queue(buffer_size_in_bits, "UVVM_STACK");
end function;
procedure uvvm_stack_init(
buffer_index : natural;
buffer_size_in_bits : natural
) is
begin
shared_data_stack.init_queue(buffer_index, buffer_size_in_bits, "UVVM_STACK");
end procedure;
procedure uvvm_stack_push(
buffer_index : natural;
data : std_logic_vector
) is
begin
shared_data_stack.push_back(buffer_index,data);
end procedure;
impure function uvvm_stack_pop(
buffer_index : natural;
entry_size_in_bits : natural
) return std_logic_vector is
begin
return shared_data_stack.pop_back(buffer_index, entry_size_in_bits);
end function;
procedure uvvm_stack_flush(
buffer_index : natural
) is
begin
shared_data_stack.flush(buffer_index);
end procedure;
impure function uvvm_stack_peek(
buffer_index : natural;
entry_size_in_bits : natural
) return std_logic_vector is
begin
return shared_data_stack.peek_back(buffer_index, entry_size_in_bits);
end function;
impure function uvvm_stack_get_count(
buffer_idx : natural
) return natural is
begin
return shared_data_stack.get_count(buffer_idx);
end function;
impure function uvvm_stack_get_max_count(
buffer_index : natural
) return natural is
begin
return shared_data_stack.get_queue_count_max(buffer_index);
end function;
end package body data_stack_pkg;
| mit | b3de2ae33cfbc884d1fddc46c5a44189 | 0.532185 | 4.592887 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_3/part_1/ip/dds/dds_funcsim.vhdl | 1 | 218,390 | -- Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2013.4 (lin64) Build 353583 Mon Dec 9 17:26:26 MST 2013
-- Date : Mon Mar 24 21:51:05 2014
-- Host : macbook running 64-bit unknown
-- Command : write_vhdl -force -mode funcsim /home/keith/Documents/VHDL-lib/top/lab_3/part_1/ip/dds/dds_funcsim.vhdl
-- Design : dds
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity \ddsdds_compiler_v6_0_viv__parameterized0\ is
port (
aclk : in STD_LOGIC;
aclken : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axis_phase_tvalid : in STD_LOGIC;
s_axis_phase_tready : out STD_LOGIC;
s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_phase_tlast : in STD_LOGIC;
s_axis_phase_tuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_config_tvalid : in STD_LOGIC;
s_axis_config_tready : out STD_LOGIC;
s_axis_config_tdata : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_config_tlast : in STD_LOGIC;
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tready : in STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 );
m_axis_data_tlast : out STD_LOGIC;
m_axis_data_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_phase_tvalid : out STD_LOGIC;
m_axis_phase_tready : in STD_LOGIC;
m_axis_phase_tdata : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_phase_tlast : out STD_LOGIC;
m_axis_phase_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
event_pinc_invalid : out STD_LOGIC;
event_poff_invalid : out STD_LOGIC;
event_phase_in_invalid : out STD_LOGIC;
event_s_phase_tlast_missing : out STD_LOGIC;
event_s_phase_tlast_unexpected : out STD_LOGIC;
event_s_phase_chanid_incorrect : out STD_LOGIC;
event_s_config_tlast_missing : out STD_LOGIC;
event_s_config_tlast_unexpected : out STD_LOGIC;
debug_axi_pinc_in : out STD_LOGIC_VECTOR ( 44 downto 0 );
debug_axi_poff_in : out STD_LOGIC_VECTOR ( 44 downto 0 );
debug_axi_resync_in : out STD_LOGIC;
debug_axi_chan_in : out STD_LOGIC_VECTOR ( 2 downto 0 );
debug_core_nd : out STD_LOGIC;
debug_phase : out STD_LOGIC_VECTOR ( 44 downto 0 );
debug_phase_nd : out STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is "dds_compiler_v6_0_viv";
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is "zynq";
attribute C_MODE_OF_OPERATION : integer;
attribute C_MODE_OF_OPERATION of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_MODULUS : integer;
attribute C_MODULUS of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 9;
attribute C_ACCUMULATOR_WIDTH : integer;
attribute C_ACCUMULATOR_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 45;
attribute C_CHANNELS : integer;
attribute C_CHANNELS of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 5;
attribute C_HAS_PHASE_OUT : integer;
attribute C_HAS_PHASE_OUT of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_HAS_PHASEGEN : integer;
attribute C_HAS_PHASEGEN of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 1;
attribute C_HAS_SINCOS : integer;
attribute C_HAS_SINCOS of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 1;
attribute C_LATENCY : integer;
attribute C_LATENCY of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 3;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 1;
attribute C_NEGATIVE_COSINE : integer;
attribute C_NEGATIVE_COSINE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_NEGATIVE_SINE : integer;
attribute C_NEGATIVE_SINE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_NOISE_SHAPING : integer;
attribute C_NOISE_SHAPING of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_OUTPUTS_REQUIRED : integer;
attribute C_OUTPUTS_REQUIRED of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 2;
attribute C_OUTPUT_FORM : integer;
attribute C_OUTPUT_FORM of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 8;
attribute C_PHASE_ANGLE_WIDTH : integer;
attribute C_PHASE_ANGLE_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 8;
attribute C_PHASE_INCREMENT : integer;
attribute C_PHASE_INCREMENT of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 2;
attribute C_PHASE_INCREMENT_VALUE : string;
attribute C_PHASE_INCREMENT_VALUE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is "100000000000000000000000000000000000,1000000000000000000000000000000000000,10000000000000000000000000000000000000,100000000000000000000000000000000000000,1000000000000000000000000000000000000000,0,0,0,0,0,0,0,0,0,0,0";
attribute C_RESYNC : integer;
attribute C_RESYNC of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_PHASE_OFFSET : integer;
attribute C_PHASE_OFFSET of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_PHASE_OFFSET_VALUE : string;
attribute C_PHASE_OFFSET_VALUE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_OPTIMISE_GOAL : integer;
attribute C_OPTIMISE_GOAL of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_USE_DSP48 : integer;
attribute C_USE_DSP48 of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_POR_MODE : integer;
attribute C_POR_MODE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_AMPLITUDE : integer;
attribute C_AMPLITUDE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_HAS_TLAST : integer;
attribute C_HAS_TLAST of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_HAS_TREADY : integer;
attribute C_HAS_TREADY of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_HAS_S_PHASE : integer;
attribute C_HAS_S_PHASE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_S_PHASE_TDATA_WIDTH : integer;
attribute C_S_PHASE_TDATA_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 1;
attribute C_S_PHASE_HAS_TUSER : integer;
attribute C_S_PHASE_HAS_TUSER of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_S_PHASE_TUSER_WIDTH : integer;
attribute C_S_PHASE_TUSER_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 1;
attribute C_HAS_S_CONFIG : integer;
attribute C_HAS_S_CONFIG of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_S_CONFIG_SYNC_MODE : integer;
attribute C_S_CONFIG_SYNC_MODE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_S_CONFIG_TDATA_WIDTH : integer;
attribute C_S_CONFIG_TDATA_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 1;
attribute C_HAS_M_DATA : integer;
attribute C_HAS_M_DATA of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 1;
attribute C_M_DATA_TDATA_WIDTH : integer;
attribute C_M_DATA_TDATA_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 16;
attribute C_M_DATA_HAS_TUSER : integer;
attribute C_M_DATA_HAS_TUSER of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_M_DATA_TUSER_WIDTH : integer;
attribute C_M_DATA_TUSER_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 1;
attribute C_HAS_M_PHASE : integer;
attribute C_HAS_M_PHASE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_M_PHASE_TDATA_WIDTH : integer;
attribute C_M_PHASE_TDATA_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 1;
attribute C_M_PHASE_HAS_TUSER : integer;
attribute C_M_PHASE_HAS_TUSER of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_M_PHASE_TUSER_WIDTH : integer;
attribute C_M_PHASE_TUSER_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 1;
attribute C_DEBUG_INTERFACE : integer;
attribute C_DEBUG_INTERFACE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_CHAN_WIDTH : integer;
attribute C_CHAN_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 3;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is "yes";
end \ddsdds_compiler_v6_0_viv__parameterized0\;
architecture STRUCTURE of \ddsdds_compiler_v6_0_viv__parameterized0\ is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal A : STD_LOGIC_VECTOR ( 2 downto 0 );
signal chan_addr_del1 : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_common.i_phase_adj_multi.i_prog_freq/first_q\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\ : STD_LOGIC_VECTOR ( 45 downto 0 );
signal \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\ : STD_LOGIC_VECTOR ( 45 downto 0 );
signal \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/first_q\ : STD_LOGIC_VECTOR ( 8 downto 0 );
signal \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/first_q\ : STD_LOGIC_VECTOR ( 8 downto 0 );
signal \i_dds/Q1_out\ : STD_LOGIC;
signal \i_dds/Q2_out\ : STD_LOGIC;
signal \i_dds/chan_addr\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \i_dds/i_rdy.rdy_logic/i_multi_channel.i_non_trivial_lat.i_rdy/first_q\ : STD_LOGIC;
attribute RTL_KEEP : string;
attribute RTL_KEEP of \i_dds/i_rdy.rdy_logic/i_multi_channel.i_non_trivial_lat.i_rdy/first_q\ : signal is "true";
signal \i_dds/i_rdy.rdy_logic/pre_rdy\ : STD_LOGIC;
signal \i_dds/next_chan\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \i_has_nd_rdy_pipe.channel_pipe/first_q\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \i_has_nd_rdy_pipe.valid_phase_read_del/first_q\ : STD_LOGIC;
attribute RTL_KEEP of \i_has_nd_rdy_pipe.valid_phase_read_del/first_q\ : signal is "true";
signal master_count : STD_LOGIC_VECTOR ( 2 downto 0 );
signal mutant_x_op : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[11]_i_2\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[11]_i_3\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[11]_i_4\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[11]_i_5\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[15]_i_2\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[15]_i_3\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[15]_i_4\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[15]_i_5\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[19]_i_2\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[19]_i_3\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[19]_i_4\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[19]_i_5\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[23]_i_2\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[23]_i_3\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[23]_i_4\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[23]_i_5\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[27]_i_2\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[27]_i_3\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[27]_i_4\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[27]_i_5\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[31]_i_2\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[31]_i_3\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[31]_i_4\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[31]_i_5\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[35]_i_2\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[35]_i_3\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[35]_i_4\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[35]_i_5\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[39]_i_2\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[39]_i_3\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[39]_i_4\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[39]_i_5\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[3]_i_2\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[3]_i_3\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[3]_i_4\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[3]_i_5\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[43]_i_2\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[43]_i_3\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[43]_i_4\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[43]_i_5\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[45]_i_2\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[7]_i_2\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[7]_i_3\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[7]_i_4\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[7]_i_5\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[11]_i_1\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[15]_i_1\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[19]_i_1\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[23]_i_1\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[27]_i_1\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[31]_i_1\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[35]_i_1\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[39]_i_1\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[3]_i_1\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[43]_i_1\ : STD_LOGIC;
signal \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[7]_i_1\ : STD_LOGIC;
signal \n_0_i_dds/i_rdy.rdy_logic/mutant_x_op[0]_i_1\ : STD_LOGIC;
signal \n_0_i_dds/i_rdy.rdy_logic/mutant_x_op[1]_i_1\ : STD_LOGIC;
signal \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\ : STD_LOGIC;
signal \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\ : STD_LOGIC;
signal \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\ : STD_LOGIC;
signal \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\ : STD_LOGIC;
signal \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\ : STD_LOGIC;
signal \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\ : STD_LOGIC;
signal \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_42_44\ : STD_LOGIC;
signal \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\ : STD_LOGIC;
signal \n_0_master_channel_count.master_count[0]_i_1\ : STD_LOGIC;
signal \n_0_master_channel_count.master_count[1]_i_1\ : STD_LOGIC;
signal \n_0_master_channel_count.master_count[2]_i_1\ : STD_LOGIC;
signal \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[11]_i_1\ : STD_LOGIC;
signal \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[15]_i_1\ : STD_LOGIC;
signal \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[19]_i_1\ : STD_LOGIC;
signal \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[23]_i_1\ : STD_LOGIC;
signal \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[27]_i_1\ : STD_LOGIC;
signal \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[31]_i_1\ : STD_LOGIC;
signal \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[35]_i_1\ : STD_LOGIC;
signal \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[39]_i_1\ : STD_LOGIC;
signal \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[3]_i_1\ : STD_LOGIC;
signal \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[43]_i_1\ : STD_LOGIC;
signal \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[7]_i_1\ : STD_LOGIC;
signal \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\ : STD_LOGIC;
signal \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\ : STD_LOGIC;
signal \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\ : STD_LOGIC;
signal \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\ : STD_LOGIC;
signal \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\ : STD_LOGIC;
signal \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\ : STD_LOGIC;
signal \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_42_44\ : STD_LOGIC;
signal \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\ : STD_LOGIC;
signal \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[11]_i_1\ : STD_LOGIC;
signal \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[15]_i_1\ : STD_LOGIC;
signal \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[19]_i_1\ : STD_LOGIC;
signal \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[23]_i_1\ : STD_LOGIC;
signal \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[27]_i_1\ : STD_LOGIC;
signal \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[31]_i_1\ : STD_LOGIC;
signal \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[35]_i_1\ : STD_LOGIC;
signal \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[39]_i_1\ : STD_LOGIC;
signal \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[3]_i_1\ : STD_LOGIC;
signal \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[43]_i_1\ : STD_LOGIC;
signal \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[7]_i_1\ : STD_LOGIC;
signal \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\ : STD_LOGIC;
signal \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\ : STD_LOGIC;
signal \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\ : STD_LOGIC;
signal \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\ : STD_LOGIC;
signal \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\ : STD_LOGIC;
signal \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\ : STD_LOGIC;
signal \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\ : STD_LOGIC;
signal \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[11]_i_1\ : STD_LOGIC;
signal \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[15]_i_1\ : STD_LOGIC;
signal \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[19]_i_1\ : STD_LOGIC;
signal \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[23]_i_1\ : STD_LOGIC;
signal \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[27]_i_1\ : STD_LOGIC;
signal \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[31]_i_1\ : STD_LOGIC;
signal \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[35]_i_1\ : STD_LOGIC;
signal \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[39]_i_1\ : STD_LOGIC;
signal \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[3]_i_1\ : STD_LOGIC;
signal \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[43]_i_1\ : STD_LOGIC;
signal \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[45]_i_1\ : STD_LOGIC;
signal \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[7]_i_1\ : STD_LOGIC;
signal \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\ : STD_LOGIC;
signal \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\ : STD_LOGIC;
signal \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\ : STD_LOGIC;
signal \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\ : STD_LOGIC;
signal \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\ : STD_LOGIC;
signal \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\ : STD_LOGIC;
signal \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_42_44\ : STD_LOGIC;
signal \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\ : STD_LOGIC;
signal \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\ : STD_LOGIC;
signal \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\ : STD_LOGIC;
signal \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\ : STD_LOGIC;
signal \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\ : STD_LOGIC;
signal \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\ : STD_LOGIC;
signal \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\ : STD_LOGIC;
signal \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\ : STD_LOGIC;
signal \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\ : STD_LOGIC;
signal \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\ : STD_LOGIC;
signal \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\ : STD_LOGIC;
signal \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\ : STD_LOGIC;
signal \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\ : STD_LOGIC;
signal \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\ : STD_LOGIC;
signal \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\ : STD_LOGIC;
signal p_0_in : STD_LOGIC_VECTOR ( 45 downto 0 );
signal \pipe[2]\ : STD_LOGIC;
signal ram_op : STD_LOGIC_VECTOR ( 45 downto 0 );
signal \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_0_5_DOD_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_12_17_DOD_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_18_23_DOD_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_24_29_DOD_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_30_35_DOD_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_36_41_DOD_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_42_45_DOC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_42_45_DOD_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_6_11_DOD_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[45]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[45]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 8 );
signal \NLW_i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 8 );
signal \NLW_i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5_DOD_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17_DOD_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23_DOD_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29_DOD_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35_DOD_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41_DOD_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_42_44_DOB_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 to 1 );
signal \NLW_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_42_44_DOC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_42_44_DOD_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11_DOD_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute keep : string;
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_common.i_phase_adj_multi.i_prog_freq/opt_has_pipe.first_q_reg[0]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_common.i_phase_adj_multi.i_prog_freq/opt_has_pipe.first_q_reg[1]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_common.i_phase_adj_multi.i_prog_freq/opt_has_pipe.first_q_reg[2]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[0]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[10]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[11]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[12]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[13]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[14]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[15]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[16]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[17]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[18]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[19]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[1]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[20]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[21]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[22]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[23]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[24]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[25]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[26]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[27]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[28]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[29]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[2]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[30]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[31]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[32]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[33]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[34]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[35]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[36]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[37]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[38]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[39]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[3]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[40]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[41]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[42]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[43]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[44]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[45]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[4]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[5]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[6]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[7]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[8]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[9]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[0]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[10]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[11]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[12]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[13]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[14]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[15]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[16]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[17]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[18]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[19]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[1]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[20]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[21]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[22]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[23]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[24]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[25]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[26]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[27]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[28]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[29]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[2]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[30]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[31]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[32]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[33]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[34]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[35]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[36]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[37]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[38]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[39]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[3]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[40]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[41]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[42]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[43]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[44]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[45]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[4]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[5]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[6]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[7]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[8]\ : label is "yes";
attribute keep of \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[9]\ : label is "yes";
attribute box_type : string;
attribute box_type of \i_dds/I_PHASEGEN.i_multichan.i_chan_addr_reg_ext.i_char_addr_reg[1].i_reg\ : label is "PRIMITIVE";
attribute box_type of \i_dds/I_PHASEGEN.i_multichan.i_chan_addr_reg_ext.i_char_addr_reg[2].i_reg\ : label is "PRIMITIVE";
attribute box_type of \i_dds/I_PHASEGEN.i_multichan.i_low_reg\ : label is "PRIMITIVE";
attribute keep of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/opt_has_pipe.first_q_reg[0]\ : label is "yes";
attribute keep of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/opt_has_pipe.first_q_reg[1]\ : label is "yes";
attribute keep of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/opt_has_pipe.first_q_reg[2]\ : label is "yes";
attribute keep of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/opt_has_pipe.first_q_reg[3]\ : label is "yes";
attribute keep of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/opt_has_pipe.first_q_reg[4]\ : label is "yes";
attribute keep of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/opt_has_pipe.first_q_reg[5]\ : label is "yes";
attribute keep of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/opt_has_pipe.first_q_reg[6]\ : label is "yes";
attribute keep of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/opt_has_pipe.first_q_reg[7]\ : label is "yes";
attribute keep of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/opt_has_pipe.first_q_reg[8]\ : label is "yes";
attribute keep of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/opt_has_pipe.first_q_reg[0]\ : label is "yes";
attribute keep of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/opt_has_pipe.first_q_reg[1]\ : label is "yes";
attribute keep of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/opt_has_pipe.first_q_reg[2]\ : label is "yes";
attribute keep of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/opt_has_pipe.first_q_reg[3]\ : label is "yes";
attribute keep of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/opt_has_pipe.first_q_reg[4]\ : label is "yes";
attribute keep of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/opt_has_pipe.first_q_reg[5]\ : label is "yes";
attribute keep of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/opt_has_pipe.first_q_reg[6]\ : label is "yes";
attribute keep of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/opt_has_pipe.first_q_reg[7]\ : label is "yes";
attribute keep of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/opt_has_pipe.first_q_reg[8]\ : label is "yes";
attribute METHODOLOGY_DRC_VIOS : string;
attribute METHODOLOGY_DRC_VIOS of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg\ : label is "{SYNTH-6 {cell inst1}}{SYNTH-6 {cell inst1}}";
attribute bram_addr_begin : integer;
attribute bram_addr_begin of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg\ : label is 0;
attribute bram_addr_end : integer;
attribute bram_addr_end of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg\ : label is 1023;
attribute bram_slice_begin : integer;
attribute bram_slice_begin of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg\ : label is 0;
attribute bram_slice_end : integer;
attribute bram_slice_end of \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg\ : label is 35;
attribute keep of \i_dds/i_rdy.rdy_logic/i_multi_channel.i_non_trivial_lat.i_rdy/opt_has_pipe.first_q_reg[0]\ : label is "yes";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \i_dds/i_rdy.rdy_logic/mutant_x_op[1]_i_1\ : label is "soft_lutpair1";
attribute keep of \i_has_nd_rdy_pipe.channel_pipe/opt_has_pipe.first_q_reg[0]\ : label is "yes";
attribute keep of \i_has_nd_rdy_pipe.channel_pipe/opt_has_pipe.first_q_reg[1]\ : label is "yes";
attribute keep of \i_has_nd_rdy_pipe.channel_pipe/opt_has_pipe.first_q_reg[2]\ : label is "yes";
attribute keep of \i_has_nd_rdy_pipe.valid_phase_read_del/opt_has_pipe.first_q_reg[0]\ : label is "yes";
attribute SOFT_HLUTNM of \master_channel_count.master_count[0]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \master_channel_count.master_count[2]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \opt_has_pipe.first_q[0]_i_1\ : label is "soft_lutpair1";
begin
debug_axi_chan_in(2) <= \<const0>\;
debug_axi_chan_in(1) <= \<const0>\;
debug_axi_chan_in(0) <= \<const0>\;
debug_axi_pinc_in(44) <= \<const0>\;
debug_axi_pinc_in(43) <= \<const0>\;
debug_axi_pinc_in(42) <= \<const0>\;
debug_axi_pinc_in(41) <= \<const0>\;
debug_axi_pinc_in(40) <= \<const0>\;
debug_axi_pinc_in(39) <= \<const0>\;
debug_axi_pinc_in(38) <= \<const0>\;
debug_axi_pinc_in(37) <= \<const0>\;
debug_axi_pinc_in(36) <= \<const0>\;
debug_axi_pinc_in(35) <= \<const0>\;
debug_axi_pinc_in(34) <= \<const0>\;
debug_axi_pinc_in(33) <= \<const0>\;
debug_axi_pinc_in(32) <= \<const0>\;
debug_axi_pinc_in(31) <= \<const0>\;
debug_axi_pinc_in(30) <= \<const0>\;
debug_axi_pinc_in(29) <= \<const0>\;
debug_axi_pinc_in(28) <= \<const0>\;
debug_axi_pinc_in(27) <= \<const0>\;
debug_axi_pinc_in(26) <= \<const0>\;
debug_axi_pinc_in(25) <= \<const0>\;
debug_axi_pinc_in(24) <= \<const0>\;
debug_axi_pinc_in(23) <= \<const0>\;
debug_axi_pinc_in(22) <= \<const0>\;
debug_axi_pinc_in(21) <= \<const0>\;
debug_axi_pinc_in(20) <= \<const0>\;
debug_axi_pinc_in(19) <= \<const0>\;
debug_axi_pinc_in(18) <= \<const0>\;
debug_axi_pinc_in(17) <= \<const0>\;
debug_axi_pinc_in(16) <= \<const0>\;
debug_axi_pinc_in(15) <= \<const0>\;
debug_axi_pinc_in(14) <= \<const0>\;
debug_axi_pinc_in(13) <= \<const0>\;
debug_axi_pinc_in(12) <= \<const0>\;
debug_axi_pinc_in(11) <= \<const0>\;
debug_axi_pinc_in(10) <= \<const0>\;
debug_axi_pinc_in(9) <= \<const0>\;
debug_axi_pinc_in(8) <= \<const0>\;
debug_axi_pinc_in(7) <= \<const0>\;
debug_axi_pinc_in(6) <= \<const0>\;
debug_axi_pinc_in(5) <= \<const0>\;
debug_axi_pinc_in(4) <= \<const0>\;
debug_axi_pinc_in(3) <= \<const0>\;
debug_axi_pinc_in(2) <= \<const0>\;
debug_axi_pinc_in(1) <= \<const0>\;
debug_axi_pinc_in(0) <= \<const0>\;
debug_axi_poff_in(44) <= \<const0>\;
debug_axi_poff_in(43) <= \<const0>\;
debug_axi_poff_in(42) <= \<const0>\;
debug_axi_poff_in(41) <= \<const0>\;
debug_axi_poff_in(40) <= \<const0>\;
debug_axi_poff_in(39) <= \<const0>\;
debug_axi_poff_in(38) <= \<const0>\;
debug_axi_poff_in(37) <= \<const0>\;
debug_axi_poff_in(36) <= \<const0>\;
debug_axi_poff_in(35) <= \<const0>\;
debug_axi_poff_in(34) <= \<const0>\;
debug_axi_poff_in(33) <= \<const0>\;
debug_axi_poff_in(32) <= \<const0>\;
debug_axi_poff_in(31) <= \<const0>\;
debug_axi_poff_in(30) <= \<const0>\;
debug_axi_poff_in(29) <= \<const0>\;
debug_axi_poff_in(28) <= \<const0>\;
debug_axi_poff_in(27) <= \<const0>\;
debug_axi_poff_in(26) <= \<const0>\;
debug_axi_poff_in(25) <= \<const0>\;
debug_axi_poff_in(24) <= \<const0>\;
debug_axi_poff_in(23) <= \<const0>\;
debug_axi_poff_in(22) <= \<const0>\;
debug_axi_poff_in(21) <= \<const0>\;
debug_axi_poff_in(20) <= \<const0>\;
debug_axi_poff_in(19) <= \<const0>\;
debug_axi_poff_in(18) <= \<const0>\;
debug_axi_poff_in(17) <= \<const0>\;
debug_axi_poff_in(16) <= \<const0>\;
debug_axi_poff_in(15) <= \<const0>\;
debug_axi_poff_in(14) <= \<const0>\;
debug_axi_poff_in(13) <= \<const0>\;
debug_axi_poff_in(12) <= \<const0>\;
debug_axi_poff_in(11) <= \<const0>\;
debug_axi_poff_in(10) <= \<const0>\;
debug_axi_poff_in(9) <= \<const0>\;
debug_axi_poff_in(8) <= \<const0>\;
debug_axi_poff_in(7) <= \<const0>\;
debug_axi_poff_in(6) <= \<const0>\;
debug_axi_poff_in(5) <= \<const0>\;
debug_axi_poff_in(4) <= \<const0>\;
debug_axi_poff_in(3) <= \<const0>\;
debug_axi_poff_in(2) <= \<const0>\;
debug_axi_poff_in(1) <= \<const0>\;
debug_axi_poff_in(0) <= \<const0>\;
debug_axi_resync_in <= \<const0>\;
debug_core_nd <= \<const0>\;
debug_phase(44) <= \<const0>\;
debug_phase(43) <= \<const0>\;
debug_phase(42) <= \<const0>\;
debug_phase(41) <= \<const0>\;
debug_phase(40) <= \<const0>\;
debug_phase(39) <= \<const0>\;
debug_phase(38) <= \<const0>\;
debug_phase(37) <= \<const0>\;
debug_phase(36) <= \<const0>\;
debug_phase(35) <= \<const0>\;
debug_phase(34) <= \<const0>\;
debug_phase(33) <= \<const0>\;
debug_phase(32) <= \<const0>\;
debug_phase(31) <= \<const0>\;
debug_phase(30) <= \<const0>\;
debug_phase(29) <= \<const0>\;
debug_phase(28) <= \<const0>\;
debug_phase(27) <= \<const0>\;
debug_phase(26) <= \<const0>\;
debug_phase(25) <= \<const0>\;
debug_phase(24) <= \<const0>\;
debug_phase(23) <= \<const0>\;
debug_phase(22) <= \<const0>\;
debug_phase(21) <= \<const0>\;
debug_phase(20) <= \<const0>\;
debug_phase(19) <= \<const0>\;
debug_phase(18) <= \<const0>\;
debug_phase(17) <= \<const0>\;
debug_phase(16) <= \<const0>\;
debug_phase(15) <= \<const0>\;
debug_phase(14) <= \<const0>\;
debug_phase(13) <= \<const0>\;
debug_phase(12) <= \<const0>\;
debug_phase(11) <= \<const0>\;
debug_phase(10) <= \<const0>\;
debug_phase(9) <= \<const0>\;
debug_phase(8) <= \<const0>\;
debug_phase(7) <= \<const0>\;
debug_phase(6) <= \<const0>\;
debug_phase(5) <= \<const0>\;
debug_phase(4) <= \<const0>\;
debug_phase(3) <= \<const0>\;
debug_phase(2) <= \<const0>\;
debug_phase(1) <= \<const0>\;
debug_phase(0) <= \<const0>\;
debug_phase_nd <= \<const0>\;
event_phase_in_invalid <= \<const0>\;
event_pinc_invalid <= \<const0>\;
event_poff_invalid <= \<const0>\;
event_s_config_tlast_missing <= \<const0>\;
event_s_config_tlast_unexpected <= \<const0>\;
event_s_phase_chanid_incorrect <= \<const0>\;
event_s_phase_tlast_missing <= \<const0>\;
event_s_phase_tlast_unexpected <= \<const0>\;
m_axis_data_tlast <= \<const0>\;
m_axis_data_tuser(0) <= \<const0>\;
m_axis_phase_tdata(0) <= \<const0>\;
m_axis_phase_tlast <= \<const0>\;
m_axis_phase_tuser(0) <= \<const0>\;
m_axis_phase_tvalid <= \<const0>\;
s_axis_config_tready <= \<const1>\;
s_axis_phase_tready <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_common.i_phase_adj_multi.i_prog_freq/opt_has_pipe.first_q_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/Q2_out\,
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_common.i_phase_adj_multi.i_prog_freq/first_q\(0),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_common.i_phase_adj_multi.i_prog_freq/opt_has_pipe.first_q_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/Q1_out\,
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_common.i_phase_adj_multi.i_prog_freq/first_q\(1),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_common.i_phase_adj_multi.i_prog_freq/opt_has_pipe.first_q_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/chan_addr\(2),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_common.i_phase_adj_multi.i_prog_freq/first_q\(2),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(0),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(0),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(10),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(10),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(11),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(11),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(12),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(12),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(13),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(13),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(14),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(14),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(15),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(15),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(16),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(16),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(17),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(17),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(18),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(18),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(19),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(19),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(1),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(1),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(20),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(20),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(21),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(21),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(22),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(22),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(23),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(23),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(24),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(24),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(25),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(25),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(26),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(26),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(27),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(27),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(28),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(28),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[29]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(29),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(29),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(2),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(2),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[30]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(30),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(30),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[31]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(31),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(31),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[32]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(32),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(32),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[33]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(33),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(33),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[34]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(34),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(34),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[35]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(35),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(35),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[36]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(36),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(36),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[37]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(37),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(37),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[38]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(38),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(38),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[39]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(39),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(39),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(3),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(3),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[40]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(40),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(40),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[41]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(41),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(41),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[42]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(42),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(42),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[43]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(43),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(43),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[44]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(44),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(44),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[45]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(45),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(45),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(4),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(4),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(5),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(5),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(6),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(6),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(7),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(7),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(8),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(8),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/opt_has_pipe.first_q_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => p_0_in(9),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(9),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(0),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(0),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(10),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(10),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(11),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(11),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(12),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(12),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(13),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(13),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(14),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(14),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(15),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(15),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(16),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(16),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(17),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(17),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(18),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(18),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(19),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(19),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(1),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(1),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(20),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(20),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(21),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(21),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(22),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(22),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(23),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(23),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(24),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(24),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(25),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(25),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(26),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(26),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(27),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(27),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(28),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(28),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[29]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(29),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(29),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(2),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(2),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[30]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(30),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(30),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[31]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(31),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(31),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[32]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(32),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(32),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[33]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(33),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(33),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[34]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(34),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(34),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[35]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(35),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(35),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[36]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(36),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(36),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[37]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(37),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(37),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[38]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(38),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(38),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[39]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(39),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(39),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(3),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(3),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[40]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(40),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(40),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[41]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(41),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(41),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[42]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(42),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(42),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[43]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(43),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(43),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[44]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(44),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(44),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[45]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(45),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(45),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(4),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(4),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(5),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(5),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(6),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(6),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(7),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(7),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(8),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(8),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/opt_has_pipe.first_q_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(9),
Q => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(9),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_0_5\: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2) => \i_dds/chan_addr\(2),
ADDRA(1) => \i_dds/Q1_out\,
ADDRA(0) => \i_dds/Q2_out\,
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2) => \i_dds/chan_addr\(2),
ADDRB(1) => \i_dds/Q1_out\,
ADDRB(0) => \i_dds/Q2_out\,
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2) => \i_dds/chan_addr\(2),
ADDRC(1) => \i_dds/Q1_out\,
ADDRC(0) => \i_dds/Q2_out\,
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2 downto 0) => A(2 downto 0),
DIA(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(1 downto 0),
DIB(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(3 downto 2),
DIC(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(5 downto 4),
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(1 downto 0),
DOB(1 downto 0) => ram_op(3 downto 2),
DOC(1 downto 0) => ram_op(5 downto 4),
DOD(1 downto 0) => \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_0_5_DOD_UNCONNECTED\(1 downto 0),
WCLK => aclk,
WE => \<const1>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_12_17\: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2) => \i_dds/chan_addr\(2),
ADDRA(1) => \i_dds/Q1_out\,
ADDRA(0) => \i_dds/Q2_out\,
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2) => \i_dds/chan_addr\(2),
ADDRB(1) => \i_dds/Q1_out\,
ADDRB(0) => \i_dds/Q2_out\,
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2) => \i_dds/chan_addr\(2),
ADDRC(1) => \i_dds/Q1_out\,
ADDRC(0) => \i_dds/Q2_out\,
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2 downto 0) => A(2 downto 0),
DIA(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(13 downto 12),
DIB(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(15 downto 14),
DIC(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(17 downto 16),
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(13 downto 12),
DOB(1 downto 0) => ram_op(15 downto 14),
DOC(1 downto 0) => ram_op(17 downto 16),
DOD(1 downto 0) => \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_12_17_DOD_UNCONNECTED\(1 downto 0),
WCLK => aclk,
WE => \<const1>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_18_23\: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2) => \i_dds/chan_addr\(2),
ADDRA(1) => \i_dds/Q1_out\,
ADDRA(0) => \i_dds/Q2_out\,
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2) => \i_dds/chan_addr\(2),
ADDRB(1) => \i_dds/Q1_out\,
ADDRB(0) => \i_dds/Q2_out\,
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2) => \i_dds/chan_addr\(2),
ADDRC(1) => \i_dds/Q1_out\,
ADDRC(0) => \i_dds/Q2_out\,
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2 downto 0) => A(2 downto 0),
DIA(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(19 downto 18),
DIB(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(21 downto 20),
DIC(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(23 downto 22),
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(19 downto 18),
DOB(1 downto 0) => ram_op(21 downto 20),
DOC(1 downto 0) => ram_op(23 downto 22),
DOD(1 downto 0) => \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_18_23_DOD_UNCONNECTED\(1 downto 0),
WCLK => aclk,
WE => \<const1>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_24_29\: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2) => \i_dds/chan_addr\(2),
ADDRA(1) => \i_dds/Q1_out\,
ADDRA(0) => \i_dds/Q2_out\,
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2) => \i_dds/chan_addr\(2),
ADDRB(1) => \i_dds/Q1_out\,
ADDRB(0) => \i_dds/Q2_out\,
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2) => \i_dds/chan_addr\(2),
ADDRC(1) => \i_dds/Q1_out\,
ADDRC(0) => \i_dds/Q2_out\,
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2 downto 0) => A(2 downto 0),
DIA(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(25 downto 24),
DIB(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(27 downto 26),
DIC(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(29 downto 28),
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(25 downto 24),
DOB(1 downto 0) => ram_op(27 downto 26),
DOC(1 downto 0) => ram_op(29 downto 28),
DOD(1 downto 0) => \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_24_29_DOD_UNCONNECTED\(1 downto 0),
WCLK => aclk,
WE => \<const1>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_30_35\: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2) => \i_dds/chan_addr\(2),
ADDRA(1) => \i_dds/Q1_out\,
ADDRA(0) => \i_dds/Q2_out\,
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2) => \i_dds/chan_addr\(2),
ADDRB(1) => \i_dds/Q1_out\,
ADDRB(0) => \i_dds/Q2_out\,
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2) => \i_dds/chan_addr\(2),
ADDRC(1) => \i_dds/Q1_out\,
ADDRC(0) => \i_dds/Q2_out\,
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2 downto 0) => A(2 downto 0),
DIA(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(31 downto 30),
DIB(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(33 downto 32),
DIC(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(35 downto 34),
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(31 downto 30),
DOB(1 downto 0) => ram_op(33 downto 32),
DOC(1 downto 0) => ram_op(35 downto 34),
DOD(1 downto 0) => \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_30_35_DOD_UNCONNECTED\(1 downto 0),
WCLK => aclk,
WE => \<const1>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_36_41\: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2) => \i_dds/chan_addr\(2),
ADDRA(1) => \i_dds/Q1_out\,
ADDRA(0) => \i_dds/Q2_out\,
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2) => \i_dds/chan_addr\(2),
ADDRB(1) => \i_dds/Q1_out\,
ADDRB(0) => \i_dds/Q2_out\,
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2) => \i_dds/chan_addr\(2),
ADDRC(1) => \i_dds/Q1_out\,
ADDRC(0) => \i_dds/Q2_out\,
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2 downto 0) => A(2 downto 0),
DIA(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(37 downto 36),
DIB(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(39 downto 38),
DIC(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(41 downto 40),
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(37 downto 36),
DOB(1 downto 0) => ram_op(39 downto 38),
DOC(1 downto 0) => ram_op(41 downto 40),
DOD(1 downto 0) => \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_36_41_DOD_UNCONNECTED\(1 downto 0),
WCLK => aclk,
WE => \<const1>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_42_45\: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2) => \i_dds/chan_addr\(2),
ADDRA(1) => \i_dds/Q1_out\,
ADDRA(0) => \i_dds/Q2_out\,
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2) => \i_dds/chan_addr\(2),
ADDRB(1) => \i_dds/Q1_out\,
ADDRB(0) => \i_dds/Q2_out\,
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2) => \i_dds/chan_addr\(2),
ADDRC(1) => \i_dds/Q1_out\,
ADDRC(0) => \i_dds/Q2_out\,
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2 downto 0) => A(2 downto 0),
DIA(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(43 downto 42),
DIB(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(45 downto 44),
DIC(1) => \<const0>\,
DIC(0) => \<const0>\,
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(43 downto 42),
DOB(1 downto 0) => ram_op(45 downto 44),
DOC(1 downto 0) => \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_42_45_DOC_UNCONNECTED\(1 downto 0),
DOD(1 downto 0) => \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_42_45_DOD_UNCONNECTED\(1 downto 0),
WCLK => aclk,
WE => \<const1>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_6_11\: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2) => \i_dds/chan_addr\(2),
ADDRA(1) => \i_dds/Q1_out\,
ADDRA(0) => \i_dds/Q2_out\,
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2) => \i_dds/chan_addr\(2),
ADDRB(1) => \i_dds/Q1_out\,
ADDRB(0) => \i_dds/Q2_out\,
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2) => \i_dds/chan_addr\(2),
ADDRC(1) => \i_dds/Q1_out\,
ADDRC(0) => \i_dds/Q2_out\,
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2 downto 0) => A(2 downto 0),
DIA(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(7 downto 6),
DIB(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(9 downto 8),
DIC(1 downto 0) => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(11 downto 10),
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(7 downto 6),
DOB(1 downto 0) => ram_op(9 downto 8),
DOC(1 downto 0) => ram_op(11 downto 10),
DOD(1 downto 0) => \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/the_ram_reg_0_7_6_11_DOD_UNCONNECTED\(1 downto 0),
WCLK => aclk,
WE => \<const1>\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[11]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(11),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[11]_i_2\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[11]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(10),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[11]_i_3\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[11]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(9),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[11]_i_4\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[11]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(8),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[11]_i_5\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[15]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(15),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[15]_i_2\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[15]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(14),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[15]_i_3\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[15]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(13),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[15]_i_4\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[15]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(12),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[15]_i_5\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[19]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(19),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[19]_i_2\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[19]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(18),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[19]_i_3\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[19]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(17),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[19]_i_4\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[19]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(16),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[19]_i_5\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[23]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(23),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[23]_i_2\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[23]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(22),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[23]_i_3\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[23]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(21),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[23]_i_4\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[23]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(20),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[23]_i_5\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[27]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(27),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[27]_i_2\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[27]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(26),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[27]_i_3\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[27]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(25),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[27]_i_4\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[27]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(24),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[27]_i_5\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[31]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(31),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[31]_i_2\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[31]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(30),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[31]_i_3\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[31]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(29),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[31]_i_4\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[31]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(28),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[31]_i_5\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[35]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(35),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[35]_i_2\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[35]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(34),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[35]_i_3\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[35]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(33),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[35]_i_4\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[35]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(32),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[35]_i_5\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[39]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(39),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[39]_i_2\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[39]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(38),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[39]_i_3\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[39]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(37),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[39]_i_4\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[39]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(36),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[39]_i_5\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[3]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(3),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[3]_i_2\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[3]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(2),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[3]_i_3\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[3]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(1),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[3]_i_4\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[3]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(0),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[3]_i_5\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[43]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_42_44\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(43),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[43]_i_2\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[43]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_42_44\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(42),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[43]_i_3\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[43]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(41),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[43]_i_4\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[43]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(40),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[43]_i_5\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[45]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_42_44\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(44),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[45]_i_2\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[7]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(7),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[7]_i_2\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[7]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(6),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[7]_i_3\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[7]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(5),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[7]_i_4\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[7]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\,
I1 => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_acc_ram/i_ram_reg/first_q\(4),
O => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[7]_i_5\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[11]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[7]_i_1\,
CO(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[11]_i_1\,
CO(2) => \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[11]_i_1\,
CO(1) => \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[11]_i_1\,
CO(0) => \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[11]_i_1\,
CYINIT => \<const0>\,
DI(3) => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\,
DI(2) => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\,
DI(1) => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\,
DI(0) => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\,
O(3 downto 0) => p_0_in(11 downto 8),
S(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[11]_i_2\,
S(2) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[11]_i_3\,
S(1) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[11]_i_4\,
S(0) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[11]_i_5\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[15]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[11]_i_1\,
CO(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[15]_i_1\,
CO(2) => \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[15]_i_1\,
CO(1) => \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[15]_i_1\,
CO(0) => \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[15]_i_1\,
CYINIT => \<const0>\,
DI(3) => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\,
DI(2) => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\,
DI(1) => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\,
DI(0) => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\,
O(3 downto 0) => p_0_in(15 downto 12),
S(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[15]_i_2\,
S(2) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[15]_i_3\,
S(1) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[15]_i_4\,
S(0) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[15]_i_5\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[19]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[15]_i_1\,
CO(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[19]_i_1\,
CO(2) => \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[19]_i_1\,
CO(1) => \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[19]_i_1\,
CO(0) => \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[19]_i_1\,
CYINIT => \<const0>\,
DI(3) => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\,
DI(2) => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\,
DI(1) => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\,
DI(0) => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\,
O(3 downto 0) => p_0_in(19 downto 16),
S(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[19]_i_2\,
S(2) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[19]_i_3\,
S(1) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[19]_i_4\,
S(0) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[19]_i_5\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[23]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[19]_i_1\,
CO(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[23]_i_1\,
CO(2) => \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[23]_i_1\,
CO(1) => \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[23]_i_1\,
CO(0) => \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[23]_i_1\,
CYINIT => \<const0>\,
DI(3) => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\,
DI(2) => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\,
DI(1) => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\,
DI(0) => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\,
O(3 downto 0) => p_0_in(23 downto 20),
S(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[23]_i_2\,
S(2) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[23]_i_3\,
S(1) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[23]_i_4\,
S(0) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[23]_i_5\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[27]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[23]_i_1\,
CO(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[27]_i_1\,
CO(2) => \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[27]_i_1\,
CO(1) => \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[27]_i_1\,
CO(0) => \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[27]_i_1\,
CYINIT => \<const0>\,
DI(3) => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\,
DI(2) => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\,
DI(1) => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\,
DI(0) => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\,
O(3 downto 0) => p_0_in(27 downto 24),
S(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[27]_i_2\,
S(2) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[27]_i_3\,
S(1) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[27]_i_4\,
S(0) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[27]_i_5\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[31]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[27]_i_1\,
CO(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[31]_i_1\,
CO(2) => \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[31]_i_1\,
CO(1) => \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[31]_i_1\,
CO(0) => \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[31]_i_1\,
CYINIT => \<const0>\,
DI(3) => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\,
DI(2) => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\,
DI(1) => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\,
DI(0) => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\,
O(3 downto 0) => p_0_in(31 downto 28),
S(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[31]_i_2\,
S(2) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[31]_i_3\,
S(1) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[31]_i_4\,
S(0) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[31]_i_5\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[35]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[31]_i_1\,
CO(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[35]_i_1\,
CO(2) => \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[35]_i_1\,
CO(1) => \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[35]_i_1\,
CO(0) => \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[35]_i_1\,
CYINIT => \<const0>\,
DI(3) => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\,
DI(2) => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\,
DI(1) => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\,
DI(0) => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\,
O(3 downto 0) => p_0_in(35 downto 32),
S(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[35]_i_2\,
S(2) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[35]_i_3\,
S(1) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[35]_i_4\,
S(0) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[35]_i_5\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[39]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[35]_i_1\,
CO(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[39]_i_1\,
CO(2) => \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[39]_i_1\,
CO(1) => \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[39]_i_1\,
CO(0) => \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[39]_i_1\,
CYINIT => \<const0>\,
DI(3) => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\,
DI(2) => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\,
DI(1) => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\,
DI(0) => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\,
O(3 downto 0) => p_0_in(39 downto 36),
S(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[39]_i_2\,
S(2) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[39]_i_3\,
S(1) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[39]_i_4\,
S(0) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[39]_i_5\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[3]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \<const0>\,
CO(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[3]_i_1\,
CO(2) => \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[3]_i_1\,
CO(1) => \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[3]_i_1\,
CO(0) => \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[3]_i_1\,
CYINIT => \<const0>\,
DI(3) => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\,
DI(2) => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\,
DI(1) => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\,
DI(0) => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\,
O(3 downto 0) => p_0_in(3 downto 0),
S(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[3]_i_2\,
S(2) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[3]_i_3\,
S(1) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[3]_i_4\,
S(0) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[3]_i_5\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[43]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[39]_i_1\,
CO(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[43]_i_1\,
CO(2) => \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[43]_i_1\,
CO(1) => \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[43]_i_1\,
CO(0) => \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[43]_i_1\,
CYINIT => \<const0>\,
DI(3) => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_42_44\,
DI(2) => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_42_44\,
DI(1) => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\,
DI(0) => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\,
O(3 downto 0) => p_0_in(43 downto 40),
S(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[43]_i_2\,
S(2) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[43]_i_3\,
S(1) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[43]_i_4\,
S(0) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[43]_i_5\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[45]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[43]_i_1\,
CO(3 downto 1) => \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[45]_i_1_CO_UNCONNECTED\(3 downto 1),
CO(0) => \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[45]_i_1\,
CYINIT => \<const0>\,
DI(3) => \<const0>\,
DI(2) => \<const0>\,
DI(1) => \<const0>\,
DI(0) => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_42_44\,
O(3 downto 2) => \NLW_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[45]_i_1_O_UNCONNECTED\(3 downto 2),
O(1 downto 0) => p_0_in(45 downto 44),
S(3) => \<const0>\,
S(2) => \<const0>\,
S(1) => \<const0>\,
S(0) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[45]_i_2\
);
\i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[7]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[3]_i_1\,
CO(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[7]_i_1\,
CO(2) => \n_1_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[7]_i_1\,
CO(1) => \n_2_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[7]_i_1\,
CO(0) => \n_3_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q_reg[7]_i_1\,
CYINIT => \<const0>\,
DI(3) => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\,
DI(2) => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\,
DI(1) => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\,
DI(0) => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\,
O(3 downto 0) => p_0_in(7 downto 4),
S(3) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[7]_i_2\,
S(2) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[7]_i_3\,
S(1) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[7]_i_4\,
S(0) => \n_0_i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_multi_channel.i_accum/opt_has_pipe.first_q[7]_i_5\
);
\i_dds/I_PHASEGEN.i_multichan.chan_addr_del1_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/Q2_out\,
Q => chan_addr_del1(0),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_multichan.chan_addr_del1_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/Q1_out\,
Q => chan_addr_del1(1),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_multichan.chan_addr_del1_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/chan_addr\(2),
Q => chan_addr_del1(2),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_multichan.chan_addr_del2_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => chan_addr_del1(0),
Q => A(0),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_multichan.chan_addr_del2_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => chan_addr_del1(1),
Q => A(1),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_multichan.chan_addr_del2_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => \<const1>\,
D => chan_addr_del1(2),
Q => A(2),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_multichan.i_chan_addr_reg_ext.i_char_addr_reg[1].i_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/next_chan\(1),
Q => \i_dds/Q1_out\,
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_multichan.i_chan_addr_reg_ext.i_char_addr_reg[2].i_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/next_chan\(2),
Q => \i_dds/chan_addr\(2),
R => \<const0>\
);
\i_dds/I_PHASEGEN.i_multichan.i_low_reg\: unisim.vcomponents.FDSE
generic map(
INIT => '1',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_S_INVERTED => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/next_chan\(0),
Q => \i_dds/Q2_out\,
S => \<const0>\
);
\i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/opt_has_pipe.first_q_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(37),
Q => \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/first_q\(0),
R => \<const0>\
);
\i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/opt_has_pipe.first_q_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(38),
Q => \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/first_q\(1),
R => \<const0>\
);
\i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/opt_has_pipe.first_q_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(39),
Q => \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/first_q\(2),
R => \<const0>\
);
\i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/opt_has_pipe.first_q_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(40),
Q => \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/first_q\(3),
R => \<const0>\
);
\i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/opt_has_pipe.first_q_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(41),
Q => \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/first_q\(4),
R => \<const0>\
);
\i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/opt_has_pipe.first_q_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(42),
Q => \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/first_q\(5),
R => \<const0>\
);
\i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/opt_has_pipe.first_q_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(43),
Q => \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/first_q\(6),
R => \<const0>\
);
\i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/opt_has_pipe.first_q_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(44),
Q => \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/first_q\(7),
R => \<const0>\
);
\i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/opt_has_pipe.first_q_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \<const0>\,
Q => \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/first_q\(8),
R => \<const0>\
);
\i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/opt_has_pipe.first_q_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(37),
Q => \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/first_q\(0),
R => \<const0>\
);
\i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/opt_has_pipe.first_q_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(38),
Q => \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/first_q\(1),
R => \<const0>\
);
\i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/opt_has_pipe.first_q_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(39),
Q => \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/first_q\(2),
R => \<const0>\
);
\i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/opt_has_pipe.first_q_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(40),
Q => \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/first_q\(3),
R => \<const0>\
);
\i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/opt_has_pipe.first_q_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(41),
Q => \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/first_q\(4),
R => \<const0>\
);
\i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/opt_has_pipe.first_q_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(42),
Q => \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/first_q\(5),
R => \<const0>\
);
\i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/opt_has_pipe.first_q_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(43),
Q => \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/first_q\(6),
R => \<const0>\
);
\i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/opt_has_pipe.first_q_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/I_PHASEGEN.i_conventional_accum.i_accum/i_fabric.i_common.i_phase_acc/first_q\(44),
Q => \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/first_q\(7),
R => \<const0>\
);
\i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/opt_has_pipe.first_q_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \<const1>\,
Q => \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/first_q\(8),
R => \<const0>\
);
\i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg\: unisim.vcomponents.RAMB18E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"002F002C0029002600230020001D001A001700140011000E000B000800050002",
INIT_01 => X"0058005600530051004F004C004A004700450042003F003D003A003700340032",
INIT_02 => X"0074007300710070006E006D006B006A00680066006400620060005E005C005A",
INIT_03 => X"007E007E007E007E007D007D007C007C007B007B007A00790078007700760075",
INIT_04 => X"00750076007700780079007A007B007B007C007C007D007D007E007E007E007E",
INIT_05 => X"005A005C005E00600062006400660068006A006B006D006E0070007100730074",
INIT_06 => X"003200340037003A003D003F004200450047004A004C004F0051005300560058",
INIT_07 => X"000200050008000B000E001100140017001A001D0020002300260029002C002F",
INIT_08 => X"00D100D400D700DA00DD00E000E300E600E900EC00EF00F200F500F800FB00FE",
INIT_09 => X"00A800AA00AD00AF00B100B400B600B900BB00BE00C100C300C600C900CC00CE",
INIT_0A => X"008C008D008F009000920093009500960098009A009C009E00A000A200A400A6",
INIT_0B => X"00820082008200820083008300840084008500850086008700880089008A008B",
INIT_0C => X"008B008A00890088008700860085008500840084008300830082008200820082",
INIT_0D => X"00A600A400A200A0009E009C009A009800960095009300920090008F008D008C",
INIT_0E => X"00CE00CC00C900C600C300C100BE00BB00B900B600B400B100AF00AD00AA00A8",
INIT_0F => X"00FE00FB00F800F500F200EF00EC00E900E600E300E000DD00DA00D700D400D1",
INIT_10 => X"00750076007700780079007A007B007B007C007C007D007D007E007E007E007E",
INIT_11 => X"005A005C005E00600062006400660068006A006B006D006E0070007100730074",
INIT_12 => X"003200340037003A003D003F004200450047004A004C004F0051005300560058",
INIT_13 => X"000200050008000B000E001100140017001A001D0020002300260029002C002F",
INIT_14 => X"00D100D400D700DA00DD00E000E300E600E900EC00EF00F200F500F800FB00FE",
INIT_15 => X"00A800AA00AD00AF00B100B400B600B900BB00BE00C100C300C600C900CC00CE",
INIT_16 => X"008C008D008F009000920093009500960098009A009C009E00A000A200A400A6",
INIT_17 => X"00820082008200820083008300840084008500850086008700880089008A008B",
INIT_18 => X"008B008A00890088008700860085008500840084008300830082008200820082",
INIT_19 => X"00A600A400A200A0009E009C009A009800960095009300920090008F008D008C",
INIT_1A => X"00CE00CC00C900C600C300C100BE00BB00B900B600B400B100AF00AD00AA00A8",
INIT_1B => X"00FE00FB00F800F500F200EF00EC00E900E600E300E000DD00DA00D700D400D1",
INIT_1C => X"002F002C0029002600230020001D001A001700140011000E000B000800050002",
INIT_1D => X"0058005600530051004F004C004A004700450042003F003D003A003700340032",
INIT_1E => X"0074007300710070006E006D006B006A00680066006400620060005E005C005A",
INIT_1F => X"007E007E007E007E007D007D007C007C007B007B007A00790078007700760075",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"00000",
INIT_B => X"00000",
RAM_MODE => "TDP",
READ_WIDTH_A => 18,
READ_WIDTH_B => 18,
RSTREG_PRIORITY_A => "RSTREG",
RSTREG_PRIORITY_B => "RSTREG",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"00000",
SRVAL_B => X"00000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 18,
WRITE_WIDTH_B => 0
)
port map (
ADDRARDADDR(13) => \<const0>\,
ADDRARDADDR(12 downto 4) => \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_b/first_q\(8 downto 0),
ADDRARDADDR(3) => \<const0>\,
ADDRARDADDR(2) => \<const0>\,
ADDRARDADDR(1) => \<const0>\,
ADDRARDADDR(0) => \<const0>\,
ADDRBWRADDR(13) => \<const0>\,
ADDRBWRADDR(12 downto 4) => \i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_addr_reg_a/first_q\(8 downto 0),
ADDRBWRADDR(3) => \<const0>\,
ADDRBWRADDR(2) => \<const0>\,
ADDRBWRADDR(1) => \<const0>\,
ADDRBWRADDR(0) => \<const0>\,
CLKARDCLK => aclk,
CLKBWRCLK => aclk,
DIADI(15) => \<const0>\,
DIADI(14) => \<const0>\,
DIADI(13) => \<const0>\,
DIADI(12) => \<const0>\,
DIADI(11) => \<const0>\,
DIADI(10) => \<const0>\,
DIADI(9) => \<const0>\,
DIADI(8) => \<const0>\,
DIADI(7) => \<const1>\,
DIADI(6) => \<const1>\,
DIADI(5) => \<const1>\,
DIADI(4) => \<const1>\,
DIADI(3) => \<const1>\,
DIADI(2) => \<const1>\,
DIADI(1) => \<const1>\,
DIADI(0) => \<const1>\,
DIBDI(15) => \<const1>\,
DIBDI(14) => \<const1>\,
DIBDI(13) => \<const1>\,
DIBDI(12) => \<const1>\,
DIBDI(11) => \<const1>\,
DIBDI(10) => \<const1>\,
DIBDI(9) => \<const1>\,
DIBDI(8) => \<const1>\,
DIBDI(7) => \<const1>\,
DIBDI(6) => \<const1>\,
DIBDI(5) => \<const1>\,
DIBDI(4) => \<const1>\,
DIBDI(3) => \<const1>\,
DIBDI(2) => \<const1>\,
DIBDI(1) => \<const1>\,
DIBDI(0) => \<const1>\,
DIPADIP(1) => \<const0>\,
DIPADIP(0) => \<const0>\,
DIPBDIP(1) => \<const1>\,
DIPBDIP(0) => \<const1>\,
DOADO(15 downto 8) => \NLW_i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg_DOADO_UNCONNECTED\(15 downto 8),
DOADO(7 downto 0) => m_axis_data_tdata(7 downto 0),
DOBDO(15 downto 8) => \NLW_i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg_DOBDO_UNCONNECTED\(15 downto 8),
DOBDO(7 downto 0) => m_axis_data_tdata(15 downto 8),
DOPADOP(1 downto 0) => \NLW_i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg_DOPADOP_UNCONNECTED\(1 downto 0),
DOPBDOP(1 downto 0) => \NLW_i_dds/I_SINCOS.i_std_rom.i_rom/i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg_DOPBDOP_UNCONNECTED\(1 downto 0),
ENARDEN => \<const1>\,
ENBWREN => \<const1>\,
REGCEAREGCE => \<const0>\,
REGCEB => \<const0>\,
RSTRAMARSTRAM => \<const0>\,
RSTRAMB => \<const0>\,
RSTREGARSTREG => \<const0>\,
RSTREGB => \<const0>\,
WEA(1) => \<const0>\,
WEA(0) => \<const0>\,
WEBWE(3) => \<const0>\,
WEBWE(2) => \<const0>\,
WEBWE(1) => \<const0>\,
WEBWE(0) => \<const0>\
);
\i_dds/i_rdy.rdy_logic/i_multi_channel.i_non_trivial_lat.i_rdy/opt_has_pipe.first_q_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_dds/i_rdy.rdy_logic/pre_rdy\,
Q => \i_dds/i_rdy.rdy_logic/i_multi_channel.i_non_trivial_lat.i_rdy/first_q\,
R => \<const0>\
);
\i_dds/i_rdy.rdy_logic/mutant_x_op[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => mutant_x_op(1),
I1 => mutant_x_op(0),
O => \n_0_i_dds/i_rdy.rdy_logic/mutant_x_op[0]_i_1\
);
\i_dds/i_rdy.rdy_logic/mutant_x_op[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => mutant_x_op(1),
I1 => mutant_x_op(0),
O => \n_0_i_dds/i_rdy.rdy_logic/mutant_x_op[1]_i_1\
);
\i_dds/i_rdy.rdy_logic/mutant_x_op_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \n_0_i_dds/i_rdy.rdy_logic/mutant_x_op[0]_i_1\,
Q => mutant_x_op(0),
R => \<const0>\
);
\i_dds/i_rdy.rdy_logic/mutant_x_op_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => \<const1>\,
D => \n_0_i_dds/i_rdy.rdy_logic/mutant_x_op[1]_i_1\,
Q => mutant_x_op(1),
R => \<const0>\
);
\i_dds/next_chan_inferred_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"80"
)
port map (
I0 => \i_dds/Q1_out\,
I1 => \i_dds/chan_addr\(2),
I2 => \i_dds/Q2_out\,
O => \i_dds/next_chan\(3)
);
\i_dds/next_chan_inferred_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"68"
)
port map (
I0 => \i_dds/chan_addr\(2),
I1 => \i_dds/Q2_out\,
I2 => \i_dds/Q1_out\,
O => \i_dds/next_chan\(2)
);
\i_dds/next_chan_inferred_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \i_dds/Q1_out\,
I1 => \i_dds/Q2_out\,
O => \i_dds/next_chan\(1)
);
\i_has_nd_rdy_pipe.channel_pipe/opt_has_pipe.first_q_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => master_count(0),
Q => \i_has_nd_rdy_pipe.channel_pipe/first_q\(0),
R => \<const0>\
);
\i_has_nd_rdy_pipe.channel_pipe/opt_has_pipe.first_q_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => master_count(1),
Q => \i_has_nd_rdy_pipe.channel_pipe/first_q\(1),
R => \<const0>\
);
\i_has_nd_rdy_pipe.channel_pipe/opt_has_pipe.first_q_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => master_count(2),
Q => \i_has_nd_rdy_pipe.channel_pipe/first_q\(2),
R => \<const0>\
);
\i_has_nd_rdy_pipe.valid_phase_read_del/opt_has_pipe.first_q_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \<const1>\,
Q => \i_has_nd_rdy_pipe.valid_phase_read_del/first_q\,
R => \<const0>\
);
\i_has_nd_rdy_pipe.valid_phase_read_del/opt_has_pipe.i_pipe[2].pipe_reg[2][0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \i_has_nd_rdy_pipe.valid_phase_read_del/first_q\,
Q => \pipe[2]\,
R => \<const0>\
);
\i_has_nd_rdy_pipe.valid_phase_read_del/opt_has_pipe.i_pipe[3].pipe_reg[3][0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \pipe[2]\,
Q => m_axis_data_tvalid,
R => \<const0>\
);
\i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => master_count(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => master_count(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => master_count(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2) => \<const0>\,
ADDRD(1) => \<const0>\,
ADDRD(0) => \<const0>\,
DIA(1) => \<const0>\,
DIA(0) => \<const0>\,
DIB(1) => \<const0>\,
DIB(0) => \<const0>\,
DIC(1) => \<const0>\,
DIC(0) => \<const0>\,
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1) => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\,
DOA(0) => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\,
DOB(1) => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\,
DOB(0) => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\,
DOC(1) => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\,
DOC(0) => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5\,
DOD(1 downto 0) => \NLW_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_0_5_DOD_UNCONNECTED\(1 downto 0),
WCLK => aclk,
WE => \<const0>\
);
\i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => master_count(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => master_count(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => master_count(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2) => \<const0>\,
ADDRD(1) => \<const0>\,
ADDRD(0) => \<const0>\,
DIA(1) => \<const0>\,
DIA(0) => \<const0>\,
DIB(1) => \<const0>\,
DIB(0) => \<const0>\,
DIC(1) => \<const0>\,
DIC(0) => \<const0>\,
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1) => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\,
DOA(0) => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\,
DOB(1) => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\,
DOB(0) => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\,
DOC(1) => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\,
DOC(0) => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17\,
DOD(1 downto 0) => \NLW_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_12_17_DOD_UNCONNECTED\(1 downto 0),
WCLK => aclk,
WE => \<const0>\
);
\i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => master_count(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => master_count(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => master_count(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2) => \<const0>\,
ADDRD(1) => \<const0>\,
ADDRD(0) => \<const0>\,
DIA(1) => \<const0>\,
DIA(0) => \<const0>\,
DIB(1) => \<const0>\,
DIB(0) => \<const0>\,
DIC(1) => \<const0>\,
DIC(0) => \<const0>\,
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1) => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\,
DOA(0) => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\,
DOB(1) => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\,
DOB(0) => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\,
DOC(1) => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\,
DOC(0) => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23\,
DOD(1 downto 0) => \NLW_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_18_23_DOD_UNCONNECTED\(1 downto 0),
WCLK => aclk,
WE => \<const0>\
);
\i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => master_count(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => master_count(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => master_count(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2) => \<const0>\,
ADDRD(1) => \<const0>\,
ADDRD(0) => \<const0>\,
DIA(1) => \<const0>\,
DIA(0) => \<const0>\,
DIB(1) => \<const0>\,
DIB(0) => \<const0>\,
DIC(1) => \<const0>\,
DIC(0) => \<const0>\,
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1) => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\,
DOA(0) => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\,
DOB(1) => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\,
DOB(0) => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\,
DOC(1) => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\,
DOC(0) => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29\,
DOD(1 downto 0) => \NLW_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_24_29_DOD_UNCONNECTED\(1 downto 0),
WCLK => aclk,
WE => \<const0>\
);
\i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000002",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => master_count(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => master_count(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => master_count(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2) => \<const0>\,
ADDRD(1) => \<const0>\,
ADDRD(0) => \<const0>\,
DIA(1) => \<const0>\,
DIA(0) => \<const0>\,
DIB(1) => \<const0>\,
DIB(0) => \<const0>\,
DIC(1) => \<const0>\,
DIC(0) => \<const0>\,
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1) => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\,
DOA(0) => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\,
DOB(1) => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\,
DOB(0) => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\,
DOC(1) => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\,
DOC(0) => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35\,
DOD(1 downto 0) => \NLW_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_30_35_DOD_UNCONNECTED\(1 downto 0),
WCLK => aclk,
WE => \<const0>\
);
\i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000024",
INIT_B => X"0000000000000240",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => master_count(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => master_count(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => master_count(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2) => \<const0>\,
ADDRD(1) => \<const0>\,
ADDRD(0) => \<const0>\,
DIA(1) => \<const0>\,
DIA(0) => \<const0>\,
DIB(1) => \<const0>\,
DIB(0) => \<const0>\,
DIC(1) => \<const0>\,
DIC(0) => \<const0>\,
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1) => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\,
DOA(0) => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\,
DOB(1) => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\,
DOB(0) => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\,
DOC(1) => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\,
DOC(0) => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41\,
DOD(1 downto 0) => \NLW_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_36_41_DOD_UNCONNECTED\(1 downto 0),
WCLK => aclk,
WE => \<const0>\
);
\i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_42_44\: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => master_count(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => master_count(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => master_count(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2) => \<const0>\,
ADDRD(1) => \<const0>\,
ADDRD(0) => \<const0>\,
DIA(1) => \<const0>\,
DIA(0) => \<const0>\,
DIB(1) => \<const0>\,
DIB(0) => \<const0>\,
DIC(1) => \<const0>\,
DIC(0) => \<const0>\,
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1) => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_42_44\,
DOA(0) => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_42_44\,
DOB(1) => \NLW_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_42_44_DOB_UNCONNECTED\(1),
DOB(0) => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_42_44\,
DOC(1 downto 0) => \NLW_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_42_44_DOC_UNCONNECTED\(1 downto 0),
DOD(1 downto 0) => \NLW_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_42_44_DOD_UNCONNECTED\(1 downto 0),
WCLK => aclk,
WE => \<const0>\
);
\i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => master_count(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => master_count(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => master_count(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2) => \<const0>\,
ADDRD(1) => \<const0>\,
ADDRD(0) => \<const0>\,
DIA(1) => \<const0>\,
DIA(0) => \<const0>\,
DIB(1) => \<const0>\,
DIB(0) => \<const0>\,
DIC(1) => \<const0>\,
DIC(0) => \<const0>\,
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1) => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\,
DOA(0) => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\,
DOB(1) => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\,
DOB(0) => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\,
DOC(1) => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\,
DOC(0) => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11\,
DOD(1 downto 0) => \NLW_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram/the_ram_reg_0_7_6_11_DOD_UNCONNECTED\(1 downto 0),
WCLK => aclk,
WE => \<const0>\
);
\master_channel_count.master_count[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"0B"
)
port map (
I0 => master_count(1),
I1 => master_count(2),
I2 => master_count(0),
O => \n_0_master_channel_count.master_count[0]_i_1\
);
\master_channel_count.master_count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => master_count(1),
I1 => master_count(0),
O => \n_0_master_channel_count.master_count[1]_i_1\
);
\master_channel_count.master_count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"68"
)
port map (
I0 => master_count(1),
I1 => master_count(0),
I2 => master_count(2),
O => \n_0_master_channel_count.master_count[2]_i_1\
);
\master_channel_count.master_count_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \n_0_master_channel_count.master_count[0]_i_1\,
Q => master_count(0),
R => \<const0>\
);
\master_channel_count.master_count_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \n_0_master_channel_count.master_count[1]_i_1\,
Q => master_count(1),
R => \<const0>\
);
\master_channel_count.master_count_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \n_0_master_channel_count.master_count[2]_i_1\,
Q => master_count(2),
R => \<const0>\
);
next_chan_inferred_i_4: unisim.vcomponents.LUT3
generic map(
INIT => X"0B"
)
port map (
I0 => \i_dds/Q1_out\,
I1 => \i_dds/chan_addr\(2),
I2 => \i_dds/Q2_out\,
O => \i_dds/next_chan\(0)
);
\opt_has_pipe.first_q[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => mutant_x_op(0),
I1 => mutant_x_op(1),
O => \i_dds/i_rdy.rdy_logic/pre_rdy\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity \ddsdds_compiler_v6_0__parameterized0\ is
port (
aclk : in STD_LOGIC;
aclken : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axis_phase_tvalid : in STD_LOGIC;
s_axis_phase_tready : out STD_LOGIC;
s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_phase_tlast : in STD_LOGIC;
s_axis_phase_tuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_config_tvalid : in STD_LOGIC;
s_axis_config_tready : out STD_LOGIC;
s_axis_config_tdata : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_config_tlast : in STD_LOGIC;
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tready : in STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 );
m_axis_data_tlast : out STD_LOGIC;
m_axis_data_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_phase_tvalid : out STD_LOGIC;
m_axis_phase_tready : in STD_LOGIC;
m_axis_phase_tdata : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_phase_tlast : out STD_LOGIC;
m_axis_phase_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
event_pinc_invalid : out STD_LOGIC;
event_poff_invalid : out STD_LOGIC;
event_phase_in_invalid : out STD_LOGIC;
event_s_phase_tlast_missing : out STD_LOGIC;
event_s_phase_tlast_unexpected : out STD_LOGIC;
event_s_phase_chanid_incorrect : out STD_LOGIC;
event_s_config_tlast_missing : out STD_LOGIC;
event_s_config_tlast_unexpected : out STD_LOGIC;
debug_axi_pinc_in : out STD_LOGIC_VECTOR ( 44 downto 0 );
debug_axi_poff_in : out STD_LOGIC_VECTOR ( 44 downto 0 );
debug_axi_resync_in : out STD_LOGIC;
debug_axi_chan_in : out STD_LOGIC_VECTOR ( 2 downto 0 );
debug_core_nd : out STD_LOGIC;
debug_phase : out STD_LOGIC_VECTOR ( 44 downto 0 );
debug_phase_nd : out STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \ddsdds_compiler_v6_0__parameterized0\ : entity is "dds_compiler_v6_0";
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of \ddsdds_compiler_v6_0__parameterized0\ : entity is "zynq";
attribute C_MODE_OF_OPERATION : integer;
attribute C_MODE_OF_OPERATION of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_MODULUS : integer;
attribute C_MODULUS of \ddsdds_compiler_v6_0__parameterized0\ : entity is 9;
attribute C_ACCUMULATOR_WIDTH : integer;
attribute C_ACCUMULATOR_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 45;
attribute C_CHANNELS : integer;
attribute C_CHANNELS of \ddsdds_compiler_v6_0__parameterized0\ : entity is 5;
attribute C_HAS_PHASE_OUT : integer;
attribute C_HAS_PHASE_OUT of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_HAS_PHASEGEN : integer;
attribute C_HAS_PHASEGEN of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_HAS_SINCOS : integer;
attribute C_HAS_SINCOS of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_LATENCY : integer;
attribute C_LATENCY of \ddsdds_compiler_v6_0__parameterized0\ : entity is 3;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_NEGATIVE_COSINE : integer;
attribute C_NEGATIVE_COSINE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_NEGATIVE_SINE : integer;
attribute C_NEGATIVE_SINE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_NOISE_SHAPING : integer;
attribute C_NOISE_SHAPING of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_OUTPUTS_REQUIRED : integer;
attribute C_OUTPUTS_REQUIRED of \ddsdds_compiler_v6_0__parameterized0\ : entity is 2;
attribute C_OUTPUT_FORM : integer;
attribute C_OUTPUT_FORM of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 8;
attribute C_PHASE_ANGLE_WIDTH : integer;
attribute C_PHASE_ANGLE_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 8;
attribute C_PHASE_INCREMENT : integer;
attribute C_PHASE_INCREMENT of \ddsdds_compiler_v6_0__parameterized0\ : entity is 2;
attribute C_PHASE_INCREMENT_VALUE : string;
attribute C_PHASE_INCREMENT_VALUE of \ddsdds_compiler_v6_0__parameterized0\ : entity is "100000000000000000000000000000000000,1000000000000000000000000000000000000,10000000000000000000000000000000000000,100000000000000000000000000000000000000,1000000000000000000000000000000000000000,0,0,0,0,0,0,0,0,0,0,0";
attribute C_RESYNC : integer;
attribute C_RESYNC of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_PHASE_OFFSET : integer;
attribute C_PHASE_OFFSET of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_PHASE_OFFSET_VALUE : string;
attribute C_PHASE_OFFSET_VALUE of \ddsdds_compiler_v6_0__parameterized0\ : entity is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_OPTIMISE_GOAL : integer;
attribute C_OPTIMISE_GOAL of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_USE_DSP48 : integer;
attribute C_USE_DSP48 of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_POR_MODE : integer;
attribute C_POR_MODE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_AMPLITUDE : integer;
attribute C_AMPLITUDE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_HAS_TLAST : integer;
attribute C_HAS_TLAST of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_HAS_TREADY : integer;
attribute C_HAS_TREADY of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_HAS_S_PHASE : integer;
attribute C_HAS_S_PHASE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_S_PHASE_TDATA_WIDTH : integer;
attribute C_S_PHASE_TDATA_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_S_PHASE_HAS_TUSER : integer;
attribute C_S_PHASE_HAS_TUSER of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_S_PHASE_TUSER_WIDTH : integer;
attribute C_S_PHASE_TUSER_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_HAS_S_CONFIG : integer;
attribute C_HAS_S_CONFIG of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_S_CONFIG_SYNC_MODE : integer;
attribute C_S_CONFIG_SYNC_MODE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_S_CONFIG_TDATA_WIDTH : integer;
attribute C_S_CONFIG_TDATA_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_HAS_M_DATA : integer;
attribute C_HAS_M_DATA of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_M_DATA_TDATA_WIDTH : integer;
attribute C_M_DATA_TDATA_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 16;
attribute C_M_DATA_HAS_TUSER : integer;
attribute C_M_DATA_HAS_TUSER of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_M_DATA_TUSER_WIDTH : integer;
attribute C_M_DATA_TUSER_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_HAS_M_PHASE : integer;
attribute C_HAS_M_PHASE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_M_PHASE_TDATA_WIDTH : integer;
attribute C_M_PHASE_TDATA_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_M_PHASE_HAS_TUSER : integer;
attribute C_M_PHASE_HAS_TUSER of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_M_PHASE_TUSER_WIDTH : integer;
attribute C_M_PHASE_TUSER_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 1;
attribute C_DEBUG_INTERFACE : integer;
attribute C_DEBUG_INTERFACE of \ddsdds_compiler_v6_0__parameterized0\ : entity is 0;
attribute C_CHAN_WIDTH : integer;
attribute C_CHAN_WIDTH of \ddsdds_compiler_v6_0__parameterized0\ : entity is 3;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of \ddsdds_compiler_v6_0__parameterized0\ : entity is "yes";
end \ddsdds_compiler_v6_0__parameterized0\;
architecture STRUCTURE of \ddsdds_compiler_v6_0__parameterized0\ is
signal \<const0>\ : STD_LOGIC;
signal NLW_i_synth_debug_axi_resync_in_UNCONNECTED : STD_LOGIC;
attribute C_ACCUMULATOR_WIDTH of i_synth : label is 45;
attribute C_AMPLITUDE of i_synth : label is 0;
attribute C_CHANNELS of i_synth : label is 5;
attribute C_CHAN_WIDTH of i_synth : label is 3;
attribute C_DEBUG_INTERFACE of i_synth : label is 0;
attribute C_HAS_ACLKEN of i_synth : label is 0;
attribute C_HAS_ARESETN of i_synth : label is 0;
attribute C_HAS_M_DATA of i_synth : label is 1;
attribute C_HAS_M_PHASE of i_synth : label is 0;
attribute C_HAS_PHASEGEN of i_synth : label is 1;
attribute C_HAS_PHASE_OUT of i_synth : label is 0;
attribute C_HAS_SINCOS of i_synth : label is 1;
attribute C_HAS_S_CONFIG of i_synth : label is 0;
attribute C_HAS_S_PHASE of i_synth : label is 0;
attribute C_HAS_TLAST of i_synth : label is 0;
attribute C_HAS_TREADY of i_synth : label is 0;
attribute C_LATENCY of i_synth : label is 3;
attribute C_MEM_TYPE of i_synth : label is 1;
attribute C_MODE_OF_OPERATION of i_synth : label is 0;
attribute C_MODULUS of i_synth : label is 9;
attribute C_M_DATA_HAS_TUSER of i_synth : label is 0;
attribute C_M_DATA_TDATA_WIDTH of i_synth : label is 16;
attribute C_M_DATA_TUSER_WIDTH of i_synth : label is 1;
attribute C_M_PHASE_HAS_TUSER of i_synth : label is 0;
attribute C_M_PHASE_TDATA_WIDTH of i_synth : label is 1;
attribute C_M_PHASE_TUSER_WIDTH of i_synth : label is 1;
attribute C_NEGATIVE_COSINE of i_synth : label is 0;
attribute C_NEGATIVE_SINE of i_synth : label is 0;
attribute C_NOISE_SHAPING of i_synth : label is 0;
attribute C_OPTIMISE_GOAL of i_synth : label is 0;
attribute C_OUTPUTS_REQUIRED of i_synth : label is 2;
attribute C_OUTPUT_FORM of i_synth : label is 0;
attribute C_OUTPUT_WIDTH of i_synth : label is 8;
attribute C_PHASE_ANGLE_WIDTH of i_synth : label is 8;
attribute C_PHASE_INCREMENT of i_synth : label is 2;
attribute C_PHASE_INCREMENT_VALUE of i_synth : label is "100000000000000000000000000000000000,1000000000000000000000000000000000000,10000000000000000000000000000000000000,100000000000000000000000000000000000000,1000000000000000000000000000000000000000,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET of i_synth : label is 0;
attribute C_PHASE_OFFSET_VALUE of i_synth : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE of i_synth : label is 0;
attribute C_RESYNC of i_synth : label is 0;
attribute C_S_CONFIG_SYNC_MODE of i_synth : label is 0;
attribute C_S_CONFIG_TDATA_WIDTH of i_synth : label is 1;
attribute C_S_PHASE_HAS_TUSER of i_synth : label is 0;
attribute C_S_PHASE_TDATA_WIDTH of i_synth : label is 1;
attribute C_S_PHASE_TUSER_WIDTH of i_synth : label is 1;
attribute C_USE_DSP48 of i_synth : label is 0;
attribute C_XDEVICEFAMILY of i_synth : label is "zynq";
attribute downgradeipidentifiedwarnings of i_synth : label is "yes";
begin
debug_axi_resync_in <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
i_synth: entity work.\ddsdds_compiler_v6_0_viv__parameterized0\
port map (
aclk => aclk,
aclken => aclken,
aresetn => aresetn,
debug_axi_chan_in(2 downto 0) => debug_axi_chan_in(2 downto 0),
debug_axi_pinc_in(44 downto 0) => debug_axi_pinc_in(44 downto 0),
debug_axi_poff_in(44 downto 0) => debug_axi_poff_in(44 downto 0),
debug_axi_resync_in => NLW_i_synth_debug_axi_resync_in_UNCONNECTED,
debug_core_nd => debug_core_nd,
debug_phase(44 downto 0) => debug_phase(44 downto 0),
debug_phase_nd => debug_phase_nd,
event_phase_in_invalid => event_phase_in_invalid,
event_pinc_invalid => event_pinc_invalid,
event_poff_invalid => event_poff_invalid,
event_s_config_tlast_missing => event_s_config_tlast_missing,
event_s_config_tlast_unexpected => event_s_config_tlast_unexpected,
event_s_phase_chanid_incorrect => event_s_phase_chanid_incorrect,
event_s_phase_tlast_missing => event_s_phase_tlast_missing,
event_s_phase_tlast_unexpected => event_s_phase_tlast_unexpected,
m_axis_data_tdata(15 downto 0) => m_axis_data_tdata(15 downto 0),
m_axis_data_tlast => m_axis_data_tlast,
m_axis_data_tready => m_axis_data_tready,
m_axis_data_tuser(0) => m_axis_data_tuser(0),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_phase_tdata(0) => m_axis_phase_tdata(0),
m_axis_phase_tlast => m_axis_phase_tlast,
m_axis_phase_tready => m_axis_phase_tready,
m_axis_phase_tuser(0) => m_axis_phase_tuser(0),
m_axis_phase_tvalid => m_axis_phase_tvalid,
s_axis_config_tdata(0) => s_axis_config_tdata(0),
s_axis_config_tlast => s_axis_config_tlast,
s_axis_config_tready => s_axis_config_tready,
s_axis_config_tvalid => s_axis_config_tvalid,
s_axis_phase_tdata(0) => s_axis_phase_tdata(0),
s_axis_phase_tlast => s_axis_phase_tlast,
s_axis_phase_tready => s_axis_phase_tready,
s_axis_phase_tuser(0) => s_axis_phase_tuser(0),
s_axis_phase_tvalid => s_axis_phase_tvalid
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity dds is
port (
aclk : in STD_LOGIC;
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of dds : entity is true;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of dds : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of dds : entity is "dds_compiler_v6_0,Vivado 2013.4";
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of dds : entity is "dds,dds_compiler_v6_0,{}";
attribute core_generation_info : string;
attribute core_generation_info of dds : entity is "dds,dds_compiler_v6_0,{x_ipProduct=Vivado 2013.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=dds_compiler,x_ipVersion=6.0,x_ipCoreRevision=3,x_ipLanguage=VHDL,C_XDEVICEFAMILY=zynq,C_MODE_OF_OPERATION=0,C_MODULUS=9,C_ACCUMULATOR_WIDTH=45,C_CHANNELS=5,C_HAS_PHASE_OUT=0,C_HAS_PHASEGEN=1,C_HAS_SINCOS=1,C_LATENCY=3,C_MEM_TYPE=1,C_NEGATIVE_COSINE=0,C_NEGATIVE_SINE=0,C_NOISE_SHAPING=0,C_OUTPUTS_REQUIRED=2,C_OUTPUT_FORM=0,C_OUTPUT_WIDTH=8,C_PHASE_ANGLE_WIDTH=8,C_PHASE_INCREMENT=2,C_PHASE_INCREMENT_VALUE=100000000000000000000000000000000000_1000000000000000000000000000000000000_10000000000000000000000000000000000000_100000000000000000000000000000000000000_1000000000000000000000000000000000000000_0_0_0_0_0_0_0_0_0_0_0,C_RESYNC=0,C_PHASE_OFFSET=0,C_PHASE_OFFSET_VALUE=0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0,C_OPTIMISE_GOAL=0,C_USE_DSP48=0,C_POR_MODE=0,C_AMPLITUDE=0,C_HAS_ACLKEN=0,C_HAS_ARESETN=0,C_HAS_TLAST=0,C_HAS_TREADY=0,C_HAS_S_PHASE=0,C_S_PHASE_TDATA_WIDTH=1,C_S_PHASE_HAS_TUSER=0,C_S_PHASE_TUSER_WIDTH=1,C_HAS_S_CONFIG=0,C_S_CONFIG_SYNC_MODE=0,C_S_CONFIG_TDATA_WIDTH=1,C_HAS_M_DATA=1,C_M_DATA_TDATA_WIDTH=16,C_M_DATA_HAS_TUSER=0,C_M_DATA_TUSER_WIDTH=1,C_HAS_M_PHASE=0,C_M_PHASE_TDATA_WIDTH=1,C_M_PHASE_HAS_TUSER=0,C_M_PHASE_TUSER_WIDTH=1,C_DEBUG_INTERFACE=0,C_CHAN_WIDTH=3}";
end dds;
architecture STRUCTURE of dds is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal NLW_U0_debug_axi_resync_in_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_core_nd_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_phase_nd_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_phase_in_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_pinc_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_poff_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_config_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_data_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_phase_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_phase_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_config_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_phase_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_debug_axi_chan_in_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_debug_axi_pinc_in_UNCONNECTED : STD_LOGIC_VECTOR ( 44 downto 0 );
signal NLW_U0_debug_axi_poff_in_UNCONNECTED : STD_LOGIC_VECTOR ( 44 downto 0 );
signal NLW_U0_debug_phase_UNCONNECTED : STD_LOGIC_VECTOR ( 44 downto 0 );
signal NLW_U0_m_axis_data_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_phase_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_phase_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_ACCUMULATOR_WIDTH : integer;
attribute C_ACCUMULATOR_WIDTH of U0 : label is 45;
attribute C_AMPLITUDE : integer;
attribute C_AMPLITUDE of U0 : label is 0;
attribute C_CHANNELS : integer;
attribute C_CHANNELS of U0 : label is 5;
attribute C_CHAN_WIDTH : integer;
attribute C_CHAN_WIDTH of U0 : label is 3;
attribute C_DEBUG_INTERFACE : integer;
attribute C_DEBUG_INTERFACE of U0 : label is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of U0 : label is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of U0 : label is 0;
attribute C_HAS_M_DATA : integer;
attribute C_HAS_M_DATA of U0 : label is 1;
attribute C_HAS_M_PHASE : integer;
attribute C_HAS_M_PHASE of U0 : label is 0;
attribute C_HAS_PHASEGEN : integer;
attribute C_HAS_PHASEGEN of U0 : label is 1;
attribute C_HAS_PHASE_OUT : integer;
attribute C_HAS_PHASE_OUT of U0 : label is 0;
attribute C_HAS_SINCOS : integer;
attribute C_HAS_SINCOS of U0 : label is 1;
attribute C_HAS_S_CONFIG : integer;
attribute C_HAS_S_CONFIG of U0 : label is 0;
attribute C_HAS_S_PHASE : integer;
attribute C_HAS_S_PHASE of U0 : label is 0;
attribute C_HAS_TLAST : integer;
attribute C_HAS_TLAST of U0 : label is 0;
attribute C_HAS_TREADY : integer;
attribute C_HAS_TREADY of U0 : label is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of U0 : label is 3;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of U0 : label is 1;
attribute C_MODE_OF_OPERATION : integer;
attribute C_MODE_OF_OPERATION of U0 : label is 0;
attribute C_MODULUS : integer;
attribute C_MODULUS of U0 : label is 9;
attribute C_M_DATA_HAS_TUSER : integer;
attribute C_M_DATA_HAS_TUSER of U0 : label is 0;
attribute C_M_DATA_TDATA_WIDTH : integer;
attribute C_M_DATA_TDATA_WIDTH of U0 : label is 16;
attribute C_M_DATA_TUSER_WIDTH : integer;
attribute C_M_DATA_TUSER_WIDTH of U0 : label is 1;
attribute C_M_PHASE_HAS_TUSER : integer;
attribute C_M_PHASE_HAS_TUSER of U0 : label is 0;
attribute C_M_PHASE_TDATA_WIDTH : integer;
attribute C_M_PHASE_TDATA_WIDTH of U0 : label is 1;
attribute C_M_PHASE_TUSER_WIDTH : integer;
attribute C_M_PHASE_TUSER_WIDTH of U0 : label is 1;
attribute C_NEGATIVE_COSINE : integer;
attribute C_NEGATIVE_COSINE of U0 : label is 0;
attribute C_NEGATIVE_SINE : integer;
attribute C_NEGATIVE_SINE of U0 : label is 0;
attribute C_NOISE_SHAPING : integer;
attribute C_NOISE_SHAPING of U0 : label is 0;
attribute C_OPTIMISE_GOAL : integer;
attribute C_OPTIMISE_GOAL of U0 : label is 0;
attribute C_OUTPUTS_REQUIRED : integer;
attribute C_OUTPUTS_REQUIRED of U0 : label is 2;
attribute C_OUTPUT_FORM : integer;
attribute C_OUTPUT_FORM of U0 : label is 0;
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of U0 : label is 8;
attribute C_PHASE_ANGLE_WIDTH : integer;
attribute C_PHASE_ANGLE_WIDTH of U0 : label is 8;
attribute C_PHASE_INCREMENT : integer;
attribute C_PHASE_INCREMENT of U0 : label is 2;
attribute C_PHASE_INCREMENT_VALUE : string;
attribute C_PHASE_INCREMENT_VALUE of U0 : label is "100000000000000000000000000000000000,1000000000000000000000000000000000000,10000000000000000000000000000000000000,100000000000000000000000000000000000000,1000000000000000000000000000000000000000,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET : integer;
attribute C_PHASE_OFFSET of U0 : label is 0;
attribute C_PHASE_OFFSET_VALUE : string;
attribute C_PHASE_OFFSET_VALUE of U0 : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE : integer;
attribute C_POR_MODE of U0 : label is 0;
attribute C_RESYNC : integer;
attribute C_RESYNC of U0 : label is 0;
attribute C_S_CONFIG_SYNC_MODE : integer;
attribute C_S_CONFIG_SYNC_MODE of U0 : label is 0;
attribute C_S_CONFIG_TDATA_WIDTH : integer;
attribute C_S_CONFIG_TDATA_WIDTH of U0 : label is 1;
attribute C_S_PHASE_HAS_TUSER : integer;
attribute C_S_PHASE_HAS_TUSER of U0 : label is 0;
attribute C_S_PHASE_TDATA_WIDTH : integer;
attribute C_S_PHASE_TDATA_WIDTH of U0 : label is 1;
attribute C_S_PHASE_TUSER_WIDTH : integer;
attribute C_S_PHASE_TUSER_WIDTH of U0 : label is 1;
attribute C_USE_DSP48 : integer;
attribute C_USE_DSP48 of U0 : label is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "zynq";
attribute DONT_TOUCH : boolean;
attribute DONT_TOUCH of U0 : label is true;
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
U0: entity work.\ddsdds_compiler_v6_0__parameterized0\
port map (
aclk => aclk,
aclken => \<const1>\,
aresetn => \<const1>\,
debug_axi_chan_in(2 downto 0) => NLW_U0_debug_axi_chan_in_UNCONNECTED(2 downto 0),
debug_axi_pinc_in(44 downto 0) => NLW_U0_debug_axi_pinc_in_UNCONNECTED(44 downto 0),
debug_axi_poff_in(44 downto 0) => NLW_U0_debug_axi_poff_in_UNCONNECTED(44 downto 0),
debug_axi_resync_in => NLW_U0_debug_axi_resync_in_UNCONNECTED,
debug_core_nd => NLW_U0_debug_core_nd_UNCONNECTED,
debug_phase(44 downto 0) => NLW_U0_debug_phase_UNCONNECTED(44 downto 0),
debug_phase_nd => NLW_U0_debug_phase_nd_UNCONNECTED,
event_phase_in_invalid => NLW_U0_event_phase_in_invalid_UNCONNECTED,
event_pinc_invalid => NLW_U0_event_pinc_invalid_UNCONNECTED,
event_poff_invalid => NLW_U0_event_poff_invalid_UNCONNECTED,
event_s_config_tlast_missing => NLW_U0_event_s_config_tlast_missing_UNCONNECTED,
event_s_config_tlast_unexpected => NLW_U0_event_s_config_tlast_unexpected_UNCONNECTED,
event_s_phase_chanid_incorrect => NLW_U0_event_s_phase_chanid_incorrect_UNCONNECTED,
event_s_phase_tlast_missing => NLW_U0_event_s_phase_tlast_missing_UNCONNECTED,
event_s_phase_tlast_unexpected => NLW_U0_event_s_phase_tlast_unexpected_UNCONNECTED,
m_axis_data_tdata(15 downto 0) => m_axis_data_tdata(15 downto 0),
m_axis_data_tlast => NLW_U0_m_axis_data_tlast_UNCONNECTED,
m_axis_data_tready => \<const0>\,
m_axis_data_tuser(0) => NLW_U0_m_axis_data_tuser_UNCONNECTED(0),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_phase_tdata(0) => NLW_U0_m_axis_phase_tdata_UNCONNECTED(0),
m_axis_phase_tlast => NLW_U0_m_axis_phase_tlast_UNCONNECTED,
m_axis_phase_tready => \<const0>\,
m_axis_phase_tuser(0) => NLW_U0_m_axis_phase_tuser_UNCONNECTED(0),
m_axis_phase_tvalid => NLW_U0_m_axis_phase_tvalid_UNCONNECTED,
s_axis_config_tdata(0) => \<const0>\,
s_axis_config_tlast => \<const0>\,
s_axis_config_tready => NLW_U0_s_axis_config_tready_UNCONNECTED,
s_axis_config_tvalid => \<const0>\,
s_axis_phase_tdata(0) => \<const0>\,
s_axis_phase_tlast => \<const0>\,
s_axis_phase_tready => NLW_U0_s_axis_phase_tready_UNCONNECTED,
s_axis_phase_tuser(0) => \<const0>\,
s_axis_phase_tvalid => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
end STRUCTURE;
| gpl-2.0 | 3f3461aa74de67b3a653bc3c07cbb3e7 | 0.642813 | 2.535144 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/dds/dds_compiler_v6_0/hdl/lut5_ram.vhd | 6 | 10,064 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
fpyUvmvv88jjN+p+2Q+OVuTrfSvwDC/+m85S01QaECkzYnXf1naSxT2bue2IGmlTU2FOq8xNiyDV
3G3Q1yuc+g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Qul1FIzI3T82yWA28oTrRvWpI0qGLnH88lrHwFubLzGq6+OSMFLV23smBj3Nz2GlWETOR2b/3MP/
TmIVEUcQBGCydWifMLyIYKKjMzXOGImIOXgcLCx+F0zN5xLON1+FjLMS7zlnMKBCn2NJmLbSvSqL
4whzPllTB8PByc331g8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
l+l0lvHQignD5qmtehpQYFLSlBxkQyZK1r33rWryLa9IzT+92f0lvU6azLlE5pw3ra+tx31hRcYf
Zrs3N4Zb6edSWXpWmr9/fQVF8yN0uhEs5m4ronrkP9O546geXA/f0WfH2XhfhVq4VUvzGZlzftBJ
EfMbebK/bVhzxlQz5XqGVsm9KGzHc0uR5mCMmYaC5seEkw3uuW2Ya1kEr1Bj6NS0EXK+xN33D1ch
L3qETEmrf0A+7Pg2LRNH1EkIeNIcRmRwTZyqXGe4oeGUs/sdmc4mOzE9kxlCnHRRSX30RC2hGAdo
oe4leN536dxwqDBE4qcYJh5hTReUA2J59ZZwsg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kcYVJgqOd78HCLPaqH0nzGwVhTie0o7uBlDfg0LZ9hTowivdoYvWRDtQ0/0bjOIHhiRuBgUzhP38
gBHriHHE/O38C6TufyKjwMYKhUSbEUMFBwL5tnJMjO+I86BuUYB/VwGrx2x1kyKhcy0ca1ZLwGl6
ZiR+anxgR8sUXlDDZQw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fJDSnaJMLN2go34hD+rtUDaIayi5r9d+79vxEijvS7p7j+P6pWVXwoGbPunR5imzEgKE7nIi4KTZ
1DWhH9IRdDRxeCdlQLFlWR9LwJgRKw0+bEN0oZXg9WS01uFoRq5TzR95LeGoMv24cLWqGBPRI/EH
6cNgtnStfXC9JpZXhppZr49XNLOZJdGrOb7dTfU+tXwbuvbuEtlsXsXhGDwEAXcxR8tmBCI8S4xy
1xF5Lngo7kvRgfzp9M/t4IfAiIy/HfNrMT4lJ0WdOEcx1iADKawkXUUWPkqRSUGYuB1Jp97s+l1h
t1YhvpETfS4Ua50RVxLKHeQ/LP4+4FTWJLE2gg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5712)
`protect data_block
a3uC5asE42cHmqXWj+QaSCi5m4LLfF26nYMVPG4YMuBDBVjnRtbeYxda45MbrALZEddR6cfbQWpG
Ps0aCjHRmk8KjHMOy63GUjVcZhpbjMBpv6+IMtjOhmBrIwdXMunaTTjDLtGEKfv8iHIZ3JKzfU1F
dwtQzffSngNe1q6Ps10Hrz9Dc6h2XqiQmy4GJq/Npux0LCbYaiTaSFc+P1yJoMZ3A2PSTTqngFQB
PQ489pm9/Tp/fawJIYjy572xfOs3AKBSBDne/do3Zb3okiySUusC1A9UnKLMPmf2zWpToMkYIPBB
NFGzF7HOPSSAEwRSCGppMKsekuZU6NZhy+nB1aZ0CDmpw+lYqn5h0xuHon5QVu+OkV2pW5+QwcWh
LtxptZbafWbRO3EVOHdSs7GDiGPAnfiz2c7UES6BfBtTugla4ZdTFuhtZfeXxVIJnufsqPa44UZo
2MgPGXcydAr8mL2cYzWAGhoLyB7VwB984H6dusBtu3QYwUOTr5p0HeoOTAgA0yTnrfNrsW+zzYWG
2a7o/qlRixb+MMvoskKHS1dlOmmazYWtftluafvM52hJdZfR7nKy/bMzz93tXiKbA1MaP8G07CT4
Bg6HEK1opER1KyO7Ors2Ooe6VD14BA0XXogpTJU6NT5dgiKuGxjmau4YputH4imEGhmZ8thGQU9s
7L/z2jyiECktNdvbsjoI5bxejIX5f76EgmOQN4vilgy8MWw97YohgK2GZjcmHsV16GnzsYWZbR2l
LJK2oDQl7IF/un2N5d2cBjf1yTH3b7NqDhW+PnOlppZtPb0yLPiBfnO8jec48iS/71hKLI8oyAOn
kLmqTMyThuwKASccw/3heRB8H5EuL7k6hZ8kUGfb7/l/C0cRTICt0CKJw6HBu5nS3abk7pIYGDN6
BCwnPgyP820lfKRMZp8oRxLcCpki7ecn0/0G0Bnnb4PPS3QVCvdWF3/fdubsOQGL1fFXNw1LHsll
he2n3LncRQKRZM+vyCDIPKz4VrKHIM8WeiXKkxk+55MODPymwMHCP4TqI7jHBnLvHmoK0CkVjAPN
LHK9Zv3M9u+MNlcXIQKZjIg0XoDoUhvJTwGta9l6FAONoUuE5kUy35SO3VUp1FFsqRzCy8Xm949n
I7MpdpEZOdX29gpdkBBEzBHPvhin1TKB+/XGlZ0FpZ02wCBmHCasa772X/iwOiT0D//aWZnM/Spa
h6GqKwnNHSoR6yLwXDTZq6hIka6WQpngO/j6fI1jZODZQC47JtrsKCsOKDGBolhkHobHotYFjfse
9l94YYqZ1TWsEWw9SNFKQcRrhG8gkoJGdI52Hw0AyM9xBDoGwKhjUJJWo9xjK13Meh+HChZJnKbt
LOhXC7n+BZXcDMON7sWGU/VnZv/OrqRtB0dpp9fO27MMzrj/WMqMZ/fu+E2DIiCVraSFzd9clnhR
exvxSzvTdRwgEeTMPTAL/qCGOgAqoqetyTWPgVFG/BsGfbqXK/utmsEQBYBG4qet5/SgNEd8MmVY
WTmqhiKl6/7Xt+cdCvl1kubNwKGFMtDf0romoYBxvS+6LajAgIoT1jBlfJqhohzYocDwgoeOtV0V
Q6ga8E/ftTKAz4/mxWbkEyfLPLGORfTsqfERXY5UKdNOtVt+fA3IcgRN+fMK5nXEcIvsLwWT9pjX
tuLN/ZAVuHxUkGRTC/ejmMpRdXwygnaR/QTiK126JtCC3MUC3sZYL9eVwFu3sQP8FFYjFUIBR7Ur
MBl9qFciXciTXHsHC4RSz1L298bkiWk3Ze8TMET4plazaUuxr5o69pgJegnPuRafYQg9WJNsLYhZ
JdTlmb3dUnFFf/rVrRJUBpi+1KzKM9k7rvCzfh7U90R4gDwAjTphXK/6k9h2S1yCKClluWjS9bw0
e+oMqbomWARF8v8sKbYJP8KhkHIvFgqBhYjsSMDyDuXueUaU/duXybyH1iHVWnyGN8GKj+PLV0Fc
/EgkSoBOhdwnH0ZLFLpwogBBgGSeQ79xGjd0/eXVwP41i33R3dggSwAW/UFvPKmSeNEo/L663s2N
P2YCTi4uCflMuACtCp5oYBY8iiorij3vGGqDkxYWbqxPACYAjrEYaCtGcvdJXMt4tUu1ruBrrxBQ
Ts4lyu1/SLdwQRl/1NtxqlOfczmT3pSwMeVdEbjl3pA4qqR1Z38FPu2arMb7a+TNOhlinxeJh0JZ
Bvfl05pOIiIkIDAb0OfYj+XGR9itjd4aZo5QYniP4EmeHzc6ri0OSz+iIAr0itCGIVotGTFBHuT6
tTJBFlidAZ/mu7m5z0DxgpQjuWXp9Wj7o0BGAB0wM2+nlMGNfOQzlWm0LvO5JvERTfcCZWKpOEEu
+Ed9vEjRqVAZABkSzo5eNdYkSHb3pffhySL0HQUXBNbOPT/U6PfeatJk92HLgTPERSZaCOpWl6iS
+wmF3dsILqLsIz4dD8wRUDZVqbPalWaSQn0uHnz63NvgGVd+Zsi3UgrcztdOdZQHkYxznT7SCczt
cBS3+6TLc/67+b1y1y8Zvw+02BYuqDC1rCKJNkMtOWCQ6VqI0b2lycNlJttILI6Za1ROWwnW+x5c
498p11HpmI+G17hB1SiRqYkfGJxWWUMqjhBoH5/gnwqmCp1aeuv3FhIOBKrLZQZlItscpspHNwlo
VKVi2I8EmczMVRkHtfrnNA9HUtk3B0MJc3xCPsl2VAyTm2nE8uvl1MBGCOKmgNBcXAceiJGL1pcK
sX3+ohkDOq1UhVndq+2Z2RxqD9gsznT6JiTtSl4RqBM386j6jpChAdm6eU/phl3KURn0V7/UNXPr
Bma0JYGMdKMt88jcDmyyz4Z8HAdpnHol3ImyYMFGWo5DsgFsTRHTPHi7yJyseFdv0oLON8T+9NNO
t5qatuzYXFXBX9dMh9DD7cDpLlKwvbn5syRsr4APcW6NqEtZHbRKNKxONDopTwAsx6XCqy2XbuuA
UbwdynWNh4ur4mRsByB63+JnRtGGlwy1ql8qt8ON5l3Z4HuCX1JNaRTkcYf5T2W2xDZQnkzqMs5D
58MHbVtEhM1uhP00knomT/R1gVmlRdWVhMfO5gMONjm/VgJte0oAAoyAEtQ0QnIwfELUaRhoWXgM
mPQvm0R1vBIPnb3wiLrl/6O/oeiFyR8y6ihhj8pg8TPji5VSe+Q4L+M2epHFGqqOVaKoyZqm78ZE
jgdxPFs61yXiElu35iCFqJ5/QTEnBzOpUC+LaEBlP3C6tWO/Ldju2r4UBG7gaqav+8jrKz6vyAwR
g4G21WZNyftg6CFKplVj05ySjmpoC1BS4zKP1Ry7kubpXfLyUcrsY4Va6uG+fL3DDHvueZqn/TDh
W5IVoJvygIBPPGnIvxAFYmFfIXZ+PrS8+I51VNrxq3wWgKC6+MUVKzr3vAE+aOMcw7kUK4WdLJPb
38/1IHQZ1RpV3oo42DKy1Ni5d0Su/Z3EEbFSvH5SQxzSL8IUppF+SrMXlOHw1GdvQf+fPHe6UOrB
9y7jOs09If2OAvgAmvj/HxyGFITC9B7ye/hzsp5hgV4h1Hx/+k3c4itimiZ6k1s0Dg8f6dlWhqVp
EilztoXCzOMDTAStdCw2UyMVwPJ7JkVdweonCGceuJfIZcrBjAW3jhqtXAH2jnvAd/IKUiaVmieM
CSaX+TzZfkeeEN/OKHAgl+BGslHN2zMHBbcssw9bOsqGSTmGQBt9M/9uNC4N8bAcNGv5RUTOxMQK
KdXLynANMlv3MiCpKWO3qsg8Ck/ytl8wq7nbyrr50ruPhI4771kAX0QGv0j61Xh7e5QZEfDI30il
h2EW/vmj2HE2bie6l8X8feWkpZGGvo2V9KA6P1D49peV5sKoj+B0jwSmCbaOb1c004zRUbI3yX4o
QJ9hJG/iFAz/4y63o4xy6c5ZbwXmY1ZVwQLLGMMG2rgE96N/UbylhYI/AhfkK1Ak7Cx86awX3kLt
AWt5TNKi/mrHIffknO0ICIyI144/d/We2XqbPBXYs5VAMuI86Cp29YF+mp9mKb1ZgOBgptg/8sq3
hbK9hm6wURPmgd08xVtlT5TTxJLGXpA/CczWTsKH+75OUafFpTBw3UzVF6YF9c5qOdvQClytHrbo
vB60IZmOydU4LyhFHB7zOcBXjPHlCb4SCEAbuYInCQOTmghtzzHUNCav49XJx6Ax2Vn47NALwH+A
GGah4VbVt5UbwUSWwxZvQPTBbNTrdoVirBvyJFKG4wHk7E9tVhVQ5gu5ANyxlnkwfod9o1hiC7Du
tvjq0DFQMz8q+9pxHJ/G0xcTXOBMpDWx1Ah68HN0MxaJqbOqZ8GTroD6cd5N2Y6z1zeX7dRDPRsP
9/bk8Beb2BVZ4AQuc6z1wJW/Z9X/S/V7zA97tVLiATNNSL1wK77vdpCiyzZkyrDQ64TzJaVJYd3U
X80ONlWUISKv0UzN0DayrYBYTMjJj9PhVpdyvX81rtm2ugxc0ZpTL3Th6Jz5GoekYDFjHBkVoNu7
R+ioch0LVxtnaEviQultFRWJYujJ62nHiax0WmYw67jU6PqQj1TFtKDyqcVPkid2p7Vc4qY/GgCw
qN4TBSzjfZjo+x72WDZ4qdC+vyJZn+bNP8iO3Fc0jmLB6PTYnr053h8vIurHVYD6k5N+896AWGJS
1UhQEFAYdJA+U/u6dj57DjKh3CvsQcYZolQPyKz29e6zl2SLhJfq7EX3ii+RK6d7T9AApmnxEnsS
PvRo6eYTbTmTlM0GMgZuwGJPRUNHwoSjt2hGggrJSy62kfWZnCUUWYRjGIuH8qO7CKxPv0Fymle/
+DVR8k7DvKPdTM7y872DFLbQscZUbpdncVvloEhaLu1uKVygnMfOslr/2R1NoW8Jq76030O7b0tO
kG5tV72Qr4/ZOJ3JOXk17NKk81BWaM+XYC6OpffngTplTBIWvMvPOQDmnK8Y9kTAv8xBGxWZlkR4
DNElrhRwuIzUbra6SVjxQhkSCbKvJbVSGqASxDfwDkWSCdKDptqnUq3qBTlQqdXstCVZTuKrhNP1
PEkhVKAGRVCDdrVIvuNgUGth9OUP83y0HsgbH/dfg7UTNYhe/UTlytHgjDlPqEU7v9XSXcaJwIVZ
2FwDAAzS5XdQh1VJ/iotp+qoCsYxrBR9bXto/oPxZhpGxPy5dad9cVTMKDH9hPQFXYv3c/v8iwrA
tXdliKva1iH1VYSZlGPEXLSaKotWppgpIdZJvspDvf5nJT57sEmPXTwSgnimlW5uxVxb3U10KH5N
4KGJsfUzGZbhC0g8dWU182CSMQCEBhV/1rRfLgBTBwPOUJ6VR8ewz9YQlqjsX4nejnJMV2NjP6kP
fxrKYQbZspqBOYGOqp2vs2XXtQz0zxuEh+RMrs3r84E7QlYdTSVb6dpBmNHn/Msd+m9BmsUPhGdZ
USwclEzMUsgr9ScmR3gdFcrQDAo9njgNlZq1U7tLE8lSBaXcP4BlDMZ4Y1hPTKxT1s04rs7UHGFy
SX/fL6N4UtK9fr5hyMKqGvetqflss1TYRslISwFuqQWiezDGJKmOw9h39zR3LLbasDxbjFmxVJIG
C0tS8DMZe1Tm/hAKvCTSYrraOsrcpFBZXvlDaLueYXZqf+EjT/lDir5H+AhSzPAPnQUJ/Q75h19l
zQlJyQOc+IYEAZkmIJWSdcTWN65lK559vUls5q/XCZX8tt9J0z8Im7Fu+KHY9YoLcyx6EjhSjtZK
3Nkz5Rcj1JZjrNrDTjCM6HuVv8PS3O21pCtLfT4cXN+tE/lEoCK9KYMnbWcCIFZaAJ0giuBJPama
zNeRw4zn5cuqn0QQLPok8Y+TusoaC8j+wlYt126Wi0qygfsU/GKsZEDmI9X93wbxmpgbNhsYZOPo
6INVnmBx5VFzxvA84qYHCf+d11hWm6bY4X6rV4IPxVFnY8iRXXYoyq8cW6w5T9q5Z4UWFKEU31Pi
aFcegUDhaZzS3qIg/gGB2V58dy5jkdVJa4rDj0diWl4MOYGGKGgdq0feytEv4iirDua9BUG0edJo
m7fHZ9cpd9feMgdwik1S4TwSIWRQ8sp91qopivAOKPT2W8ADgJzMPB9h/njjTgZi3ZS/h+CCV9qT
MOIcpiTIrzi6qcEXiVIB5HEh1L1rKKoq1zP6dJI10pvlkNRu9fSvdcq+2QoSbjXZ+SzFymxp+ufU
kVKF3JlMnmzFb2DfA95wwA+WgsDI/0a7/djjK+pdnFkvtcpEt7No/joZxvenYqGXbRwvHNYtE9Cc
9rmO51YRgEGjEQGuSnxAONnx6slhPhsIPcF3ZQwAwBsugcdllSlLyLAOVQ1gNtcg3QBSUC1GzL+A
iCJAACtagWEqOieMOOt4138rILtmkAmik2Ux5pdfZla/5a0xtO8AhztUN2lF43czP2H68yyADVvh
vBDAbYjN2UewnARH8bS9/RcxaPN3qjhqI+JqGMgRyo6w0MMBGvxTMA19snknZbBE8qZpWEF/tJVe
WfpCFBH4/5NMy7VjDd2B8ZzNWS7v25WB2KMiXiHbmY2GkxpIq2N771mUBKWaqgu9ZoW/9ShN+erz
AcouHedWoT7C24qm1HWcFqlcA1Rpszf+R3VlXiIFRWzHoQhRFZvGStJTMvoQM3rQeOzhBu1EqXcz
Zg6qxTIoy+/ueO8b6TKCkXY05fVH1P2Vgzx7dow//WgEywUNlTRanFFRb/y3T+CFNdEj1QHX1Y3J
4HoSIqVT+0gKZOPaSfHU9FZx9VdssrDGwIM5gaBl15fAaygROIQnKt45CQk6TqUQfQsAwjn1UAlt
K02cWv360271dxWC27199be4Sf+m72du+U6hlP0KxKXkZkKTFrFuyCFc3aKDcNbxZsAtlLULAUGo
E388bH0NyPZ2L7gvDb34L7SyQj4RbxWs4KgPrOt6I4mQba+77uw2hwITejoR07I81reZ0/nMAUUm
2b/hrx9itvnNy3Tqd8dtcSXdlQdf/xiYWS0BgTKqruEeWBoTNPOoLtJrV5aDj6h3yxaq85jTDkx2
HCHR4IsQ+4/ldWnoSFraz+4iOhlskwgFreDTWE/1rBwe9rp5WavL5mM7+ehpoY1PyncoDSQGhT+9
xdngTg6ulT/lmCHsSIyvl/sX3smvpY3/wvn+lCAAVbLfMt48JmGp+Pbmcf3a8+1K/Q1yFciE5aPb
Uuyc1vW81v6XMRCagVitYZCY2mbt/Q249y4I6RDvop5VtyaUSlPFe2yIuNaiThB0fYzC5hQNN7L4
Xa0UWaQRe24gjbe3n+++I3DW2RxR69hsdBQ/23CgeoMB9RoseOuN6lCm54QDPUEMKGTrguribJR0
4m3Q7j3GtDODAfIp3jkPnDwc3GPTFmazIikh5TurNR25iDlCgPxGCf9qd0641TrYU8wtBz6oKYZ/
WXTgEGSCuCYl/gmyBGYN+L6S9Jm87D7Hlu1EBPNPJv8V7IO+Vf7t+oETKz9/+ZxDJmBheXMGG/ZH
5ep0Z1a5s8Zb2I+nEIrepcFrKORcBTeaQuOhzg7vZuUUTm2FxiraU1dVqxGXuAngIbqczFY42ZRb
gux2bDh6CA+OVo5Z8JMyS43QSiTRNNQrbr3O1OPqoBpVEuqdeWer1+vF0bG2RYNUP7FsnKxgptnl
RCaQuP3W20jSxBoD
`protect end_protected
| gpl-2.0 | 1a46692b6267dcfa4414b9ee1a88839a | 0.924483 | 1.907506 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/mux_bus8.vhd | 3 | 14,388 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
P4k62iEF+MyD66DHNiZVX7qqNHgSq3b+JwumaVb912SECXHofPJD9/x2GYpOn10P91lkgsSrYjWZ
QhbP1IUqAw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oHqJWkfzF22wTve3hcdQfejf8vkvRcvMb9A14vFf8PyY0LjXcQ3mEXxY3nJjl9Tw/jberKVUi6Bv
p1pEa+299xRLnW786kCUb0qaNxvKMB2ODFKgg5LlObXet0mqPtZJjPrLdnpxDzf3CJZGy4l1Hdbh
0Ts/6B7g4obh6Caq1Jg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
L9Advbw1ByeNqPzVa1Q5/7CUluYeZyU5WvKT7Zf82eyr5HBtV2dthGrroLtaw3WqLZFiZApGUi6/
QyEP6JKn6App5G+hS6roU1cC8/yG6V+4Sp0DeZcgj1Gq5UxAktmMecld9zMLzF7gLTDldBVUtEvN
OZ7ZNQJK4rXaYIkOTq3ICj58vGn1Cf023TYHC6iNV1Th8z7sNnqZJT6Dz3G+zaAKFpsKpxh8HucS
QUgjch5t28XwLAYZLCsEAdilWg/xlbQsU0GbSa+oAuEMZGxrm2pHvsguwTJWgnwGv4J2/3LvnlHd
sGjVBRQRMLRfsiS6iH9/vEXOQSwYsRKsxho4ng==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
AwQBftxAWjf2uN2C3M/yshcF3thnviZYLOtuqy/BLO0Dv0GPUTEi0dHszNzFuviV9NjlBsoB+5+L
oFUcrUMQK6MK7Bhko5iCKfRzmIpS1jh/84w14RnwE77s5USr/f/MInGkGt3Pym/wOY34dWg+Jrpn
3UL99PzJr8qpBQ64qU4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fQTBCq4hWlgdOFHgqduuwwDuWxxMu51ybayA7yALO7pdmKkuR2wQPVbsCtHDvXYYSlvWYUKEKLvQ
CiLhCFTKPbzsfbHzqhwVezVVDSFgNafil0vyq306k+mvEMbeLuS6KpCLBZxvrKGoZxhGYQC8PlCA
eEaa9W+suIiAwGci6A7GWVKuB4vwuLBNqbvhu1W3mAr8f15ljk0ab8sBdjaYw/DpQGlguXukXaoj
Uqya4fH511z44KZWyIpatg91x2d1HFZjSt/nIW3HxhrCqxwMmPPr9lBcL9IiQ8yxtqQodUZC6ZyM
uH069brEV1uPLUeLttYNQ7OaREw7tyaTrc3mSw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8912)
`protect data_block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`protect end_protected
| gpl-2.0 | e7c0588cf4d0a9e201312fb62776b133 | 0.934598 | 1.87417 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_recip/flt_recipsqrt_dp_m_calc.vhd | 3 | 62,911 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
JUqq7V0iTD4AsJp2EHklARYoeE1hPkBv8GqEG8cgNZmsFqKB1IA849Qcr/1QrXP9m0I85a5J6ZGI
Ai21UEE5SQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ipod4dE8TFXkmyj1ocfjXYLMopY9NpRJmkxWgDLfXqRma1ZIivPq8gllRvdL7qfJs37vOAezyfMo
glvgmDw+KJN+tq993530mludaNitWEOB4BTnfufA6oSy13gO4KyV1glPlSDKSo9zajbI4Uk8OnbX
Zlk0dl4QcA9gCWlniMI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fOo+5islv8CZYiDRtO9HV/NWoVFmSubs5w6dHk74JWHmPnTa0WQ80OAi9cOcUKyM1AjgErjq68Vl
HHg7jI9IljbV7Z6ITMWCxtztNyTNffMQAyyHB+UAcIgkeokTOz8dMcWGrTBfzj8zJQFd7ldEJhqX
aVKqD50Q2hMZcUrXZZGfQf78Znm3eeHHYaqu2Kea3ACpBWtf8dzJ785SHU+FZjIHA9zPXjQaurNe
XnMVTZXBMRG8+bijxh+EvOMx8DgBZSaIAbVwiTLAa/XUjDacB2AuUHOYVTJTS2Ndw2pjb4LlJ7g9
S5RS4x0dy08AfR0Tb8l4wAcpi0pPsgyHwEqf6Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
R0+cQSc9MR0kO7u8ifNLYnpbGGtHqigY7nRYfjQ3oV2lupNmJw2t43uxhKtK1nrk1A7CkO5I6aFv
0kgoma/SMN0KMP6TFn3riaTr/u0Znb8MoqGWlb/oG16yXyXbcXnBeTf3qUitNEMhRBFqxqnsXjIQ
IOUdJvqUkE1DKqAQbs8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sGawHhorVBDx+Ar6Lpa9qNP9Mfbfmmdfbs/yU7YN8gQTN7boPXBSaAVIpFXIO7I183NSYaFthqcZ
l88J4bgXuEb/IJ192GlAokHT6MnlPUhtRv6G+IZ7znNUSC2Z/MW4hVWn+y0CyC381wxfINeDvID6
Fje6D6DR0a0JhWevwpQv5olxlshi9vt1ZXRnyh8sWHEfvzBjNvSmytpG8tbtBeHR4Zbh3+iz3+dg
p7rwPN7GGR2gYWdnBLRBYsqM13Lxlr4VbxksocyLxz2/E/eUr+JoYyjtHmdKgKAXGOETylBjXE1L
yuVPM0FBTiyxXupbKnCdx8KgWM5HT6d3G7LSkw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 44832)
`protect data_block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`protect end_protected
| gpl-2.0 | 15fdf55b8419d311e97851980302b42b | 0.950931 | 1.818079 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/c_addsub_v12_0/hdl/c_addsub_v12_0_lut6_legacy.vhd | 3 | 78,757 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VYTNPoxVtwM0gRUeThLaGfqew6HfZG5kIWFZC1rB+x1xJG4ctTDy2j1XafS/WSYW+QqweHYKepcf
KFaCIItMng==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UY2R8JlVaph69WTTJcbugq099X5oAyO3bryX0VRtgDoaSZ3hojmI70sAL31o9eiwEhkkmqyaCbNe
jimDJVSwy/cjfLKP8f3wBQ72mt3LteAF/9hKgUHpn6W/GcwZsyTdETwpzTcw1DGNfrqhpy4yGcjN
NNPaI/me43RDNfFfnJI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jjiXctVpDT8oOQ/DAQsD8sI30L2eSW6iQk2I1zjGGhAavWy1h+LqTi9n6fyX61j4qC5L1RsWyUWx
GVuDXk/d9RfGtpwJ/cNai/w67CoVP1TUU0ewLeh2Q2YvsVYmAHqa9cnJY2eO4nzrqJuU2FEj1JYf
sHuF4Q9RoBz1j2blDIdp4TcCo79ec7h+JFak1y+l+Yx1kUKYoGSv1T4KHbxd92GVY1Ikn3y588to
uzAYFipDZTxtRfmEkyD8nEpVgoYgu5KHq65Kyo3tjarRlQKpwdago6ip2h5p8zpSrWELR7JQrnWp
UD931Xf/4t3fuhwX/wr5EvOjRvmUYC0baDPA+Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KZn1i0VO7CyEdgsY0lGIiK5ZtptbGeeUq6YfrUi5/AnX2O+c9flwKp7PeBWznL35CInqMv6mVilB
WWkfECaMHp2D1pU/opZXV4IqzBpIPzlESId7nC5TRKpDsp9tL3CQpnARFjqG9qtfH9gfxH6eBquD
BWJQet6Jl3xPzWTw+SQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
G2kk/G7ly3MT4JcEyJ+W566QLXXllc+5H8Qm0bG3xBTQSVAJD3EjuG+AUhQRx2Pq5Xj5GsUlhyOU
/nrTBXDehoXjLSaZe/Vzm1B1CjvRsscY8h0z5EMb5Vxeyfrz28v3lGtT9Y+ZTahcEPEHq/BxyBj+
j8IgaVfeoC4spt+ZUkspMhI7VlMRua9+vsVk1/oxI0kd3qo7gqykbgqzxvhxw4mEMWLtpwsIZ0I5
1QmEa7esWhW2krA2TAS3vlo+mbjlyZyf/jOa++Z3LJyTtQ/Jg+bN8gsrDJcZi1mtG6zu5LhT/f48
RdkpT19wPuzIv7lndHiT6dGtbHEyWBAL8EO7tw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 56560)
`protect data_block
av6o78UfZAA9WN34zkXW9bJUjqOfqjiHKaEQDQBPCvxhQJc3eLOFh5hjMdnJOyc+GLzKM77fogS9
PBA+alp0IYRVO2GSSEhN/Oof/WwaYR+Tbw0ki0j5jqgYK+ZtJi7OKGrOFiacv8ZNiCtJw2Tf/lsA
BZGC6R2A8Mz5XcX1pmy3RT7osLfaxSYRTJglyJP0FVZhzhcH6Xds4nYkcRhvoycdlc3RSwk8bW5o
8SrG/E2m3Jk7QdJJbNyPwZMoKIM6Xp9e6jIi7/tOvX9LbCQMhk9iOcX3zD6n13ukLzOsBXTDbGRA
/7XGb2MyqrOI7R7cWGVc/SR2E44OyaehiclkKjCz3GYXGX6aq94TGvd3PLkV132ou41PbmBE1poT
GqEzOXIJ8FLKX+nkLTbvTvh27XyvD2XgBN6kPtZdWyfdduq1FQf81to6dKmpHouIqMKjaoMo2STL
q3Q7GG87m/jafl7p2E6chHDQD0rRktmW4TyzzulX9NhKVIhRvgrbDGiwqF21GY9omi/d0hOzDKyP
574UofKY4wy6qxHBj/tP9auGeOyd2kLnxWyv5AWJKnB82XJJkwqMmW+kEDDbs86jj/Ky83PPCo2C
WrIHOQBctGMcgVPn1EA//QlmYVUME/+uHfJCWc0Ocjmv1d+b6GehGgdYgKma2orgXypcRFt8Qwdq
LSVsrCUgDAImiNZcxGAceiE+G6sAAwOxkjmDnOd20sTgkGosZrio6oj0dM5R/b/RsPZV752f+D+g
CdpKgL6O4BK/YM6sm+HJrXKN0SKYRk3P7VDfx9y0hEDAtJfXRGJ1IMlj63rq/EPR/idgjcLg7/GY
N4Bwe5wCXkYdBACjfeavIdI1Ob4qt9995ToGdFTjcobpq+eKVJzHo8N3XcGdFQVoXp+xD+roeHtJ
160ah5eMTXSnvMhFHt5VgThExLAIPn8ZUy0e7A126tFpKwa+hsaMolQn/c/bdzAw3RX37i+WLUdF
4Bg+KoXAjwMyN5j1Iu44KwTfWzcZiv1E23dwlWs/Ovx79wguMMnvxaoUFdOaKt9jE32mFTzIRWaA
wlDnV2yw+qJTtZ2cqL0XT9Qwr0rFrd/Muyv1lSkiGojgYpL3W1sCldQN5SEflk0GI6iyya/8gYGP
Lxyt5A0sEx26e7hhoRewC1wwPW4Ku/umYyWKS0qOk8kKvc7LkEU3wJWwkL+ricSBmjsdvzD0V9ZI
8AynmSo6I6YPeENN0lQyd58g9drIKgUt5gR5HClp/W37W9RYQU/YTEuO7eZBQyAPft2z2jojp44W
H9R4INYOrvFCnPOM4E0lhMxsHkMc9oyCbvdU5GbpyAMMrCNZ3gcuOJuZgweRSHKNFT5Ubn4cDOWQ
idFjQutCV0i6+CN7iD5huBvGdXwa3URHqzVD8/Ia1SD96mXwqsJLDvVgJr0BR5Ob5Zhy1MECct2L
oEZ+nQY4rd7F9Pr9hd6LFhGHiuPgU6i7Vu/nYn6qzKUKj5RZa/leNlqSbuhzb2h2Ncu7iccsNClv
uj8sW51W5mSppaNzCBpfNw3WmP+Xkkco9oMIXZmrSFMb/1bxuu7Vszj9vfF5Sf0cx4yc5HTCYEeR
OzSQS3VfRYJjA1pzkWu3Cf9rU9U7YIiNDrolk8Z1IsihLKm+M04SJL2AMIv+te109EBQUbwUwuAr
ABQYjItbUYRUxPxtshHDOP4M6d3nmVcpl2KzuaducFlQqzUWM6gkt1YULVMDdgqXLUvWKq1H+sgw
is5taAEuT722/eX4YJHyLVfb7DkBwg8DMcWDRLo/EAjCDBrVqh3LIwb1W5CNQXDCoy2vI+PN1EOc
Os5IrSflCaPAXVLpqr8Hfh4CqsmF0kwdImnQQRlPUpIOWc37dw6doGWplbrJsj2fbX2fANMqVRl+
LVHFc9+ialeXvlYbWLIYKN0LIF3hIFp05aDazDNA+CPgkNtZPLMSOQ45Fgb4tkkiSDx/AfZC9mmV
+owGlo0tjrnp8gAPH+Bg2PpfSazk+t4woDKUpvasrF781AsybSV9ldeh69ChIk1OBXWxFq7uszfK
xBwWYZHHU65zO5FSJ6oqOg99VCrtmoKDT8BMsz2nCv9rbJghiDvIpnDmMTh6MLX03junez6EFqMZ
nGHxHu5LUVOM3ScVocexuDXWcQTTlKfBVYLG23mV1gI+T8L9WjvXClkEISX1luvXT5twnjbUHodT
OU/GHcScLNbkoCCRmK5CgtqPhOPD7/nNHkqSe8kGhfhsfVwtljUI3fPJpILBUVX6XRrCnXwrZ2sa
v6s7XpfEMe1rXBdmENo+I6PqZH7Cwe0zMlcmVU8P6A41fRIsDuRWs71U7v7BSnc/7bfRNRMCUYYO
WSftnbTRQE/fDBy5c7VTCbeJwIeFrowudu+Dm17joEVf8avjVTfr7YsQgptq/4rCVjC1g7zWKuAx
n4/QiFYSILLNhoQnfPyRrE6e72MyiaPVLV8APRkFNV0FYoAxuEA8TpHUiOwn+uoiOknm0J/gPl+f
mm2V2VTrDhl7KkijV8Xn+scxCqIss4ARGOuPRqcwi/AoifIfHdZLi/Ir5ZsmdNcwQFSizBhL+iv3
E4kJoMfW3xJJJqTKR9znwmzK2EpuPW4N9wUg2K8sHSqq0pqBz4O4A/irzaFChAeA7safVxeIQYpq
ZmCD2mIMlEmhCszm8W84yszIWZfpch9YQ077qh1SwwnEJHkJczKA0n/eLpydVNrpF1qSSX72qdmJ
vVz9jV9vMW/MGwjIquVO6nvwc1jl8iUw9EXWG0AlulOl8zFR0YevbcEbztnciScTcOYogqqIE+RZ
+x7h01SCDTaEqPhNBOsBTdpYVLIqeNduaTfB+S+0ZDMisZCycSAunwFQSjjqwHuMxLId/T/DMUxi
t+j7U3qId3MZ0SwCcaXZFfqC2af2e7Py4hzQ20mtzlbqUFwPYDm2ucSwwFwxi3lq0ECG7wuZqiiU
0kUYP0FbXOWrLxnkK2Eo+afvOfjl5pmaulVFaODf0xZ4Vm+Tl/K009/m7C5synYBLVfc4lZEv4Cm
jPne2ovnwupiDUKRbXr3mX5jiBAMMkfL1w3WDPR3ATycUfkcnZQ1mAYhPt9/Z7xoAeZYuf7TaA2H
iR9DK9ymQckUdTcTxtkX8dNrqQ9+9hAnz2MExcFcDaR8pVnW8FRtvHRg5IZNbghBHIr8+lQbXmBz
f9OZD3TjWMCANoDiZj7Y78PBc/RNnNC/18tSgNzabI/vzAoHHiqPS5a4dm+1XlU9MgES/dwIpbW/
DAhrpZmgFGlTq2O3btPStoDJO6VkyVmXcDUrfgjnn9qumwWYgd4Uf9LZTZrdlPUpEVWxfLTUX5JX
z4e2kQXGGfa7om5dO8ntKf8ax/BJAU2wC5j1xo92XvSv4DpveoRWPf9xGLritSVbxVcIs0Wz86aB
orxhTPb4Ow1WLS9Bng0SDzZYOy7bnoc+hiRVHyPAgkLY+WvvHrCibgcCAy4+cO0IiG7w6vrXL+aB
edq/hAB4y/eDkKSYxumUNcWtR0DjQLPfQjSfig0LbkpbKbevJzBwnbmogfDaqTh1rpQl7wVMYcOC
8QINh8VnQ9dyvjGSgg6PCS6sKnBVR1uWIXFhSvFZZMFRYa7gIU+VNxvTk29oUhvCooi1zY2tgma6
mRtHu/DjcW3WqhjBmt30aDyD/SspDJcR3Y12u5mPldCzAxtRRypS9aqDMQWBMJQhethqDG2awJx9
LShqNKslovjuA7MFC7o4x7h5ECqymV6RV0jSgdc36pMoA3QRyKMIX0SeD9PJpHohp9foMRHkX859
Nk9y2aQkpen3ZEvt/2btIhOx09C3/ZLsP6+ViBNsdfcSmHBiuRy99S3Foog2/WtrG1zH+ICJmhi8
VBF2GEVVK3vA8RcQ6/Nk8ElXM+YvKcyGa6oz4WA3om9ukxGp2rMLhwHfGoURvqFsAZmpYClOuFKk
VgZOBwQs4ZjfKryx+feKoKjtkBHCzgbnG1ajmwsgtzy58zWkv+VMZJ35HPVEf3IL8VbpXb0AP9S4
CeoMqrET4IcD/P2SprAsGXVcqkGoxpk4q/d6/siZC4s2Fk8FaNOo6zhKXSkGkeIjynR+qXu4igvh
pfTKs5Hr8J1WV3J2vS311qgnufcOAC/5XNINnLwYewGtDSvkSbcmBQ9s0w/lohtVQ6R9vfp9hA4n
dTEI82j9tPLMLpwH5RlWee0hE/h85gcxmdqzVT5mi+aip0QBFtexuyuk9ReDRfm4lCEw9xRxVpV/
JUL5UGPKegTzgu2HDt0tS2TNIsrSW7ZqJK4czRrROpFSI5k721QoIpvhKWdDyzdeRB6tcdJRLaG2
wPLJREK7fr90rxHKadXf/cPi8Bn1+3pG6Id6KV1TANm0FSkxlydjW7d1ukamEdDtWiDshbC/HUcU
Prr3QQLw8WcmjhriHAXj1X+HBPWhSOjfotcBrGUcNDuNVWEvFdGXzfybEQCXh40+OYaK5m2c6zW8
4ZZ+i4XdeClRw6O/AkIlscepusTziARJjinbcd8/2KsmI+tf0tgmUVSdw5c65sJvdxGdVKCwVVbr
oGORvEFY3bbZe63dWPgo1Un2Hgdztlv2ewORWwx1DFUkWPtzPkClnrwpqlU5X6M1AIvmiqgeKuog
8J9qjDtLQlP7NRbhqus7xfAHZ2NeDcoId8OkpQ+VXcdegcx5LSIPIodqU8CWzVbHGvamqhNS9weU
P3cPRIDU22XPeJ43rWa59+RjMOKySqboT5XPz+STbaoKvIruNARuCP+3hP3A3JDKG8nqPW53B84v
qC1Xg1ylm4Y5ooFjjvuunz09w8/yjJB71W7pPLW1TNrMLUyak+gdkRzdej94UpSXgwVN9v47Sqb5
BdfTNfP1+HRKMzObCZxHQueQKWA1V0++w049XIDMcUuk+lWQxFBPDtDShJC19UhbYBl0AY4each8
iBDPhObaPE1sRCxU6kM1WVDN54ltjvdDzfoW2tRRhjwuv8KEKvVL1K5uDxUXvfGEq3XSH9wXI5IW
ZlV5Ie0N26wcHfo+I3fjkDJPJcqrolhHquBaxKz30S9e8Tz+L2wt/z8jtVg58P8inhf6ceAaSq1O
FrTe34y/bxEkGnsktiMCA4S8OjNrRLVS57R8zMIxz2NZ/hlIlAGq3ZsPpr6MtkU7PKIYj/UCYhg0
bDUP5JvV7+HxRiCTZfYV+IxQzPGxNx2k7uaXrwZ3KlvECLnSnI2cWw4a4UFyC95t8CqdxsnBgBR1
hGpJ3yzKs5dH1c4YNU7SwN72R8WTOS9xes9TZNFo5TDQDuNXLZge4cLMwVkiYt6Nxm57lft+sbrh
HgD1pe0sZBl8pvUezEzM5uYDRbDUqf9EkQ9brIj18c707c7CdQjR1hvg9Edb6vCi+h774Lc6cRlR
opeSSCuYdHRMT1Qy2kzRYIhW6QjjqigDahPyVuooDOY9Cnzxt3+6+SYwea9TSYfGHF9NXxs9YsYq
r9TnfrQm4Yq/eCFbGlreoDZ5XoXBnt3Yk2HAopDmU/RWinFsYJ6xq3tC/Idhf8XCk26qw+4/zQeq
w7HJCqmopOBOGih9YB4Ba5E9JR2l5dTnO943ltesgQJGH/gLAqFUceAwxkEjKcttfRrfGJfh2Wbd
mXXbc+0vntA1AkOHXeXSsfWzR9nRnddppmWHd04NqDT6IFxLq7YNYOhjBfnVhdpp5N7qYoM9G4Ps
R4JesHeRgODNcMxtmBPkMAhmxrPl5DbY2TP/8ENDgw0OoFdMjXlReMqY+INMzYV0BsHyQarmEHzK
P8jvZy9S2CBQO7NGMTDhoKEZQm8S++GfHFzDWqT39Hoe0GbKfSKxS3E3mbkHgKd0yVND3RpaHmSg
gNmv/l0GfS3Is2m3UU8McPmddUTEsDDlyG8aR1NAyDrIYExpz8F6DV0Ekwa8h6j/9a20GtucjbGz
gfl4gyoEUPQDcVviPvct8UihWEpwsZLDBvTJnbnFCdrKn39kNYK1ozrHV2k4uoW6I4fH4xTYl+fu
2f0KSkltdNze9p72cJQlTcEtU5czW76arkoYOdvkkRjm2ZTgluL1rJfl6ysHqLDLEbPrd3bVLDl2
rLN16LZ785r5mnVx9LQEfWLYJE5rof4bmCV+AICYp+jcIDOP4MzSAJU3PkWa4k/Xme7q3yKtPjvK
GKHx+rDEV4CLOcl1sAf4I1NChD5uFwiiMlA5/lHnlsYh4zv08tLS9UTabHvBJ2/tJEWSot2iu7KD
FmU3N0jm1yVpwlmuUPMq1d57Hn7SFiZ84iHYsiLVvRUrf8KgzMqaBsxDpIUGs3Qztx8uR1hcjXV9
zGP9h2wwTo5Qu/6eaKsj6b0wUxVztgXJUFG5e7ymIMAtQG3wCa8YcNxLmJQQxBjTvHDfNxMyJ5jn
VdYRhFONHInHNo8pC4MOiM9ofRK4WAHUutAyRDFxWGBDVROrYYglsJUmpfYqxJO4/dMbbI9kOb4Y
d6SKkSnMIJejGaI0Qoc926kiJNTUF5EsKyFRjrq2GJitqTIn3LkxVcB5W0dXDN8edD7hAn1GdWMl
uV8x7394DqH+XTIt3xa3V/guqlnegcowHL9ABu1V3cdxpg1+Xh0bofYMEGkO2sdGfq/lzWS3n4hL
yQfTnDpMBF8+/iKBFYH5wK8WL05DxQTpDrSSCdr/yQRVtm2GIeIyu6IdNmE9DPVWW8cYPyr5kMP5
lo0iboj/Zv2EhOBavbZP5NU1eFv+k48R+vbc5o85dXcpsl65cAYFup1JNSsm0+nPdt8hQJW+gAzy
sh7HA9M8oGwVe0zPNcmgRHZDU05eF8f8c47tQf8uBCFuqd+ySSTsQb987iqx4DIIG4P8lTbI7Npu
EqfNtu/1vEixmaIXMwzBQJDV0ovcQKeBm2pnblSoH0SHKsIVmb2fqNoOBeHETjfC80McSBIMCNXD
aV/fiB6503zCxcyt0HmSJVO2csUzrbBlySMAw2Z9ajF032a+pcMlsEQMaGG3FUuU7M43xYEpfS8C
IVWBAKpSgHjl+Qsa7J4C6auuQLpCiNUo/Hx4B2z3Gc/9t0IjnNJc0td/KO8yt8N6cjTGJQE3Jra+
ow8CwpFW7OT0S/qoDsPZZVkeFDu67H3wL6i6R0YtlU7RRDzLID7GHtg3jk+prnNKbxKD+7zQHZUL
UVDLpAaOdUG+GpXsO2yNkHqkKBeBmsv3Tl6/hnGnIEQSfu5/D/sUoof+VW+Oblt6pKAYz4+b2qZs
V+ck0w9pbHpm9m6PKLHesqIJ7+Jtsy4h84M6u+6AbZBSaFIPlNnDIeTAnoJvl6AMx5WECJyqHVIg
UEZ5BYLWNtA9XWAxFuSc6SfS0fOE2Umw2hRdeKPUm8KgcRUlea+wOyKQt01i2CD9O0C39mrynGTW
gNxoVQhTZ5tnZWmM/emKtpYS6S4AY79r+SGO+asnfLEwF+8BRNjKtK4eDr+F5y/30Hmm1e2oWzAH
i75kzL2H21ez3JTleAfGSq+rl1nEfnd7QP3MU4EMcnpyvqcvBEZtnoOlHWz91pNfa2JK2x9WPsFI
82Uh+DgeUMkilFO1U5GmFGb7TRl5p1X1kgCKCEfg32hbH66r3HV0ZE/W0JRL5LzR8YviPkk2j1/w
DKxB/RB0yENSdT4+SpIxuPnNdoURGiYqj1g8b6gGVB1dQQ03zc1hQxUfm6QPiVz2L6W62UclpUpY
IDuMxA1ImsD/TzjBbLyj9eig+uuxEFjzyCCemN/uX5B6GPTVspvHutQcWNC0f0uehLCzSZuX4m/g
MGPzr4LlasDZQY8QGDoI16iMy1BK/aQ74Y4mMObO2+SdDm7mYX1A+dOYI6Q0bw/nYPOSmhEYv3xr
B2MOQQYvnrtJo4ond8y4MetErOBQn4AQCSMOSMxR3Yd63YovzV4uWCD/yHA0JMqOuPnOSnj1YHyL
nrX0GGMDLIyNof/HdjFtbilndl9sguk1B+6Jb7rEJbICs0W/fmBCPa81wmUPryqcZDbmje0WP3d4
HGKnXEE7+FHROWUoXnGzAIsyS6p/zYUxHH9/X+uQasTG2gE3C0dC7m4YrBlrjB8T5vr1tzbPOpoe
8h8fXlbayWqOcWdCLeWxsxa8gRDkrOHe3uLMMt0eYQ3kxESaj17fjndJIPUOr0AsvcV5um2u8q6i
rRJhr/nrrhmQYqOY/bdX4rJnEYq6z7OIj2nbp313fSalQORcoHqxecc9scH6m/sfv+9XFpDBUwbu
DeM2Xbit6b6B34eaczKZ/o958ISOKwau4/n0mOe1olXa80V62Rtv7s5Y6hq9J8wIXO/uEAKv+Gnm
ev7tTkMhddf538DtD6CNhffwoRLoYuqxPWFQk3gOkH8ZZ5gVu4RIiMryjgwqnuKHJhVcYqVrHcEg
06exNP5nrWTDrF0TZiHeXbuIufT75X0/5hfwPHW76HdhvU2CUD992BEdtTHtgr5Otq3tolidrvep
EIInzWcF53fPAl2vlxK+RuUV2dr+eScYoy+A9F4Tjd2doq1OfINWc7uMH3frNX0l2B8X69hhSrHV
kraSTzabNKNjdir/xzOyGzIH9V86zzmWLKFby7IhTzS6z/YCt53QwJXFjLTKCiNChor3w2npdy3r
6fFv7omYIhELoXd5pckjjJFP3doZP8dQqqUhacGAEn7OJJ9hHDPCAJj0ciIAZXDNlsn5sry9IDFB
CfM98OM+qbAgNla5ItlmLgxwuvO4PaLAPDEBXhYNTkyBDudlKVjnvLEwfpDxzYDrj1R8O5y1Fmkr
5wSrgJ8HXYObYH+t833cG8Vn+zQKya3W6NjDKh9CrDA/+3S3t39tDKTqY8mYvfbuJuSy7XbZHag/
NxL2/XX129SDm1LvAIWLn0PETjwiGvHJavpg+Ih/FqY4HLcj+bkk2wxaDljBuk4AYHIi9g8b/M/P
rUeXNmvgKjvnSBqiBqfN1Bql39iuT0NVOaargu2FrIenSMbUeX5RYV7g4voPISsQ+5LhnKg7OYVI
QpD3BuzbRDu6w7zlu11X0hCkR/W6ADbPYVYDVYv3Z9eSvC21SlVQoYePdLNPePGb6xx2Gtz3WbQA
PV6+VFGpYk9L01R3J9kgTYd/oooTVtZnm03ZMkTaWHEsFDN53RKFHDcYiFtujXnWdYycxMflsTl6
p2Pm7nuWJ5XM6CUXTS4aHtkIEwaZucQf5UzlQMuTDrLSbWAd1v1A8AZpXwJMh7hwAA5aWYdxoDSC
CQ/oUeV8xGdpybpZv+Rsv7/fHPtkDyKsvshBsN3nX/kQkH6OyKrDCqgD69OPt4ltnnkH5JPUG1xN
O6shL/6+q0JtiIWusFr3sfct2LT+cIgbrCezq4E3LQWxoGKJ+hUBFzUxYTgiUuj5ednpz+D4lSGi
zcHBgtARfwVwB6rH6vVRTpQSQUZDGnjCVqN80KHUqcGaOZXgdY2A65ARgcds0I6moN4iaczoPtoK
pb2ylb4szTyN7bIYQ38H6KehVoWqkDNiPCJfGmgtXapTKFWrSW16/X1IojEoLf2GIJiaJflBHu2+
nwIKOq9RxZV14rwLPopDGHB8Kt7lHwYOZQrgEbK6ycU5fkH3X9gPN3qJ62vXUmsR97tv10RWfKLb
1UURlYQ/+ibOwJXxfuIfgtP+Rscy+NiP1pvU+6wzbgjR2Tz2RCh0gLRzbkkZbjwbZGxe0YLLpy7f
eRHTjnreiyjK7HmgjnYKjps8Uuz+GWKmJAw+16m2TxeDvofj9Wt7gslhiTtKXdKUgQ82lzgJjTfz
SfP5m0wVz2lnNAoDYYdtt7GbTQO/W2zN/LZAt7lVcY4Ts6VpRBLM2C4Oya/xdcsRP4b294SkIIR+
kBiFnw+sywnj5TX3jSVr2Mfa59uyP6Rai2tQOerdJhMVF620ZgiuOmAQ2TkIEmYctAtBLh1vhaYh
NvprB9PNy/lMTzdUxuv+4xUFi+Q6FqIdHPoO5zSjgjh90kSHQg+ItfRDcr2TxXLSBgQqul7RWsLW
cqq7ATu8oo+A3rbH+ttSKz+AroB9X9DadRL25p2NXprw2DURPl0G9xw59D+yy33xusZvXxeumYG+
nawajxAughcwHIwbceH9ZudtoJBw/5YBTYkc0d4d1h4XoyoebvcFudq7gsa6jRjC5pIzEJpi29kW
zY5bFArtado9vgBfnLvufef9LzGXNybGLi5Kf+W75qLQeMxhrxlupMmByjLJ5EoDOVZhI+QctLrM
W4Sys9lVevmYMWwdpIFdhfWPM5WTv87x/1XSVK+JqbU6QC1mJTyaoJGl61uTg4GlLM9muMVVY9EX
ir1Vbm7FewQK47fkNHzDbIqlpj9mcuHyikpfVo8Qj+axwti1YY0SdLip06tXLLVI7N6QBjnErui8
kGRddzLOBXuIVKmtACZuW6bgXGUhA/Kdb6PdiFdnBxh1rYApHd6eXDSqaZ2OIOqwvUxuMEm0dO2u
YH2l8CeKOkgEQPKaKkwQBZ7rVWU08X/bLrcCOz02CNN/V/6DbB4Wa+kNrmq8XTu6+9nfreIHI7eP
ofRXeic+hf2y3QlQFEhGav+BVtUz4unxyJbivCxwCYmF9vGXBtcfBAygSOzb/hBNpm+Qo4IOGyhp
qpT2IZW+5E2Ixb77AIiuPcs2ueVL8UoaXQSU3sLUTeaecZvTnazewJ9X421u7XPiClxXvOWs9JBr
iXngMIZfCvkhkwgqIZj/Rvvq2ZZ/JMYLE1QNqydOMvhlVqMBTpKJ0vv6kB+WNJGvTky4f7gwyHQJ
KO5+oYHm74HyPkPy0m/O4fIWMWhXY4vzVbVPA2RS5/5BXmnxxo1wiw01PTGngE/JqO85e8Yn/Fwg
E0SR7fpJY5Kwp1Rt8AKSxsprN7kEywed6FJickiBpbKtMZkFxRceuDrLcTTlABZLeUWSCRJ6RqVw
H6ETIH16faB5vVin2Z3UnaAcM1mm4lOHBQJw9RkG0PvK+wGwB4R4dQMY/7FI2RDW23QQmyFPPbGD
pDJIPBwEVkRquVwsfvv9ljZJ007k54FLGEGAkCattfsthNcXM3/hCgGeD9iroyO2fd0znH4XRRWV
IICdm08sLquQE5qmXR1Ej/2Kx/BEJjGPTvOZaHbyFUPmJ23Aswm92bnwyNjNEYcOoMktlbtvVPUa
m0NMxP85IWVjxmInpA3s+mhQ4JJtxsr8W57ARHPJLHxebUxrZYI9Nqws3djViAqllfluGCHVx8io
zYhZI16rT+X4s4sV/CeaCalVrZuEDjlphBC888obCaPzU4Ov6J6P6Ep36D5OmDOlfK3/VAubWDab
hjJgWIb2FqZs3BVFL5qOh21hRZi8Yn3ZJqlDaeEttpBrMz0iD19k/yiSJMd18oqlc7TkJErln7qo
NquGovQXKApFiVLbvB7qVPL6DdN+/kzE+rfIQTOit2+cozh6iXr1SjiFGlL+XghNvDpMT154iZ/o
DVg7hmeJI2Dykkk200NcdGK6qXqJArb2yU52UYMAM2FmRvwIHLmhRTMcQM0mwcaGlCuS4amLlURN
PFtksY7gqhtHcqnbwqhRZiRV9wKHJdAmecypjOgyR1E31C6CDg2ZNc5xgDLzdExUgjdx6fzH9qih
uOTOnNlIcjdLYJMP8H+tBbj2PYLZ9e1fbGKiLBVlGzjxKKy/n6f490MKWaeEY4FQADRsaAH2Mr5W
NtbdGarpvw70r8W5y94k5PuMcYq3KLTDRYfrdJkaBlklOdNQOzBf0b/E2T8fFyruVkG0Z4DkRNkO
VfE6KBmnPS1JqvGyXdaAd+8GOQmoiiRAjmWAxltA/3g5wBCYXj1tQcCTueD/a8AbXpT43U1yTxoV
3c6Mbe2F9JDiF2qV8eA2FgmyIwhQncqXqJRam7OiXGd01fW0VDrj/l1hXsW5ZP2u2p9I/JFaqyWq
t+EnkIKBnUb1PsjutCmLjRa0ZXYn1KIUfcO6QjNvRZgezFHbkxGbnRRCVE1RbAXYx5QLWvSDY7l4
b5Tx0csUz6HubdHhNE0IwvckQi8pE8+JLfNw4VpIl5I+jDWJRGTjDAHxPp9OEcgSZN9O4dMF+uNl
kMaOz79+dSxEEmZAtlSMQu51y5+b6062jDz5VrhDV4uOPY3hI6RywCgSzpwvuXVA91AyR9q5jERM
Agn1/O4LBDHXbozSkFv/Cw+qOO+lqSqiY5izpH4XrrPOyY+8IA053EZtsTe53T4s5aqoYfY07Wnx
9HBZCfri1Y8DFNg4Evx/ueoLrNsirDs8KHqucYFQfBnQs6I8WQcKAu8ZSHMy7H+OnE39cyLbZ5tU
bD7uEDURnsSXMoxd+GYgev/p7tuiu4OJa72PE9mvRQzAtEV/aP3o9QvlA7LdqhmJ83eTzQNryKt0
mfzHM9+C+UjiDjhl2U9JGgBQbnY6I4WRa34m3ptwZOJRTwhRx8gl+8VSP/461XfJ3eVkSxQxX6qx
Zvbodh9RT8KlFRYfyF/oo8EUJDjHCipeuz0NqyH1gOQ5frwKBpmaZbERRNhyfyH2VitLqCq0KaHq
4zA8ADw30FaaFVjXlTrf4LzToZOU1brUrDyi8sOSepn9IpMGAxM/Fb2RRcf463lfUM1nDodmUCg7
XxmBhOMY8H3SB0sScD3n0d16x0wXhvI0+9l23x1Q0x8PhNH9cUwQ7ZYYltHg8ilbQl3dvUVMxt89
iSLc6S9JS01d+jUN0a4goyngfnqFsDH3CxfC2YsaBD5QNWolDg95homleb7qBihv8a5Jmjme3SGC
+KECP9jF2gjIxs03YNhjCLI/z9in1rhWFZBDH5HXh7Sp+Sb1UR4zR7k9TW8MIWgC8otdRdmqayOQ
GkF2xIZLyDmlcRHEAI0/m7kqCmIgd8qUx9DqLy7wB1fLYZ0kipsNJs2nU+kiscavQ6B5tAmBgF2j
8Q4bc2MATCd8GZOxeJ8Ky+Usinq61tXNsvBMiBoE7fTA9mSodxBcGQEI3PtR7PaOvw3OBLkHhA0u
YdrF6YwU5I2V1ZJo09mUXX0TG8SgvAle56Hf6zJvBcRpYTPZWjaVxMbn/NEvSd6Rf7wP5wRwgy43
KEAuYmSxzK+6eoosaSQyBXDbKlN9VVKO+E9ca0RGwufcnoMuhOanKpAWLljlnbwRtXjf5UhXzXtF
ZB3PeILAsh+Ut9+uBCXZ/1nuWvBIpbeyjBhtUt6u+piEc3rpNvSnc0BIbjzIfmahZQ2QcPB/2voM
SiPf+PMqn1ZkV/tlBzxENvVwOCNHDDWpJeT3cCUWavXJbx/oabprKKX3s6l0MMh2/buEViYYVvPH
DCAsTVXtGoCUWywnnKjERldnbctCPTTpm8UrC6L3l1M+DZscQcSVbe7qxBHVs5g+6t7ezZiI92iP
VkM5cOJEYigUoM8rEc2WQVqxXBC9Nuf/oin2jq3Q0z29hbedBDEPCV4O620dfQzfMLutsJSgLTrm
CJRuuGoPG/muHQMIAbnnZYRMVeO3Up466aLNRpYAvXPFblBkTYUg6nBPf0y1cib53dip/nPeJBUE
vhbegK+6eIDfakzVGfnp764JPVLeQbIt8z25kC55Ma40dscXL4PcDj5zIpVFCvkSQuRHbX6Qncq8
4pSwmA/kbUmm90dzD8NDyl8iDMBnXuCnywyxKquM3lkj6AOOQnYn1WOsuRtUOqEUmFlRasnACiSd
v5uO1dTH6IwUkEZc1RuFF7SGsPFJRK9IfRZPQGrJmSNUjpHLFgPcuxFKYBwYfsTo2tjBGPBLDSIS
bsr/ufLXwDo7RiErDbmR3XcnMQVFpA325bQYOTH3w9AO4s6Vm5arDct8b1YzYoNdEHRqZfA/koYB
THFpfrX5ykjEQW5uD6va80Z5+4mNtNXo/d2lZT9fPR1gJRRmcrtkZgbNfeESlKK4JfwZs7ok043G
wuk1YV2C3n6MhY1GmfFm03W50GLoC/ujVnepSMgHkPGjL87YYIgo9sHgjS5nwqueFF43f70xyI2b
IU7VhMWA3neyhZtW+LOcrvPj0pa9MK9CbpIe6cuM99ZHGO3I7s5KWIvjcWq06E1prp5jXzLxereP
oVxRwmsyTNXWX50TCIpdKDnoVMK1HUw88B56HnSL2mZ6lvWnpVr+dhFjrDIP6r8qFC50ojKGEcR/
SSXM8GuOi2OhkZ3LaF8Z99zmURiNzKXzwwFZoFExMtmBT9XgwRDtrSeLyYXxcKPikmzztwcx2iyw
2fI4IteQNKFxJCkiqkC7c7r72RMF+NYx6NsX3bHzg1XbIBhSIF//vwzWwjjRNFp6pBS1nD8RMSTp
+l9rEMewKdtvarpKj16sFzyzYcCc7O6P+IPrF3KeXDtztQVtwrZbjoczk3Y7IOSan8Nfu3d9G2sc
Oc3HzrS+yPAQLltTCGOuDl5MHIGAhmiP0CSfk3xrWOWHs6Ve677DD8j+hDRUo8b1ICDPkdo28c+K
uwoeaOfZWYZqhiiaXihfoC5l/Bmz2QyEXBCT9WsLNH1rKBCESXEVtGMNJrgD0WTPwAK9aejodWmR
Uz7D2NaagIXQSbXunJVn/YmES5qtjjz4eh+PEMsURhdpye6jolUGNx2jQKER4eNFlZouSifvt5rN
OeQYe9ktfDEMPcZmOWyu196B9YBAgetF6D0Wa5BRrFPcPpluE1qQfPJ1RFvWT4ETjez/eZu6+abI
7JOTT06kdqbrHOZnmZWBmnDtqtPc4DINrzu5pHc+OiVoQNmqgUdHiBELZU4/CSV3ci0AeEIn7DR0
K6v+hGD47kaSOkk3LWNsdbF8Iny6pmpCME+FAXHYS9BEPiqAvyqbYgOX3kdPdC6+jbzWTvU9HFDO
wZyKR4aZkBhpFRHmCSWWWrM4L041TGdrIT9oRZW49Z8351SuiB0ppmQGKS8D+IiuJiAD6yNvEzOB
411ukjo9IoFExMUrkooUsaIZRvmHUtlM+jDP1kUjycWyKSR+Plkq3iaUnSoN1y0onkKmYFDTqIAz
gR1d/X2jjXjIXhx1V2RvsBWgCfcuAdomU9itc/bOSPHdpBB2p7AFJpdfN0sYseUEdolAfYtE3oJy
RXgQZud8W15mz7ax17MYO5zUeaXSujUOJpjs4qdLNg876uo/YYIfg46aKGtFXbNzgcjEJrEqrw/U
0BJpVUDVQ5TDX3c7QZXs8pR+0aLKtYct8Qcfcs74goXdE4ewVScmmdwNb373Blz+hK3SY/mtMYE4
WDPYeTRA8VSW2ZExQl58d/5I7DL5HEge3ZBFXA/Pv4Bm63Mkpu+4F/v4/s1vlwQjIRN9Eg8x8scg
VtDOysSG5PjJ0xl8MC69qDYhIt2nU4gJqaYwQvmeh38F00mI8B8+gD82lVRQVuR5VVx5UjgsV+Yg
tHuCn4b8otz6dhM+j318SVCHqnqSu3EeishdAqr1xut82cjW8s9DtWxvn+Hh5KnXmDbTvhmXrycI
neCk0m4pxFs7ToiT+NixnkpTKp52Q9xaphaoAA+2Cnen3jRk2YzJ/FafMJh+olsES5bI0UlvZUSV
9ky5n7sLxyLVtyYKYNDUA0NJifhnRMLqjObAG93/3AVfbSvsrfiCeQpMqxTWYvZ/8YwH5B7JaXU/
/xZCjklWKrk3YTkHDFnJtmHDfELyakUAET73mK8bti4ZkvckBoYLkMuaTcS71gu+49zQizsrVF8T
ZtolqajxtCche+uz03B4V/Cg5m2G+2sHMeb4HbIrD5I9p6ZBYfMEvDwYq0yQSjRi8aIc5hFBjiUd
LWpIDM9IcgePAYt+j8r7uZ2XfAtKuA7Qd2ncCGTwdnUzuh6eqR9w2U9fXCse8GWgQklROe1R7O9V
2QmxyuKi1S6PyorXDXvsTF9FBc3BFFf7Z1+PtBrEn27d7xZsW/Xn2LKy0Wy6F0sfxiOmW/T3jvOt
6LE56mMJ+kIyTGA7vMXYDuLP1NTnUmd/jMhXKDikCbpIPhjqXplmPBB7Mz2g8Ydjs51OI5VTvQUf
rHlN+qrwE09Dk8XXn9ZA+ORUf9S8ODVyGNsPfWn6WTQoXbGsjqqrwHVYPhlbh/aM1N5lHrGx5GDX
LlcOmJMxmL0jso8dg6V4XoMO0+mQsAa4QD+/1vf1riyp4cqyw3oLP96qSkGfizgzlV0pCq65zi1T
XdKqrDegsIHdNWqL+saPZBkqyJr01WfQyjtkoWa0kt3ZGLUKDFut0f2tFF0ChE5dtHrWsdKrK1Iv
4/iJkxsE9mcxwFE/9sWvxd4yxv0N9gfhQJlq0xGhzlzIivigt40AC/cHPWOUtIeu+q0HFS1STmZg
2cYM4SMaWbEu/SKpxcMIPoOfH0yRBceyoX3zqLzYurqeZ3cuulW0/9KWiu6ni/au85TZHybkj0gj
P0XsI7ZKlx7LgDQPfSPQgg5oGjmIUS82aI2vQ3j3O6L8Sstv9EX/i98+pLJUGAQMAO1H2ElBevA8
HxV9Depq7ehS2FvTDUElEc6qNXlPeeykRiPRjU+qhl96WP/8g1QlnPQ+BJ6Zo9rzrlxIG6LChJyz
OT+eL0G9K7qH8k7ar3Voc7TTtDKK/rRxXJK/BfAenHXXMpccxrW7i+/XYh5pPe8nH3tWU/9r1yDP
Q44oGpNIR03O+ZWknH0gfgpq7CCUje+UZ43hZV05hD8Kib2F9Mb4BKERKKm25a7OYyfmKAAptZXy
0662EclS6aRAZ6LnOWtHtQWdqFjqCRmwJT3Kx+Vqje5aAHfr2zKZfWek+khtPQ+jZt+MxMCaSive
PZh8vpjPs9kr5uxi+zXouhjR2WUpiah6ccqtzGftp+HcIo3aenfe409N1x/g4O/g+wGQaDXiy4U1
+WqED6SCVooUVB2rm5oJv522QRJIOW4dKxSu+Z4hURH43V8FJe/ek1g0ndrNmtQeACpneWTTW07q
VcrlXj/+D5p/Uu5t5MQTS5JV51RlQUcd8dN196DsOrUAUDGf1hhqClyRFA00YRW2UHYHXxRp1EvF
5NWaDAhuHe/DDG01t82rzrWHTTq1NnMQbRZ8jAYMpoJlBnyXpoBte48cdXmGqqxOD3sNTHA1e/I0
vY01g/MbWp/Mj1gD8V/flvQI2s5lF+GCZHALslmqabka59zA4h5r+NJsyp/YX+Qv9hTfE7A4W7gu
td3wWezYZiHb9FhwOqJf7YLsz74evY6yBYSkDPbYri1Z+264PmHL2lqwNbWOgXeLdohpUeYrrsBR
aVVbLXGCmAQSfy7Qu2mnuBkl85gLPo0qymL/W9ifWavh5IMKgSWJLt6nkqicek1BpdJnXx3DY4P+
r/qqeltOY/6ss6FsCu/LXvoQql/BXn9J3KAcw2sShRhHZMZaFkpTCLSB7rPeJPQhV0kyHB2XHErG
A2TAdyM8TIP1MC4P67xjQ3WBkjwaEb3jPTpkJdR5Fco4r6td3z0MUsqjW6oUA3BCTbSLCc2Vw6wJ
W0f/dX03xsAMlPSL6KWhGzl6v/+B7K48fY2gkn5LmHpWKDMsxoYIBqDhPG6EFV4IZrq8JXrQiGoC
s+ErsR7xNFvcsB9xn+BRa7ZIQrSQMJN9gArCLMtP7yUU/G3zMK7/g7GMhKezyxFn1g7bYwoOHnA9
cGpHCU2j5xps9SI35f5zB2fpwl+AtNvjzCeaGvWOrA+IsnWgMbThT8okAAlbyEjryOPMsMJI2FER
oTZDdbRzp1Y3IkHLX2a1P8bh5n8waFqD1iw9u6PblNeK9M/tagTGb9V6Y01atEwFy7TJD4KSIVaM
8F333XHPJ/KDXPMr8SOgxnhiHhAzgoknkSOXAR+TDH+0uo/Dh9LpJygbqn14p/JPIkSMzx0TKrQn
IZyzX0tHfD/VCFLAJR1maJZAeZi2lNt8LVfET367fwRdX8nrM361HXxfoiwsrZyyJjEZJsq6UBou
FmU0ireMDEAUpee+iOyBff6mK82HTCg+Mo4Mt5vOnxWescjxjqcj+8CSb4KJ69JQxwhfBfVXvSdV
CP2njX2Bw4fOVOhJJayAVjHDeKdgOMmDUb1feo9cTNIfMZSBBS4c2PiFYptlVu2fuFgG9ZIqR14d
eu/uiehSNMjvehgihlLTn4G01ztxVYJDUCXZjsAa1k5gQNUHERasyBtKJ9PI/v3YrLrhsc7NUzfD
hUCu+OP4CB00rlgP21K2R7EXVI2S/cSq5COdt9e1EYsCWE1RF8HXWsvSQX67av9XHMuprZ67Yaaj
/8vRtB8/y86XrJ+L/e2OSxCRiUFqnRvJhT7qzuB692z7dO0ZyK73QvFyNPf26RFG5E6OlG80xxCP
WYHve0h5Xu8uUOXezI+/nP1dwhm3GTwq6nSyyqesido2m1dMEEiuK92SVsKWyShduzZ1gKJBBXtd
x8wJTWNyLoyE06XlLIlpFJDivRcj7y7L8AoUQrHNCtCxnatlUeKlzU5NBRLQWlUrm/KHggrdAGM3
oGNeaETpEx+ydNdp134svEF8Xjm/VZiwJaK/atydlXLP+LVZvwWXWmD8KivHta9GWBQo/25jd2ww
9VMBiMopIt56Y5+zxT3i18T4YpUcdbgBOqwGmsUVHbzETUr0vi/Iki0rp5OH+zoFm9GDMOkyDCp2
XkRIWR44NZw25UZ5EGGEHg/7NGaCkjzZxdDpYX1XvahJy+o635+nqHy5vdxpQ94ukX5UBpX+4blB
YuIFiGzEiNJniwZ/pMvJeoHK/sZfvPAQbrXldnC2d/XhdbRtJgSAYZEQMkJ+tUwoR/0qmShjgdjg
psDlidP74/0v1TEBQgkx2F827dIr4uZHPstN1StJNXK2wszXwAPMGiiqUGrgnNWNmSICZmiSAvEx
yoc2F3LmW75eg7IfO+55zwhLzIVAuwp6vswB91xiz0yqzM/UpLwjPKLspfSQ4lVl9HDNTKgKzJMu
DmdeGR6EjyyGCsjbvvZboG04DkPN2uXu6v3yYo9CWwTh8mb9/mo1os1KVwYHFBi+Wad4UyZR+0l+
5pYGsJLyUapZJ7za9Z05h/f6yFKoTvJZnJHzxTwIdMIWKrhOB2Yg3GjGHv87QS5fAcfxwofUT44Q
OZqbE7hPozPTjdq0pf+moOl3L2IEKunSTGuTvBIjkGsx01mYYMiqZYBGIA2MB55RFXm8KeKNAurL
WU1QItdkzhiCLuVC2wttOr36Z3I95Ie2IU8a950gNp+z0QasWxpa6t1SvEWTKO9Nc6zckBCsb1E0
pISf/EEy+n8xnVvp3UEAOfuv/l5s5QeraKyIpIS1XfftqcgUhOo34THAmmMxYkl58Nnda2dkbGEx
8AhFJ45n1swNOOiza/S+bUWMvbMh3hbGL0e5dK52xC0uk+J7z5L8Y1yzGzBAOCG2yapd+bfARr7Y
jpafz3dUWNXk2afcX3oKcurG7VTnxY9NVing/JKMKVblMeGNTlaKocgaU9pRf4PSRkcMYnixivtf
zpGcO0YeYjJezGwPdkttuf7qIvo/tomn3tryD4kfPkY+KF1/mnfRd5PvtFNTtQRsqDnX7KR2LMkS
JBmzZfIarju+F2k4dOCsLaBdTP22ixi4WylDlKm7POJ19ibQ4Qy/NsliBacDDo3WjWbYY4S+SbEp
3lg4crOqzyR9PG1a5nNMF2SwG6wzjfyELjOI/nQgQWsFM47aiNPXh/QmmRBf2cubLXAkc/VsOA/7
I6LhX7jkwbIROZwiXB65E35uJleB0iP/ipwwMRP++yj2Yhl93S4KJFfTQJif2P96BMzN0Wka8tOo
QjNYFu1Q38xzn+Un1BWC3YuKxYCEL00JpwPHDEhzvetiruyPNJ3W7USL/KTktG1sHYNPPJ33pERs
3Y2jCTP24G5WA+cLM4qJFkii7qy0eri98+FPV5ILrbxnKudQJ8LRAhl5FYI0PDOFKjbAIG7HzZST
jYc1fgACF12mcnawLn2SZKr+UgqDGF0ZHjDtnmSkXpshrmOW8lfN+/SjT2a//5h8SEFR+aTHiVP6
RjO8Io3ts6IDtefUz0dPLcOpM6JSyFZNgv2N684kSsrMiEvGr7qOTct1SXLiXu4byFvDRBeSxeLt
xHFAp/ULaJtCEvUFIogwWP8QpvpS6+FIfKUzTklbRJ0M9u30EQPV3fh7U3bbfnDck+FyggqKPiLJ
l8H3bQzKhe9AExU+WpjvyicxIj2N/u1bZ7iMBSX14P2Eq3F4fj2bsXzG2bpmMs2DJkMWLI5lRxBH
g8qapzqcAAMgP9+g/GOBPnM2yViB3dUxhvmzBJ/aGV6nb61APoKLgv+VTgCnWUf5qxaUrUBw4/Qv
kNnIr5WhKOgEz/GZWwZtLCnH2EwR9NuPEKFEr54dbgiz5Qsb095kl+/FTzEz2dK7l4kVWshedmv7
t8WQFMgAIARzFW9zQMatI7QpchTK4fTO+tpFr22q3NgLShVjFGlJg2vpCM//FbDhcCcUsBSSsndD
h4Zlzj0f42Zr6CGFkWZhE9kU0FUY1dVgdY2DOfNArOsa4+xp3M4nuZxqd0pnb2DWcUPmz8UHmzjq
1yBx4jeiC/96oLv006fpLyMTIcczkKP2lTU4hfVkEbQgSArHf0nVnwpLH8cv+6szexEILW2AgHVb
PqJdv+nRulxmknNr5DSbJxEhz5CASbRw5ZANphHFyczsSxGZXVFbTmXNUuKPdGBF03gS/1dE+cE1
Qzdta6fC+GQuBqAEN2gHWXVYlCGl632JEQqVUIp3pFvqx7KikGW0tAGiyJGJ2nMKBsMI09mNqc/O
T6RLcJBXJoRfXdtA0CrH2OmQ1PeHVPa7aHh/+UUyl1Pfh/SpbdyGJFUHFmGQtkn3EdTXGNtRE2Ka
+MudChH2Q2haQQ1ASETq79/YiSKGvZx0OgpsA6+9/RGeyy2LhWpDc9aWb3evyXelb5vlnZJOt2HH
+neBo0o9njwFn/SeQi8hY6oH4YeD+uLBlKmpoBPgD/Ql1Cr2RQEMJVY88+dSwbclGKXgHrj4I7jM
yRdJKy4Jr4zt0LSjyidqQOJUkJ1Z9LzxzBEbYSbjQJxNStpi405rDuTn6IYgCfj5Q0LHbNjU3zf0
OKRzcn7oqgDvTqNCSDVfZiisLnmokaZSZoAdgl8cImPVj/P58zuQc7NfsY5iUSifHxYpb2j3eiRf
qTHDRlbZVrRTruN1zXdrTIfp7F0Z33rnyAVS/pE39JYjnqj6WKsyGTxqFRuDde3nqyJdLMmTT7FT
vnsQip91EweHZMTR2Aj/vXlqGA4RExsTVfe16jWQ0tFDLIz5SbZ3c5pUHwmwPatW3KnW8i1VF5Am
cPWDSW0GYTueugl5VAtQUJDP9IGzo080XbjS25jBg8J7x6Jxovbjy4rsSm55YyE7lpmhe/6Q+uKk
wsfZzKZz3A3GRVf8hyTP+xyoRl/jJYTluwU6oxRlJ0l6WS05xjoS5fBUzWxr/R0BTdi0/rj6wSel
CEj/lx/NrMc2z9SjBZvUAFL2vzpbT7YITZpVhxUm/UDJYpLh1w+ymqw94H1pxEH+p6CC3giK4aei
cSw9fPXVzMDX9HEYsBkWJOT7nDnX49dIl1NfWB52TGUf2xIaJ+V3HR8qvaibaareo3KhIwLSl0vO
p7i3NPXP2rr15joBMhPHCoDUfa6+sZjxGM0wUZg8EUSddy0LFN3n4NiDP2ULQXK51596qXuWaqKd
sk/AsJJS8vIxNqRzSYe2Eq0XexZV3+B04ZNy2L2u8MOua3DjNuZPl8/4P5egzV5glTQAQY7T9WGU
Rg74juQrckklmhhKWTMsviwWfGo5569a45LdYTCw0zOiDz8dzvR83vle2xrSYlhbthWxnQdNEi5s
xEzdZz5TKbfkn3joWI75X96I8kFNyippBf707RBkKtpspvpMytMQodyoQUQ6JIdAgeZ6IgKPNelt
uOryn89KuF5eBz3XAewb4Ia5QjV1ItnCe//Eu9y9T2RNrDtGeNlXLWv3iQ7A2Y1YjRhnsaEfDkJV
0Zt6m79Y7kaLbXHzI0o5HTQhMDWGPJq9CUzKiGssM6a+BXgtUtjUF3f8UcXjM5vywYXu1il7UZMt
nWTi/lOYT5Zi7t/bajnwm2VndQRJVtneCKGocCqT4TwzddGQuQhJbQpqwgV8tweiWSApPxfwimrf
oQS7U+kaSHK5XPl7flKuuiAkhipPzzUttpRE/YIdEYGZ2+H2yUtXRt0F3iTrfNCx0OnA2+iiKEX/
CjZdVhqamtVspzvD+l+uUiKJPzBV+ttbVpPEf7Sd0R4OBgtf8YnL28w+KjganLMjwaPO+9Q33Op0
4YHcbsvez6zfgibaAyw71APO5/ofYHhLnrHxnhUBGbwgV/bL0W+jH3SZasajUP3vXxINSHskFT/h
QOMSv9s2/UUSN6eyjaQ85g7W0X+HIGJDVsHFxeJ+NhlDUEIKVyz/aXZ4dlygMByBfNz9MxDZiWcS
N/rGpCqS97uRLbGr+1dKOo/SeqRbMJc6y3wMJ13y7LIh0zC9FVkf7P3AeqFpFFXgn9ecIEWI7qDy
Xhd71ff/20kRjynT5y8bb8pxFJ94lwbF4XA3200eZza94r4owor8e1v3JJSXycznUEN7D8+8WA8x
YcL18c8QJeWQvx/FRrhAfZaMJ5QWggMzCA76XkX0+YN/HBNAFXOHfwCNAIwl51AcwZ0GS4gZ1k3f
JsGMRqyzTI2mfzSi2jLSVRIOS+1PKe6x8FpT5jWwE2192w2nWaDQ2YI78VGJJoueTspA9OuCbZPb
K9S9Tt3xajfIqf2+9s6y6jCB9rM1TuETh6ib+3LX3tONa1u5mpCXUl3jkOLx9vZmHCAShy25ZjhA
yznGNjQ85GhoJzCUvnRDrOecPFn4U+ATTDCTxkfeKGtmzsfdiLHmcV9fgxToAvOrfonpq1jbMxp9
9/uuETuyQAnL0Huv/CnNcrqv0ulpLPi9auWmAasL3LkjTAYXPirJngO21llMczchXoOzN0nyoRKv
qfaRt9uLpMRB3nhXG99yJXM/9kUwlWG4rAbKtT68/WX3jeE5ojExVbrwCQZpkRSDnLojNTeWZKa3
iduhHddGtlcdK2jXSXqUjHLs573f6rp7RO75AoNvXIujBy0349Ed7wLx7Y57o2CTp1DxZzmm5M9N
Dgb6MXZAE3IoEgQri1d2dmQbf/P0KDDQmLNSBKaZaJ3IV8l0UZs1oOHhLiB5xQoI7SaKqFF8KYp0
f674JwH+DdRmUXnQkV4lktuPCTmKAZQ/iIRNvnHYk57vMz9/l9+SX0iob0z0NgUOEHmJeNiHRscI
2ISiP5c/U5RaIatqzFIy9VLuDZh9QHsnC6MyxUjfysVI44grroIqt2wguE+Ya8xnOtv65Q9V7QQJ
V9qsT97lZcoPuCI6908SyhgepVCV4l/QlPRN2PCgSZEHaghmNamhMEK900fqnNwUZ5RsE9gWcyz1
IooPfct4JYAk07VfOo9q4Yis6pTikCiZr4u9ZDSIEZV2i+ygZ2mthABItW5tBUevdHWbLLs7DAsj
V6voMs3HIO9ao4Lc2fP++drLwpaW3sBNy1hXlNAKJUrNg0AZEtDaRdzihDRphZSt7EFIfLLo4ewM
lkIbNDXUD5ocrmmINYu+VRgyihsAhXjDrx6/6azwKPVt4N3iJGXfeuHmJMAo8+0d8FJF1a9hn7Sz
wRFwNvrS0syKHLv1e1tEjs9mi7mFpw01A6POAJ+3gyCdEO69r5yLovKMjRA7lOlAByTdSb00Sf9W
nDwKCvviCwwkUy3/HuCUJyWUFuRVnSy3PR9IYu/TYtrzudlFTu50O+ELSVNANGbQGJxvjB6E5XDo
ffin8JzYm1lmDUE/ejzSh3pYk5cV22KeMnvqjTtpxS5ooBn8JxNUKhZW81blowxj8zT0nf5dXreA
MQh6lqBn5VfQgxksLQ/e8nE9K1d7/qKRe/hNAj2SwMafQkwxgsk8rzLaHD78NdBxKQ/NiclkWDm5
2AdZGA+4nt+VRhgpMpwiZl0xVO9Sri1rsgteNSuO1ONmX07VE/3PQAkjNIBG0nrm1Liz4tHIVuBN
TU84NzdbkhSjDiiyBdn5bNK4jWoKWLT/xZhA1cH/Y/Iq2nVjTp1CIWTYtDk+Tpv7QaU1c5rLaTYb
USL1+xNpY4ES8R/hEKwpe/1K0ansCMrCau+vLFbTY+MrGC1srO0WuIvvDvzxXAx9VW4ljxqDKi+0
hvwMDGZYLTKrC7stiErVYnv4MG198NG7x6f5I0+1ZX6DN4DMLgV1zno3LPKYi1WIhi7cPomPMC4j
shwdzTzjyx/95ZroLdUrMEUX5rMZ4F0uJoDKP1Ls8LXxdOlDJLWMPy8uaIXFcY5tT4+W9fOeus4r
aaxUa5d98UrYk1XAmOtUfyB5bk/Sa4TXNRfnhFHepOwrLAfZbAtpvUWdGx12lu5k04YBPRiLlU+9
15bZr2t+W0vzFMSY1aYa0Ww5tq8tdasU5KHgyfnEpiZ8+OJUTnNzLbcMJ6/3CO2f1u0Pb74XnNsY
vCSXPA4UDgblXEcgXdah3xN7XG3fFoI5A+57u2sAj+NEC485JtmPGUTiiT/84rRoEMEEqQJoZDgQ
0m2vMYnJ4qyhnmwBrZJTlocbu0U1yY7xz7taslEmXlFUwFIxrnafMZA+4HxrGIG4zC/qRsorG58y
ebzfFcX0beJbbgPWvReyDF4zZnTyel4UMS6SnDcvFMH27r5I7h7kGkt68IYe6rFX+lGhS0xw7i0B
8YKQTyQb1zDt3L5j0LirVFbzWK+umCtYCnksn8tDjnaecGPDSw+t6MrkhECyiUNlzkt+17nPGQDd
M+sLf8xtPG4rnib7EzpcFizAEWTbmsDqt6YH8skMUhq7+dFh6KTJevNuHV+7Yjc2xEXt6icPg0+n
4+w6WH/gZf7DNrRK8sY3n3CWmMLxbxnLCxkE6/Tm6QEW00Y3k1eVl5ElXiDixRy1PlD5gURXHsIn
U6IkG/Js6NP5jbbpt4OouemBXcAkh+Ho6CmdhKP7Sbfg0dIjOdjKi5rgjYeIMwAUOHgoxYwa1zRQ
kXqi+rF9tpjCsanAtIyLwYQ2POb2uFcohuzdZJ2TaCXfpFpfBtSEZC4X0ybdiPrZhV57tozGwBN6
fYIn0jQpRsf9TSCJr2aRFqzXvZnMiEtN8fGSj846Xnuh+Vv3hQmoXpdtP/3SckzngfAnY+EVnF4d
urq4Y6edIexXMxaFSyMubLYf07arJksCOjm+NhWpaZHdSyRpe1ZRC5pX0y45DYxpjjcuLNJZt2A7
XyujDNEt+7iFbFRwXr2UPrgw0K0SDyxshZSBOVcUDZpqhdnysa7lW4N3BEkKUgMd9s3Hnx7irS6n
+BAeeKqT4OW7YY6/5hNB87fnH0WtWBawCaSgYAY2iR0N6qENdC3w60KGPsKnZeKMwbbmT5nb0J1L
XZaSZDfWbdRgyMw0Uu6DvLbsFCjWHYdrA14izpqvSgVx44BAJPkMVkh8qs7wb5/kzm1Qoz0YHWoA
G1Oep6RWnSvW+fCnNFDp+jzJNenbsz4K1QvdjDosSgY2o/v5fvZBx1dQ6OD1clgT/V5qQimagLgH
FBFvvILco0O1CNaaWyKIRrtYhQXKjB8vOokEbYMZHCEQTO8YE0mBjbuYuxNd2o+DyM1TcYTZg6CP
gnxjZM7miWMCzS4UU4ThwpUbDPrq3DytnVtPFuzNFmMWO9zsHKAR60gp0ygh+LUb9XulpqR6xs6S
xHPu9rDcHhZASkZ/zcRsloKrqAQH+snd2H3xjuhYnG0WO+NEtAxycUabae5xW5rD4TKLhNobMcji
UTqEB+U0kjJRNLdOdliKrg1VFKKixKaztD1Z11qAQFoPuIgT3GHEQ0DVTVMFsMl3wcnQJ5fThgXL
s8cykZajHtmN4BFXTDUmmqmqYrP7XF5jh7ps60yQA7psaccvSUWCbrJaTWDPv8gYAwmiuK5Dj+K4
gn5Cv/F0k1pgl2cQfQsRcMryk69butcwRespylEdCS17B/WonllE3fxFSFSRhaV4jtI91x9apbCN
AuO0X2MFU4T+6OkXMEfcDPVg65WKzvMVDEZ2/6sJP7/MRGn4MlqBmfSqw3eswr8/6H3DXDXjph18
QHaMALVJN7eNgbRNORWTdCJp5Ol+hsG4fDWTCzqxZjdLtC7pE0tAv6Wsky6j/8H8zcQCs6nfIl6e
Ld679zJOOS20ho4rUjDkq2TGpCjw0Ey8OzPPe521aagbtr9lBfpAaCHGfhPvgJQs2dvOwp1yMlt2
r/Bp3kwqrJo7k7BITgvj1DbJcIdV25HO/RDhNHjN3mxyxBpGsX+BJPAthtK5oPOIUeZ8A/kkCsgs
xMGsUGcg9dnBC/Z5YdRhjeMcK/j0uFGi7UGZF9tcY/9qgpxHfjddeVK6fVxN+v31VGxGZTnrdNbJ
vJemqGZIkyP8Hs1PmXZ8msKAOrgIi6h8Q9ajrQAWuV3mOxEyEYABv0TFRi+5DOmGh3cWS12qMxGC
qsttEzye/hTs4c/UG/2y7kTj+nYDiNeBX71ia3KlTf4LPmqT8XaohiW+zRT/ujGm0bNpton+Zg/i
HC/cUxH2qj35jr16o1J/pOS7b5OYEvIJtZBh0fNCiTIhhTX9I6XQC2vyLWalAJY6PZxhplAMgYLV
7BD2gzj2R5DnVShhmBWcntm//lZUPDpmwuqj27mZm6mzByT179Gb9UsLwho4qACd63K0iVn+pQOq
uilLK+yH9IGq4kJBMzuTLTJXRRbyFAeXcS2EqR7w/OZ4VYYKgs1fAu3DisOdmkt/TELCROqWiosp
DYqey67BLC0luB0+Xc5fzIEzQ/aIW2pkbsf/a9U4Le+3VXgoMF8DWeuyAooqLNCx/XN0yL6UpV3g
P3TRtYs3u+NCik0Kpdt7tRRo5ILueHGD01uUVLuU1gfuJrersyRsnKYMuIes6Dl5u00aU20Rv7Ja
OdSakzMUeMFHgYY4MHqbWF3Xk5FXJMC1BcFTF4oFeYvVIET52HTdWyOn2HOvgElRXMyym2rXaxZ2
NOGfAMDnJTXsX1x59lo2VRfCXKgwLwjwtqtfGEv/qLHtP5ohkFz2rWd/u6IDfCj50fHak0l9EhXW
EN1WlWL2DcuIB6poFZKjKBhj0s5BY7jUGFd1q3G1s+cmmf0rHcanInuYDlFay+IgZP+drzS78ran
YdxEJm56aoGTLCFW+qL68aoffnt2Y3OO3n12ceVtEkIx78pYW928YfVNabk42XroZtyBZe0JPqhG
82WChJP4HNP6cGVrUZeiUJHoNbZhIRfqdm6SISqsLE2BjhI38KkX2db0CLu0YXOEJiXDr8cJokI1
X8OzQUN0f4PBVHneOl+8gtJrcq/cI7Z6rZkTb18DgICKrEmUzOMrFRP4hWKijCv/P44sQnNGwoD0
2vhGOwKSjD25P0jD/WhQgNeM9vefkcP9hl/XcJ4dj6IxEft1ZyLqZwECxB9mDi33Rr1B1q4zFr6n
fv1XwWZpGi6PDuCFLG23jyCLhh2Ao7ctegS34tZzqCRzK+exiLQEVCyGJAqWUJPTMNh7v0CpUOS8
gMhvrU6DCTe086ggmtSZsQRjWe1A7IiFfzAT5mFSXTdSbaGdJKQlREmRXPzPg1JjgZqscyz3M91a
9b9b9670JczMW49uIczcHl4rRy8Prwz7XxRyVPcjipmFyQGMkkGUc9c+h8wpvsGOtH9K/IkT9a2p
eGn5S+CAb1e+mLF4v2O2tIwVvwhzNIGyTqox6K89Whp3fHs+09q3F4/343jhurDJK735eO87bYz/
I5gOESd1g+Yd9Cqnhegf3wKW20e+rg023SLzX0qfVH34e/Ihn85R1C4WU8zTbq0tKVbso6tnnEN/
yzQaepcF/9ikeZz0fonvOLjVawLHMhp5SFFerokh0yBgvzNDuPMolHZQqT8VCP7ewqexeqpEY8xq
SuRkbB7L3DoPfLQC5dv/oUQTghjM4FSCl7+IAjXJzb7PHtr/qu6xsnhr6uF20edbQPZ48tRKgs4v
QxjaANBY47N5OCIFepLvAqLB+BERCzY07ni2qr+rWVLrhRIfXmXzKx8l0Oy/G099MqE2gXxTL1pP
ZiILn5m/tXORk1hKlQ9JMdMLP5HMSjcJC5qcLgANYJwK3wpeHlzHXUbEwc63axsw3Smg+TsdesyI
9hCtxV90KJSde5zSKwLEgH4rr2du9YQuLugq6UAcWkBUQHqepio21ugAISIBqohyLHG7AOWItbuK
OFl0Cuc6JYaUzl8NrHBe5JMfJybGWQ8PtDthq8qRNdZJZsSbYo4DFSOcm/AAI2QfT6mdqNARsAbu
SIP55NeH4gI8pyhuKQc82f33mMnd5lY5ppqH1NZ2bOlOJqUSehwCgE0yRvTbWuLZgF9OJ2eBdB2Z
iHs8Skp3zdJIoydp0ZAp84fi1BjpVZia72QdbDP6hayEH4cAhGmkYg8gy1R+MMiW5UrxfZLGh66z
S9nc7rKSFRTXt2goU0pXG0R963TNamDS5/kJs1kr+zMEEvZ5NUAmxUEbjPfcSYCIAC00zEFN0SwK
7aM11Y4cLXR8/4GDUVaBTLoGl9KoVQpum8VtN+tLFf0xh6KLOOFcZn1uTYHL4ZzEbO8fDQs6Ijk9
5MygdhKtkjBHPhQnxTgcP92JdMPBKDlE7Bmh3Evt1tGb+zpdWlt5iSv3470gMxPavrAImmU8nMWw
vz0bGQmpPoCv1uqoh+6Vq8AEr8wYvTUhQwFqlu+HNc3Ju+5mUaTyno3mWMcjF/cZxbwwjuFU/smS
oCRPZ67hVJJnmwN0xD9z1MB7JnQaw8dik0MX9h/miqPXrGTeb9EY1om5RzTTBQHS8JBZOhrbNV3C
q0VWWwCkyX6ywzeuluxM5CRqLiJKmel39CLClHBsuyG2lUlrWjKpjvKWP/qEpYk/H0e8Mq1c+uBm
0+d8v5S9r0nhaBNzbG/l0BJaCTRKYnO4mPqx2NML9xVsLRPwZ+KNNPKbptt5CP/jOnLP+tRCN8BV
EsQ2BX8qdqH1xw0IuUqWZO9qd1CQf2C7CaQMcjKhatD4QpYWxE7H+Qkt+Cmm9alb77OS24APRee9
ybG5Mu4ekHKpRTtUUcGUB5D3Jik14wZm5qxALcle53Z+AbI9N54TWSoVvAAdbkyynEL5CQQ7izBG
5buvrt05O26xQe7QUBmKV4cRQ9S831zbBJ2w/nAibYBc5sYmKyN5elagnz8ifOISWNHT3YaxRBvm
71TW/JfpRUeaFik+6hn/IgW2BATlNREG6+djWV7JqqmkjCFbFj8opXKVtdEokkLD/DR+UusbzSrF
dpzi9ivcUd4zEZBtIOZMn8gQu3RqXg2ZydiESuDpDlUQpXW2i2cebV1pW3TvA//wVinlyRqR4tA7
4w3OqhkkSoF6NyFq5GUbLETOxyBiP7GkQ61nptYfjTQ6A3T2/3EenLzzqlMrSX3yi/2OW0LZtoBq
Epi9gpWpdMiThnrt2utMDmwZldZ/GveOqyUAsTR7W95/HE4vJnpjKGuxz8/YqXfzwlZkHY887Zxk
e2VoEnPuTg9lcJAskoYAXgg2WtMVnwZoLoFPz+FQJfJhKPiqdlOTHwXX58jN27AWR880T4Gu2ziE
iQBpdb57nq8CI8vrvdUhsmvcy0lIJ5DyKt0+vYDAaW9IzjQwG8ZjxGojCwqD7od5Pkw84RytdOFZ
vE8btCm6dsFNc5EQEDEoy15LMOH4qGEi1EZNjHczG4/1+kES2Ix8/YRGRYQ4G2BuTJfIJ1m9m7f9
/ZTC9UhnvFt12xjCeQ6Om1aznrFbjXqvldxh5xIQmppmSwF5/L8X8vZHJuEMhO6ggz13r181Ewi5
i9WA5GioX9omQWcMQ5zEAET2Eii55uf919evByH+JtrMzbljKxoj0v77GqntE7j0ZohZkXOGWdFq
tbAfkgTdbdh2YIiHSGaEHLvXkubySRhLroI1wSwI+7fOJpIw34/PN+2ivk4Z10SnseuAiKdLom6M
Uh5l3aPhidSJkEmwmaxbdGTJOQ5QtdfhVug3rtuwsplxTUnS2/Lw5roMhAkL+0DiQ95a8mt4wt5V
9uBZKLtIUO45fGRNli+LPdSCpVl5XcTTvQ6uRbpxE/zlxyoJ6EaVi6NdGsPOYYM8v3GJLWIhF2nA
fKATi599/HP+vIJm5Bjr0EGKphC12udj+aii5rg/c4UllwFd+7iUx9LNn9H70aEoX7U4YtrYcCe8
eyBmSRcCY9cyyIHpdlvJK1I3tfddNqe0EyQDq7cCnKpN3zDSPDk3cmn9lkF4FcSqudsezl7H2RoI
3eAlz2H5TrOtVZvee7ACmfaJY0ePAJBGO6e2gLwMk+9NuvW4nXh2cV90d5uQ/VQ00OBjEtnvsQy8
sLExJrGdZmoV0xYRJb1ygbLMtIOVCXmQbT1wldG/H3pPyswC0tPfxBipU+8Ezeac5Eda7sBlCTfJ
IkyMBQO48JS3717UdxptcWVYTPE5N+dFZZMI5oPrgCDkhtzVMdSnbIcBk0bGN2q/WHwr0/09BfGT
epC3BE+wIwXXVcZIrlKSHTjFVUddQnE9/1oolIIH/OAiQUQHbachj6S9fJNiZuDPfBwMPKDYm1Qd
ed16Bt52sDIMQONONG8nOxKIHpK8WNZkL6hCNWKGbtqXF2cBz4DW7lxpUmFFkvD5q1DotvpN73C3
55DPRTtKmulVV9BZR+myAwy2EJJkrONXQOVEVLDU23FAsCcRaDPwmn8l9oVxN76EqEpfeFoPE1K9
flGGsA2PNXdGqv8BXf2qWBZ+ngjnJBUAWE8OlUrvfy9WkG7wLOhx+rqBVbzjd1cm2gvk4tUO9wER
RuUgGCEKGoBuk6oiAblVHbnwzGmtN30HhicF7X86U3qNjvW7nVVOgPxRkl++6MjgxGbp7Ppmj58M
5YORyx4CiQPSDV2uE7Qvgn4fe4lSs4J9ODHUt7V7Zc5/3hPSZy+s7ICt5d5mTZOeGg5fWUzu0ecy
hZ0spBBu1a5JW1jPPcDJIOarb0fEWL9KkVVpa5BMcC1GLRtZ4tQYIAyrPWF2h9tsRpIUYmethiuI
AjiCOvy40l0kPS1T7ilcD0O+2XxwOnYTbrhc2PgXz9tLcJ9e5fKuHYWWUGuMznK24rFLF8YB5ymh
DlHYYYdktrzqQCvnbN75O6hdSOkkWb3XLJHJJqxgyOx9DF9wVwsnGyyoxLCk6CUmU/853PFSQfPo
pkbyUVzHG1clyV2E1TEm7Bae5XHkS3JHtARkiGvMcsKb4mUQ4RprDg1JBDUS1DJQsm4YwlmO9rG8
Oy9SamLhnxj/vV6CVY5PDSPB4r5nsDkkpMxQIIbWrJ8NBpYs7dE3zR5JwRblIC0Y7QPizIm5JS3m
VTlNcA7l5n44ijUqWru8oUraszU41Uw3zI9A/fPKIoOB+LBV178BPku9o8SLQ4FgZe589HU6RTw+
qkJoMDzFOhFzNMPwvHpnErpMMNRLiBPRZVpcPQmIUWOvWqCjso28+XXQd+L6/5XyXT1vHoXe6I2I
/4/pkiNe1fjJQ3ER3LKsobJ6D1gnnlTCrcxULnphUaii6W1X+wxK24e3TT1gOCqko3UUfWu9Xr0E
n9LtY8h4hgqH7lMaApOU2kT+Bn5Y3aFZUUIf8jeKh1CMdG/Fx/XhDoOHLv38opSOLatdeGRmtCkh
giX71YPCN9kMefoxugsS7Mexcgg+UpY0HnDcEVIWcWBdtTUUOVFMpEV2fl/CvWoBGkRmEXL8QFNs
+zZ1IcWTx+gtx/oblvyG3EYDbs3h8BWVA3rQyOxuKOU9j+TmUNZr9aA6FfEoBPJ9hdrEGM01nLjJ
rnJJ84/ApnViaDmz3vPT2SKMl8Mtxi4AmeShidtGCQ2pY0lDZsPW6K02xLpaB9wiST1QYUANsm72
oto6lrj/1PfcYqUOoi55fpttZ1RRlMuBmZepPzczFBtjPcD5EY3z39se4BGlmWBs62s3+b1Y32yN
Bx/SOELaWueYEvhviSWBR4ktVilpHbIxEYS2AJjT50/rtf551NfxkOgz/HKy41IsmtxXWRLNennB
SX6rEjo805adKLx8+i0NluzP552A8kw7HrI9IAr8rt6ibjC/R5xtjj4raY9mX4ntaYnJ4U6AaXkX
UBoKdktFesp/6/8A0WPgFkxu0/WK8B58NdDcyk+/I0Brdtx2GMtSYjXX25uE6x1Dz75fK0d7jUSn
gAS6yaK4TzXhxs0ribAASSdYwabXhst/chYB/u0pjI9J3GBWBwRVIAu9QjhqaKNidNo+MKHl9Bhd
8YmXUN1B37r7FD6xBeic72Zbc2HaoKIyr8o8KvbT7hMWBSYKOsB1br9ZZa97Tzw+FmewRhRr8XW3
RwP4RyvQeROjtnTOE2plukgNotdgNn5+LAAtVLYtJhLWGB/qvlE+ZTyllkT0/G+VoNu2Ll7GqmT0
wjsrq/JiB3kb+bQgFSkYdY+Nq54lLcm8YumnmuROQldxoN9QKvPbgdI3CAG63uP0XEaGWfPhQlse
nTECwdlgWy7RtaeoOohIiHEgiXcZP4sEkQYyRT8qG9nhanyifNB2cSfVc2nFUdGoWykWXRL5NZm2
UzgCMpj1a2fDOsVj+M6cPMSe8dHKoQx8xveWpNBTHMZ3g0HtanxxmhCHuMa/fLd/0Iwyl4HugoOn
s0z778sgMi4kwjeGdYwlY0xjn4/VH+Hjzu7Kang2qoXmJC1cBb95xzSWwzfKb2RfR1tXCkDg/n7W
Mw1JcNJXhHTGv58PQI32Unn4KEbbQRUlHk2fc7PgJtKMJtCxxtVuYFk8VgtXxQXM55r0XDh4BqB9
VbODUwuQBhyrgdNhCOlguTz3zCdQ7KMOwoEijIkQaiJuVCZdFsGRCqc044v/ATpuv0Koec0pTm//
/xeb19Q0Wd4Y9GXQdMnSUnWERt4/zyWTmhmKxDXHssozJv2Di1SekE97H0aQ5h0FLlriUfxCYa7a
tYJAQ7WNEDuzFK7kPolcxXckwfHAfbi/yJ+ufiQFjq1oG7TxUjQqfdFLm9TKdhBLuGmah8yzsP3z
Nyb382Mh9FxMgd7hEgfIKuJSXl8oXDeEyrYXwxhCrQeJGlCKqst+jt+S61WPsOVPjHr5lUtmTTW8
zUhl2T9tbrmaR3IDD3+lptxHEm+9Uf+tJU4UpJt3wOb0O1GZ0tItFhCcXSXOVJwsU97RvIRQtnXw
XjTzsWRmI2vIsSpEauL5XpW65ZaoezE/tI3z/e24QjXUR4fb9uBd5JJRm+gm2kDkrQrRT28t/wVo
PTavPlh2aGasviC5ZCPO+MX+Rv60MgqkyN0f656s/i7pRsX+PdS9U7GkpPrtGT11QwEyzbNOIgWO
c+g9pALp67dmE6ZdmqPpYvvjwCGwV6L9QEYYEGgHPEJhPfw/S9jh8S2cYsBjv6QKiUTAYfeO2r7o
bmWMVkrepBC7I6go3VbtZvC3IxTaB1SBgonwRW3N9y3t4pd5mVKpNsEWIVwlSTVBrn8e6CdoLZh/
5vjEvI/+ZabCsg2yqsDgi/+pA+SfOApwMro67xkEcMxTJ9VJe8c3wwayy6ytQxL+6GNCoKJn92um
KtzCTYE7lDGQIQXDFLrEqkmud/L9eUvVVfK4yN+XkS9KcVhakdIFROTqtye0AiUDTxOkCnfnyghV
JmrF+WXZ13eJ3OkRy4MB8HePSEqjYEfiq62KwNLQXYdjkPgi6CAS1wMYGa3e56xzwGFmY//RP+5p
tdPP9YMSZH8kqcp3bnbItMvca1Ze+fECHzr6xzDAfLVpb6446aiswqY9M9E4kn8Pq36m0aHoQ8MM
EcOGGnbMtWb4lltrh3qFeHIZkJETI5xQHREHkoGoAk7CtufZi4ywM3EbmR89Z6HIpKwuV1XY+XzL
kQT1sH9XYrzaXnLUv079RD3k8WpJHdfsUR53XUfGKzKt9LX5916/xAHcxSCkTVqVHv5JxQ+4z/ux
1i1tnL5UmcqSmT0f8S56yc8Fq00LxaWUGiTwQOKqBumA3T2f9MlutDnywiKgafGXXJ+wA5Wzk3Fj
QKe4fRUVXnBle4i4F/086KKBY3YzMKfj4EVfgHEcWj5mEkZ+lG2qTCAdc/nC0S9q6iUHkoz2rCHg
LKpnzTgktNimdNt6kdncbxdCsh/dMayht8D+bg2YqERZw80bV/fhtzaQunHm5ePM8mF4aRHj5W7r
9y6UypYC6zwP/m1lt3FnRNl2zcUnWjNIxZxZGkZUR3r2qpF61coLtxbXb7AgMiBL48zsen57GyLF
PhkCARuGOccwpAuKpsF7wYYe5Q2zwaiacEAD/PzRLw0wG4mIVm3KsE6PR1q7QBOI7dDcgfniD6uG
NcvvsV0rzJ3EpIufhp8A/LYt9Lhd/BCn+3PwAeuRas9Dx6AnhDVjL2NT6kWdHOL3Ss/avwoIug4z
iHyXArU+FMsTBDcXISe1uuuK/E7+BMfOGdairSdUrZMuJPgZLYi3A4Ttmz7r4OKjBf+7uDc4SBkx
DnXLi4N0lx0nwVSaA5Nxe0Kl0OjRAz8ZtvQCTtzwIh5Ohxm6zgdJ+EM12XKxARK58xi9uioNFD3g
ejrqru2FJDqKN0CWJt7gpS0SK4CGqhnEtVYkSCtw4IsZyvt8tTI2Mu+cZfBmi8aqqrbKHA4l8KBB
HMP4FWUxB6ORCB7E1tRIr2+iY+yenfm9P3WtTY/8R6whk8NBRDwt9Xi6R+PNg6Gdj1Wb5axexopj
lnoh+XiUtztWqK/YF+JT5Fpi5NPDHR8yLoO+Wh/c0lCj15j5OCMtyWXNkYxwIKRtsK4JBdEkRmTn
S2uo1QbP4yzOq2Acozt3uHQQEP7Q66rE4AllZjMw8S6ne3HnOVQ0kSJaRTpKlGqX+PVT+juRR4bH
XbhHfw5q3S81bBoMurnE8sqDuHTqRIPkNUwF4786AfuTMf11s2t3S9BTzG3dlSEe+d/UupGFKAor
2DsdReKQ8GVFZxDgLbrkG1EPj7FrJOJ+lF4M4GzOJnHRmSzCLS5CkxmZGFk/1Gda0XEdfhaJGWIc
4ChEmYVmyLaCZ5ISdsKf59wA3jE4YLnBiQdRwd1TrFnZlQ/MBYSc3FNcScKVqvnaNc5FYKpzwhcG
dI3NRYBvG9KRVt8fuSOy7FHzDTlRjzsaAU9dcEsp6W2uv0BU4Jbm0uRSr3R/6rUZsAlI60Pt2adv
KcYAjUvkrpcy4JCHH1bOU0b4FLooZ9Agj0fm2sTpWxlnUEdu2q/ph6urgIDnvhLljV2vdhuY7gzT
5zJZGfA4XNmV3w1FRIVE/B1E/1TE3dkvOVlK7dLXPSL9yhqnHOFn94dkVCuzSt+ibQZ2Gy9WecQu
5EspQ+fD3v7tn+Jd2xWZv2mfeBAV9jfVaXe0WsyvbCu1iCsNYzin35GXTqsFz3WTafygz2cuot2c
l6/MPNShsZ0weDMu43g9zONwLdLSSYCD8MY6QJUlIlKwB7aaQ+L4fb5s+P0Mks1TsHR2DPNeLxh4
qzRFFZrduIWHUOU/m+/BTVSEANxMHj5Q77fL0QbZx3ME1b1DJ3WqluhZ5ITwz4lENvnWD2BeBniw
PA/rK8mYRqsx9CK5+yvPrwaIUanrMeHytB4AIov8/+xfejTwRfE32OJikKj3Mr9RBuhtFCrF1Py9
QHn78T7VlA3nGXyWB0mruIWDDFDAyOgpuaIQNokouwDArONDE4pbAxGz28x2fyiaX7YXc3yvMs0d
WSiHbpor+nEH+SUtM+Y5dNfsTHBpdTUU4hfy+A0bOcSh8cj+hduwIk++Z/uXloa7Hlb+c5+/8PBx
SBNkY2Dfz3Y0Monbp5JLcokNI+o53vrl6b1V/eG57B4KTc92WwQJ9H+H5Ol1cqSLqo5+z/1onKnT
KhT91siUixxEg6xSt608K0MC6U8MojQ54yDotkMTyg2iLOltKGVy1dZQlzHsMN76Gr5sLxc2uAIz
KLBdlrSPZ/FDaAzawP4JefOrxNaXlIWL2CGAOgpGpYf0VaOG56UkqAdT/HaoRtCm8haDL/fLO3nZ
NbYeGDT+fqjLH5RetizBBPfzKEyLjNzB4cx3fHS3VTqKRLphx3xa0ibAP7/9wUdj86e+aHyYNPWJ
ihZZn+DrAFqQKjQJ9fmmcch+TsR3du5tmh8fE6mxQ8pc4lVPI6Su02xSjuxpyvcTjW5dGXO+osgJ
VmZQz6qCTUc/h957TW8NqPh61vzXdoss7Nl2lnzwCQmQTs/eGBuB9r0k9zN7ws+dwuiSlySwwA/I
LTZ+CUg/mGQpJIRypb8aeVutCCJoDpRMhdt02D//ZZhmUsAVsID1i8nWi4D4NWSx1yRisxl2Rk6t
LK3qgRSR6xEd1xcEW51pe8US5VH2NaGv8KlJHKQvFlzbetVMIVD5DYH5qIRCRPX1bdC2ev6yi0Cf
fbYLMl4rvVW+j/rpX3a4KXrWKhDcIzWEZiWh11UTHlCqve2EE8GBR45nugyMT/dMDVWihTremgMG
6TkMy+NLhk82dEioZJXkp4+HQ72BXjRzE747DInSuwA1I/FkI5kQQPw8EcsGMTzcKz3/owpNH/2i
VFn2nGOZwecXEnJagnlPuViHWuYAeggAYyz3+19PVAALZ5gds5vm0JiyeLKn1CfBO0DLDw2Xy+FY
DTGiVzUdXVmeuU7moxlGQET1TTeVCy6sAukIeMZ0qlybnY5A6wQdK3utQjd59OafrI/2jXz3y3ho
pH6ZlciP0p7YAsnY7aRfvm8XisRS816QZ5Gib5uB0twRKJPNZ41Op7ywTVaW3IBl2G0F1uutOmDX
1tXHB/dcdITLGW0rwTsU/SpthzLJWpXAXTzMAiazEJ2NMypdueI+105xJxCdKKaNSrzc7ZlDm8bJ
zpoaloL4D3eNXtI2/Pxpk1szXwV7n3W+X60JPayITVaERl4adJnhsJqUwJ7tF3CErEAqyIUF14RK
vEn1d3/Ca2k6QbJ2IOnRon3rvQ/RcLMx7/+4F/Ucl7iujfaZgq20gAFb7huTp5n7KW/msNT/K3ym
uuunlgh+PYM01BEEl98WC0gf0SeNeBqg43FxQctCPhngWe/JwkQLh/vV6iPVbcDQt6Ad0xU+6APe
cBQ3rU8ZpM1yPbry866NxI/9XRIzmrZzrNqUzVBbp2E89MfPDJGJfZMyNPJSnbnVfojo4/hUgyDk
nPek2zZg42OqVyJKW8O1u7DsTzecq1OjVLOUnbGOGlP6yAYSW6PRnSRxswl8mq6h8ZZjX6k6TwPW
uJNLKupsY7vwZCW6tGidEvs6Ll1fXXozL3YnuNmLNcT9MOWrDTZpaCOeyScUcbzPmVuKnHskD23o
r2tBZeKKpze54sCB3eYl9PUilRBGd3j++TAiyzr9MjJ6D5tbWU0bDO5roAV9L/w0SORH6HIDlxJN
CBdEBdk05leT0YugofU7jLee/s0pGtyHioONZTNXsyv3F2QiJ8eeNcXHt46pFrnVaFFnWv1IvQxi
bA3WrTNWwQY95vtZHs20E2FQmWFob5W2SEQVJP2vI/O0UZfOS4FEyTDqejPVt45Ngl+GvxRWsFC9
YfNOlQV1nxpvmFuquUacXuOwuZrDQI4bFTjXLHb2oVkWh/deOD/mplmcnOkJg9ZuXLGNGpP78m43
0hLd10mDsEGqaGFO98ifmgtDFlkRFf5a+bC80vlI/hDE1vIB4xkJF5fywbUwgrOyQmSpggzKtdZa
SUqdhlix2TQOdM0uZcGTLD4+vmfgQUPWglrEWfPPUQMLzaL8NNjWxYT1rAm40nLvwWH6yrzuZoNQ
3OLmqcujLVePd+3+2VMSOrgDJp2UD5MoycNL6jkze16ygmcAwvHKiNiJyLn6YDMh/c0bkuIfUDEZ
Yq7MQvcTLa/kZacQd/kFiNR/uDhCj1+NwwEZ1Ioj/zI83TdAn40bEbXNaBJd/uhJAzodztF1PCPK
VZbVl2pRAukUmTqpq3dNzx+hvvPZrteZuquJdkU/n3nEsNQ5m5sHOwKr8TMFTPUyr7IIA6AtDiJV
HsmcLUlNzRX0oNjw9ju9N/ZqeIdS/mjEbOTqyWntwkmAd29dBMZN7uPbPXw7WWVo5rpDH33hfaQc
DqcnGXdag1DeZZV/6iplR8+S0CSTwVApa7ZtE7h8ClNwEt/CZADghW7pgAzUNPFB1X88W6L+tbTG
VfwOH19XN/asb+XG8Jx67ngrWHp4GOx9FO/Vvzc+sA0iRwZR/fOx0Ck+j18ZKG2apb6NdX9iu7pn
3bcDlq4hm4Vod6XXAyIvT2ozzIw0hw9mvuOkWIxbko0UUwEhpNl4diNCKpV029FocxnFz9GXmesQ
1uxbu8SMH2PpdijxMKtmss5+qSEqDd2u/+wiDA2W3ijQVspJz7xkkxqIzMlYQahcRtFi9xaULK4o
6EC/YHTzsH6G7J5JzACPCzq8Ixij/fdx4y3A0IG26buErUe8IF07QU+EXW0Djq/HVqJVR+t90KeK
+MF8Awa02eiBOaBusgg8ICwlO1c6kBYcw6WkneKwlQl251gO0IShGIxj408OGYO1VSTNB2ZcdhJn
o15IgLSZKHtTd3Q9W6EjAoH4owRKRtREKQGrBnHxyL+4b8TAMtouVT4QTNjNAnOeLlJL0xRTHuJt
Yhdn9CaVPTRwYbqZCyGcmfVXOEmKKHjiycyxGEOCosYqeda/2BKzeNEtii3JeDeIia+3C8zD8x8x
sIFOvMmYCyr7OYxrHISY2yw4fVeVOZASUPkfoEm8svBsx+0a0U1nk98gns7S4FjZflRLFNMyVELI
VyUO8/xD/VOwjD5TLjIPZOLdCzRfC5vNX07j0lU4bTu7gZQo+GFkfyRc0QvAUw0zkSAcRqrAum49
PPTlJWSHc9xKgdm3cfgVivk7jHuxnHL2r7wU2sPtWpphYg5WeH8K3YWVl/aksOQ3L8J9oPKuyJge
z66wwfU6lnK01RMB68TojIESfG/BgtpsXfvR9S97L/AEG0F80z7SjjtJiuO44H63wPSwB9mtXMgz
pViO4SuajCU8bj6QTHUbj6HnMJO9W2kF7U7MImO4CaLGbDi5c6DQTIS+KHZ5VTm8uR5ZXO98z49D
aEWlTEf3xJAlNUjAD6EvgTHk6wBaKF7HPleKlDibgFSERENssBB24g/xFJz3T7Q66l00rpgjzJ3r
QLEER6NPLyP3qF4oBAii8C1I8PJc7EC0k/NoaSAG0tAvVQw015B/rP1deQFxsL3za42bbLAhu8YT
3Eldg+uUjEcvWdA83h2coP/QhCM2paiX0Z9Sw1wTrDp0VSB3rT8vToe8+wSeP/nVp/slwS8sUPSR
S5kzc46meXOE8/KU3NP+IGklYxpoZUSpLvRWFl/dDmSpcSsvYYpem3Ccepi7AA9H9dJaAUajI8S7
e9nqrfpXmyuZ/V/+XQym4eaT9NDdOye7YI5sNiiE9tczOj2USSkKdOgNew4nsbZxVYDl1a9GSzQo
4xkCrCTqbCvS4b2LvuuOM4u6epFuXMJTBoeryqFeWdXsMUTEyeuQSjXHDq80pxmsIQ3iyR5tNfv5
RaQoPmwW0pJsaqq9QT7qJBsT/yhvcX79LmpA39bGrUjLubgPimooqSsBKQvLNfLgCYj+8aRgE1ow
c/vycC4NK+F93LiCeq/wgiFM4gWnnvWmntmQ/qOiTJE99QC8TSlOI+w7mqPh8vkv0L8XbasKMUPE
QaV/oJ2VN67b9hhvUThue5nHIpQN258wiqznWfBQhCBMCkkLOI1pbSpk+jHYt25a6rm8R899NbYY
hoI1b83VdYa+Hq5WWw6XjdedJrbm7WshmzSJHTIc16kOLF7kaliw/zyzt2UcC+eexiHRx/sXsmDM
XWAarc473g+eGG7z7ZCns11RcP4D/JxXuHFjW0KZmn4gLBK7VQ+9t/RywTFmXjSXBGyMJMyfXUj/
1u3Iffj9vu0gFJ5wifzHdvA4C1b99IHKPdS8AxwWiazTwkLHJ0hGrCZ+mhXh+BVCvyRwoVlBZHmk
k6DE5i76yztKmpd4L33SDJZPIPdQMnsdohA2zZOgVxqpGoGLN8yGIM108YlETEA5VoyAPhCBMPgZ
OaJH+Jvmr4kNx33cineL2HtD6VoNFPQ/xqN3HH/K4ezGKoJBGDmqX8wFCcrzTa0YYA5vOP3tbNuc
J9nqtPsYO0FwQN/EpqY1DcuT6MBFvec1xaIG8kkEs2JJYzmHz5Iffv1poyeYn5xl7hLDdjjH0N8q
0KsY7Htk+M7q3Oi/yyEQtPB4KWd+vRASSbUBeD1FIGP2WVmGoF76EVQPob+ZPFQewEF1C8OnKQ4v
2BzK58qu+SxE39/dBCvfsxLeIVXl842bN6a9TgQN1wYNKioKo4rRCq7Vu/bfpjWmY7Id6DOMq8Qg
hpTcjcC20hd35gew+g8uzQf+ppTpFESO6bCXVOwwt+dLI7VR6xlPorQ3GBgai+dKaROlKC3Vjvrm
qTwO8H4HNp94OkB0DHjrhVAOT/uqWALizMQlij+TCPNde5CgJc1BYAYCU5FdHtEDG4PzWDf4/zTy
5Jdwg+4CYW6bA0hHVxAGaWIVz1I+whxjLaR5rp3HY4Qj1mi6Ya0efbVzHu8ILPVb9rSl7MCyau/g
naaVVrghYMHdN/tDyt7S1LeDlzhBDriEw2HscfJhTBPbvR1uHBatdupTZN/NPO8a2JH0dy9n2p6s
x2jg0ATcoEvpDqp+PxPz0Lyh7bIbvSVCZuCKXbIsMzZPuWIl1yap764exCrgbJEhz4I03NpfY5p9
PEFe4kFO5gf9ca68unFx9yyNf0UsMpcymecF7NNi8IQ7/By54s49NGL8va+xdMEpVRlT076WvpXM
zQRLz8Q2EwGNZP+8SltBZMLUP5Z6WJKnJwYljsiUY7ArzKSirIEXN1Q4igAxiK/m3Pe1wOC/jMpt
M/D1o0oxVl5GJ59woGTiQQtuWmZevjahv3I7zV4rpWRJ7qJ9RRDMpgYeF293VMY274qTjKUBkooZ
dBLoTeGpHE5y9gpy6YxunoB6LVQhzScBj91aKzRW4AtnW40ijqwuanXR5o5mJS2CgXQGsbzHA3vF
IX57YxCUWoIXNtcaBl0V2FYDGRWlInGha3p7QE07wkpyJdHzarIcnkiibMNLcVqOrK2zrWA0nQuQ
1RxtxrmPtvo5GkDZbdNRAd/owLLpzGvIs+GYqarKWHtIin6Iv9FLTga6AKDbElOTXnVd71992Jxs
GR3Ej4CyN7zRKp5B9AJqd+1KnqGkRj5wqkCsN1dil7/ENoi3RM3m70Lgvh4W6T8Dh4V4UIsxA/Rc
WdmvXf1CFym8L8YjXaSvs+7dtKer9owvuKzgnAVN7UelInrOhl8RwWXEkz/07D6oT301R9IVQToa
S09ks1DYz8j3EUevtg5x02zQ8DmvQ6ldYCTAudaLe8p2pBjR61DLwoNflTpOcl5/3bpJYYdgFKYN
DmU/43ovlj7X9RUAJFYvHhrkw6m2QUk9dxoYAQOqb1Fu9yVFS5F5mt6n0XaLoiGqtG/4nTEwdnLS
5BB3XRD/8eQ3rK7Qlk2ulCw3FWWp5ABEiLB8Nfw9IQMtad77aO+hKNFsEsZMynjuuOc6pqX5izaJ
zV/wau+Bu4+BWQjK+AWlgBA2rUCRB6kgmok1dDHNqadbD95rUqCfm8yibnVSzbI1O2DZT7HibpDQ
YfOPFy8h4hPmLVreOq0uNqz2FlT0Ln1tKoW2U0i+z1XLBCnYwETmOQ5Q70yq4h6QEWnMIwoG1bda
iHs5sR2iG1BaHxgq2DQBp+L98gqptSOtMBGeRDihrF7/69nyEn0oHixEAqLhNnslag8hdIMoWpo1
0Y6qUWoMsCTeuwg79FJJI1y7DLxx9aZeonaHVmLtGNjLtNiajVi+pLkgeVtgUMDpYl82B/C2VB4q
FaTse42wgtzleigg4FywXrGVKZAjS6VoeleAhHNCFAfDug4eiWjBhZ2ogGSs7+7u5yMON0O7u7mb
DBngdJqIq4ARnS96GA/f0VXZ/xlmQG3/zC6vldqUUc2ttrXg1UCskHUBvzhYxnNXKHNb53rGKGzp
a8hk/4ZihEVve8GT+rlgognk19jis9+T+stt+XiG34RugnMZo3kRiK3cTEALMeqhxqe395XIc3aL
Z6QDITDmjt7/nnRgnR1hynjt+jCfPN3DFogSyLgmGac4zeMbw7N4ElnO5xBtf815fYFXNaEt3KjW
erghUtzLXsVVYAExlgP8MACcvTNAPcbWQ3EJ2eV+HSWVrr4xdRyLm+FAJ6tnddkMSCwkCmD3K524
u6S+8dWEGVCIoca7C1rom0fuDiqZ4XAncIBByRKUh9FWi1746YZ/Kjel7lI4N+XHA/2MMl72xaOL
FFFZ7g9ihn9tOOm9UDF+EMeCToC79mjd5Fz66WV5zkWw1lDHoSc+akMc3cjhvbwwPqTEzPByFBPb
K84tkWoMdM0+AcIMWYcXuVz2yF84tjyzir/cNRjGg389uZ9L8yF1PlZWvSi+xq4grVgR3Vhmw4eY
QPuAhYdn9yJ1tSdDGgu53VphHZLs3+K/nHZJiZtKtooN2+fhQ4trcMzZj+5P9jJ/EvSmBGlAhn+i
nmaYmYyi0VjJf7sfAjqhrKmxtThjs5nCAGpSpwpByswT61Uj/1qyy3DHn8wPUYErRhn4Id76ljXs
hj7KuBOlgrl8U2dQ1ldKoyRBFuiOJqZ3QcQ8SPkmK/sbVI73wfqbduzty69JxJW/+b4fP010r6/i
YNzbppnIgfVqMN6Vx06GjFpvqkWz+PkDw/7Sk1+dsuBQcrTozp9UjPTBUlmyp0TEpcEe0wSG/5j5
ytF/r1McEWE/D9c2ByUgtWsZ5fNc1gfbl9NwwFu31ZhVGYPN/+RRrv6INbSFlOWqw4tEVAkrAUvO
Bfr/lQPELcJq8hxNaU1DpAl0o/aOVbkRwbg9KWTPShSQP+gvs41BgvT0FXbEhYr+rpmCtgm9f8tD
J+EdFCDvw6jmzQGeSHwBTbWkmSz7dh83tCZn1ssPOFREP7nrlWU5FLj0pOnZjpZYWtjO0sTzaBXv
EuJX9noKc7dvpKsFGJPGZZG4GLoNpZ4lYUL2PPcOEchPfW4LwOl0o1FRMgv047+Z5HqErHP9SKqL
8aTBDYvPWZyDK4F4PdKixUJttzhlhumE4IhA1RUnw/2sWdC6HjKhdU8/GMXifjJMJxr52/DX/Q3F
VHP5kHo8T23ak6aSCGEv3THSKigote4wclGip49IpYHPFpeJI9sMltz9Hw5qtnPZ+vKiUfkdWbv+
LEZmx1xvQpR6y1o/ZgUu74DotCSCmoqbLyDQphISh57RmiN5S1Qd7zRQe/DEFJ8FuGXnAeHUSurE
lmy409YORSzQgurnWFzYQ2KmAJeLHoPNJ/VIq0Q9WkWG68OiMP4hAcOd7RvRXFa8W4+ra3rarvZe
DkNsPOzaU7Ume/bydw1ruZInbUA+qPzEwzcUajgvzwque6nm4HV7xLFiRu3CFQWDm8CYiBEznqu7
Uv7uqS2/6+Ka3pf8R2QC+9Yyb1ci1pZvBThXeQoot/Lhqqn/nk1TWpIwXf8QbQUlm2ZvH+U8b5nO
oMqStYeEzYlYluOa0n5u/cPjx3ex0BV627Ethpliunhq4iyr8mWG0gDBcnZahMBn+OilCr2JY+kc
iI8EwDVnJtO/wT71dJ/NqF5NOCQD0hw0bvSuSnpQBB2xch7jKnqZnCgNCq4yANECNGSt6TCloSjc
3H8vYCi/GU9s5Y9XLd6Ehit3uYbtfoKj/DuZoz7gQRMY+INLuOQnyBHxdgxEsYHb9nQ1eQuAiYj9
jxd6bggDX0/mCgq8JpgIOFaCIc786PWXQKGidU+tSSpfV52gAntAqsf2qA6V5kyesi35wkmRDoGE
Gab7IaYVML3CtRQeSjfwt8nc3t4bGuvHPhmlvc3gaXDbzibBLDTtQ2U+Xcnq2MrOq7Yv9QyBup9Q
jiUI83n+xorEah0onLzSJbym89Re1lJ5b6eDwutxTSgxbni466eNNYb0gWPatv5wqx0DiqhG8OVz
KQE8D+YBZfqGIwdFujkyFRVFwjxtQHa+n1ck+0ptLUR9BdY59DPR3w6mME24YccJTpssVrhIJJWv
Atw64fT7/584K8/4er4/3otjDWViLnnLvgoutoofwejk/kmhEUGTbd8VVqaZ/AiP7Aqm/14SpkmQ
b73zsFO4nTC8sGAddYe/6p8z0xNUgtv0xJ0kM4xxHcAn+9XlrfOUsIJ8kRFHCph8VlprqW7L2g6j
ZIeK5lKOQkiJ1UDW9PDj8WiBUhnl0RLdyEd96SsMDxvKD2Bark4czavD5/FeiqSUDEnMSxKooyvV
+erm4bLgbqIyDOS6uj7ULlYM27wRtTIxpXxfZEZgSApb9HxMZRDwGStsZ3u8La1pdO5hGn5Od7bj
Vb312jtkUC9FWAVRAvKlfymiyx1L+bJME2ny2WSYTvtMtCZKENOhXY987GYbR2Ck0mxsKQaLk4AD
OI2Vpo9cIBwPVxsKRct+g50A1fOTuYiCCJYEL7HRH7cPQDy05QemOJ19B3kDYfpaXgvaO3bj7S/J
jJ1+prJnRBbm4D8k55nl2N6kdtnhqyRSxsB9USKmlciuv5hCMd9MsJeef1Wj0SfTX1fhlkdg6Nwv
TMfaJR4EnfyzbdAVYKqsHU0hqcttZTb4egx5/cLT+05VmWjhTgeNEfiUuA6HtOYoDKhJIscDWLyC
EM7JOa7tKZWZEosIaTfUtkukxlWMpMlDjDprvxeGumA4K0dPatZ+evc2sA55iD1f7o5KxQKtZEXy
98Mg9VsC4kbcPJ4EjSA3ClOrQyBpyZS8ujMpZmG23lSKztgrc9QjhHTxRvVwsH08VsHuBjskBDOH
sxAFqI1tK9Ax0I/h9YqflhQlGAK1mwazSohCPSgcV093CYg+gdBMz6PgqzHAkWBF+QSFNXlLTdJJ
JwyRwL7aFM3xzQsJp2NwKsO07rfbvD58wzBwliwqUdv6FFc4So53JcVYphkSx/vHhpqNA0fU58BA
JmJhUaZNwTLfJLcxJ177ET0N2c80SMZtdhx8yTqlFw4bQvnU1vqpkeEGiQHN0MRt6RV6DZVOYjlv
qMc73LWEDqhrx3VApb2zi94QYL0kegvDNR0Db+UFiPe4PNcBkQsy0+8kT9ekEcBmBijaqX8omh+Q
j4QijnVjaD4cf6fHufJaCBoCmNFx/0RE2cgOJTgO6LM1sQ0aRfcMlixsuD1z2VZ0SOHcK87wA2F7
XGB0+9pIheaQEl8sdmFzOZNCKlJBAk+747V3BeKslfBXmDlOOahTh6dhjZFYWc8A7MGdaI0MI8cV
zBDVLVFr7F752SV5QghehIgIqzpx0m1SeRPghOE2VC/Rr2dy4WRHC4r/tL4Kuun1LongCIHY3Cgt
DKSvvDpINeqMBJcabRVrwNRnygibDSHB/bJeIXKt1OC9on6yXYVKYQZwXEBGD2D09WcdvH/kE+jy
rX35tF+exOYaUQ46nHSpmdfqEzejTBpJ3atq2SZTHYCCnfTQc7VtXMH8wjJjwAQbdcvf/Zo6luJc
SmqiNJvM8vOBQbDwvvYXwK17ngekmjZ9NcnLVPhM+Pk/Cr9egBPPna5d2E18qGXkhMt6TkRHZ1bk
nnFgxsUWXN4W3g+7NDTly2L8+hxbZu5RAgScivsGw4Ysz+yfItKdG19MQihKwT/9HAN4UPesQJaL
JqWYFAi4LjkG8IKCTTlQXEoO5BRf5a4UY4JISiVumXCbjnGxXsA9hkBwV+M+WC54biO2UTPY8uok
1Z6IeZr7rYW+x6qHY4tjxUehHkhz4koGtZ7TTD4nzkb8wnuLbaXSxpvF/+nwKwzqyUyQgTLqmRhK
oRW0GZI2fYP66+yR79lTaULNGFiAmZI+7FzG0Xg4xHcAbvSqo0zx9BUwSIMWIaOjk5MZ3xcT0pZd
osXYZNnH+HM7pB75FmTkjeHhBVTR7+k9rmyHq2MlNmjGzDWLMH7VvfnO3rUP1mvDzdBLjuYUdLF0
h01KcqpVVCmVx6cZ5oxnm0RiSuZBMHjgA9Z9ILoQkHYFQcXgicSRBxMYgdiXmmos4HiE9rURdBOD
qrjBRxxPDOfvNFzAFOeuMZlehkj0AYo3uRGjuARCANBm6yppsrwWrPJel0irkNdE5Hrtjf0lwUj3
woRRCsw1BIbnbBCjRMg36P4WPDJxPM25joFhiMowgpLHh8C3oKn57DEPIut50BNcGdLv53uBIh/I
6nyLvAwbYGExkKklZcZTP3U+XMf0ancGeKDXF0lCjcXQ5rurSjqRRnTGZZn2D46QOPaNXYOhHc/W
40rAWjeCneHzeQDjsxC16BRUOzOgI82mCCl5hgHeyDZTJAJcej3c+hAkTzMLPlr9cpfb5Y0WJKaA
W49xY3FCKOt3r2D7ow5tA8Ut+hkEHD+yfkB62BmJl/T+sG7lIw+iRyp8zQmyDIfhvGXbiD6Qx36W
XYfI6Fwxq1SbtvdFGmxFTsKpN1UN8aaejOgoF2T74kRaN9vWYjdd7IH2nqVG/rf9mH51PicqHiNT
ya8MwhTGtvfbDzXESglP35CB9dulCKJJ0XOfA081IoBwiheDcOQoQg2fdNiwo4RGdA/L663oO/D6
xOcNBhruTZB9V2uo4w7fi4fb3kYXZq64Hur7DKm4JjYvsuCkRXQkceAc8gwkEIVMCyTMHmPTIBII
sdFEFZ1Erfwp+i4UZ2YvDKU7D13A03YVe6MnXN+92pZogTK+95/NlN1vTqlYwuuo+nw67maY6TVd
yWt8GHRZmWgIrbVzllhdrUeAXX3hH0Yz9LxUoZNXFEhpIZXB6fzK9fii0T4A4XMNZ0ounbIJ2hvI
z2C+Z952IlH6yWR4F5QX0t/nK4uLsPIlvFPdGUZ90c4AOYPoeXMKSR+H+PN5pcqJQykeRNXlsXU5
aS+2u50f88PC7seCh8M3MuOgU7tnhrPuwNnAiQmfOhsHHfiLnQmyhQJS4syYEJKcnW4uJvDL1ZCY
gi3/rqV6/U8G+xjD6iTllT4GhecGzftfHgQ5Ah76qAznSRrRgZf1Y5Q09e2iukXMhNedp+tGN4rU
l9qHCHlLQks1TUooV/r06ZWP2f9GfaD2rB6xE5LrJnYRpBdjMyHkNOthUT0tSkRfnyqH/fEDL5ra
w/nLhyhnlwxUJ7CmxiJTn+wIlbQMM91ETH/eO93skP35RnL9u8DB0r7Hpom1+wAcF77Hn9izPIB4
Qdg8mx27FRiVIVmQ4M3kgr9gUzTgBvwtSVhljyZqwOnuLK7jS407hmL99KlxE5stAdFUZoHYEv9Q
6bqJqYpO2MkEhElMxGb6MmNbyyYGb3165XoqoGutU9UObvoYT0lmoDYoQtlMwNLsyyY1nkPlks5h
0GJSzyIRD/DLdBMzLBQJw7co7/QOIozuAX+ButmOu6CNHls94NnqBm8kiavZBcMZAac+8WnIrCZZ
LcHYlymCqJYDEwBh40Q2+2eS4SY5cT2iKH0cxkq3pAoCHEC4SF9+fzd0i/INcku+MSI2m+/jeiHG
HpE+b4oLmHn0PCuJm/Tg8c2JwS7IVmayWNQUzHgEdgXKFbxduRNtZhmLdE8/AnQfzS7S/iPFtmil
kosHmD+r0r36v8mpRXRFC1JdDd7ArrS/lro1D83S5Unz/AGLvM9Mib0r9JxbskIFpLAePozY9AFy
EUeArP8mA9Cw2zVGNXmLE+y4PyHhKBW66MyQiE2E5dFf066ovq+b3XuzYallSlHfOABLQnCPEWUF
2OiXKTKXnfuczQzesJZMm/nT0Wr0xDZVJ+MtPxWQU/uGfSlwuaEe/OZedHEGA/Gb/6p8tWaOyb81
g2fwJCa3jWWciq+nkeGeiOWVqvGrHgwC4kPTfE00Kg+6JWvnudF0CaziiR9Ocyc/a5ZgAd2IjFdg
EqbrcWa5thdjk4ZJUOEB+sQmHzp8kLSLlZpKdE6CslyynJP+gXONVxKUOplJmmm87yRJGDoJ1adC
T8Guj9om5fUHFd8HSKo+gGA5q/AItOoQhaOi1ZAS8AaX8aIvvN7QiqfbhSlSvyHI78NZnNiT8LEw
DpsGBWz077wTtUC8WsFmpW/eNrQI8zEnTT3gOpe4LiigCQgDgLvYnt+Y+pkcAz97GY8xe6DRFx6p
IP7LP3x+2j5giDT2qSzUDQ2K4Kc78iQ8JtToZIi+uDEbXlH8MvHRm2ScIxOZBqwoyRyb/DJ7Nt6M
TkWMyYdVRfLl54y4PEtF7W2MmIJ8r5LuLqUerVTKX82svRJ9XLGg8DJyXVr3TY9+EzT1Y17G4uz6
LreH9bciwLQbFB7yW0wuZCQgDq/arcRAS1e53A/1vSQwdRr0TJCrwEVLPNu0DsyVGWHK/G6cqOsH
eiqwhwYJ2461nplgWGnKgJKlEip9wJ4Qnpz4579Behb/+152VfaYxqf/RWBF5fjTTypsbgRulqmV
NNgBdW1QID+UYrnReTPzqYl8RUnjCpPU8e1re/xRDWobCdJgYJiCL637MyN/3AMXkw3c684fTVyS
f8dYcJFRKglUwbW6+tUbbj8MnMBDdpUnR0WGW6/O6zfDvTE70iTVlQeQJFjFScoriyVTQG+HxIws
HDgU98T0dhFK1sSxlg9G9vjtMohPCyLx5nGeXmY7JrO3K16sqAz0rpV0RywbMgkCycNm4fNGsA71
eBc4wklaORXwvyeaNiNywB5L7ToabE7dsrpDoYGsFdP2vSVUZnHssOYcmtWqaFg4NMwqM71GomNQ
SjH5XfXs6PUiUinyXqLBJRo867A3Jpqxaqscig1uES3DVcJoMNNl6su4QxvTM+SCdPlN7v3YFhNz
4BD3Zz5YpJEZjC4jBspF6ODimQKwm5Ahle/SjL+KKCm6p+IJugHAT9Y/irydW4L+ZwZSwhaCjH0v
7sPCZF6OqFdm9fuHFIBUzQ4AGDb3tJCtVdc/EoDMP5B2tqM0pxQzlnMgNBIyYuopL6e4IVCyTJYk
jiFB9K3jA6W2suaJ0jAGkfBMCMm8Fz4Vo16KT1Bm3qwYUZyHGZJlb598fcxjuXz52NeY5prceWVn
Pu5vfsYRYlYYYTYxol8FV+584AB5e0VKwuSg6U0PjkusIqgqxQqh70Dv1qK//wFdI3j5cZhFi37A
pNyNWm/UW7MO7QIYLxVK7XP4VOUcH/sEyvEZoxGBJ+DxJhTn+3sgORKWkR6943JEFPM8nDjMUpfN
dAB5MMpNMNUjEnRWF+XdyUjX/uldqkYnwQyHWCqR6hCqy4eFf418TUVSi8kJU7Hhd6VdL/ybG/XP
y60MxoIPhYblmMSTyx2TmekxzjMhsnjlfEHKE8QAhIUeLWFOVAlkkKXg26BV4bl5ycFc2RraWU6S
qFL26NkM1I6k6LUFo/tc5ZXXt+7k3/Yn9cT+J2c0e1mHHWCySqTtRBjBK5jTuJbVxOv5sPoXxqlU
BdwVv9Oyuz6LqsYdpnZ/d3NrJthE5ck/uQZwn8wMSef0mPFqJUhEy9FI6rrzhxMko2sbECj7HoLy
u+mTWgLsgXkBLdQiboHHUQUIdnfkzqOiqExhb1fI6X5ZuDSMwYEyO/Lwg6RvJlsoXtek3iQD7h+r
efoliyfLYCRTWS6tyJDuSE+YWhgy6tk4MKHJ89MTzNyOe+Er+F8MV5US3qK+pIi5jJj1SHA6mcYQ
qMH4mn//jdZncJ4V0CSgSHzdUt09fTkPjxBWly8ixc/D1TZb1Y9qVT7Wgz/CzUYgG3cTh8ElgHMf
7rm/mrn7ZBtSJSLj6ILCvRlBREKrDCQ9fydkd/gh7HknjMezc4juz2uY2x+UxXqed573OXDlHvPJ
OX80eBDdghqjWfAlwo7vLZF2QJZSfbSLiIZ0zOH4e1qNIP2Y4SpqJlKykE79KlgtcImEYxz8pAym
EwIZW2kFg8Kf/DF4Vd4NT1K+L2yUXp0C1zIcKBUcPpzs6wQyIEn8FEzfbRzp6z2Jdt7F+hzjTz8H
g7ETTQ7c8N/e9fIqZEXO1XIov9lmmNfIw97GaaxX1JF/0S8BMg3ZDdeZcnNLtMPZHRlYCWxIseDA
iYP96dA1hhfumPYTwDTZiEuo4HzXdIhTLev6TTkH8/ZIfgi2rX2nRtUCRkN1JtmcMZEPZ2Ij2R/J
7zlphU7gO4es58VAB0SNXLoKMOYKJyfwWTHfrafI+XHOOugMT7oValSaANy8jgIz5KMN9/R/NeOY
x9oFCwmxgo2JXlDRuyII/ggtXG6H6WFjSrXnWY0TkGh/oKAEuiWAmzH5wwVa47i53KC/0Rs6Sj2K
10ZCWTOCbpTWZa4evoN27W4+niwYXG7zZo9+fYeK+ZTfHU94UOsV09Kw/TC5uq/eHexNK5xzwhXl
NpzdmfIEtzggTaTvI1SZfj699V7yTNtNybHEYmQDsr/rZdFtlJY4875nc6fnOW/reAFapIMmo1Ud
W1tA6wawywq0fhNedBSFhT4RZdjrEZvuZ5BAAPeeXi7Wgbo6/+uZlA5UjSXN9uYFyu6TEDg91CJD
4VXz2XyuFwZkAjOnYQe6vznHk4Beqts2VuC5p9/KhCKk5sPjeNZvPNSTL98gA1HTkFfKpzvkxz5c
1zFGjqcfM6OxnUOekgu720Rf3WuJ7d2Z+EshubDkG89crvEB0Iexa+F9ZRo3wHAhDHvbPCV24/oP
L1AYAGKTcPsaAn5ZE2hSagtZs5OVmQu5SZY+I9FXCQ7KhaQNgqY1qqLSZB6RLkruEH2ZLKz9K0fY
smR63DbfCOs+Gz1w11hbyggEPC4a+Jn6Pq0mVhlaJmcOGStz1Rq3nFQv2EwUxznfdKuk/WvtNrb3
PTcygOUkA29YPQMm1SRp0d8l/nllbUqbVO0WYVfyODAaaDeaY+d1oDsRUoJDHbbi7IXhBwjYYy8p
xp6FREKeJ5me0MbwmfrCaKRJUGuYajo0+Nl2qmWHM/IpUUqmSdXQqBxZwtgHZuI0+soGbvyrRwfb
4Y1J0R/7M6efLuuVQ6bOkbIuNUOekxxuA3eaJFfKinWX4rErCATd3FETQjveU+atita4uL5+p/Oz
JQse075eJX7yg5b/g33ELxng/jb1jkMk6W2VEDQWhQufFl30qEJZaVDCPClupmLswaTRtK1khGOO
j3Ue9ZwRgRG7cFI3TWddgLiuplW7jVIWAApgHXk8seQ+tP4SDSqmxoo+v1t1qgCkE/TE0J/gg13Q
01df/1upo2jyAp8HgTrZa4+3D8dp0WqfvrnlULe0MrDns48kvx02W2/DpvjICCmoGlv8aE2cQEiQ
Ja1ECQc34nxpfcfaUVScMyPmXvNJ2D8qHggLrNBgLhyj0KLo47kEYZAEXEjiWyuC3yBcHPDP6WTS
BPgIk6U+b8BahdEkOCMNb0fED41BP/lFmUYOU3OHzP59RmdIJv5wLD8KKiVcIJIGjrgKjiCQX7Ag
lm/HMR+ZjWMykZCkoJXjMaDH8sNt13xBewDfekXmFejNCXgt4o0LL3YWyVJgruNoa+6mJIqB3VzT
cID5Ow9KNhsEu2aOoDduBGw6t7UzYpF9MJC398opWh+icUxJDKXLnMsrWmI2Fg91OpMM4Tou7qv1
zEPdzmBYhktrZBQ9OuBhxO5gHU1iNnCp4adAn6UtZuMFXIHESgRZFlD0+x+pxdaUQKv1cg1fLs5f
rsyrK6IJ5MaNeTG4pfEiRLkxHdazdOUXdRLy49t7soHJWMdWRS+4Gyn0XeQ+IS3E4m9GKVvtqXOt
BmlXuS39QdMqJMAqkQFv+T1xzrYbz1v3uiSauUNd5vBSPhaeli0vCXEmwfI6Wu9NN587iZ4Ql8Jt
Kqr723DLa6rwXPJNbKyu5kSkZYg/KFpkos89ow9xV9l2gV6J7TDPfoYNvhkiqVB98KuhOBY9RCq5
JwxR5ciyMITAgcc9yZKPMi1vZGvO5Cn/JsAjiqnC2s+t6xHhBgNtNbCWvsnFbZw3hkTWU7Xy0PHl
Fw4C4UBtkrTC0CTnUlLDkyyMefnJAVbM+xHJ2fEmPb5MJYxjLl6NYCsWOHOpz4eYdYJeeB1K6cC1
IQN0+pF+VtTcKd+XEeOKvHGezQL9Z5zLHDb3i1DjxfEw5xPUIQv8pFMUnW20re9dnHrgMslBxwN7
+Pl6Sr7lvH1vMDT/lAKdFqOaEgaC+Z8woTnP6FM3V9CPD+rAW5lFwR1shi22CO0/v5LfhwoSRuXy
u96iUWBS4Ev830iZrZ1K3g2x2sefKvJq522bpmwgZpgmMkLDe4t+35W6QCgxGv7VGvXYbPm7f7Z5
5G8I3RG6+NVZ0F8AoApDlMU4r4UZTa5Op4d7kJINtpfnp3cFrtkhA6lC2MjnEeVRfZ8dwNyDlEcg
62sS+RIK9rz3D+vGnenBYEPLTyflDr+wBiVDobrKaYHs42p7rxv4S+wQNI7tsWZKay4Rs0e/Qw+0
mRIoa1x5atVm8/6VkYQMKJonjP8I3LvKkI+VT4A4Uy5PhDiGZYJ0VMZ7nVQOmkzQsDfmbrMuglfw
gTx9KuCPyMelAUFn7eHZQoTfcqb2aAWeyKvEj55k1p+ZM4idKRIGF02fH4A36pcE/u3UAgElK3/D
/7xQwr8OXJkPx8sjmZMJb8JpNzWmpo1lHA594b7V7yJ8ZO46Et46wr/L77H/XH8UzyYcPqbtgiE4
Jxq8m7X0TmR8BqOjfHUy153mArfMI7LsZ8Bf2HHzUBd7o9rjYaRyypCU37hlYcJkn5YD2QtKYi2j
ZF6c7lwRuNwOAJIEk3eeiQ1/qqsGmCfcJxm2ogmXmD5lRJ0KhqDjvLl9Fg22G5uW5E6Jd8citf7T
WaUUU68gQx8garaAwyLklHozidoOOdFF4WEqJk39+FGGH9noodwCAqbAwUb9pyVgQIMzaAK0BBip
4Tm1JUgk/cz/EfLSF/SCe2vpLIFXvu+HsLYL6AJgXk/lQUML+vpkDm+p3HwMlGAQM2Cogk4V69wz
Gge3kstVAgHYcmtmJxmajVcchwaAFLbIFZqGU9JBU5U3Y7+k2nrktRdyPt/K0ZTMnfFWuWfRxXnQ
mxSOu/T2cggLjbzLdnR46AersVNgc5QCVdbzYI4JN4j/GQu8GC4b+0/HNV95K+PQU9Xhxl+HArIO
9Bfc1B2D80Zm7CWNBhuRzHyHEKFjRC0vouJiIG80K4vEna7JLI1LYLxU5IjGnnaOwEJx8Ai/66Ht
k8TL8YItw7IrFqTb4XaquQhgrqBcB4gFNO+YrfDFrirqG9RGD+UT1o6yZXgN+Hp03JSn6/B/z3/U
a2F0VBZWJBaNsAKckoUxSDHdRerT2l77Xnt2zZQCb9TXaciAv66Eat1v882IlhLPpdoyWFUDeRcQ
ZtZ0smzguGoUvoPe2+umFc92k8orLWLd+Q4zwQchCq83LM1MSr4QIsJMllTVUjPs7mkBwFL45Ez1
BufZL+LRVe8JdMBEUZ7T+HdOdyrZ68hklaKbVBEPCdoCDbvnBXME6y4bG7dxPU4XAAMemCUuXP3A
mON3GbbDznStUhNCn+jMaJrfnQ3j3aUJJyuVUSAj89c3uVubo/jsBFITTx/nr26YklvzUqkgRrFS
yaDvlNiFIrxhaCpkSVOSoFcxyouV8e2F1af6+dJcoS2puwRj4QoKw+bJpLw5CDMnb/gYT1UPhNC9
ie8j4AdPsUUNqCsckYvGdexE5+rxtzXpiaAQLYsOotVFcbp9d6e5LbNe7tS1pM2DBEGHAnmgGu38
ceJBhW7qjxYX1ISan5WhcAtIW4b7ZgdH3sH9h346jv+Nzl6rQd7V+CkErpHuSSUEJRqnIjQd+ab7
TPUY2Su+s9Jzrc2EGesSlMlLaH+qQokaD0UhlzA8bhz/YmKR5YvqM9znfZa+dEz6Q6MBKduKqmLD
NTnO4CU1Jjj/ltWO8pDaXKtJQGRrtWZW7LZPIsEdQaeZQC4P1NR6S9Q+raU2W/DmntWFQ4+tL4Ay
0vqKVYjH5QljAjqooQORqA8N9rylnKbpcmSYxu//dzZQylugZnQh/SfvxJnj3lzec745vkgxsR/8
snBmiPnaHDo2bzryIZd7QMTenUKOt3SGiXQVNIg4cFZE7jfO7q/Sm3Vez5Byw4vhWaep/g6vh3/Q
2GSGcvGuzInufTa+j0DgNwD9eBpkYex2QpGv8tcN/vHRZPE8L2BAyebXEXFTqiSbJ3nOY78Os41u
+I0+RrdemI89sdDVp5jIiJgBF8X7aDykHUbro97Abu5/yIKZnPkzMuGQsIAPwA2FO6OhXLCfLLw2
moFUOQd/frr7mdCpAomkXZevRs+8grXPE+OSs4x72tbHfALNZPhWHL9kcy10wys7tVGzt8EMjXve
OxwvWoTzS2HgFr6Nrc170AiVzlwMhf3EzMxBn5vGDe2lLy3UAZRhAXgNu+a9UXonnAIQH7xTiPFu
7SOwDZ4VGhID9v28FdVA7zYCIEJ4aF7LlZDGjZ5IZOltZia5bHabQoaaw+nhN5yxa1M0LL+tWybB
MmbfzssGHnx/Z+4dtovWC0qoRpTB77WtSBxZ7cTao42LLZFYRs71TzjuxLDUEmu/IzmjDyM/H7Rt
TeXS1UTy6JLTKpBl1HvJ1XBkZH97Va766DO5U+jedkdZPS+anSlLzyRFQKviq+d3895qU/T9rMxk
5oaoueyC5KiTE9MgG26JBcvuawuBWhg8YQ6jSulVgEn5PyNviJEOepWJRux9AXZtqtP+HG+T80bb
hjje6YwjPBgMcpQlfPYMa6Vmab4rnUSNmxWsF1eqY1xc3QIYfqtVwyvFqyIG7F/2rQgMAY/yk65W
FJ1yvo8/C4rbeFuM4VRVAcLO+FyJlZ/6RxTOGCfE3TWArNwZqHhSYou9vfRI9Mjix1hvxdmAqXDP
XAXr85QtKJYI4a5yNpmHbGmuZHoYXCpXePYhRhpE6QDr/XJIHyTupKBEl96CTHUz4OSctOcl+jhh
0XH3yqqWjg5qvC8xzp9aTCFoVcc5gfwOioaoNewjj6q6tHx11iU/eT7rBE0aaf5vV5JpTad2Afw7
Y6C4OhVH4aUrpvGNIZ3VlQwbBffDbj/Wkbjd+o70mtQKN7gU7ashZJk/Elk/JbPgKo4giCvOGmZ/
IqpZAHgAIqjoOEQazeWC2HfRiJKXr6RdxBZm4t/jWI5MCAIVExYP7sy/lG03BThkssw5g/9+W/mR
ZcCDKO1VkeAgguaJ6uJXhnxg7W4UBQxahJoUn759MSwJohRpwWF0Vs1xLshUbRpiYv6oc08NlzXv
kA2YIOHHM+C1xZ2xR7FTT3T6VzS0UwvVWb8tKXPVdc44NI3CtfNCQAGwoOD+4pSKmn9stKh4uC9F
pZaGlRjKJKEl1cWFA16maDn3JC21AjBYWt/eFg+cQ0FwRx9IpZ84IhV3yUxxkPDs/uMESgxIlntI
72Uu1HXy7Rpj5Eqz2R/12jfC779gWfOKdLLWJjy89hsLDzrtwkHwvNZoGRCiIPraypySDAwGkbNu
g0HQzIy8Vdzpq4sLMb5NAt/M/Aq0yAdlowDhchUeiG6d2loO+Vh/HEvxmUrDVlsbc1boUD1JqwYE
JM/ir9yW3KZw8o4GUBhelx5QjSkjs9XN+JjNLnS1HixNsZAEjk7SGlUtymGr0j98S8ZGgnCU1DOf
PY8QFOpc25y8f7vhxGlRVesR23ytFtsroRoOdx0ecAwb9oJq6zp2iKDrFUOzl8p9v8X816lceuG2
XvEKxPK6bnBh+x8wPm5c+qp4rmoAsRLhTF68zceOoy81V7eXdd0Z1I0vAlntKpxrgKMNoC/Uhsde
jYYyB1eL/rDlx1qJX6e5ZHsXwU1rDb5S+p4CgHLKFZFi6PyyGRzeLb/BpkX6xc7Nq6+nsM/Ev5Xo
biZI9atYtpmgC+WndVauMLiHMTHP/lFPxVPt1yrLOOx4R5eSHD8AaQrTZl8DLFthV0+r8YbPE+4X
nQesTywgMGzpujHiw9rrf65d6TH5PKSsg/85Mpm8n6nzK83+hkz4HrjwoQ1I3BMuWa1HScTAub9j
DfGSf0nSnyJKDl3u8ZG20dt3spjUdYAycNn2oYc/oA5x5K4ip21ZpTvC67oSgZfpb4A8wf7pSQK9
Z9iutoGVEJeUitVY6mVP7XdoLFAOd9E0rY91443HquEPgASUQXNMn4i0k7ArftE7zc8cMIPesK3Y
qdBft2XOpypIiH6uMF1c9xMkzlnsH24YyUUzeXMSqA8SamgKmaq7otUg+d5l2Pdf6uim3WPfp6Q2
TFskAS1PwjrI/VnAfYiHkiRbxMxlLt2SVsivrs580ZCS5XLw9hqnW8jbEJ3VnqeKCzRYgRdjb42w
6TqHxQPUeGtZa0Jkukjbu7DqDlS/XwNDhf0juxYNauchx9Ucg4ciUSFBXoDRVw+5JWtQpydhktrI
/+egxrq5yx3dN7N8f1XZsIWH4edyom+Tg0ZH3sEpUp1lovTv0WUCdxDfSZiqOem4p8ZLstkOkaRg
r0BhVKdCID4UAMvzxBhrYM0gUB6GjpDB+S1dPZac2TEPDRgZGqNzYkiK0msCpnOoXo3GSGkyxY5p
Qp2cl1P/4how/0q4FTc09EMOzRkC0n2tvjNlFbsyV6kV1FEMdSIyGIYRc78OoAoHR+jvbz5uLksy
Yf9zXJl256+As5dVOr0Mq6bNafkJ40OHoV5e+lOANRhaeuJpCrloO1jBhvE8e+nJZuSVsi0JuvDh
pq4qZnOPHFTAS2oX38FnVw5Aq5HTNXQXWCDwdx7qDUG1sXUOOlxGkiT+FfbeTaiH7ZBf5FoCi2ti
SN/gxy5/vBjo0vLOZjofSXCfcffY14A0xN/HOP65kxJ9sQBT+7EnBWlBmYXUVh1y6qephcqqUao+
2egBt/JSKz/1oEk3shKbvHZxyjbS5NyaxoBJhemjSNk8wrO+SQkTHJc0Tbk0JsPqWJrKvhGurXSE
AsDCd0EI3HBbcqBzmnUcNdfi6CBbmQJog9+KbRi5w+BwTqCaismyzEE3osgL8QbBEYdNwPleT9XO
ECnQWezE+XMw7w6DYXqThICh32p+Y4YawNsZkflkZes0dpxHLI/2ur9k3hgxb36VC70DPaWBGXQ5
iDwZEs3r7txRL0ae3K5YoikDRBspm4zPT/UrwML7wlOyYGcDlHGlxiLXx4AKUub6pnPgGL93WxZJ
PZhknBSeTSOfYhw+uGQeWj4csz9rXCt9e8FEhDYNvybhPcJdIAkhIsR+B7qMEJAYv6dgULTUkiNV
u7yvYQnKMPEv7WBG0QSvuDGtQ3nsTC/y6ipHbUluTyypdAD/+4NGhxhAAOxIlGp5p5yp+RBaNpEf
0cSyOJKyaCNl41WVssorgJFNiMQBpek9rROttGVAZ0hb5L213J+DKBqa0O1W2Exl1M2Iy2USWkRc
1tly31s2m8iOWG5xuh/bI137DcKuFfgfFKWd7m8dMJPIBwAtgveJTNCtHpVBxlGEwVcYsUouDCI/
h7TX2RfKcRRLK3wGIJ056vEGaUJR9CVF3iboB9Ouc1zy1CmAy+hFHIBgwwLL9kEH8Ock6yLbcUoY
0vT4p9BmpoV0+bCrs5u3z5UlvjtQcxjwcrkWc1L2eHYRPwXYRdcdVKkgoy/Ya4SbAO3DvgI7lEvb
Z5hO73yXKqegPGtIAVCUn0bn4kLHIHkcjJio4lTkimJVsmZEXpnotFAQX2FYZYJusAe1WutPhj2+
d2T4QQZ9izF4llP8iFADHlqgdlKPEgNRXbIl/nxUOjU3DbZKF4rVJ4ksins2pdu9KE+5Ifu2BHoR
Xnc0Jgsk/4z6r8rDstLnMXazH0parPW4kHFBwKKVpHuW/XCVneWBY6fOtTFDqHC9y2ISty/TjnHI
F7IuDl7fZgZXlxej/eUR3iYP0Y17RBCzcmqW0qfYcYCq6g5sj+Jk5WXzmWfCrZPSjtADNdRwjqds
Q/FstH5al2FcQsL23qxFxRhhdpF1m6rfIiG5fkw7S4pPAseIUV8yVxwc7Q+IirTWU1GlMBxFmK6Y
Kv+e1fVRSRRd1fl17HRVVNnJtLYLNaBa35moQHCJwbfST1Q/ooEHMJ1TyBRNzqgYsjd1z62Etm9g
6Nj3oBI6tW2+3puh9q4zijthuTMYZcAc9rmxoSpd0xN8uZeQOAPceHr6sw8ZFAZ9j+nLWDMOEeYE
A/NSsiEoLkaUsEn6yf1wVQIRGuBS7fTpwwDyZxSs1ExdbWoCvs4cy9r9K6MN4zb2TrDRJooc9NCb
SfVs+8OWyWfe46LPUpFTTRZ967l7Ynr/rb2KUnrgboLI0CDB0Swudjpo2jjMyOcCzqDWW7/sgJTC
m0s+imppqvZpPwW1Cf73uBg+oULYv6tbIn7Wi4/VdUrk4eXYHiWCdp+w1xvfw2b8ol/5eWZKgH/v
nTGTkfRLhd+HAF3GcIM/Ss7UGje+f8M9EWthKZk6CPuR0xmDyoq3jI95gM4gY4LKFz3/21IbA0P3
MNQ4XEVRXgU2102WUUe8RVGUSEjPpynK86UYdmQr04hul/kxeVAPgt++HA2F2LFY3pfJtzCFpRnG
EI9XrTVWH8ydnD/UPejCL+XM9dl/p4aNKdkxIobX2s+VJrVP3Gpg8c3iC8f3gz3ksNUw+ZRHyf/w
ElglZJazwCZL3m6AVdJo9wM4z8vU42mCHXq+J0eqwajNbV8LFH6QM3KXam6fK14FvKzSywOLSzV0
IaLvwZDN8qsfwrAJCNIboFsyZj5AN8K+dqfF2NyEiQnmLHkw5B3KtIbfRqB8etaORtYr2fy3mjGs
5kLLYPyDF2lYK68fLZnQCqUeqc/lR2JK7BQQu0Vu/S99PTMG61c3TUDEX6PPHPXS//FdkLxQtaWK
eZZcFze3uJE0DQ5rB3nx5ioIi8b1S+TyxKMurHFz71m7IKF6uHAF9tToiaBOMHB6uU4dKrtqoTms
rTouGX+6F+RRDJ+C8DmTMSbGGRngfPKe1Knlzz8Olz+9DibvGUF0iinGW3ZjSnYVfhqotYQ+iJnC
kiyqwrZAca9wU0sWG7qAfQ0t32iCueVWLO66gmSWIPjvQrjnhTbYEpKALcBkzBuBKOFLuoe25qJd
9IwoSZZ5MOWPCBCvDjc7KT6FgZcSZ2CfyXEQ/HxUHchmPSKd2lroabOzn2KZnvzlo8YHAq8znDSj
AygYt62Hy4ke2kdH6g8OePIYcaT3KGABmwhmhNleMfVl3huHb5zVtwqMfa3dJE951rTJP9q9FB4M
DNCZIVEKSfG7uVD8ejZdTBtneDaNCjGJtIbR1CR9584tCldI4Z0qvx7VjPnyp9yGQcm50DcpqeOK
2bofDP793CKd2/NGfRd16KDVxf6mYjn8jIaXwfnJJRvTouZbDY0Oa/CU9mzE9X9PzjSAnfCBfKHm
1PdMBhA39iFp2/ePnOSMcWenl1TR9LwsI9ej0TkVWgWBSp3SxkTBzA7IgGb6Uw7WgRTFIayhHMeD
RBFphN7c7CfxH2v+ESp6miu1FQM3b3mKvS/TTb9LuTBTc9PckrERZNWs9PndrgsE/pbX5ruRl80m
CNUvg/zMexEuQN93jut/EbjcwmFDZ7xT9tFbwzT46SuT57f7tJp8/8e90XSzA4lHsVUx8NnkeCDg
4oZf9c89qzJFwHF/JFnu0JPRtgIfQVJaz6mbmDLbXlUlvywRVwz37N35ToSeSoycqC5F2s6LZHkN
6MnrubSTk2LuvsXGr8S1wQJS0vrAzJA4WPm9jsE4sYcNPVDnjBM1VRTgYn9BrtLUvG9z/ch+W23/
D0ezcoVwecgRqgfe7MUhZ+or7NeD9xXyxjKgLEvPXUoVIctag4aBETSE81UH0evtPsTkqee8QePa
w6kCNadtHcb2trq/mohmxIcfwwSlMEMLxh7D5FwTQehWezxVqriY9bC3JZNLR2f3F2RuosQxx7PS
B7kkH1Rgq/03rCuzTLLNMGXWhk5aaBR96ndAXzWe87uoKbnv7W3usM2qHzrBArQvLpe91lVL9w1M
k/UuhqjKvSZrsIWgTCL8G/c2h4Ad5FiAKWljBEOlQU7XmsvC0Ful0MFxuYGEBzFb23FhVk6XJBpH
dJTTS4yeRDmaDGUm5ZYVv2zalCfwdb9vVd9MutpNj2N68J9BcQp0gfoXtWvtrddB/ns5FmngLSl8
MbR6TwBBrJ17O/LFaMyEZ0C6IFsVTCJnzyeURannXy+y2rrpqn+MD2/hcLnxjh8sBpFPWcOzQwxC
xD3tx7MFlW7ANBzx9YfRx8EjZzRUO4dR4wypSeIFau5euqdXJ4Tyu+FPRzNPcTxOeFsOlaAaNfQ5
jT6x2lqHlcZHIt1ytqB030834FCvkzDVGkwHxsxUZr0ieT3PHMPi1NcFLQ+JQQvE+G6aWaXPOxNe
fCdpT3lAp8vDR6Q9s37NU9mg0HLLkShN2xUCL9QdU9keRrSPeOg/22nIzapsCO19Gjgp9/7s8BAl
yMQ7CTNVh6Tn8nLo9sigJcTWGm76sb2u1ikk74Of5BktzRch8Z/VRVLLkiXYrIn/9nCCdAMaE+df
e7W2FW8igw3VhHbBPRcaKAaE7cwP83se3x9wGJV1sRknDWtlFURyTpyBgzIXXOSeBg3jlD4fKmiv
QGjqnPx4uTLTpb6bZOVSIuRo6vo08jdnGBYDGcvqYZ9J6/wQ9Zbypx4JMjZtJ1P2zy7leucOW9uk
fJZLgfYsx04zI6sEXsKCqVX/cmCIPXsSDYjBYsH9lCbdZ6XMJG4MUoKxDAWHf+IDagPpDjsQH0Ly
wZ8F5ylEnTAFBjePnN9Sn6uM5Q0rJbUJg+AiJBjvJ1ZtPGqZEFM+CKjfPgZacZiDnZaURr+FmzfH
UvK2WJYe9mkoe+P4vPF6sOpZAk3/qeTEl3a8nz/sLmcGPZWphiaUXeACd8GnRGdJtMEYxk+M6yL5
fS3kriRQbaieZiPSFsXJrqvO5j2HTCXwEC6tvBSms5QgsoyL+cZG22PTS0K7BNNrK20JMc6jot4i
D2HgfqP6NeSRZhzn07bw6t3y7l6OEySjZWCq7B6vKFVK/nrQiPrQOY2D2nMxgpCZZFt7jAlH6S1n
YYWnEyDePnh8SQK/+YkbtlV0b1DaBeVh3oMLfhcCC+aG+khdWbRFQOShZ8OPPhahQQddumga75YH
yAXrD24AD8x4+z9ak6qQI5KFQBdb/nJveAKfkkHIkjwczNQ5KYfOSa+FymfE+vqXE9EILMt4XdFy
EWpKf7n7KZ4+eDuuyz90q6aChjCnK9zpV69GfiLYYIQ2fh6EHIjxdjOQ4RuydgLMXvKFpJO5fbHD
HEm8SKt9ClVvrhkrI3BQxrslOCRbd4MZN36/Xcds4JQTHKbNm9ae3K+0/NXzVkfNwH2B/cFTv+LL
HLbIE1vx8BqHrot9q/2XDeNw1N0CWEcPwYrfNN0a6ThOp0WkaSLYPJjptFelv2BhpmfVHglHURyI
vgEFNzLrNuDDSMMLo5olJDKF7ADzUHQFQ5vyMms4xc1ZDNimL4xMc920KyvQemrns2eTIu9M7MJL
O5Jxg7P/HLJA1CCtx+D/QHUR1ns3E8hA/6WH0Pg3pbRoUW5SwbyGIvd8VIyWU40VxxIQ/ytdlvM3
rawnru/Zsjwx8XJdIhfpn5lBd+f8CNN7m2Gxyar5SOqVJ//4wne8jBOiinrAP5/0ratRubN9XiP2
Wp4qttos3ruWkftlu6oyRDyGLXSLqz62X11NUIPuH4nc1orL6Xq7Yp8plAjxA6Kh1PeZGl2yWlL4
ERwdr2DOdsyLNmok8xSRXCQ7jEcTomAuQU/hx1THga3vcWDMn1eTsL35cYWEi4nSje4Nwx7OG1bH
WbR3Wzc1J41OHj/jOicp1tmP9ZUVE0vzTvyA6PqOm0LzgRX6MYnooTI9nw4e1Af+NydTTeN6xgLC
DxUPG0RbTmCKhKYML5lVXu0VoNeeWrkV18/PsJXIiUjGZho8TOpFrh5CvAYmLP8VX4/TlWUuAaIu
aDzGtJDdDkm7rYUBouBc3H9MI/SSEQOCbZobSvOCj07L/3Xpl2+M4ChIbTal6DBaq+I6hmgC1nQA
xFKr89BQeDU6Ayj4tMfJpA9u1R1JL8zO/bHerWa4bVIj8Tsbfaj9JXTn2i7fw1ntHWXFjK1Et/IT
zvcVCGrfNVRmKFB0mwJmcH7dhyDbkvQILtKs65hU+hwNHM+1WCNqZ1dO5pPGg8l0pdHwHODTCEZ9
8X9O42y0oK0BQ7amcLejYgEDGIZh3xdYbwdy6y+/3dujvrSXKDQ0sA3XO9N1K7PtbPpXXM4zzy1E
WSr8aTBQAK8hjp/GHeAtcY1RNqquoKOYGq6/z9g5XNhtrGq1+4e1ZbSBIwTnRY/t89Or5IpQPAcO
xqnlK1a0enp7rfZHPmTcFeXArkye8uoHB6CVWvJSt4RyfDAinfynBZ8eC4MT1Vn2HYdZmZeFdJHP
xWOJngayZ1bPgCGbe7ka4WIMnC2P2At5DqxMDfHtdcvtgSYWflneBfWeimXtwF42YIcoN0M6HX8j
HMRJgeB4heKDHGbMAzzS8XI2jghZwjU1YfoLyELTpoRkgU9nhQNAB8RDpr1S1SwxnARrJbxz6dbg
CmY0VRFp6PauxJiugzpSYeEIwFilDWBXOWczSBCrAeOk/TTDI2IRQJTZOy3oxpjqV3J3cOzx9HOB
glYyocnUpTswC0MvHqtFybpr66N5FMZxq1Imm1TpOCXVupQLVl0HHIZ0fNjgJRzF1ZwkLfhxxQws
fkVpIPx5W9Dv9euj/Le/fqMnfRdLAohf5M9GOxifDgaSYCNU95+IUzPX/57LMV8hxDinzYV+98FY
sUkN3fUnzJiVM1OtzlonEZIMe7c7txLu/7t8HtU/KYSTTYwhJK+EmPMjXk9Fr16/vi2j71+XuFgp
qiuN/h054WS6wF0kXQnmgcu23w6TaI7bKg/hCHYdM3aFQ1t0NkEp46eQtwZaDchmr4nE2KH6yDMo
2mUQEI38lWjANnbfXP6zbB67lWApwC+GgXUwAR3lDFdOK74plMycbqViIFR/a7pE0ii3ttwSwk6e
v3Awvpb0VcAr3y4HBAQVdPS3YllX+jD1ZPCMImRcIOm/xmnEdZPVzPVzNCajT2OPLwFjRGqI0dqy
3PaEumxhYLO7ppPZJpoXRjVU/VD9rvkJSsO91KfKoxXRDPiGAiVpfGmJ13q94C2kM/fukB8CAM/p
sNhn9krKlnSTLjqj/q212ADfd4a9rQ+CTxsp03wf4bfc8ieGrkZwCBMfrt7AfVpCV/up7WdS1WBx
RJHKLVm+g9L9wtO1BW7sX+eT6jN4qnOSRUSl2l9SbyyDYP5zn4qcZu2UUKjpO39L2IWhLphwcaqx
nghvVq8QMaAMeUk4igdI6AsCcPkpHUQkX+OT0KV+ARFPOM52JzzNE5BpEKXT7oExS3VT81B3acCO
TUP86XUkTMQBvLDpqRVUKZpgaWayD1OiUjcpUWlq3pKgQANiN5US3GuyShQNacmFNb6Djv5GD4Xx
e85z1jdHMR0EeGv13CMvqnnaCgXrFI+4GYy9+0DCiYlKnN/n+s4H/Eqft74NZyRFtK2/MwEYx3a2
bCYXv0qsAzSTaT1xY3hQ5RNhZdKxm+wLmMgCqWROj3nDirYmaXyl04to6//2Ah6a9zqf1bnhWrPn
ahkI2Ba5POeAuMh572VuFGfcORh9GN2OjiukIKCvRpycZGvqdaULmdonTMd22jDyjnj4n2GRnxeZ
pG0jrOsbO9L0IUxV9nHodzoj9zcUxKoAszA+9AirHtc1G+8jDTHmHglUO+AYf4YJVtin3OyeTlCy
aDYfy35P/OcqMq9qgYyG11CkMPucWZs+fVWalC5SbgW6Fww0/4Pn2bCyTLyVD7nTLOpbX/EVjfDb
iZ8famvtQ5gxbNkEPOLv6bSKG845iF046kI/D//X84lrNzEtq8j+2wfYSueOnI74f4ihDyMCPrSM
WQKVs+0J8/vlUIlf9LKY2i6lKDTfHq3YWNciVcduFarV65bmcX1jFIkO+JxxIAvMsRuWj6Sx4zTU
M6IJPu7oyS4KrOj61qBakMJHJNniuay3gCwbZ47ojjMWu9XcJe/G9u7oR07YUu8cAldyRKhBGRAB
qd28qfKu8cmyRzXAKbKHIB10pqyLOr8KGUzIdTuJ8gaeX4CnrL+JsasOwiL/FZ277bwfPPJK0xX6
UuGUI+i/rhu1m2eZcwzPu23xrI2A41DiDhecEpqfRpx4/1x7lONzeHts4MRDlnbtN4vAeXKuLc6o
+TH9iwtF/gbhEpiiCmWqa4tXdg7qnHk20cGnFCdg3v/vr46QBpeHmBRkSv7jX+nVkHU2U0WyHSOR
tQMJrC6Uktkz98ASiOmTxVGuldi74i332kNK7pzVqjtYxy+5627ewzkwJcz2sSAamNK0w4mqw8Hg
us45Dx3EpfClfRw8rf3fAIblF/SetGez37cXwjg3I+jBL88yfO48JrnkA03gM5OGaN985607VmZM
H2t82FQUXKCwC01K4udYLa4bt0lP1efYPlMEI/jJqP86fRs1L6pIqUkjA2F0CqvJuaYKa6j6Rgge
pMJf4iEfrkPI9Ch0lPKpBtQa19RB2LcN9nXWaTsuQjJkJr3PA2LQYJSqqZybx86bNsgRx8NwOwM7
dyZCit8GOuT+CbQCxaFEt94UoWcaz1PKeWrrzh5bmxmKN91Jn4RPxaiADNlQk56L7dUgS41FlXC2
gAL3NtlO1B1ZMh85Nh+1ZuNoq3otfLFyBB0mvk0/14t1HyxK87sYe//ee04z/foh24c9Q68unXMT
/c9xH4KFHzPrXGDrh5Q9Zg+M5lUgxpHMti42g3qRsHj3IQb1xMV/1onSDvbb6D80MHNDzCGXguOx
4eFJKUlZss+nck8yqdG+1QwYap9aUOpJeaHqVmuRUF6sr2uts9JStFRfk/oZ/vd6/bxlydOSJa7H
qyTos91Wo9oHVFmnwCPp+jBMr3Zto1k9xzvWqDrCk+H81kaegwIJUZVpltxT6elvVVkxJsfH+IRd
KES9JyPL4BzXQuo46UYNEzvssah31VQxMuJ4LfAOFq1fx1YGQcYUfDkLcHAxGtbBFJEfyd/qwAKX
58lzdChEFZ2LPjZ+nxUgK4ccIcBGneM0/HlRiQx36CvIYC5bjM9UCgCL+2TGPafKrxAud0ktk/tc
GEjFi2qrFZHNGkM1sDnKXp0v8sX8y3mnnd0PSjC2hUqOTxoPs+m/mWK5xopbJwdJ3TO+Cs9plMLB
goTs2nHA45lTlhvkcFFsFPsu8IpS4JEsvGcGgK3WfhTP6KuHVUcVFOr02ck1Mr/+NTJzkSMrJXku
GyIpgFGzdnoBHAyi4zZxLuixPjB3X4MKCGLyFL233oYQO/3zyHXbL0R2psP5UdwmlNS9eNhwGh4x
OClTHmwupsHBon4z/RcDfm74XdzkHjTAn/zMLPqu35gfCIh8+5bZDqrXVz0DvQv69MxD2yN/Tz4r
3bLidNGXvtoRu46FxYVM2J6ULjBoCrEPgAp1r9PTzbGp9NMngJn83pFqdzd0OeHmZQBWhWoQUm/X
ogOQmPNtod5G6Up1Cxkdbav7StNMi7AZ4TztO8knWOMpERxzzWgTpW+3SI83H57pX33e9y+UASuK
Wtr/8xhdaXr8Qgq/4xS3QvG36bVRWz765yFNBMz66gy/hxCqInxm9PzN/xqq5JZvTK+Vz/J23LOE
1l8bOSQIzQv8seJlqfjFO2F6ACwmWJiTgLndvQvaBlFoZSzXryEhYiGq9x45ajBeEScMCtjezeyi
5m+btEydTlHvYXwmifoUjZLufP0XpUAXAjsdPpFDwQucj0UngBApDF6QfT9paj/hP4aXL7Wl/+rE
DKFBg+fKlrWx+IWrvCz+qya5YM66oTWH9ptzqxDLutgk9TlTA/1cjEvQxLw5XOQj848ODkcuD5mt
UNIpE4ZU2qfQi7aaeCCMESGk1xz6mWS1gZQD3Ry7VZlUpcEAhbezRbtzVFXeIPwKoEETIacYuDHg
eYyvWjO1tfQylCiCYWtxu/AjZZdGUnIa1oblS/e84v2kxsVmguUZ2dmJlVDOy+7AV5evE/AhMfIG
ZngMVGIHao4OWb6rTGdIcoK8ycJ3R/YPmp9jN3gHltG1QogFtxrRJhU2l4JZfUlt5ReNq4ulDklz
dYRWIvhw08rrNVMUJ31DTrdzEd3Gxq9xFluxBh+r6Af8kD8TFTqLqM8YM1tAAdz178RwN3qdmKxI
XdBoykrF/YueqQHL0svW/rebjVklh0irP/yKfpd98KJzki782/9WgU042JDGq77ak2x25KbRXiEK
0mtHyCFIADSMSQ/sguMjSJjQgiAHmIikjFesxru3w4YF+cxFV4tN2Hwjuw4GDTKi4X+qbFOumcXS
Hu9lJE2ztlW2Axy4nEz09XYZ8xoi58YBy7DAGUr0YTMgSUtgmqwJMcUwNIAL9aufDMEuYZXNYnSL
HI23bVHJ76fB6zIYo3lu8BilKjRGRaaIR8qnRXMy/HU0RQqsOV44BXpqnRFBkjT7BoK9x3F1g/ws
+T7Im5Dd9byeqpEF+mJMIuAvrt5dJVqx3m4wbgWjvRXhlFPP7tvBWh3YtYH8X4ldZPJwxZZkXtZI
51d5zMeyr9XcBaVBpnbitm8gkUgvfH6HMh1CVrtiK8ekqgXuaUCiKqS77WD1tsNv0ecWy4NcEXi0
6czgD2q4GoSELHKsSADM4uxBaKv7u5K4k7kBliU+PEN1e4E4SXfFF4Vr8KAEEg2dsghFhLEhO+l4
jn60rRtK8Ytw1VwfvkKYgh0WV5ZbxGi8pLWFXAufu84bKW0ML1G5CyAMZIhAtTQ657oDxzspQgTH
J/EazF3ho7YIlKQoRl7Qtffw6hACpA+n/d9NcY6oyBalEC3FmPMsTF+x64QkoLv6k2jLzYCdFmEv
dpBSu0KHzHDcnERcuRIiHeCWZ4JniEHlTG0GuK2vnq/3kjQA+uhGyzn3a6tKDJekU6gusdcdb9gh
7n4rvVn5iwI37RvkfW99qrAHVb69dOZITvt8KDzPV8m/Rv20swdSjiyK//CyU4mq/S4h3GovplXv
uKRCcBSYxSWVLeL6roTL+YvtYMwnbnxKVWQJLN+YJqfJGv6/5jpvO8AkQB2vpFk8VJZKNLRbMM0s
6C2NH0EE6xdVWd/O8XviIJiEdjiKunHC1sdzN0tG6SsbebTlMZ+PQhVK22zJPK/GzneTNeVvWMyi
AFcXoMlnN/pS3zrbhog79EzQfhZ00VaMxPZeW8gBwLE8vWTv6tGRHr4CTjKuwSoyTaZR/A4UMki1
TR7aHOjN1eY+YRZkFRY7G+x4rfVLoi8i0r4a7F9CpVp3KcWHv5vPNmiuoLGjOil8INAHGAgfGqHy
vlHEQooFN4sRMruvYoN/y8jL7dXs7twH45+r/tBM50BOhYx08pxs8y5KwXm2W9li3s8Kn6SMh50G
ggICtNFU5MLqJWAO6bX737QZiNT+acZV5vffULjwuS1xlRXkGz609FTc5BedGFT4rO05UFKxlEax
2bQwgnzjvaybjw/S69pZuop43fyslowPCzFjwe1T12bxTus/m/E47ZR9ynOHUBDuEeNdNEkg8hd2
j8rQgmzHgbjoU0plzyG5sCVaTMGw5nNPMC1PJ4SggYWuHkTN0CCLteHzxtIg0/75h28vZfXPWglD
1UIZjTW9corQdlD3rfNma/nsNHCTeIFOJGmNk5ACCuq+eouvbPXabQW5pwpFLe3ubyj5bNOgfqCr
5mw1wtHQ6BamYYwEmBBPb68gIsWN4SGnNh8PEV2PQ1b0kSn5/vBWtxay7X7tIAj2nsgqRr2F6v70
OW0mghYZOfPDfBq7bEFiBnNAGrQl9KzcHdVRRbfKIHnJTsPAVcijJEad0iLeJeMgGkP4MDKodWnv
mOR+BnhugZ6tpOfTpDwj8XWI0ka7Xj74fYmWfE/gRFkbWIoNHkikfnmzVUgc712qsZ4YoXHLCGQP
2dQNAiRni+hZFN34yC0HmvPmJCcIuCvIYjLtx/VcZqcd+xIAOH8o52vrDgxyPwzxE3q2gdrbLS3i
8KqoyTKc2D8ZwJCs9as/kHOHyn50dTRZ3x6vTYHsCvSO0PTNFhHnpclQlLYD2ySYZNcc+1cumzHR
iSH7SDYOfG1RJxEt9SWRkR1iZLsPfmkEcNC3bXHY8dzipw+MZU/nG93m+fKN4VHSBj6BaM/WB5C5
megOZj41rqDlGsEyMLHrkKjj7kZ1Inve5MGpoLCjNCzg5LP7x52HnANTZCNIArgsMtbt+hoJHYkT
lte4eZUsVkf04Y7goyCjSZrLYkXfmmuWnmWiiWMIY5RH03iPVBIuSEns+ml5u7CNhvhO520L+RNK
lJyanlP/8O+wZ5ct8pGtfzr878MSUmswrOQgO7j8kByMFz1z74opHt8BQsNFOOoLetO73MQ1b8oD
QuC20UqV75Ra7jLPIiYZtD3OiFEUY10hlegsDMnANpe/tSwDAO7JnhOsKcutPZavSQwCqe0wniif
gMF/ea85KxArG+i0u4cAaAOLC9XbJOSWrQ2jL/8tkPNAS0yuEWjD/6DY+OC6DiJbIcCF4OkPujC4
BVazTpNa1VwpJa90b3Vz4fLAVH3kxe5x936/s4Kx0u453BuYoCwbigol7Tan5MmBtxH2KYO4k7Ij
crG8IkHA3PFfdTcLrTbXsvmyee0wzLvnCAnuWvSZ66uOWRhJxBJsl1HoV2YEeHJZnHoQngBqUf37
0O73chn5gy7UalBG/MYizPyNQ86PVozSzDvX9Req2j4URtRmI0NRDPt/IqTs1ehWZIriZyNLf5hY
PkT7r4TOEW0Br2xkOF+h7OXxBzIomZDk+o20nUVrKKS6crASopXmUzy4svGSivXGklmLQ0ocoKot
1GXLtz+kM/rMHdAn62q0/yaEJLl7vTOYhZyFrE6IwlGTJx+KlfFIjhcVKcpjvjC6uSUpXbQ/6Xmg
Mz8+QhzL+KKOB5aaB+wIYx4YUubm9EXyDJDa9BgEZ2sgIFU0du6TD5hdgX4YADq0NgpqQmg6i7UP
ntpMAYYJqJFdkK6VzFzt2PbgYcK6zzqF1UTo4GEyIYtBDR2GPFeb191k/RbMycYE8sIFM+dVIiV3
Feh05lOOqKpbJnJh4W+VVyhuM3wN1HSzu5fKVynrCf8C+ByTAUCmZhiwWYANDupT49+a7hyoj4oF
RLNgPxTyuSDnRCoy5WxnjknQ6SiJRaxVkU5qhDVi8PaLqjbxCkFr3w8OclQnHcbxr8yVIAJR0swH
oENwcB9JDIbX8nf3+R/zLG9zWrbs8rmaB6Jd08102Ol8u9kzEj+mqdsZASZUAikQzwXLWWvQUoEt
qSjEeLOtsGye8iosIE+6pfT8wQXBpDEiRDPHoKlx6Sk/VeS2puHfIRAWIHstH1teF//nElzKDq/3
5mWdSiW6UiTzlZPMtE7AzHJWAinPaPkMX9MwjHX/+xIkgD6UifTt35cuNCCjQvnmj9tHsXoAiXyU
VWqosdF3u8Sd54NMAsOj3QCHN4opp7FjzgyigPAEhB3a2kOD1GWFwBsEg8kIEvhhyQdMVUEim7qR
EJ+rPUfbf8g8CWr1U0UWrYEsnD19zyAQCy6qM3lWLfBvoT2oVtOCyas0Fx5PDxH1GGS/ZAnLrR6r
F6Eh9/9Jl1HyD7QOdoetXHlBny9I/5CmpknTN1+OqTMv4MwpLdDx99Lc8BhR74OhDjcaVx1Inzvv
aRo5pgMdeQXgU9Hv/sMGZrQcLvj94vAvDp9sXT6g0HTQJABg4SYHi0riITy58uitOoUMJaTqED4P
RsWKXGkoEKmintSrEiPsOWs8+LxtwA8oFet2Dfa181XDOLHN8yS+VNl0ml6rjeLmGJDk08G1UenJ
bPap2yNO2F9hjsoLKx5Gm8PyC1c7feVn+HtAL08NHQBUzX8SvjeFSa5g+0XludINuaGZiHkzV4Ru
k9RHwx3553isIajS63TUWyJmLDJ5D4z5YY69hCbScBy8rNrK0WR7T8SqEPjVEYK5p9C6SqrnXlHn
vR3WA8n3qncQL+itQMVuS7YE9ld3ByA/EGw6eluzHsgmFmaSnxf0A/KUJ2ojdfeJYd65ruBlxQNO
KsSjg68XO9C+BIjmq6vYaj6VHMs0c1W2771XqHbyj/FGPcGzsgNuihG+/S3eGJdhqYMoZjfjEJIu
f3nAxnkY25nO9rFD4I4pKBzt7aexP3DDWrq9IG1E1yhBxCyny4Rytv7GEE74lKd09WGwJ9E1F8Yv
sqDVrU7TY/fhdw/I3E5EEV/PhFIDt6qhjqg7y5hGSa2+OrbMY2sYEKn5+Hcwy+YL07yWWojgbkrF
AFdLpM9U7yPIJBGwY3UPffIbOSPqvYBwfHsXSRIB5+JEqAGByrmFeXXJ03VnXqaWFvBlINxixdCl
QOLlOUXrN7WMgRSi/a32ylQNKgPFrRQ9ZWrJDDIt1us/WcgoOGk2bp6BEig25AKfNK7qP+qa3p2h
i+TUqku7j4y9PQx+OxQ4og6QZHlk0FAhFeKD00n6pw5DHNB9EP6VIK9mT8zwcZhp/cYhfiULKzuw
B2Al8oKhw8nlEYiqLsLysx6QYGDxEuW9lAAKuh4x20QRSh+GUp/7n6BlY0FvOolBm+Qf5cLXMUI2
dm5mxZa3LSrhZIIbc0g4VGvGGRVZejFCcMXeXyfqR4Pp9z6kAYZMcTzdYm5cYq4c+b5m7Y7xSnc8
gko88vtCUvCg+hieZpySjGCwcwqHoSm4t10ODiO1V2GY3ifFfzt15FdG0pU6PlgcLQqv+6T+rowA
pI86c+WjKtxxUll8yxUVXGh5nzRfAGrZZ4SyaP/F0IeA48+MuHgEH2wMFyn5Mox3WIElgy25isHN
AUG5ELxZFUemHJla0TpRBdQX0koPJHzo2ZysKXYqfWYMAtnFOkt8ofpctFrvWIaxZXgXI2SFbJGN
X3jEHGX4OEeBspEPcqVcsgws0XFLvD9h4HCrSkY9rskC4d8/rsZT1EqrV/7hVfdA9mROEXvv+ppa
wBHGgudz+aY6uQNfyJMVMzYQPz6sLs0hzBfy9Gdbmc5SjtzjMVxUlW8psL5TAduVtxuXhY8bxDG4
aGCimnb9BDHoaqgfCSDInfiMUh4EVCM/02WVjf0/rvfPzbAhZL2JTWNt6PusexQoDMaGdLgi5mLg
ow+aSygNMTvQi9teQQutmJxmLK2a6I7izuc75sCxXQE1WlK0SA83iK974V73u7eLRqoK9kNycouE
fzOq6V1GSRqRNGjY8pL5nrem7G0F6rI+Hq8xSKpZGoGrF8SDaP2QIdg7UnN5UsQ8e5k0tkqhMhiD
LrqhOtageBPaU3bRUcG4Q9q7r2RHYZHB7gF4NL5lSOi5iyiE4S+D5bBm03nsQBjxyPhPmXHlY0LQ
qiSIzfyrQQHUXnv0/nbOO6JZR0465wF8ITnyAb3eIepqWrDfwf/QKcJ1whHNPOnLcqhylocfxzhs
Nu/JyJ+KkUZHn0c5X/XBW0ANRFt/LWuRpcyLokMZd88ockTTMQmVwHV4CXpZThUqhX8hrrD2iG7Q
wVX98G1J7cGF5PwM9IpdplunLMNCNluXHAlSc1OflICcFzIsNxQsg36ktQW9ltVpwzWbJ1HJMpPh
GReT0+QR8VjytuTE6rxsdWNMIqDxw9qHPR26kII3QpaODtXYD35o6ES3RL4S5EXo+W7e9Zq58t6v
umjDojueZo7rys3RCNEN6tqciFwxEU8lsdr0EaVko35CshnHRRK+AaoaOpecY1JuaIU8mHWpNV6b
5tcMM0yU0SbtFO5zUVICHMwM12bD56NQQa/eJDD3MnBpb4DNwFdyTGUHQ3sDsjbf+fKAuCNrBMwS
fm/PaSvU87R4XZ6uY0AQUuLeVrbG90aLKxfEhTpXknVb4xU3+8HCiHmSitJEcDA5+4pS/NAdrq7K
Skv4zh563Pr02Gej5aYNHmkKDjlF2ULML8q89+U+972zuLxvrnCNDW0wCiml9mnxllE3vKgRudTz
MRKc7ze5lYCUT/hIH7OYMtHZp3bmjCcOJuxPDWEcpvCEvzI6Co/UvqNUWk8yqVxUVDL0sJd6JzFh
iSLUfYQwu46loGOdvM5s2V7D+M505aT89xG57V9p4n8WTprETuYX5SP/VQB3W15POfBXAnUuhhMM
r1DeRelsUx3pgCHlE4lacUoEZDKGZ0xBJP5v1LgasqMn1IdS38DTrYZ7c5lq9FW6VrZjCP2iatRV
S0Fh51DXLGLD+lB82Ct6mlbkOB+FgYw0Zh+wvK1A1d0Fgxhzbg2PpfivDLISEoc/7oL1IbV8DbgH
+T+onpGLYW3NdRWmjKmL8CdFefPNH+euVuuCKbZEpwCpPtY9KX5USURr3QQJaiKBkDbkotbmJ8AS
VLtPtp3gRPkDTlWcyOznfWvfQVNiec0TX5DPcGAu43fD7nk81iSrJbiOS80B7nBBTix8ZK3g+Dp0
4AFEvNZLnST3f5Ckf2qAEm2tI2b1N+30N7VNp3KPJRLY64FmG+JjucSxkbbm4UG/Hsq8oP5RBQ0k
5s4yrv7bi+TW65kLz5pkbT8zUHctKm0sgLF9WgR1cRsbrlcX/g4Chf2ldKR4MT9qyEJ9PuXGCGfA
nkfLDy8cKdafZSMzuwz/mln1hMVrgdMcHrOfpmCh6aCi4ONMmUVk+cBRGcTKZ2e/2hx/YM5pydD2
eBjyb5ccze+VrEUE4W3aLM3MRa0UElydf2EALgbj63w6JdEP2lG2LPNwLUhp7hzKGng0amrw3HEy
fAoZaoG2sNmm0Gbpt89IPXiLBTK84/ZJ7z/0ZYGcgpk7emBksIQtuAb+yud+84oig14+vr7xtVsM
XDlkvFAZWTMM1LbqS65pstXfNUlbSs30Z4PPhzLJjEA/H0us5Ut7cdxqGpzsfqTZvi7fG/MR83kg
CbTcvkRDgyhqufLaMU0LrQRuFTmW6hbZl1H3S7yORHsXdwI/sXWfZSqTjFU2l45akqE3Zd6xfzDE
t2JlHVG2r2eD0dglLKwhrdm7jGGevZCqqpML5VPfxb3/VwQxrObQkQy1hZg6W05w766W7x0P+iST
jOiWpnnOFqI4+lJiU6K1PJ/gesHFHE0cbWtoHVZenRXyBH2uzPuH2S1ESZ3x9rLP4FYbuTGOC7vZ
wSk9f2n6GKOdfVaYDFwZ4Q/hEmBa19PT9AUU7CMwQIFqSWynxePsq8vrqIQ+h80CAZ8/UHyEqk+T
vrW6hO5vSU++6Qzdl23KtSDSQSzaD79gx6G3Sau2gEhgEfEwSBwZqd7VOfHvsVHGqyrogBgBF9M6
pw1bErOZAcukv8F8eIO4i9bcQ+Tp4Qz+TwSTpQflu8tbuWfvBk/hgHG/3RttnKg+xZYlsGmx6FMr
LAhCOVSHWT3IAtHOj6ybFn5ZAf8+vSW7EODCkyTuv3bdfMfMKtLHttZVkc/QgUsyAtcHyoJqAUiW
nTd160KS8SixBSFFWu6NI0uQKwe4pStypRMj9VgBD29XCSnxbknBQNGvWd7ioOEAnM6psQ3GAgWi
d6g/goDKtbz+wtPt/IALnHgYxs1Wi1UUAqp3MBImsyJoZ9k95cx2pvyHfSsqDNy6MeWpnf05bGjK
2QHmp83KjtxivLVl3D6vNHTS677YKDhhz4AkEhW8IR7hrCD8KoU4hNrlmvhf4GXNuwS99bZln/xl
JDNRYD5ztp6CsBR7TdaTZGK5oDwvdLGrRZ16BiCxutJ/YxxEdcdFcZwaYtX4EoPqZ+0caYZOCN/3
XThcqWHWNrRBW3cHRSgcoH+dm38qZxXfrnDPaxktZ498dDThABFVTTV2eGku4TKuAvPvixGIX+IE
GSC+XsrVYnWZGhyrcWfG1IR7EqYSRFTixYtTPyUzXfyWjQ7lk8vW/zMTAm6L6/zomqzf+5icHeE3
MI8bnb4XWe1p+WhNidKB/QkZ6KZyLIvi44RDjhdxjav0Xv8xXAdeY1RCmALQfiM9JopyDlpaG+H5
yHUphuGiWaXZgrU5YPyF+UFSWoQbE/u8pg6JwgWVS9GZ1YWsuw21DbveptRxygFhy3XcCHtcmobz
GLzonZ8o5TaW9ZDCsbhbSWMAKj0JQQtmv6bmY5UfKlbW6watukNgoQtVc7BvQDLKVAgcA1daLxNB
zqo9uUq9H23beBIupiexEdF3+ax2LIrI9yI/XWm8/xOJSOhhaz8qLFIf+aaPgGS+22RU+hYDPn5n
iRMGGBuT0OD7y4oVwy3Dad/mk77D2ghxwJvpPvt2o4w5xfdUoBpvKBFCK2/iaVla3KVBPhmBTJ6+
ziZctEcKLLBht8ff6iVzMpPnnNCk1ZG0RJMy/lHKW7wsUrpMItKdbEqHMEQFUs16JT90kXziuM0x
OVJI3dOrSPJZD0VCDdAwVeDfm2udLHPpGFb7Mc+ilwfA0jSddficX8KI5JRp2RL0TKB9AXTlWpwh
Vs2v/9m0/hg9R8bnAD2tJbuzF8AvknN2dWxr//dMnxXYnGHvmXVyncCgqgZgMLOLdKvTtXGuW71E
wPEH6WBEKC/5NRu2WjNKiSka2yJf8msnDacOS+OHw9Xgv6i1tWZl7XkH4j2txtbHWY9dGvC4C3kx
KUxzBJYksNqbFvj/kz6lAY7Rxg8I+jal0aAur01A25K0axoY9BdjaIuyQDW1Or07IuhKmNtGDgYd
96LYfrteeQIZmCRBeg4M7xpdGIdRghTY8UOJLAu4vv5edpfcgoWrvOOcaF5Z4ZhZOnguB3HJUq/j
hdwuWqCe2Efw2tSPE0QCwgN7grv4nyajdJ3Xyfyoy3gHsEQ+gukSomBeJ+DPTFKnnkSEkKT9Mrwm
EwPiv1cfSLInzfs5MLT0YPrB52IdbwjracdJBYDLWdN9CJgSvLnK1RRkZwIrlv1wRPnFJwaB1VWf
9nZKbdsMJQw2Au99yk4OC7qNhVGxrW5WyMwF72sHA8E28ktba/xv1+lDCX0dMvbs4Xgapi7uBhCp
YmsCyhZEW1nsMbS6ZzIMKhtdORPpCB5YTYmCgdgdjnJxMZMkFQUhCCQRbRH1MEmtvSB7ss8y0mD2
TZgLUMqPVnk+7yZjLaMfUG/Tocb9rszSa+OCagF32o7rbO698MbXx+hMYZV76NZ2KAsY06sM0mDA
jBH/09Wip5tNG0EJsjEUFBk7snH0XrsMjk+P1NtHbR1AUc5ruAgZTqjpyyWdOy80bOJOUjTV2xDr
dlCVXn0xoShw4jMkoTqTtektJjwKLA9abQEsPVelpInY/td6lhw2GNeDUwY+OWa4egm8D2a2em1g
7dQ+9HL04Xg6somOdl6Xi34El1swuwsOYlcNkS6TnIo31x669f6Lo4gRl1kRYabQLvSfBzZ7+z9K
M0HHH9CSkhDUvk2j/u0AN3EE4PjQ/WOmyBoliRoebCOLhxtVIvKlN81BvW0HVIDrdeYpOP/rcKk4
X5kbyR+8nYfS6iZcSGKCEI0cwtZ4xt19ceHFhWiIbRduBecHTMbbJ1z21/dH5HdFHp9G08iyQsWk
dGKm49futviqJ277XLJu00Gzvx54FV4Bfz4xzoMa5ShiS+iKoPkY2+5l4WyqKOqvkXrOdvr3f04V
ojJWG2KwCXnA22/ibTeKe31TQyZk2YorUs2DjfjCsZT4BTJr0l/yv6DUyMdLPEhD7gBAwJWeORb3
pWCmXCCiyY3TX16efGIQTwBSAV52LnRmT5inXZiiqkIrSVwN6gjld3Y8duq+8McJFjq10lzDWn5y
0wB73j6yRIofwL/+BpJfuA==
`protect end_protected
| gpl-2.0 | 51f903475eeb96cb94557225f59ddce8 | 0.952652 | 1.818742 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/c_addsub_v12_0/hdl/c_addsub_v12_0_viv_comp.vhd | 2 | 9,654 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LiXTTKbnLrbcHDSt+GwiwaY87T7qX8zftDY0wIT6LPC9eW2hEkoZm2U3ogD88DxlYq+UcIag/5JZ
xkAOfBtiaA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Enk8yCmUy08xI8705UOBilCWRBOqszGNC+dVpGNKm39Y6x7WEhb0u6/aVoYp7t6M/mESTW7Pb//0
BE3VRv+ijb8W+t8XYZMfiUWXn+LeqDcFYgBQpKpQmo75c0qOLHyX55zcAsRazkUiz55+tJb+CwO/
HCd9cquJKTudO3mI+aE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
yFS0zERCOMnlliI/RgrjrSoWZmyO3or0uQgHVN5ApM9cIqWZNCFcxT6cA1Mga8fuP74e3WVxdBui
21CdR8yoieESQEvA460kYyPWnjfIGnyCtaJd+s0/jUDu/0CExudanPpLmU7XF2ZvMBRhXT2s1qJ+
jyo8xCsteYDphs1m41UhieTy1FUqTdlj2wBf4RWhIMo6qcbRKBwmcwuEjHPfuVH0h49EgBX8ksgr
IkI+/+EBl+plNRtoIRcUlutMNcMSnAxk5leHw1XK9urXMzsXPftHOiaZRqFr22Ksn8iuVoG5iRkz
dz2sTqnR+Th+PVgARx7+b25cYIKzv4fzPKAN5A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aaHJDhtzuD9tPEUacMRIUE+oWvBwsXtomTMG8Wnf6OYTir1tVT099+tkVektHH4gHVey0nABJNmy
qbfcNQXWA8nMy1f5RVFoPCT/cJMgFvlTz7X3BI41nfnuSX4iIMC2LIsAXnDqUlue4iiWw/qFuM62
BnPZPKIhteiW/HC4nOk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
R8IR537tpntft0GrQDuNFcMyvSjGnaGNpEzIRUWb9jHu04cf1OAAwZK6m2ypUg8tXVQsKquXvQTd
X+dGqMFzNAX1NLchJFRH19TNPBzUzWnMpoQVaoKnom38XPxvH42BA3QB1gNCyyiw/sSa3wRdSwFK
kPKXcpbf2HZ39r6GsWw09F3hUDlrE/RH825N5CdBpmODvLv3gzpkGgGOZWVHeBZR0yVlhIBlMlll
mW1QS2Pj7bnIU8Rs0ZHx+NNM0FfU2TJI1CxK4QPIEU9TbsUsvTyHei4j/WaQaNtS9B25QBu5epdc
PRk/s1dVKe77YDA+A3yZHiDL/OsQiGjlYd0ZLQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5408)
`protect data_block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=
`protect end_protected
| gpl-2.0 | a32f90a3b23adeb7feacf56e611d751e | 0.924384 | 1.913957 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/butterfly_dsp48e.vhd | 2 | 35,787 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
oIsLhWp1YfiUkjObYqkzGK6LdSlMZ5HJ7Iq9WL/XEn5Mnoa/EOxk3ENVjJxLfMyqczZvDawOMWEa
FXiJ3e+Img==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Uo70pcOYvoc82V6jLP4rkN7bRj8jSB5RTxrhdKwRvbwn8CQmPT+BHT/+pt17y7ZH3N41cpOCv1bK
N5jRDXdqEl+5fLoO+woItgJ+r2NcvmXqT1Op7JgDryQjRVfKkjRI0BXtRlMfmMlAfsZDsD+/WD1a
i4wt24jmdAvjpJXiyNM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RQ+o6MbXTYAFaxif5In2S5Ms5x4jBG+05rPVesTxsDD4VrMrFeKSWjF93XbKvasKohhoKcZq6QBz
6C+nSF9AFOPb2l2KYdLrfRXgN0dmyHsCCpvxhNH3EuBtPlmurgE+Fv7oItwI7N5B00L+z7WUMVrd
i3webAnn9ZQ6+1yGLAsNDa56GsNIk8vZeBcHKcwoyKjlfviBQi6q9Pu8lpTifhRgJizq71MjC3J2
oXOgzmDs4KTh0qF5Y+mJXYsY+kL8ZGOP6al19GGjtv/1UX+o2w891ROpW1jIrhcovHrMyFj1XEpv
9eqeqfHLE7OGWeX8lXQl2+dviLEXk7/Goox7Dg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MuV4B54fOgdGcGCJHYVIQZaA34UAkPJettTJlWrnt+z+M3O1kwVF0ZjdniXzUaexwHfkYz6AWsu+
w0Wn9NKGkvGyfctptEJDHain/zeZzZH/+SOSsFThymctP5Jm8H4p+G/M6UN2KVGvDyZRv1m9np1/
knQ0HTBFuPvDO+gbGa0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KBRLg6Xt0VGSFvNC4Ia+7jufKBBWnbC/UpPnBMm6MhoAz7OxfzgMI2wmPgXYySWsPaWjo9LBzl8f
cayazkDy2GF4b7qCbcvheWm9kNa38Kz24viEs8qd3+5WCJ0dHimIFr+iWZeXWIu83oNL8ClOGnqI
2+oaOeY4ugB8crzGY5Pjbq90+HttC0442PEEP7+ZZAsbSjBtEnHsuaZ3Dq9216Yo43tKOqrcjw5y
6e/oQSQpWy03K1CqLZZ2IQDKpAm9eLH2+V3d3l8+Tq8IxwkntW2MLj+2yKohCsZuZQ4zzTn1K/J+
BnQ101hUgp+D3VfikafiXjCt2l/JhxAI0kzdbQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24752)
`protect data_block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`protect end_protected
| gpl-2.0 | 75eaf7a632f627abb2ee0a85f7d451eb | 0.947635 | 1.831005 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/r22_ovflo.vhd | 3 | 8,402 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lE3yfiieU69e19qQevj/P1y3C2pGNRyEoFaQtU4adtYXmJH5q2NI5NZPIZErvahh4Geayqrr+++i
UPSJlz/Big==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
L4a17Lf1eyd2D870tEpL3Ml1Tj0EjzIBQC4IJeQHBkQQ+8UfkwXqOrHQRmKrmhN5Fp4cBJ+yyAD7
vWO4RilwKe3Odpf5252BxCXjOGAvfWvo6H51r1EJF1e8qv9gdznNpjAWBue5H0aUBWXXOvg1OVdX
XIKVSjLdZRLbWfNODcc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rbo77PrtguXgOz0grAyVnM17v3pgDDjsIIcohGlWpo4Xh5icvPiZjlOBDQomi5+RnBi0zqh6lLbU
hPx23n8+O0nlUyFzeVfzv4zQ8y2WlHo8ko7tpLNnS3t/JqNzdQQC2KZNNh5B06K4dgCLFmFnoI0T
EdlbCK09TGbhtYCGbmlfig7oaAM19zK/FzLgdWl3rY3vMB3QplpV+1dw9STBJKzhEO+fPuEoEKRX
L4Tigb2CbEcDeehVPG3yvbztW9qLbye6vJfhM4KOwOvZoxgRkPsXkeGphqTkJOGKFN8ds72rk0Z6
GN/TTCzJUe1Ytmim8E03C2Ko75kyUQG4yNQV6g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
DSyBmGd4txyj7tgPnk2Y1Xge75b9VW1upp5aoV8w1qA69Hjni2PZY94Ue3KeYnIb5D3ssw6qKHXJ
vyus2CDtKOGmeLaZP5q4XsWXheW0hnVtVKcvSNQZABGlzE0gR603+Y7gK/VWmHC2BkKzsIdH8p+K
bzXmoueuzsHapXp/FWs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
NeYuYzadlbzMIB9kh6AHx5g21QOv5sTnas8Av2MdfVhNs3JFMEnzqAtekxjSZfGcQ0Lh1vHY+Xq4
ImU2hnKF8Or5UrtauTaCOcFPEYJSULAFrBSFHN3O7X+KBPjC+yGrki0gY30+LR/5M0S5cyevs0iu
JREElhklhZorOoR+IukecwXHV2A8DufRbuz0U/50LLsM/Oqhq/GYjw/pwzC2cleOh94kfW3jogyp
1KzOFt4qYuEM6rxx6hVcmBLIJUw9tgxkiKG096YxeD0Bub2/Eit9Sa+QKCEfOjp085HzQgZQpALP
P6dy17ZTCsoPo37FL3PWjbbrNk/i9JoUXFEinQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4480)
`protect data_block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`protect end_protected
| gpl-2.0 | 1724c9ac03b51b87ebf8ed705a3ca92b | 0.918234 | 1.924857 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_fma/flt_fma_mul.vhd | 3 | 12,896 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
k+6IMpCVIsbHCsPocQnhhF0IyL8U0R97qdHXUhgCV05bQFu3XbiMu6ABtuEDvV7BoznAvRJfhi+2
BLxeKCyDCQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
flIlMnvDeIStt6M50LIWXRQ5P45UCA9ePelHHixC+rPVFCDkVMJF1zGxRSCffHXH6Mazud8XoWzp
SMY3EmE2LfD0HdCYwIAVccd5WQSwtFG+LGe95ULY47W1/3aH0Rp8mm9mG3l9CJBzWi7HouvFiGoW
FT7TR2AKsfCQPPclFNw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
kzdqmH4k5Y2x0rj5G4rZgpiHh5nTxoFetMGqdX8ksGzHVrFiWYqA+W3kau1id2Gosfp+Fij4CuXr
2+rKue6epGb5dMdjqWzbrY6oVWGWw+ouPTBsi7g0TSxYQiiJGVtsN50NRutvkthOZmmcJycbLMqO
weaY9uwrfYE0lTF1KYNLyODutPZstguswmSEVD7L8aXUaCfp41D1lz5ksQ4MfHa5oRzXUvrXV00t
O98fshGTMXcx30wAhW1tIdypv7EsW+FmzRhcKm6ZG0xPGkULZa1zpZv8yq6pPQysBPlqkg2q+Cyl
pd/1CFGIcr+gvZu/uUXADhfXVl6dNqNkU0QSWw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
a4Wwj36rylSjvfx0JgLSOY2zeyblKZFZQy3Mv3iZ82f11AJKCMLlmVlm6nkqSJMpR1PCHFSFjoPd
OGToereSXqggKTFFY8RBId68LLj1Bgj8ijSb8N8GrfwtAgy0Gi+AHgoi2JHlk/1/LEidnHblK8vY
/jBOptXxkr4MMkFShH4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fzk096kLdTDp/n8af8GXEaeVYpDMcn5NSVNsMS8QV1aRODuexqZn8xGBOvNX+IFW1e+47+PRy8zm
z7/weMdiQIvbpg5HsA4f/vczEXav9yTwXuDazrElzc+o1C8zSUM5bfmJWbVOgvUoJQ7xAXmuxIe3
4hdJv54rHNzAmU36j/rtR2j9yksViur9xTdbYAQiWwxd4Ni/lShSwL8r3YFd2OKShRXSJO/23GEY
EAe8I3mHOWW38QVXZAMJrdXmpDdCvjnEui3Sxnryc6js/0bB3V2g3QfT/8bB4nmPY3CeL/TvxWjL
Jhuo6grHJlCYpVOXJzx4SimCQ/KOC1a6fSebpg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7808)
`protect data_block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=
`protect end_protected
| gpl-2.0 | 79f4cb80b4c6fafe05e44c8cc34daaec | 0.929203 | 1.862238 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/bfly_byp.vhd | 3 | 9,934 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
iEGEW+tymZ/PHSxOaSv5cJm98+Gn4s4gyMjc72kkiCqAY8G+1VezU28ekh0bH6PaHue8LCVq0AWa
IIGhXOWuZQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aByxkdW7ojwX7YcKC9lix9osmTQGFcD6gn3uFeA6WW5b3DWtgyMovKJOPsDFYKbvOiHhIy5A+D41
rcaVku1r//aPCkFHCJpTqipGvQyerZMiRBY/sbAmxLq3LruN/ZeS7/ADm0w+0MQTOjePqR2TE8Yz
j3qsE3tg5c4ejXm3swU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GCaQvok8Rum6uD6zjaG+P3xRSTqu4wAYf8I0/1L2cdQKKR6DV07DJvk5ls1OIV8j6K3ZhtNGBqtD
mAe/weKAIh9/EsHrVMQkbMAQ8M9HdCBkUuFTTcNWuun7y9z/LbrhnQv8pW+OcIIfVzsflrSAxGV6
vprUUXU35F0jSjse0Ynccbhpx3lMZH8ltiMkYhMNI6itx8RY2XRbn96dnlmBs4Q2Bc1vNkXP4ie7
XRqUFptvOLC732D+yXYvNA98CT9gepuinOSlN6ucDDzkKyZacZSY4uZH2B1yRiZm6NBmYtnXTfHF
LU7WJpNVdFhCTJ5dNWvzWfa93VlPVHjc25JXCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bnQ+Y6E4FwZFLdzddJXKIAazacRUNApjISjC1+W1CpobAckLpuxzRtqrdnLxxSIaxuK/3mfBeJmR
Y8lBlDbe29zxu5rRcK7OZ3eUCWg9Z5CT9M2yrZJLenA8Z93rCTQGGSxhvvUFLwRmj1XFIW0bQIfb
7l9iKLS9tiLEqxikzoA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HZLrbil0nYzr+hs+ZEryslooO8LeH8/uyod5HT7aE5q2wCqa6BoChwfUvHo9OwyKgHvl6ghZ4/Mz
/HafuUTynU75ovvcAgQBr3y5Rc2jKq27g+R9BjKgXxMEwqspuNiIL0FkfA6g5SckzM6JmFs5XWSN
EFeKwjG8OXmp76N02iZm50Cf8HBpFK2sMbSHG/phDy5edfHviOOBkKp5JF/sPW81Uhzzi1dZ0nTg
nMjlgdvNBxiRTyk3NUq6a7dWXcKBE3kMltuYGWc1FqUXZab5kKdExrlypZQvdpHDAwMfTtPMjsKt
CFPJkY3gNnLXNXpvlvYf+RkoNUCTGW2n+JAerQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5616)
`protect data_block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`protect end_protected
| gpl-2.0 | f5ef22064fe36f057a877587344d3497 | 0.926918 | 1.911487 | false | false | false | false |
mcoughli/root_of_trust | operational_os/hls/contact_discovery_axi/solution1/syn/vhdl/contact_discoverydEe.vhd | 3 | 3,101 | -- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2017.1
-- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved.
--
-- ==============================================================
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity contact_discoverydEe_ram is
generic(
mem_type : string := "distributed";
dwidth : integer := 1;
awidth : integer := 9;
mem_size : integer := 300
);
port (
addr0 : in std_logic_vector(awidth-1 downto 0);
ce0 : in std_logic;
d0 : in std_logic_vector(dwidth-1 downto 0);
we0 : in std_logic;
q0 : out std_logic_vector(dwidth-1 downto 0);
clk : in std_logic
);
end entity;
architecture rtl of contact_discoverydEe_ram is
signal addr0_tmp : std_logic_vector(awidth-1 downto 0);
type mem_array is array (0 to mem_size-1) of std_logic_vector (dwidth-1 downto 0);
shared variable ram : mem_array;
attribute syn_ramstyle : string;
attribute syn_ramstyle of ram : variable is "select_ram";
attribute ram_style : string;
attribute ram_style of ram : variable is mem_type;
attribute EQUIVALENT_REGISTER_REMOVAL : string;
begin
memory_access_guard_0: process (addr0)
begin
addr0_tmp <= addr0;
--synthesis translate_off
if (CONV_INTEGER(addr0) > mem_size-1) then
addr0_tmp <= (others => '0');
else
addr0_tmp <= addr0;
end if;
--synthesis translate_on
end process;
p_memory_access_0: process (clk)
begin
if (clk'event and clk = '1') then
if (ce0 = '1') then
if (we0 = '1') then
ram(CONV_INTEGER(addr0_tmp)) := d0;
end if;
q0 <= ram(CONV_INTEGER(addr0_tmp));
end if;
end if;
end process;
end rtl;
Library IEEE;
use IEEE.std_logic_1164.all;
entity contact_discoverydEe is
generic (
DataWidth : INTEGER := 1;
AddressRange : INTEGER := 300;
AddressWidth : INTEGER := 9);
port (
reset : IN STD_LOGIC;
clk : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0);
ce0 : IN STD_LOGIC;
we0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0);
q0 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0));
end entity;
architecture arch of contact_discoverydEe is
component contact_discoverydEe_ram is
port (
clk : IN STD_LOGIC;
addr0 : IN STD_LOGIC_VECTOR;
ce0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR;
we0 : IN STD_LOGIC;
q0 : OUT STD_LOGIC_VECTOR);
end component;
begin
contact_discoverydEe_ram_U : component contact_discoverydEe_ram
port map (
clk => clk,
addr0 => address0,
ce0 => ce0,
d0 => d0,
we0 => we0,
q0 => q0);
end architecture;
| gpl-3.0 | ca62b0c78136555b7659b3b580e105b5 | 0.550145 | 3.622664 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_accum/flt_accum_bit_encode.vhd | 3 | 37,537 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
OvuKmQY+DNxwietbd+DARtWWLlolWfu/mBCCDTvtoilMubEBOwV2bfAA3v3rSOcyUDl/yMisfEfZ
aO+SDv0KRQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TXXooa2Hh8JYzjZy7QCyaBdTE4QJgq6NjgpFWEibbhuQZSuqNNWN0ugTN6o9S8n7BF2WXgAqm6ms
h7CiVILm2FCMpkVLW+g/zjFPvzWYrq+uMQb7VhgnPTcvTCRGY/4Ni0u4jAjfPcEOJaIspN/xNlqJ
ZnITlbEUcrffY0J4vDU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sOCAzPZCgciDWMJkZJM2IJ9eJFlhOxG6GACaHrtQDAgugmE2yrzhVDwvhhTuzHUHAYROFs5uCOAg
il5HYxFOm9iTFRfqD3joSocqZpxwZ9OoNd+XcabuBlta4X10MZVnhq91epC5GDW/V+UlhpVqsEoC
xfyAt+5QgQnvIsyp71LLo8I+dxaB6kbjjBITdFy1OJXh7ZUEboDXFYmzq7FjVGlBqEDU8UIWPIE5
mrbnIQgo76loRjpyEzweUPNO5bgmZh+gPJ7e94s7043knvm9NXHpStzVtDLp+2t8yk9Vx6LpEFtl
pwjiipFPf1cMtgbhbETZ0NVAOfOvv8OIgpiffQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Ht3IaNwCGjXrHhX6eQyrDDC5TUgRjAU39oK3gE5XVCs53rD8kyAaYT/mF6wIwq3WLsfQpAye/2lZ
WsK/deUOTP/RWhQeNhBN711bcE1Z8UG6wq8y5wiIdSUgLZtK/FWeVKp5/57kRxvafJeVK8fP7XpX
8li+jlj8v4hTogYLrV8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lSlCLES+5WGvlLnzQeH1UT347S7AcYcXInqptzkobSv1w6DLfds3UIFU0SvJUirDPBm/wQSVreW5
TGpT00V6QBIOgSbaKK96Qt5BbZhzHt5pglGdSsgKCzyFWPCP4vHSys3atKDo9s/RZ+ILRy0FDaTt
4OvVmGcMydjDRj8cATp1tJ6OvLzAkYwZ5vuwEuMfyF+0b+bShbbEH3bFlIn7UKdIL0Mj2xKNg/iW
x0Oi7wHeGyntPLRoNcPCyn96eAbkP5FV9eJV5zXoHww2fCFfpbSx58jyxugQ0Y6ngOB9VllzFHsb
g6e502h08DCEySD1hnOwnjR/Kn/ssfROsLoUcg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26048)
`protect data_block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=
`protect end_protected
| gpl-2.0 | ae0669e8e813d280d038ebde076b0b4d | 0.948184 | 1.839238 | false | false | false | false |
UVVM/UVVM_All | uvvm_vvc_framework/src/ti_generic_queue_pkg.vhd | 1 | 50,724 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
-- WARNING! This package will be deprecated and no longer receive updates or bug fixes!
-- The generic_queue_pkg in uvvm_util/src/generic_queue_pkg.vhd has replaced ti_generic_queue_pkg
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
package ti_generic_queue_pkg is
generic (type t_generic_element;
scope : string := C_SCOPE;
GC_QUEUE_COUNT_MAX : natural := 1000;
GC_QUEUE_COUNT_THRESHOLD : natural := 950);
-- When find_* doesn't find a match, they return C_NO_MATCH.
constant C_NO_MATCH : integer := -1;
-- A generic queue for verification
type t_generic_queue is protected
procedure add(
constant instance : in integer;
constant element : in t_generic_element);
procedure add(
constant element : in t_generic_element);
procedure put(
constant instance : in integer;
constant element : in t_generic_element);
procedure put(
constant element : in t_generic_element);
impure function get(
constant instance : in integer)
return t_generic_element;
impure function get(
constant dummy : in t_void)
return t_generic_element;
impure function is_empty(
constant instance : in integer)
return boolean;
impure function is_empty(
constant dummy : in t_void)
return boolean;
procedure set_scope(
constant instance : in integer;
constant scope : in string);
procedure set_scope(
constant scope : in string);
procedure set_name(
constant name : in string);
impure function get_scope(
constant instance : in integer)
return string;
impure function get_scope(
constant dummy : in t_void)
return string;
impure function get_count(
constant instance : in integer)
return natural;
impure function get_count(
constant dummy : in t_void)
return natural;
procedure set_queue_count_threshold(
constant instance : in integer;
constant queue_count_alert_level : in natural);
procedure set_queue_count_threshold(
constant queue_count_alert_level : in natural);
impure function get_queue_count_threshold(
constant instance : in integer) return natural;
impure function get_queue_count_threshold(
constant dummy : in t_void) return natural;
impure function get_queue_count_threshold_severity(
constant dummy : in t_void) return t_alert_level;
procedure set_queue_count_threshold_severity(
constant alert_level : in t_alert_level);
impure function get_queue_count_max(
constant instance : in integer) return natural;
impure function get_queue_count_max(
constant dummy : in t_void) return natural;
procedure set_queue_count_max(
constant instance : in integer;
constant queue_count_max : in natural);
procedure set_queue_count_max(
constant queue_count_max : in natural);
procedure flush(
constant instance : in integer);
procedure flush(
constant dummy : in t_void);
procedure reset(
constant instance : in integer);
procedure reset(
constant dummy : in t_void);
procedure insert(
constant instance : in integer;
constant identifier_option : in t_identifier_option;
constant identifier : in positive;
constant element : in t_generic_element);
procedure insert(
constant identifier_option : in t_identifier_option;
constant identifier : in positive;
constant element : in t_generic_element);
procedure delete(
constant instance : in integer;
constant identifier_option : in t_identifier_option;
constant identifier_min : in positive;
constant identifier_max : in positive);
procedure delete(
constant identifier_option : in t_identifier_option;
constant identifier_min : in positive;
constant identifier_max : in positive);
procedure delete(
constant instance : in integer;
constant element : in t_generic_element
);
procedure delete(
constant element : in t_generic_element
);
procedure delete(
constant instance : in integer;
constant identifier_option : in t_identifier_option;
constant identifier : in positive;
constant range_option : in t_range_option
);
procedure delete(
constant identifier_option : in t_identifier_option;
constant identifier : in positive;
constant range_option : in t_range_option
);
impure function peek(
constant instance : in integer;
constant identifier_option : in t_identifier_option;
constant identifier : in positive
) return t_generic_element;
impure function peek(
constant identifier_option : in t_identifier_option;
constant identifier : in positive
) return t_generic_element;
impure function peek(
constant instance : in integer
) return t_generic_element;
impure function peek(
constant dummy : in t_void
) return t_generic_element;
impure function fetch(
constant instance : in integer;
constant identifier_option : in t_identifier_option;
constant identifier : in positive
) return t_generic_element;
impure function fetch(
constant identifier_option : in t_identifier_option;
constant identifier : in positive
) return t_generic_element;
impure function fetch(
constant instance : in integer
) return t_generic_element;
impure function fetch(
constant dummy : in t_void
) return t_generic_element;
impure function find_position(
constant element : in t_generic_element) return integer;
impure function find_position(
constant instance : in integer;
constant element : in t_generic_element) return integer;
impure function find_entry_num(
constant element : in t_generic_element) return integer;
impure function find_entry_num(
constant instance : in integer;
constant element : in t_generic_element) return integer;
impure function exists(
constant instance : in integer;
constant element : in t_generic_element
) return boolean;
impure function exists(
constant element : in t_generic_element
) return boolean;
impure function get_entry_num(
constant instance : in integer;
constant position_val : in positive) return integer;
impure function get_entry_num(
constant position_val : in positive) return integer;
procedure print_queue(
constant instance : in integer);
procedure print_queue(
constant dummy : in t_void);
end protected;
end package ti_generic_queue_pkg;
package body ti_generic_queue_pkg is
type t_generic_queue is protected body
-- Types and control variables for the linked list implementation
type t_element;
type t_element_ptr is access t_element;
type t_element is record
entry_num : natural;
next_element : t_element_ptr;
element_data : t_generic_element;
end record;
type t_element_ptr_array is array(integer range 0 to C_MAX_QUEUE_INSTANCE_NUM) of t_element_ptr;
type t_string_array is array(integer range 0 to C_MAX_QUEUE_INSTANCE_NUM) of string(1 to C_LOG_SCOPE_WIDTH);
variable vr_last_element : t_element_ptr_array := (others => null); -- Back entry
variable vr_first_element : t_element_ptr_array := (others => null); -- Front entry
variable vr_num_elements_in_queue : integer_vector(0 to C_MAX_QUEUE_INSTANCE_NUM) := (others => 0);
-- Scope variables
variable vr_scope : t_string_array := (others => (others => NUL));
variable vr_scope_is_defined : boolean_vector(0 to C_MAX_QUEUE_INSTANCE_NUM) := (others => false);
-- Name variables
variable vr_name : string(1 to C_LOG_SCOPE_WIDTH) := (others => NUL);
variable vr_name_is_defined : boolean := false;
variable vr_queue_count_max : integer_vector(0 to C_MAX_QUEUE_INSTANCE_NUM) := (others => GC_QUEUE_COUNT_MAX);
variable vr_queue_count_threshold : integer_vector(0 to C_MAX_QUEUE_INSTANCE_NUM) := (others => GC_QUEUE_COUNT_THRESHOLD);
variable vr_queue_count_threshold_severity : t_alert_level := TB_WARNING;
variable vr_entry_num : integer_vector(0 to C_MAX_QUEUE_INSTANCE_NUM) := (others => 0); -- Incremented before first insert
-- Fill level alert
type t_queue_count_threshold_alert_frequency is (ALWAYS, FIRST_TIME_ONLY);
constant C_ALERT_FREQUENCY : t_queue_count_threshold_alert_frequency := FIRST_TIME_ONLY;
variable vr_queue_count_threshold_triggered : boolean_vector(0 to C_MAX_QUEUE_INSTANCE_NUM) := (others => false);
------------------------------------------------------------------------------------------------------
--
-- Helper methods (not visible from outside)
--
------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------
-- Helper method: Check if an Alert shall be triggered (to be called before adding another entry)
------------------------------------------------------------------------------------------------------
procedure perform_pre_add_checks (
constant instance : in integer
) is
begin
if((vr_queue_count_threshold(instance) /= 0) and (vr_num_elements_in_queue(instance) >= vr_queue_count_threshold(instance))) then
if((C_ALERT_FREQUENCY = ALWAYS) or (C_ALERT_FREQUENCY = FIRST_TIME_ONLY and not vr_queue_count_threshold_triggered(instance))) then
alert(vr_queue_count_threshold_severity, "Queue is now at " & to_string(vr_queue_count_threshold(instance)) & " of " & to_string(vr_queue_count_max(instance)) & " elements.", vr_scope(instance));
vr_queue_count_threshold_triggered(instance) := true;
end if;
end if;
end procedure;
------------------------------------------------------------------------------------------------------
-- Helper method: Iterate through all entries, and match the one with element_data = element
-- This also works if the element is a record or array, whereas all entries/indexes must match
------------------------------------------------------------------------------------------------------
procedure match_element_data (
instance : in integer; -- Queue instance
element : in t_generic_element; -- Element to search for
found_match : out boolean; -- True if a match was found.
matched_position : out integer; -- valid if found_match=true
matched_element_ptr : out t_element_ptr -- valid if found_match=true
) is
variable v_position_ctr : integer := 1; -- Keep track of POSITION when traversing the linked list
variable v_element_ptr : t_element_ptr; -- Entry currently being checked for match
begin
-- Default
found_match := false;
matched_position := C_NO_MATCH;
matched_element_ptr := null;
if vr_num_elements_in_queue(instance) > 0 then
-- Search from front to back element
v_element_ptr := vr_first_element(instance);
loop
if v_element_ptr.element_data = element then -- Element matched entry
found_match := true;
matched_position := v_position_ctr;
matched_element_ptr := v_element_ptr;
exit;
else -- No match.
if v_element_ptr.next_element = null then
exit; -- Last entry. All queue entries have been searched through.
end if;
v_element_ptr := v_element_ptr.next_element; -- next queue entry
v_position_ctr := v_position_ctr + 1;
end if;
end loop;
end if;
end procedure;
-- Find and return entry that matches the identifier
procedure match_identifier (
instance : in integer; -- Queue instance
identifier_option : in t_identifier_option; -- Determines what 'identifier' means
identifier : in positive; -- Identifier value to search for
found_match : out boolean; -- True if a match was found.
matched_position : out integer; -- valid if found_match=true
matched_element_ptr : out t_element_ptr; -- valid if found_match=true
preceding_element_ptr : out t_element_ptr -- valid if found_match=true. Element at position-1, pointing to elemnt_ptr
) is
-- Search from front to back element. Init pointers/counters to the first entry:
variable v_element_ptr : t_element_ptr := vr_first_element(instance); -- Entry currently being checked for match
variable v_position_ctr : integer := 1; -- Keep track of POSITION when traversing the linked list
begin
-- Default
found_match := false;
matched_position := C_NO_MATCH;
matched_element_ptr := null;
preceding_element_ptr := null;
-- If queue is not empty and indentifier in valid range
if (vr_num_elements_in_queue(instance) > 0) and
((identifier_option = POSITION and identifier <= vr_num_elements_in_queue(instance)) or
(identifier_option = ENTRY_NUM and identifier <= vr_entry_num(instance))) then
loop
-- For each element in queue:
-- Check if POSITION or ENTRY_NUM matches v_element_ptr
if (identifier_option = POSITION) and (v_position_ctr = identifier) then
found_match := true;
end if;
if (identifier_option = ENTRY_NUM) and (v_element_ptr.entry_num = identifier) then
found_match := true;
end if;
if found_match then
-- This element matched. Done searching.
matched_position := v_position_ctr;
matched_element_ptr := v_element_ptr;
exit;
else
-- No match.
if v_element_ptr.next_element = null then
-- report "last v_position_ctr = " & to_string(v_position_ctr);
exit; -- Last entry. All queue entries have been searched through.
end if;
preceding_element_ptr := v_element_ptr; -- the entry at the postition before element_ptr
v_element_ptr := v_element_ptr.next_element; -- next queue entry
v_position_ctr := v_position_ctr + 1;
end if;
end loop; -- for each element in queue
end if; -- Not empty
end procedure;
------------------------------------------------------------------------------------------------------
--
-- Public methods, visible from outside
--
------------------------------------------------------------------------------------------------------
-- add : Insert element in the back of queue, i.e. at the highest position
procedure add(
constant instance : in integer;
constant element : in t_generic_element
) is
constant proc_name : string := "add";
variable v_previous_ptr : t_element_ptr;
begin
check_value(vr_scope_is_defined(instance), TB_WARNING, proc_name & ": Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER);
perform_pre_add_checks(instance);
check_value(vr_num_elements_in_queue(instance) < vr_queue_count_max(instance), TB_ERROR, proc_name & "() into generic queue (of size " & to_string(vr_queue_count_max(instance)) & ") when full", vr_scope(instance), ID_NEVER);
-- Increment vr_entry_num
vr_entry_num(instance) := vr_entry_num(instance)+1;
-- Set read and write pointers when appending element to existing list
if vr_num_elements_in_queue(instance) > 0 then
v_previous_ptr := vr_last_element(instance);
vr_last_element(instance) := new t_element'(entry_num => vr_entry_num(instance), next_element => null, element_data => element);
v_previous_ptr.next_element := vr_last_element(instance); -- Insert the new element into the linked list
else -- List is empty
vr_last_element(instance) := new t_element'(entry_num => vr_entry_num(instance), next_element => null, element_data => element);
vr_first_element(instance) := vr_last_element(instance); -- Update read pointer, since this is the first and only element in the list.
end if;
-- Increment number of elements
vr_num_elements_in_queue(instance) := vr_num_elements_in_queue(instance) + 1;
end procedure;
procedure add(
constant element : in t_generic_element
) is
begin
add(1, element);
end procedure;
procedure put(
constant instance : in integer;
constant element : in t_generic_element
) is
begin
add(instance, element);
end procedure;
procedure put(
constant element : in t_generic_element
) is
begin
put(1, element);
end procedure;
impure function get(
constant instance : in integer
) return t_generic_element is
begin
return fetch(instance);
end function;
impure function get(
constant dummy : in t_void
) return t_generic_element is
begin
return get(1);
end function;
procedure flush(
constant instance : in integer
) is
variable v_to_be_deallocated_ptr : t_element_ptr;
begin
check_value(vr_scope_is_defined(instance), TB_WARNING, "Scope name must be defined for this generic queue " &to_string(instance), "???", ID_NEVER);
-- Deallocate all entries in the list
-- Setting the last element to null and iterating over the queue until finding the null element
vr_last_element(instance) := null;
while vr_first_element(instance) /= null loop
v_to_be_deallocated_ptr := vr_first_element(instance);
vr_first_element(instance) := vr_first_element(instance).next_element;
DEALLOCATE(v_to_be_deallocated_ptr);
end loop;
-- Reset the queue counter
vr_num_elements_in_queue(instance) := 0;
vr_queue_count_threshold_triggered(instance) := false;
end procedure;
procedure flush(
constant dummy : in t_void
) is
begin
flush(1);
end procedure;
procedure reset(
constant instance : in integer) is
begin
flush(instance);
vr_entry_num(instance) := 0; -- Incremented before first insert
end procedure;
procedure reset(
constant dummy : in t_void) is
begin
reset(1);
end procedure;
impure function is_empty(
constant instance : in integer
) return boolean is
begin
if vr_num_elements_in_queue(instance) = 0 then
return true;
else
return false;
end if;
end function;
impure function is_empty(
constant dummy : in t_void
) return boolean is
begin
return is_empty(1);
end function;
procedure set_scope(
constant instance : in integer;
constant scope : in string) is
begin
if instance = ALL_INSTANCES then
if scope'length > C_LOG_SCOPE_WIDTH then
vr_scope := (others => scope(1 to C_LOG_SCOPE_WIDTH));
else
for idx in vr_scope'range loop
vr_scope(idx) := (others => NUL);
vr_scope(idx)(1 to scope'length) := scope;
end loop;
end if;
vr_scope_is_defined := (others => true);
else
if scope'length > C_LOG_SCOPE_WIDTH then
vr_scope(instance) := scope(1 to C_LOG_SCOPE_WIDTH);
else
vr_scope(instance) := (others => NUL);
vr_scope(instance)(1 to scope'length) := scope;
end if;
vr_scope_is_defined(instance) := true;
end if;
end procedure;
procedure set_scope(
constant scope : in string) is
begin
set_scope(1, scope);
end procedure;
procedure set_name(
constant name : in string) is
begin
vr_name(1 to name'length) := name;
vr_name_is_defined := true;
end procedure;
impure function get_scope(
constant instance : in integer
) return string is
begin
return to_string(vr_scope(instance));
end function;
impure function get_scope(
constant dummy : in t_void
) return string is
begin
return get_scope(1);
end function;
impure function get_count(
constant instance : in integer
) return natural is
begin
return vr_num_elements_in_queue(instance);
end function;
impure function get_count(
constant dummy : in t_void
) return natural is
begin
return get_count(1);
end function;
impure function get_queue_count_max(
constant instance : in integer
) return natural is
begin
return vr_queue_count_max(instance);
end function;
impure function get_queue_count_max(
constant dummy : in t_void
) return natural is
begin
return get_queue_count_max(1);
end function;
procedure set_queue_count_max(
constant instance : in integer;
constant queue_count_max : in natural
) is
begin
vr_queue_count_max(instance) := queue_count_max;
check_value(vr_num_elements_in_queue(instance) < vr_queue_count_max(instance), TB_ERROR, "set_queue_count_max() new queue max count (" & to_string(vr_queue_count_max(instance)) & ") is less than current queue count(" & to_string(vr_num_elements_in_queue(instance)) & ").", vr_scope(instance), ID_NEVER);
end procedure;
procedure set_queue_count_max(
constant queue_count_max : in natural
) is
begin
set_queue_count_max(1, queue_count_max);
end procedure;
procedure set_queue_count_threshold(
constant instance : in integer;
constant queue_count_alert_level : in natural
) is
begin
vr_queue_count_threshold(instance) := queue_count_alert_level;
end procedure;
procedure set_queue_count_threshold(
constant queue_count_alert_level : in natural
) is
begin
set_queue_count_threshold(1, queue_count_alert_level);
end procedure;
impure function get_queue_count_threshold(
constant instance : in integer
) return natural is
begin
return vr_queue_count_threshold(instance);
end function;
impure function get_queue_count_threshold(
constant dummy : in t_void
) return natural is
begin
return get_queue_count_threshold(1);
end function;
impure function get_queue_count_threshold_severity(
constant dummy : in t_void
) return t_alert_level is
begin
return vr_queue_count_threshold_severity;
end function;
procedure set_queue_count_threshold_severity(
constant alert_level : in t_alert_level) is
begin
vr_queue_count_threshold_severity := alert_level;
end procedure;
----------------------------------------------------
-- Insert:
----------------------------------------------------
-- Inserts element into the queue after the matching entry with specified identifier:
--
-- When identifier_option = POSITION:
-- identifier = position in queue, counting from 1
--
-- When identifier_option = ENTRY_NUM:
-- identifier = entry number, counting from 1
procedure insert(
constant instance : in integer;
constant identifier_option : in t_identifier_option;
constant identifier : in positive;
constant element : in t_generic_element)
is
constant proc_name : string := "insert";
variable v_element_ptr : t_element_ptr; -- The element currently being processed
variable v_new_element_ptr : t_element_ptr; -- Used when creating a new element
variable v_preceding_element_ptr : t_element_ptr; -- Used when creating a new element
variable v_found_match : boolean;
variable v_matched_position : integer;
begin
-- pre insert checks
check_value(vr_scope_is_defined(instance), TB_WARNING, proc_name & ": Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER);
perform_pre_add_checks(instance);
check_value(vr_num_elements_in_queue(instance) < vr_queue_count_max(instance), TB_ERROR, proc_name & "() into generic queue (of size " & to_string(vr_queue_count_max(instance)) & ") when full", vr_scope(instance), ID_NEVER);
check_value(vr_num_elements_in_queue(instance) > 0, TB_ERROR, proc_name & "() into empty queue isn't supported. Use add() instead", vr_scope(instance), ID_NEVER);
if identifier_option = POSITION then
check_value(vr_num_elements_in_queue(instance) >= identifier, TB_ERROR, proc_name & "() into position larger than number of elements in queue. Use add() instead when inserting at the back of the queue", vr_scope(instance), ID_NEVER);
end if;
-- Search from front to back element.
match_identifier(
instance => instance ,
identifier_option => identifier_option ,
identifier => identifier ,
found_match => v_found_match ,
matched_position => v_matched_position ,
matched_element_ptr => v_element_ptr ,
preceding_element_ptr => v_preceding_element_ptr
);
if v_found_match then
-- Make new element
vr_entry_num(instance) := vr_entry_num(instance)+1; -- Increment vr_entry_num
-- POSITION: insert at matched position
if identifier_option = POSITION then
v_new_element_ptr := new t_element'(entry_num => vr_entry_num(instance),
next_element => v_element_ptr,
element_data => element);
-- if match is first element
if v_preceding_element_ptr = null then
vr_first_element(instance) := v_new_element_ptr; -- Insert the new element into the front of the linked list
else
v_preceding_element_ptr.next_element := v_new_element_ptr; -- Insert the new element into the linked list
end if;
--ENTRY_NUM: insert at position after match
else
v_new_element_ptr := new t_element'(entry_num => vr_entry_num(instance),
next_element => v_element_ptr.next_element,
element_data => element);
v_element_ptr.next_element := v_new_element_ptr; -- Insert the new element into the linked list
end if;
vr_num_elements_in_queue(instance) := vr_num_elements_in_queue(instance) + 1; -- Increment number of elements
elsif identifier_option = ENTRY_NUM then
if (vr_num_elements_in_queue(instance) > 0) then -- if not already reported tb_error due to empty
tb_error(proc_name & "() did not match an element in queue. It was called with the following parameters: " &
"instance=" & to_string(instance) &
", identifier_option=" & t_identifier_option'image(identifier_option) &
", identifier=" & to_string(identifier) &
", element...", scope);
end if;
end if;
end procedure;
procedure insert(
constant identifier_option : in t_identifier_option;
constant identifier : in positive;
constant element : in t_generic_element) is
begin
insert(1, identifier_option, identifier, element);
end procedure;
----------------------------------------------------
-- delete:
----------------------------------------------------
-- Read and remove the entry matching the identifier
--
-- When identifier_option = POSITION:
-- identifier = position in queue, counting from 1
--
-- When identifier_option = ENTRY_NUM:
-- identifier = entry number, counting from 1
procedure delete(
constant instance : in integer;
constant identifier_option : in t_identifier_option;
constant identifier_min : in positive;
constant identifier_max : in positive
) is
constant proc_name : string := "delete";
variable v_matched_element_ptr : t_element_ptr; -- The element being deleted
variable v_element_to_delete_ptr : t_element_ptr; -- The element being deleted
variable v_matched_element_data : t_generic_element; -- Return value
variable v_preceding_element_ptr : t_element_ptr;
variable v_matched_position : integer;
variable v_found_match : boolean;
variable v_deletes_remaining : integer;
begin
check_value(vr_scope_is_defined(instance), TB_WARNING, proc_name & ": Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER);
if(vr_num_elements_in_queue(instance) < vr_queue_count_threshold(instance)) then
-- reset alert trigger if set
vr_queue_count_threshold_triggered(instance) := false;
end if;
-- delete based on POSITION :
-- Note that when deleting the first position, all above positions are decremented by one.
-- Find the identifier_min, delete it, and following next_element until we reach number of positions to delete
if (identifier_option = POSITION) then
check_value(vr_num_elements_in_queue(instance) >= identifier_max, TB_ERROR, proc_name & " where identifier_max > generic queue size", vr_scope(instance), ID_NEVER);
check_value(identifier_max >= identifier_min, TB_ERROR, "Check that identifier_max >= identifier_min", vr_scope(instance), ID_NEVER);
v_deletes_remaining := 1 + identifier_max - identifier_min;
-- Find min position
match_identifier(
instance => instance ,
identifier_option => identifier_option ,
identifier => identifier_min,
found_match => v_found_match ,
matched_position => v_matched_position ,
matched_element_ptr => v_matched_element_ptr ,
preceding_element_ptr => v_preceding_element_ptr
);
if v_found_match then
v_element_to_delete_ptr := v_matched_element_ptr; -- Delete element at identifier_min first
while v_deletes_remaining > 0 loop
-- Update pointer to the element about to be removed.
if (v_preceding_element_ptr = null) then -- Removing the first entry,
vr_first_element(instance) := vr_first_element(instance).next_element;
else -- Removing an intermediate or last entry
v_preceding_element_ptr.next_element := v_element_to_delete_ptr.next_element;
-- If the element is the last entry, update vr_last_element
if v_element_to_delete_ptr.next_element = null then
vr_last_element(instance) := v_preceding_element_ptr;
end if;
end if;
-- Decrement number of elements
vr_num_elements_in_queue(instance) := vr_num_elements_in_queue(instance) - 1;
-- Memory management
DEALLOCATE(v_element_to_delete_ptr);
v_deletes_remaining := v_deletes_remaining - 1;
-- Prepare next iteration:
-- Next element to delete:
if v_deletes_remaining > 0 then
if (v_preceding_element_ptr = null) then
-- We just removed the first entry, so there's no pointer from a preceding entry. Next to delete is the first entry.
v_element_to_delete_ptr := vr_first_element(instance);
else -- Removed an intermediate or last entry. Next to delete is the pointer from the preceding element
v_element_to_delete_ptr := v_preceding_element_ptr.next_element;
end if;
end if;
end loop;
else -- v_found_match
if (vr_num_elements_in_queue(instance) > 0) then -- if not already reported tb_error due to empty
tb_error(proc_name & "() did not match an element in queue. It was called with the following parameters: " &
"instance=" & to_string(instance) &
", identifier_option=" & t_identifier_option'image(identifier_option) &
", identifier_min=" & to_string(identifier_min) &
", identifier_max=" & to_string(identifier_max) &
", non-matching identifier=" & to_string(identifier_min), scope);
end if;
end if; -- v_found_match
-- delete based on ENTRY_NUM :
-- Unlike position, an entry's Entry_num is stable when deleting other entries
-- Entry_num is not necessarily increasing as we follow next_element pointers.
-- This means that we must do a complete search for each entry we want to delete
elsif (identifier_option = ENTRY_NUM) then
check_value(vr_entry_num(instance) >= identifier_max, TB_ERROR, proc_name & " where identifier_max > highest entry number", vr_scope(instance), ID_NEVER);
check_value(identifier_max >= identifier_min, TB_ERROR, "Check that identifier_max >= identifier_min", vr_scope(instance), ID_NEVER);
v_deletes_remaining := 1 + identifier_max - identifier_min;
-- For each entry to delete, find it based on entry_num , then delete it
for identifier in identifier_min to identifier_max loop
match_identifier(
instance => instance ,
identifier_option => identifier_option ,
identifier => identifier,
found_match => v_found_match ,
matched_position => v_matched_position ,
matched_element_ptr => v_matched_element_ptr ,
preceding_element_ptr => v_preceding_element_ptr
);
if v_found_match then
v_element_to_delete_ptr := v_matched_element_ptr;
-- Update pointer to the element about to be removed.
if (v_preceding_element_ptr = null) then -- Removing the first entry,
vr_first_element(instance) := vr_first_element(instance).next_element;
else -- Removing an intermediate or last entry
v_preceding_element_ptr.next_element := v_element_to_delete_ptr.next_element;
-- If the element is the last entry, update vr_last_element
if v_element_to_delete_ptr.next_element = null then
vr_last_element(instance) := v_preceding_element_ptr;
end if;
end if;
-- Decrement number of elements
vr_num_elements_in_queue(instance) := vr_num_elements_in_queue(instance) - 1;
-- Memory management
DEALLOCATE(v_element_to_delete_ptr);
else -- v_found_match
if (vr_num_elements_in_queue(instance) > 0) then -- if not already reported tb_error due to empty
tb_error(proc_name & "() did not match an element in queue. It was called with the following parameters: " &
"instance=" & to_string(instance) &
", identifier_option=" & t_identifier_option'image(identifier_option) &
", identifier_min=" & to_string(identifier_min) &
", identifier_max=" & to_string(identifier_max) &
", non-matching identifier=" & to_string(identifier), scope);
end if;
end if; -- v_found_match
end loop;
end if; -- identifier_option
end procedure;
procedure delete(
constant identifier_option : in t_identifier_option;
constant identifier_min : in positive;
constant identifier_max : in positive
) is
begin
delete(1, identifier_option, identifier_min, identifier_max);
end procedure;
procedure delete(
constant instance : in integer;
constant element : in t_generic_element
) is
variable v_entry_num : integer:= find_entry_num(element);
begin
delete(instance, ENTRY_NUM, v_entry_num, v_entry_num);
end procedure;
procedure delete(
constant element : in t_generic_element
) is
begin
delete(1, element);
end procedure;
procedure delete(
constant instance : in integer;
constant identifier_option : in t_identifier_option;
constant identifier : in positive;
constant range_option : in t_range_option
) is
begin
case range_option is
when SINGLE =>
delete(instance, identifier_option, identifier, identifier);
when AND_LOWER =>
delete(instance, identifier_option, 1, identifier);
when AND_HIGHER =>
if identifier_option = POSITION then
delete(instance, identifier_option, identifier, vr_num_elements_in_queue(instance));
elsif identifier_option = ENTRY_NUM then
delete(instance, identifier_option, identifier, vr_entry_num(instance));
end if;
end case;
end procedure;
procedure delete(
constant identifier_option : in t_identifier_option;
constant identifier : in positive;
constant range_option : in t_range_option
) is
begin
delete(1, identifier_option, identifier, range_option);
end procedure;
----------------------------------------------------
-- peek:
----------------------------------------------------
-- Read the entry matching the identifier, but don't remove it.
--
-- When identifier_option = POSITION:
-- identifier = position in queue, counting from 1
--
-- When identifier_option = ENTRY_NUM:
-- identifier = entry number, counting from 1
impure function peek(
constant instance : in integer;
constant identifier_option : in t_identifier_option;
constant identifier : in positive
) return t_generic_element is
constant proc_name : string := "peek";
variable v_matched_element_data : t_generic_element; -- Return value
variable v_matched_element_ptr : t_element_ptr; -- The element currently being processed
variable v_preceding_element_ptr : t_element_ptr;
variable v_matched_position : integer; -- Keep track of POSITION when traversing the linked list
variable v_found_match : boolean := false;
begin
check_value(vr_scope_is_defined(instance), TB_WARNING, proc_name & ": Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER);
check_value(vr_num_elements_in_queue(instance) > 0, TB_ERROR, proc_name & "() from generic queue when empty", vr_scope(instance), ID_NEVER);
match_identifier(
instance => instance ,
identifier_option => identifier_option ,
identifier => identifier ,
found_match => v_found_match ,
matched_position => v_matched_position ,
matched_element_ptr => v_matched_element_ptr ,
preceding_element_ptr => v_preceding_element_ptr
);
if v_found_match then
v_matched_element_data := v_matched_element_ptr.element_data;
else
if (vr_num_elements_in_queue(instance) > 0) then -- if not already reported tb_error due to empty
tb_error(proc_name & "() did not match an element in queue. It was called with the following parameters: " &
"instance=" & to_string(instance) &
", identifier_option=" & t_identifier_option'image(identifier_option) &
", identifier=" & to_string(identifier), scope);
end if;
end if;
return v_matched_element_data;
end function;
impure function peek(
constant identifier_option : in t_identifier_option;
constant identifier : in positive
) return t_generic_element is
begin
return peek(1, identifier_option, identifier);
end function;
-- If no identifier is specified, return the oldest entry (first position)
impure function peek(
constant instance : in integer
) return t_generic_element is
begin
return peek(instance, POSITION, 1);
end function;
impure function peek(
constant dummy : in t_void
) return t_generic_element is
begin
return peek(1);
end function;
----------------------------------------------------
-- Fetch:
----------------------------------------------------
-- Read and remove the entry matching the identifier
--
-- When identifier_option = POSITION:
-- identifier = position in queue, counting from 1
--
-- When identifier_option = ENTRY_NUM:
-- identifier = entry number, counting from 1
impure function fetch(
constant instance : in integer;
constant identifier_option : in t_identifier_option;
constant identifier : in positive
) return t_generic_element is
constant proc_name : string := "fetch";
variable v_matched_element_ptr : t_element_ptr; -- The element being fetched
variable v_matched_element_data : t_generic_element; -- Return value
variable v_preceding_element_ptr : t_element_ptr;
variable v_matched_position : integer;
variable v_found_match : boolean;
begin
check_value(vr_scope_is_defined(instance), TB_WARNING, proc_name & ": Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER);
check_value(vr_num_elements_in_queue(instance) > 0, TB_ERROR, proc_name & "() from generic queue when empty", vr_scope(instance), ID_NEVER);
if(vr_num_elements_in_queue(instance) < vr_queue_count_threshold(instance)) then
-- reset alert trigger if set
vr_queue_count_threshold_triggered(instance) := false;
end if;
match_identifier(
instance => instance ,
identifier_option => identifier_option ,
identifier => identifier ,
found_match => v_found_match ,
matched_position => v_matched_position ,
matched_element_ptr => v_matched_element_ptr ,
preceding_element_ptr => v_preceding_element_ptr
);
if v_found_match then
-- Keep info about element before removing it from queue
v_matched_element_data := v_matched_element_ptr.element_data;
-- Update pointer to the element about to be removed.
if (v_preceding_element_ptr = null) then -- Removing the first entry,
vr_first_element(instance) := vr_first_element(instance).next_element;
else -- Removing an intermediate or last entry
v_preceding_element_ptr.next_element := v_matched_element_ptr.next_element;
-- If the element is the last entry, update vr_last_element
if v_matched_element_ptr.next_element = null then
vr_last_element(instance) := v_preceding_element_ptr;
end if;
end if;
-- Decrement number of elements
vr_num_elements_in_queue(instance) := vr_num_elements_in_queue(instance) - 1;
-- Memory management
DEALLOCATE(v_matched_element_ptr);
else
if (vr_num_elements_in_queue(instance) > 0) then -- if not already reported tb_error due to empty
tb_error(proc_name & "() did not match an element in queue. It was called with the following parameters: " &
"instance=" & to_string(instance) &
", identifier_option=" & t_identifier_option'image(identifier_option) &
", identifier=" & to_string(identifier), scope);
end if;
end if;
return v_matched_element_data;
end function;
impure function fetch(
constant identifier_option : in t_identifier_option;
constant identifier : in positive
) return t_generic_element is
begin
return fetch(1, identifier_option, identifier);
end function;
-- If no identifier is specified, return the oldest entry (first position)
impure function fetch(
constant instance : in integer
) return t_generic_element is
begin
return fetch(instance, POSITION, 1);
end function;
impure function fetch(
constant dummy : in t_void
) return t_generic_element is
begin
return fetch(1);
end function;
-- Returns position of entry if found, else C_NO_MATCH.
impure function find_position(
constant instance : in integer;
constant element : in t_generic_element --
) return integer is
variable v_element_ptr : t_element_ptr;
variable v_matched_position : integer;
variable v_found_match : boolean;
begin
check_value(vr_scope_is_defined(instance), TB_WARNING, "find_position: Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER);
-- Don't include this check, because we may want to use exists() on an empty queue.
-- check_value(vr_num_elements_in_queue(instance) > 0, TB_ERROR, "find_position() from generic queue when empty", vr_scope(instance), ID_NEVER);
match_element_data(
instance => instance,
element => element,
found_match => v_found_match,
matched_position => v_matched_position,
matched_element_ptr => v_element_ptr
);
if v_found_match then
return v_matched_position;
else
return C_NO_MATCH;
end if;
end function;
impure function find_position(
constant element : in t_generic_element
) return integer is
begin
return find_position(1, element);
end function;
impure function exists(
constant instance : in integer;
constant element : in t_generic_element
) return boolean is
begin
return (find_position(instance, element) /= C_NO_MATCH);
end function;
impure function exists(
constant element : in t_generic_element
) return boolean is
begin
return exists(1, element);
end function;
-- Returns entry number or position to entry if found, else C_NO_MATCH.
impure function find_entry_num(
constant instance : in integer;
constant element : in t_generic_element
) return integer is
variable v_element_ptr : t_element_ptr;
variable v_matched_position : integer;
variable v_found_match : boolean;
begin
check_value(vr_scope_is_defined(instance), TB_WARNING, "find_entry_num(): Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER);
check_value(vr_num_elements_in_queue(instance) > 0, TB_ERROR, "find_entry_num() from generic queue when empty", vr_scope(instance), ID_NEVER);
match_element_data(
instance => instance,
element => element,
found_match => v_found_match,
matched_position => v_matched_position,
matched_element_ptr => v_element_ptr
);
if v_found_match then
return v_element_ptr.entry_num;
else
return C_NO_MATCH;
end if;
end function;
impure function find_entry_num(
constant element : in t_generic_element
) return integer is
begin
return find_entry_num(1, element);
end function;
impure function get_entry_num(
constant instance : in integer;
constant position_val : in positive
) return integer is
variable v_found_match : boolean;
variable v_matched_position : integer;
variable v_matched_element_ptr : t_element_ptr;
variable v_preceding_element_ptr : t_element_ptr;
begin
check_value(vr_scope_is_defined(instance), TB_WARNING, "get_entry_num(): Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER);
check_value(vr_num_elements_in_queue(instance) > 0, TB_ERROR, "get_entry_num() from generic queue when empty", vr_scope(instance), ID_NEVER);
match_identifier(
instance => instance ,
identifier_option => POSITION ,
identifier => position_val,
found_match => v_found_match ,
matched_position => v_matched_position ,
matched_element_ptr => v_matched_element_ptr ,
preceding_element_ptr => v_preceding_element_ptr
);
if v_found_match then
return v_matched_element_ptr.entry_num;
else
return -1;
end if;
end function get_entry_num;
impure function get_entry_num(
constant position_val : in positive
) return integer is
begin
return get_entry_num(1, position_val);
end function get_entry_num;
-- for debugging:
-- print each entry's position and entry_num
procedure print_queue(
constant instance : in integer
)
is
variable v_element_ptr : t_element_ptr; -- The element currently being processed
variable v_new_element_ptr : t_element_ptr; -- Used when creating a new element
variable v_position_ctr : natural := 1; -- Keep track of POSITION when traversing the linked list
variable v_found_match : boolean := false;
begin
-- Search from front to back element. Initalise pointers/counters to the first entry:
v_element_ptr := vr_first_element(instance);
if v_element_ptr = NULL then
return; -- Return if queue is empty
end if;
loop
log(ID_UVVM_DATA_QUEUE, "Pos=" & to_string(v_position_ctr) & ", entry_num=" & to_string(v_element_ptr.entry_num) , scope);
if v_element_ptr.next_element = null then
exit; -- Last entry. All queue entries have been searched through.
end if;
v_element_ptr := v_element_ptr.next_element; -- next queue entry
v_position_ctr := v_position_ctr + 1;
end loop;
end procedure;
procedure print_queue(
constant dummy : in t_void) is
begin
print_queue(1);
end procedure;
end protected body;
end package body ti_generic_queue_pkg;
| mit | f36e6a3efb4a5e2c1829f7573931503e | 0.621658 | 4.195881 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_mult/fix_mult/fix_mult_dsp48e1_dbl.vhd | 3 | 36,545 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LGjg5Z0niYiPQov/sZ8VzReH/zxXabKdsZ+0uw6HN9LQQoRofH/3Tjnkm8Fg0Q8DQxl2mUGCpfnS
4TeMFabfSw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
A9Ju626SJ0dJbCkZA+fMWl/An98X+j0zRNbChgaHotd1SbYSn9cogqLRewLNtMz9rd7V5Y927+6O
Yn3BK73P7H5IG1R9XgewL4WuOH0cjcMl/+WnQsykU39o+idh35cRQFzLhWAAgGXIU1CkUKZj7VRd
Yb4V0/deAvI4y8Oyblk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JBNYHaRgM6pJodpGK1yNswZoP1lN9C0P80MsqZ/R5AaAVXauuTF+PnoxEm/vV2pe5CI+43+ChwsI
OgVN9FWS0jRL6SN+wyaK1w81P7wmNPLZMV84Acmo1B2neze+TBJJxFzeD7YOVshJmxRSUPEeQLcD
tMMxahWnm2+mNQ7cMpin0oxwpDJQtrqx2iCnUSV7g/i96u+y+ZUj70jsmKyYuI4CQMhfum2h3s2z
FVC9UjQQUaLSkmImRTyNjceV+Miq+yHEE3rr9q4RPCV1w8ofq/dBbnw4h5ZqgJyb17FsK2bf0wPR
Lqmdi8vTIKokMxb9uzX+N4Wia3jq7JfQS4UVQg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
DUg4hze5lHoRkqBO2aABu+BVS/bhHlMets8Gj0c0Cwko+nlrbmrXmW0+1a2Nj1ox1lzE+O3hlZj3
rOAQURNlKnXHIGYd7JrS4csvCICLWLBzQySpWdbuc52I1J7rg8RCOuSG854Ej3zm+o1vnv2EGtIu
CJQKsOQcLwmqfL24uHk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RoRO+UqATC5A1R67ifWnVp2zzscILREvCjkcVyQmhFkBsqBm1Dd0WBVkrR/sFQN3B9XtIIrHRsN4
dJ0x7ejdOhaoKkDLmLWUuXeT8zCIvykNIEURs4Lpuaw2JsX7KnywQlhe8qKYzbXkTS4rljUihp1s
2ywd4GIUzhsgJSxWX4IzulMZm5UgFLogFfhxdK/WgRIysiB04IcVa21ktSFOuIzRjb213Y81CEc/
nR5VH+J3ZtDD9c0tG60Qb8WQLQ9WtKD6aoHnAs7FXBOcKqjTOvJcyTUQtglZoMhRSWeiJHKrbNkp
gOYW+TMFBCtKxZGpv8mH+WyXIPlRwnd59zQ0kA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 25312)
`protect data_block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`protect end_protected
| gpl-2.0 | 127f33596fdf2e704bdedd8d55babd42 | 0.946039 | 1.840687 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_fma/flt_fma_addsub_dsp1.vhd | 2 | 32,999 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
T0/WMw6Qil3prqPyLod0gFp4+TWcbB65LhXztmX8+8MqD0m1GNVAKn0WXZ8irHjBuDbiRmOv6x4Y
bq0dddaj5A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KTWkRyPRGVu/Dtak+++60Wnly56X26YtBocfEIBJhkep2sNUaYjYY+iGjsIjww3vJSIuxgXoH1MF
9m54zzvltP0d3ZidD16y6Rmg7aoieXLXLn5Eo72fAHYBXiB/H5+31wZPYoBqKWcHxhY2dZ5GFLu+
Jx+uCOMj9Um6GURDzM4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BS/k/bcQewgCN8FDWKeXSj8+qFbltJoRQer2VHhwCSHCWl3Ywd8XEtV5RmgQ+cqs/flwWi5hU+vr
Mra5Dayq2fbhKu7+UsI6DjSMzzvyjlv8lGx9mnWLHnZlm7kFABe1xv2sV/2lcA1FsxVBKK3cBNeO
8ozaEn8RHCdH/4cZdwlpav5SDXxSYlmkUMY+MCBmWa89Z8bf3AVXWNtLIr89bPrAZ6Qjrj/4QAc3
EYV7PoA7MGzIBrwIqY4IU1NIXsM0eQkCsXT+v08lfWKiGZz3m7y4+uEHJJh2nuMFUoel1B06FefQ
5W7p4lpkih2OIDu5G1WG7+DY0UGXakJ6i7EtQQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oZJ5uGYQlmOVgfeqnD8ZWc8OyWLVSkTcmXMlRYuS3www+M7k1xPhL2OA29vYqzS4sHWuDWH/EmFg
M3D6rvGLzM/Cb8Bpou0dg+QrtJozirYRUo6y1WTITBBOTc4v+vw2LGlc0NHY8U02+Jy5z4xSKOS4
uV3rBKGsHnGg+U91rRQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YpVcpaCUIpsNNy5W7F6XhUNbggDdUXSAVXVqh1SNQNVLjofQRVr/AuZqkWRm32Uq3wprtdXSvg/8
9FnINwolIGn7lk/mEbi/oAXhXycysAr4n3PjLvcF7H1CvgYvpoun2vB/7rcr6n2iMCfJkphdyLOj
xIKxc5am/qjXcFT5Bbc3EXB1+hKPXlnn8qg84M8uCemeDmnUv1JHkDbWQtxfufAfucqI8SpQo7mA
q/EuFrrA4Oty3PIP67vqadyk8DPrXF4M0JMI74cyP/Y4eoAqdDEvIpJY1uazRmIe7fsOY0a52/ur
cosIisDsHZthfGh1byuVnQMp5T0Z0Pli7ElN4w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22688)
`protect data_block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`protect end_protected
| gpl-2.0 | 5db1adcbb44b6cc2d005dbc80b33fa0a | 0.945332 | 1.839307 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/vm2/vm2Comps.vhd | 2 | 21,996 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
aJQxwQ4oEbScZIO7k1x/UHj1UNtJJsfctfdhm0xG1djOBFNpofHtaKPF2QsqqYNEXLRBYDXKZ9oQ
HwZ9y/MWqg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FXEvbLf3k6Sp3dzP8cUVssRU2Ll11sRuauuosfgy1aDu+kaxiWBtv/DGwVGF2JQ1pFFaKi+ba8HB
VuNPwS9S574PcvCd37ouW+OjuedYZb47WLvn7vqGjWvRtmXvBl9Vu3IcYkgWPnR6VR7CbDvMLPL4
NaVkKUN0dYzUiln1wjo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rkJHcNfUIUO3o4L2IjN0fu/CIFF33w+xixEV/ju01aLXgXNo+RZCNj6OlOeQL8YGMF3l730lY7Mp
H0Nn3ONUjxXl/rlgniDlhQkMvASiEIaMGffsFY/TuZXk8MsqgEqm3CFdgW1QkwETXN5G35uyF3yc
s2vHr8ZDS6XqQUs9SRdMPJ3Loy3OEEPDRd1DlwHqvVyBdzPnrO/cxw3LeaxeaIbrg73cW1nyBmAo
jocKOWf4HC0I3F3RS8+dtkfLWmJ+ji//FyJUpdwhvIvZq6zKBsJwVNyRqEks1wh27Uq5hDYDhzeQ
19hP6FhEIw4VzRePiZvcTo7mjbqFba0t0QnRFA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
3IJNdeNdRol1M2SsEPeTgZGx8GCiUuyx+i27Lb+f9TqHDv5h73IV/PmzcDnh/LAKuQxhmWtbr7fa
/qAoOXfgT/kxx/RCi+5vhGxzqgjmPJQ/HS+5HrQUkyKkxfkIqf9gO1X3rb3/VkVWsKeW1VK2GWQo
7e9kFMDDRimj4fz2Td0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VpX5gGKmK02oztzw8+xFMF78xMU1rLUF/LDZRjrZd+EKMdp+W5BMt2MDTwAB1pCX6+Wz3bHzSYnO
rQufPNGeUpzSTJJcLZfDx9vdaCwrRM0A9uxmVBpl0oP1/XRofYi+eTKqVEabtj4BGc/NdrcHvJZG
gZYcjCSt/82N5lBwXf1PArG72pwxn5xlBdPQulfk312xwIO6vIgr0DMn9MjQjv6giBA8krAKnTAh
n505dzPqevAwEn/uSDnjudY8dmcTSu87wYRf12QnxoG2mcK3mNecHxniaxJZmKFqwUn3BwN+tXj+
V1UvMR60oi8H08TF+dttMKHWvgyb8bIkQSXKWA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14544)
`protect data_block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`protect end_protected
| gpl-2.0 | 2506419e0e9439c65f7fff572c7892a3 | 0.939989 | 1.847317 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/fir_lp_54kHz/fir_compiler_v7_1/hdl/cntrl_delay.vhd | 8 | 9,460 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
WJGCYxcdy+sdZ5hwHNBwuMFOuMyZwRHxOdc+Mhby2E/pMqN0hXRR61FMwZWLZO2JstXXSULhtFp+
RqMkOHZqTQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GmQvFvED85Y/LNE0ihALHb8cbxO3J5WRkxk+dSoi1gDrPs0QL5DIPTo0+wKX9WJk6pyr9sxBDUJA
MOAEkNcj7jRaIID364xNsgX+1+lsRCOawcfJ2J/a4mvdYRn6sTOrje+sduv/oJCfmBXVQrQEExG8
uMX3oTUdSfRzZVawPN0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GjEM7QUPU2C9AJ5VmraOyvJWXS+Iz4r1yqtVDR9CxvEIwxa6dJNw/n+TFf6KPTXnKBLLkKcQ60FL
wiSBstebhmbnhAaYUmSA56OfDZrkfHSuZ/QCChiAQYXmLlKnbGH6w7UqIetMKI9D6E9KN6XLYhEo
MPsalsMu1anJ8OYYrxV1Iy66Wvcn9nK7aTcUtZgUZ6nwtfgMP/EPN4vEX7LbMiBiYGDIyca8m2oP
iXMy77gf8T7nbfrZzYqbfDVY21JZpE1xVMhZl1TMlaxQ+QjtdVK+rv2t5+I4teV9/su3LzD5f1s/
c0Fu6PYTsP0NRrsMPGiMj81JciCOVeFVPZvF4A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
xfhcdhgE13DueOtj0ZSTZlRl926iu+NIUGgfcaRuM6+p2BZ9En8e4c7wmT9LW0tGcfshMpPNCgB6
8qTfGcDteomeVHsaxwuFFMXegIJypWALwbVK6iD/T+aXUqkiZQWCHThI467bznsBjW8fUChcxOlc
VF/lkEVFYw4NVEi6ItA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
oMBjaYvcpKe8DZYCLO+j46iZly3Em1ZkdDyw4l3gh2XkAJt2/5zzwHxeDZSMg+6MpzKfKp4imiW7
yfSKWMlKwKaHNExcHPQq+gts7Kjw+nnHMdPhjhR1DMGeBE7fxzNrmFvuuchAKUGLDuDSMhnwtC9H
z+HWFVq/IKDn2j5V89IBzph7vsY7t0KFop0Xvf+7WxuaikjYe/DcTAOszjEBj0rQ/zsAY7V4silu
GOFCc/U8vCoHaI00PPBYo7XCM78HqxskQMu7yT6RAMc+p+hcS3pHRP/QGygwx8c/DVkuVZS1+ja+
BZiZMQ7M5Dtry6q8iy776b8re/GAh7e7DA6tPg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5264)
`protect data_block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`protect end_protected
| gpl-2.0 | 67e9380ee8755736f05ca86e02d9e041 | 0.92241 | 1.903804 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/out_addr_gen_b.vhd | 3 | 13,111 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
qVYPIv6nmGXFUdVrLsWa8oB/FXj4QLdVMtfQTQYhBAt1ti+YBdO5Gc08LgoPSUBn17iRphUAY6Tb
+ZM9q4b+UA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
N9XzM8jhYEg4/Zchh0D0d/2RE/LXbb6s8BgLgyaHtRAzSD2nHTtM/16KpIwUERfSOzHd16X3Giex
4uPpN9aU5b0wxj784FNdxWqBz0VMu1ycqcpySY3jRv6T/UJUNEbnkVGBwdXKUaIPWLo+xkv66i/a
s0w6nkXcVMYXsftXDag=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UVC0uhuTdwsoT6oZas4IUE8G/zVmzb5+4NLjIObZOywvKe1zFjpc5OD/h0kxlz32ENogZmzdUNzw
a1xnrqGODKo+kvEKHQWXfOKBNNK/P7s9ncrovbsnwh5g1rv5PVqp44GIjaCyB6MN9oM4DF59fPqV
39HjqjCDjXsn4PvZ2g7YExPQYwN5Pu+4wT/ETAlBPi1Y+GrY2Gc6LLZ1+P+QRFNl0mSW1hBUPDpT
9U9LwUaqq0cT5y69I3c/vc0BQVGbCdN6+oq0Ot5TlfubrA0JjGCHmyNHNY0f50MaWhQhQE79Upnn
ydB2HDfc78K3R5TeL1nPCF5lRroQfdwlUhuEyg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CBQJZwlGGSflTGUsOuotvfRCzrLnKcK+12n+DdURuVwU2I9EM6S8lKpeD1WsSoitPuaXdtpWOGPU
c2veke/4ONmT8sBV+DTeInVG3sjlbTun8ChssH1tXeEdfI1YWHCspx643kQGjTIBK6VCZxl+ezh/
QSn5ioApu4UNutXUHKs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aTKTsoFnXxmr+z9lteCTAWzsURkjrvcglXE1scsLlOGgkdqELkTwv0WqY6O5xBFUnXkUT9pCwege
xa3uquRjvAfRTFz4cRX2Plw7hAyYiqgbNdoBVk/yWveHkG6oUd5684qI9ZaHXvOKCns+SE9+JU5Y
86exe+DhhPkLSNG+pEQ/J6m3svvO2O1K02w0eBme9pJluOeLG9HMbPUP4FBtqdD7ojxXIYItY7jb
hVa1DOptIz8xGlqB2SCd92VcA/7uLOHdqXTG3paJ78b9aNfN08c5M20k6LSGu+tD+sN79kxa13c0
VjEi330cTE46Kyu0CkFjpYmeRgsOptfkHFaGRw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7968)
`protect data_block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`protect end_protected
| gpl-2.0 | 8cc2ea41713d0ae19fde94b134c86c64 | 0.933262 | 1.880522 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/cmpy_v6_0/hdl/delay_line.vhd | 3 | 18,150 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
IepqG/aXMq+6/aDhc3RPKCT4XmgFH75Y8Ilh2cxIY3JwpHO0ko2z76m5ghoHlk+KrUYZoEQtCO2D
BbR9zjFqow==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
h9sx+n4p4ghhyXkNNglBr+ArG7YCCPoViHiOQuSGaCMzmZ7ou/CJiHw5r3MrqaCJI8IkxCQXoi4a
DA3JM0Arb03SoLCl/6ZCOuzswPAJnXoxnKOuASbaLtON7TYXGvznjZq7BXc1dwatwuUneodHk53s
YsRtfDEIoKGekb87rmw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
f0LaUsLvMKoiChQbsjBzC+0LkTdu+D7yu097BL3U2zBM4g9RU/XEXJ8THByMzYbxy4XgRw1FZOIG
RXbkqkKnt6fkweCw9oqlL/JeR5sESCrAFwOywfFLUtKySlJv0R/aKa/+JrYjqlRaAyZggqkanuYC
Adrtm7Yh2jEgRxxUREXj/dq4yz6cvsjgzYcgh8nbSOrqktWTlgyx8K1ukImEz57v3QgRHy88boP1
461r7eRficUiNoIk3MyT7A74i7WkWBkCGrbePCzughtRgTaR6MpPMsv5D+JAvl87Ip5Y48bZoJZm
rWOVSylO42jnr3ZzYybVX4LLMS5TdiAiGeL9Ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GyRRQTWffVS+6BkSfhmekgv3rPV4gb3NBiPTJmjj+omUv9EmtQw/NZ1eVX9ToxcbXqmkzVQyUuhE
KkUYokpsePgfX9P0wgROXNOoZp3vJy5lDbgHksizLgGL27i0NRJzGZu01PiL9lugZRiHWKL8VYIJ
+6+nICVuV2CYE8zxDh8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P/926GS0uvJCQE9qBupEjJUfKnK7AObbmZsQFmnl9uzA7bLCjhUhSkVTZZbJYAtuS/q/Ihs3Uw+X
9h3nkBtla3qmkqXfSOkchKTibVdwhTdKZYD0eCETKIWIHVp8z2tMuM5/f3mo7VeUyRSWB9lMHE5q
8hWJuXS3t2peac3LJv84dpBAQuaYiUfHPtKwHIynC0yuJMazMmsx7FrjEGSoT7Ht0Q5BPJpc+0Wk
fnWvnfUbrshRQF+Ojs1feNdLxE92rvzWufaGq6NQURqxqvktAHxRg7MVDSLP4V0x+eJsldO7RGiF
NCUF+6AgA6LHnfZjYCwFsUeT38IXX4nbkfHm8g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11696)
`protect data_block
2pXa6weUTOgnplbhNNVDluKlEXy8TtGOWXKSSY7ypN2S40K7e2DGhgEMgFi0e5Rq/vy6X6rtBd/y
378ZCj6KQa0IumKmCTZz8sHseNfYcKSkAiyCfBYeqPykSbqvYTiGfvO9uS3ODkuWd9n0C1TYoPfd
MOMBKsNA4HRkONylbq7t180YIfAng7n5iQA+ELkL4qrctnLHR96Lx8tjhP2ovowadYZ0595PjsBR
XyMIwXt3ye8OKpHl/ft0vDLl4LL6p9D0S2R0Zw0BSzmaf4hfWHKPTEZvc77N8WGh0gdk4ntEl68Z
eleKLNED7jk3JORLLHHs8zqZ4vfLrLpjld+qP/HYvWSk7PlJJ2XwoxJf9CGefYATY9koKApt5UnT
Z4YonJD2Z5YJhJDhjj7P+YwszOWe1SZMMA/vRvusFyrJNjyjilxY+Q+kemvnJHdYmqRKk2tLn8oa
8AxVnPb8ZanU/qXFFV3STQ/hyT2jSdN29vQXb8qx8PBuUboFH954cfyttNbrAWKzjRY548g8hFoG
rZC1xxdht1OGvwdFBsRxqfOZRAY/Y36ZTeoovtrlyccRv9QypkbmpZi+16f4wUMMxzlePwD72vIH
ognwjDbedvhUabF/S8jfUhmeX0Qhu9R9Tag+CrBqEpfRFQYaydebGbMjmTXJouLwJg4Q21eZO1CO
rtxhEhpxtCZgMB/23TI3p/AInVtIM7FZCyHWQwM9mpgWv6lmbvsDYKRTcCjUo99iSI/gKolZmknA
NyNTpO7M3S/8kfRfGcPRfDHnLeeiIIShIPZzfiBpt5VMOHlNQzfkOOBz2DQv7BhIbH7oYOXxcs2L
xgu65ufctXT7/9tsDl6u0I2Jw1oiQUY2AvSfoD4QCVptaSdj3eqrzgvevsJcbEfFyV+zesZasBdE
A1hWJcxUNGkre3T2DyL73iUDzmQzTNRjmxEkH4rMrMF0HLv82E2WVhmW4Fj6Dpr/arZvzQ6mU9s4
rhrhOa3tb6jdrKk5UW/Ont0sgG3Yzvn5Up5PpGKH1Qnjl+gxnuxeOun59rqkjbV+PyRP7VyVFQZ8
ZQ7m2SRoAPA+J/kPyH9crFO5Kx+sBYGpgnSpYA8WMRcBIJKXmcvXV4NNscFd1KrfAbY1W31QU2Xx
WvUf4BxiNmoc7aJE2W6TXLJhu77PBMy1Vs7go57nlfBC1Ll4ahbrMQWs+j7PtOUknfMg1Lq4DAkt
jtH1Sxld9VMujCu4JdxHy/H2v+d+6na4b7771zAi7KBQJde9HtxTAEZ36PWq/dLd0y8YN+3Fg4Yo
NDGrqXPLUkK+38XLvPlFpZ8JpLXGg9QQiW9GT6jPD2FTmKU6yKuZnxgNbyfMqHTdmg9IfDVp4qyz
eacfrqocnLaKHI4u3KvC5Oeu0SewW99VZUQ1MjZnR8w58zAVp+W85GCfcFmmIifajMIPm+Be2N5O
mPdOWgHmJrvm4bfQMK3jX5+6unSA4bOL6c8sy+jUcvnRvNzQERWlk5nrl31x1wffSNVtrks6vDRg
NObTjwjeQ0ng2X4SjcCr8vDnfC7kRUYL4jhw1GempVu5jQhMaiSD9kH8Vjh7g8J0mgcLabOR+OIy
gnKP96phrrcsOOqc/tw5p0/kC67OKumm56LszSH+fC75HKumTVjC34N1XlY6oWMmQP2xUcbK1OPg
IaHsNbTMcbZN62DhQGUZnkPYDG0TRPUYruUl2K/l5KpVLMmsOn9FDmqmvhSMV+bKjyx+v8qI5UjR
Xi0YOzWKWSxVmjKt2RLJcSnWJknTW6atSfKY63YhG4NvyjsG8Ge5aO17h/duDyRJVwInRG/3cLIQ
rAR0K0CCFz+dUMydICOyc1D7kHBUR1Jiv23rVTFkvSvtyYan0jZcpUdU+s/mFEHtI1s1f7d4COqc
jvvT/MjeSyxuHiZZCGja0BYY1/LskvBlQFHNsnjmdzsbDQ+OXWPz688vcF1PUVJauKpTKGpEGt2B
Ro0Y0nxtBHhZrgVqPuV7vfhc7t1EptV60WmLx4PsILn9IqJY2U/IyKri1lplGMdmFP/BuwaFHXMn
eLAit3imSa85SXXb9Q2Ex1vPSf7RL0Aba1f31S5yNmQhI/RB5LGmZ1M2r+FpdTx0rmnx3VWUnMJc
b206A0ngftbZW8y8DSY0KgUu7fs27uUPv8y2/xDHp6VoqCdkzf0ygSoebN3yhlOk4VaHInsXm5se
9hCcJn2KOB55GQ6BDy+xHYlJ/pwFOAs1sOBpvP8Y1ClO4B9hBcrtgAE0BwVG9AAMsA8ovwfpSLLP
tSYpYtFGL3kccWuC1SyvclHLGjsxYJ6/Q+Tya19fZqK8h0LbGjZRmozqk7FQ7mAzV8a0sLOwwbko
o71/jXCLgwKRbhiWSrz7wH9BGOvtPQQlPPyU3mY7PzJOUeOXywfIus2vjQ5+ZZ9aKc/2RfYLKwJm
k8URStsLsf/dBb50YLkCKsLPgCM6SRi3yiUPTOcpvNiMqItW8zPt56YuXsorrvBdatsEPN1eqjIn
jlgGKFCilLyqjcddmWA9urQdapmBgnWrLJIhNXzx8jX6Ff1jaOdrtR9IDKRzjJzTUvSj4ytTs5MW
2EtILoTEv+8BsAa+DmJ7980BECl0+Avs9Vf0+s0Zfn0QkMvP6sab1RvBVKmZ3XolFjRRXve0dxAn
DIM1wQ6U3RQa6+UZ1D/0AS63HTOxW4TYQQsCtIQazzXHbJGtZ01L1WzyrlcI39XaanORD6Qw++y6
mVEUaUv3UT9sU4r7n7DmOftJGkkgExvYU8pkDBtLu0v0xhEZY+zBQAmeueCvg50ay09VUHN0eAgi
9aCsplNl5lIVnTDiviwKh4PWm/MfCpJT25hRHtoE3LOK3EsPkL9e7dx7QYeTmRvGEROY1oLNj9Xr
1YDnX81vPllZm7qghxQULNKdS7kiEQdbRl2rWtcuyyhlv7g+sBRQ6sbo83U9trRORaLxxcBfERWw
cnx3qBSgZdJeHPuPK1JkDfo561tWvPvkNxyFdYawDIGFbm8Z+iB8rj4lMLbmLvskF5H9hY199TXL
d4svo42b85uXak2o2xeVoDqvc2G9zEHZm+FPZ4MXUlvUbSzD1ARGQCvAKx8gupyuZUeRYZgG23yk
kGDVcIEUUnSmI+LvbkXxJy6aoUzf8wExKHcK6hJkRCqgQTm4bmymOdNn9FymlYkmqoJRqBS0WYAS
yZcoliuhJw+ie9JlRYUQgMqz84LCroO/R5Q9SaGoiQB7+r9eUAGpd6jGUsBq6yimtArR0mkEfYSN
axK+vQ3fXaxGUSBSywUEIOEqxPWA2M9amAIV48ak6cIbof5qf0DbZazIu2AlkXLTV06OX5xz3EHB
qLwT/aPJfGs1HR+fBGg82uq1vY4G8tCnKwiIcCGETYPrBWjsRbyMHOyzaaSsDP4N8g31b56r7D1e
Rj2RjJc5OAdrzBhs8uvMM8ihTSZQ8ueoN9TNWov0EFPspPpWpdV8ZGZXlICzP6B9Vwp6c459DZyF
G5AaiEPB5pgZDK0ySMVgz0dX+JMP30f5kx0yITn4/CtbggEeozWa2E5fQME/VO2CCz9NtaOzFTf7
IGySggc8J3HN4Pu6f9d2Ws8KlHXhkgWR53//0MlMJHvlWzeQ8sdGfndmOM6HkJOs7yRumhgLRyzK
+5GCcimjqpfXhY7nW5yq1kEU+edeJxzHRPRcG/lc9vN/a4NLNnvUfFxV+/kAmFzE+2OhLbV+8dcp
EA49ja38gRNujtQtv0pLA7E5GcW7PYSty74GZS+PXlETSLreZoEk48/sCBDTxz+OuA3Ux6o032dx
sxFCf7n4yUAnoyW2ffCMjjFXyj7yxkSKnfgKlNVgFo7SlJ+8zX4Kn/BaWIfqmlZN14S3MJjNXTXH
EUh5htd16la29wrTACyJPBgKmv6QSkD0nFtYXjnk3Rdpuy6Q0nikrVFk9AGeBZ17Y6VqVMLIxuhf
o/6xY8N6KGGXsdelYW0PTkQ14VwvvLVE91UrFMSge6KUq5qq0rEN8UzLCTna0U6szb15GzzFpLpe
cG6I5rHpyKSg/kNh2ZA4S5euKYJoybswc409ciqOyB/OZxCfQG6NStM0kL+zztRwTFyJfD+I1vuk
7sPt7tFFTH8nBCi0fF5MSv5TJucaQc1WU28HgPK2Mtof8UnPMOU01ncHxDlEfK0bKmpT4iM++KC+
7YGmIHFajIDLsbUQ/g5/tP35JVuQLpkIvqn8REApNm3k4OMd75H0i0zGnIaWr1CoX5WQbOriZmaV
GNKR9rqhATw9NHG3yyxOXIQ9iBnezSaDxpYiUpd93Tqiwch11gPNRLn7hCqgMjPMKid5DAnfavhX
Al2paY/kJLoQcTOKghi5KPLYhJ9nMN8y+6ZJ86EgFvhPMvesbDl9Saxyn48v/hlKtZy6wCRAz+lj
kkUaThBDwQk5BGEoKrNXRIJu3EP73RL2O57Vjw1vCgI9G/2RQx6jO3UK/26NT8jAWYFWTKnmCWQS
czlLstHsPlYfd5GOOp2Dl0lqlfOohyCs9/MLlbj5F2ce/iojJ8MpIdfs4bXlOcwtMwPSFd+HM8lR
1GN1j2BEV1qbLpRYQ11BqEWPSqnK/ROSVyU+9zaO2ZqITbJVO6PvkpbyxMZT491r1p0LZBfFFRqR
X0LmLFGSSqR5rN/SloNF9RUGhJ3u8daO8OZQXJHths/BkvZOxnp6kBcNkoZLT6s79JcxNwIesfPW
Q0//3U43sWcCZlKykW0R9NdbkiZ4sagHEPUafgoMP7JXxAlzp0t+CAL4jJcJetLZHM3/6eoeDlMQ
u6QaSVrkeq7Tbf8egII3zYylgs3vhSU0Y2bYGWzCPpT2I/iQRZdTrhdrmA1Orx+4Ijju4xC8MMQo
xjWxn6kOS+Hm3OkDnzY/F5PJIgfkyfQ3z18tYYovWCMQcPKG95aYEN7xrv0J1ZVFG/eyawhvWtJb
z0HKO4ijYNe5U6Im/WDCSDvDOKH1YvAjdifH2shZNbEo9IN304tiODMG2sASolYTNE4DCygj0Xch
UCwSb0UTCGWXVYkd0qDxdty11FiGyi65zPvA4ZMBUsF6p4MNddE4X8HMz5gN2VF4PsM76Pl/LF7c
k9jhE0Lq4hjJefcvZQzZ2gG8Ec9t8rNtchzDWTi16cL4gsnvMErETP4DooQt9ppiwYoMDKE+IEln
HagwJ2vBBvFKHkLNASXDIU6/ppKFbQhVG1VJygYe9pDjk2leWdclhYDZUMdM2vudS0773g7qPCJr
4UHmCWjH785DKC6fOIgOTGD8Ugz0H9tM617nCtjv0ivILv35MeojBrE2J4rBn9GMNxsayt7rthpo
3ofr1Niads939kMHmRpDqxyxyxMZOaNFS0tp82Rfkt3SRiFOHLdi7L9DRzixwmEJJvyanqwQliHO
d0y80JmEanHyztOkZlQAhCXjmMwx8Zy5Yd0AjizCS+w9l4Rq4VeRFuTqTU8DtHdy1lFNS3ft5qbU
gBN/1SqlqIhinFeqNOUcz3N30BJAs3KUIre2CFtozuyFHjpTcBnEczp+3GphpQfBibnuzzl5Hd0d
qhV5J25Ic/0jvLC5H3XKK6s5x4dy2KqIKQO7YEDCqAydZErx4FsZsRP7NaRf8A3y7eIOWjuKB+GQ
EWP/I8t/elh2CLnBI4U7hL+M3U1g/o6WeEEJeU7/p4VW+abIoKYmYxRHiU0dm7km61NDJjcqCfn7
ck8wFUjCLBXHoJo5e8mKFw94nJxmtVryLjgmttl9/IV9gt4nZc4XhYvoKgi5ySKNSJEstSPvpU4D
ncMQDwWOol4R/ysqwxkPEE2P/jSWIg+iFAVqER4vu34j3r2YGXKuNQBXvpwT9ZEV2mcszmFIz5eW
AejFCVWODaU1F4R4IfhpuoKjWSKKLK68j3nS7v8nLB6JgLx1HliQrhHE7Oc5nV1497CgxEg9V1/i
oYcVFayUTyDDeCh4YLhHdImWpWHS7/3cSmgrzw0RvsENHR7PGiYxabYM4OvO3QLExnIMeuky0iRg
PzahfWm5JIugxdh+Vwx692I540iMjlGwj8KYYyFge98DVyzn7AdEozW0tyadfssdkiaVyjieReTe
FOQAdR1rUVToqi7XhWlPp8ZcdInVhl/v8AX1safa/W5A81I/4/tB1BBP9dmFDeIqD+c/xt79r/XN
DdAsK1iXztyZCfFop5R8sAZ5sopM8K2hd9cJs1EGxgXuqzX5dNhVEeXopnA0zo8dFDgNlJW1l4Ph
ZeJT6vwyVU4Iyqz3QWljKxULMQ5Tl8/6fDdEt7+eIQWPMXGTmx29xAsliFpyIYoReaDNGSA9dD2n
SFoSbw6OL7wEcieXfdVwlMhDhjIFfFOnHd3h02b82l+xg2lCVcGofvTusk9Iv+kW71PxJwchRLoH
P4V0Iep1M8e7niYrobE1lONoos9XetwRYMijvW5sZNytAm6X38Fc7LnmMqYTMcMSj5A3Xhj+Oz3L
7GcetqqLsEYRlsRhra41SFMgdbf3IFIMy/IN3Pmma7gBTLo8h9k5fXmpmi2hCwo/BwehpcJ7sEql
9aC3gtWdtPRNWHjCfR4Xj+T4I3oJXY33LbLjntvpTxlh0idp8ECcCEeu/zJXiWYc1RXirc3pANri
Iv1qB0+DGJeOOUNghI/NHqKLWt8Cz7otV2N0zjuWRVmgou+eNGrEq5pn1gcISikE2jlXnPt+C+3F
CwHykn3YqMZN5qMtiekIqcE3sSy5oIIAPCpw7aqhWn82Pi/U7mpIM6vDsnfEep66Cgixlgf6Wktt
8MCkgmEC5jCZTrafsLVjHe2D1m3Ohw/JvesweSN2tOqSnXMRTVWpiPtnseWuOc6LLlMDRTaPDGzZ
/HzUpOdApeubIFQllizcGacsO7Mt1Gu/rE9WHGoPJG3mSpXVVbK9uAhASl4hUOtH6ZgBMc/76Caq
tRLc+aNKiG3oUWJ9wzT7OTT+CqAEzj5W6JABgZrddBgsOyFriD5YnbzggzF+j+Y3L8MRxyWD/E72
cX9RMzg8eQQXIPAt9rkXc96nrtRqlFrXRSDPks6QLOADZC7DwG2b0WuOtbYzaoCxhH9QrxmYpSZT
TJWKnhEFEAFx7IwyXrBAf0OmcSx0KxdGvSHz3BJi4a8tLmBftupoZnxPuDSK+LQ65nfiLv/TCwir
q3tK7WPAElIKhIJ0HNO9ug7CRFpu1G73JKxzRK9WTAaIf56hX/RlPZpWRI9T+JERfaqhstvfDt5W
SI93jefvmRAVNmMO4wr9rnt22UngiS8lvF7/Tl+IzWsEhHCDxF5Rg6VG79yG8wvydW+Sg82/DL6o
VEwIhzK39jSg42J5XtOVBVdtfsMtpUFenTROpYImHcYHsRNgLw7KhAZItd/S6murTwJ9HOBqKS0l
Y1BoQMkc4IBAJTAtEit7U1jokdgsbMaO+4QSuO09k8Xn6T5RS0vhrFXMN9pwOIMejA3uxSvANn5M
uGg8Xd++yD6hIm0fhMHViazpik2TqtqfGJj3WvwfFWSVM+QrhulchO99PPn+3kEj5Un4IFUM3b0c
heYGfUeVQzof51pjzkiYIjSmzsbUuhKM2VR47WFZ/j9mOzo+U1ss10px51knElNJnnJspgYZG0Jo
/6VR+egQ0lpLPRRpTreKXn95Wpt7WOFWsHIC6SFpPQVBdWT0z2+Vv6b5boNe7Y8VtnLaTyN6+lHd
uDG25MlRDkVAMY4fsWBmGppiKXQ2w+9tH+edrFwYx8wnBOr6MZSvcRzje50ucbwszsqn/+yEWCaL
F4GxIKA5xdhD+P1dS3fWV2ahbZ7NLU+6EYT3NSy9H/BsbT1prsfFdJ4wZuEWXmr4A+Oe1Ycr1Vvb
5q/kAJqewqxw3L4An/rEPxZdXRUJQ+kEHfa2NaGvs/iqKdsg9PgE1fRYppZnaXuu0nEo0oK/qOkX
PQbspKY4nL8YI/EmoPTZwBAqCYPWEea5hJFoc8QQfkn5YcQR4aj57b/ucEK16PU8oh2fU6itpIfP
E6/mxJ524Qb2vm/LpezIt/L9W2oobQloT6i8opTnTTqDlfCzUcMRBUKETTdK19HYR+gVbWtZUqMV
OaUvahwZd+keErfmbeDB+GHXXKUuOvQK+3zywFF6s8k27OjlE1UxrhThMKjI3+9pmQ8AP9O8PKvd
MrfuO4NRJyJRi7Nndz+UEyN7S6z1SytiKNuQA4LxfgakB7sb2ytZvZg06/3uZFjh7Fn/CdlIcErG
Yz7pWs25GjDdA3yQGO7r0/e15lqGsWJ4UTbeTgXjWUJISkxQUTh2Up+sUDul8fdAxK7m2KSy0Veq
xr01E1dS+Wh7oVEvEpCTI1/gClZi7tea+AqIzsHgouDiV1if2z1cjuQJ8A9hEl8KHkmeV/zMEF8Y
3ZViRWb/Eb3UYzexbxelKPdA9SV3TCZy1+9dUvFUdjNUqoGUItx3KNrZcADY2HabBO0dJ2k8rXj+
TJrThkg/Utlq7G6Eevux4ZU47xLbDoFYUMa0j/RLFPyLP31B4MMMnqOVHmxlCmXY3eVhiESkIcrV
MEDiMCKnjdGhoEsMzMmrDpNWO1zLWL5phUU5i2//b3Z72jUFdaANpk5etpaOBEI5QPMODuePFsqs
D0Pss5SekEuzuW4KbwbsdyudohC2hfbx0h2XjmV6I8tUrmyLzzjDQd9TVis2RPn+VbfWD2I5L8HD
Bkae9vrSzDrKyrtR/V0Rzf4r81gpY/amYR+xEbRmC4w/gL68Ecd+6FPyDzDPJgqd2W+fgl42z/RK
flXo+EZmBIXghuRlkVS576qR2xt0G7vspFvY1ZoRpdTgPFNwkKcRjbfzYHjykvfEMZRHS85+kV7a
GvqZLsoFy/jV3yVOY5lVUHXCjm97LZv9z7IaM/5W+br7B2BlMzgvDANXM+41Kl1GEbK+osrDTX9J
A/cSrqsS9E8h6wX5EbQwVwEG9ATY0lrW1V2RghWmHVBBG3JAgdm7kNSCMcD23KnaoCEyebLSNvE6
S+gBFrTVS6l83KXmUOqQqWVVtPrCkwkaHml8XwJM34rB8x3kHQnMJL3rWINXP0Xl4W/1xSQ+gG4Q
d9qEluW/D8T5Dg2SiX4nBa9tcORWL3w7AVHh9cyczqctFmODSOFE/FFa9zHgCxNbDPw4n5oACvpj
sFD9e/O+d4rgzTBuZ2Qub+pFSX7jpffb/oHpBOO3YDcWxqfbTvb4B+cj1c+IvRSzkvlYdudLMWVM
/dByXecW/Aqoukp+1po/fiyJQAxV6xhFGEEVDw4MLALQLZ9UciT+SRrmgWqpriB2aulvYTxKCy9X
6gsZcxjBMMprYaiIXJ09iFv6qVpj1Cyym8J3KQuR2uP5RYb8ZIUnUzFfMl5WPX4sCNLf2zIvM1o7
Io+p3EYb77SdQxRIEKagXNEL+lS/tSWUkiPvE7OWLmax6acmUdlszBQmWPxqX+NKT4kb2vyaFgvp
9uZ7sXg2wjWGO4tUoEDfGzWrV3k8DR2z3Emdz4zQepvFqdcxL1JBu6taxAsXUZWMvaIM17iXpv48
puQcxQD0BUYrkU4yYdcgAkZ902fJYKoC3fVYGmslNZJUth1jQ8Y61A/AAinpjCpRlSM37nHHwtCC
PcNZBTSyIddU40iELJ5+CARz12o2Z0VJl/R/1b806rP5vw5VsL5N78xWmLE0WwelckWiDsCR/Wio
Paq2Lmn9S4Y228ZmBhxqSKBtlCbyoNybMwkT+vcj6MfJIlbPzJi5mBwH8uycKX85G/VXcqxgKlb2
BKS1rAhRPP7haM1ctJBhBC1zHFmJ2r66zTlnGOxxm7Nrw1iHXtl/0Ivn13Q0cUU0quW8U5kDwVci
o/tTQD4PU9HZWu6XEsvyn4W57j40IIAzLkdSyTCVoVNPd6IK3ya0D/OPqCu13Zn6XGPUlA0N5dAf
A8KPoNAmoX4vCwGnykLPC0tiRswiOZ3yM8V34FbVc373UOZDRg5Z4hNPqi7BnmWj6v3c0tP+qrPm
w9b+oKH5ojU9nAa9XSo85sdkiEiQV58N0yUVLQcVsagyjDg7ipSy1fMg0DMWmjz3F/P0XGDO4Fqd
cPzWpn8PfBY/5k0G0gdlPm6PIAitBGjbqq6y4AfjeA2RQ3j5jYPN8a37C/JZgm7d/XuHi8RN0Wta
+OwoatcxKL/iGmjwUNflQiOPUSPXaesfkUHaNwfA0Uu+NFIeEYU39MMhputhjeNVW/a8nk1Qmzkx
+UY2Pv5QPQxXhjYzR6opKpqCPLTA86a9wtEXWHgZ6dlkgN7SGc3mJ0UR4d2KrrnsJsfCWyIDmfU/
HQuXVC9i3XomOXsAYud4OMTWqNmKNKrEylhiDj06mNxXWEOsuBrIrYnZiJEKWt/FRpjgOub+5KMh
v8CReBNZ99YbIgK2adepN24IeLC9fJmyU9ujIFx22ua0qnrawtWa5VCB8YWT6SYq8MMG2VKbEnY5
TOrc9DR05/iDAMB/YPYHvNRTNK9HsTr5iI8DxfI2IkIUqRjPtYcIwXUHA3VKAa0td14dPLwGkdC8
4cqaxAIal1QjQm/sLxsRqo0SMCFMAVFmChxqlHUCa4OJpOxTcXSwcSg0ekvMMOfLsCqG6l9gzUtI
DcmqCMW1H55VB/KHO391ygzNAKQBhMT6RVkAznc0yz6xY+C5eWvHwDKStrb+OYQ1LVxhPCs45A6r
NU63vKBBjKSSAAxK2bUSLvXq2wCTF2mAc/jDEN1WObkqGwvsAchPejzBo1HAb9HB/+inRRpx/J1c
7gn5vcXHjBPhul0KHVlOxzwDBf50JKcmdYEdYClFUI455verF2+DSXSiF/Wk3vjmgu3BbRCPjR4q
eXjXqCHEhdmpwzyzhykH3g8wjDNdRNnRO4HeYZ1FNzuLFZEsaqcrjr+gkunQgMteApUV60XHUhFj
7LHLHwl2xbEqY9/qaV0g54XA6t3Ire7PHyPEtXgAT8KXO56xq0dnebjZq433EfXvI0JKTJQ3sCEZ
YF+5EdVyCNHmXTy8H6as0RCJI0plFqzzVd8fukYe5cS8jI7R4JPBWg4u4xNr93gprnvorpQz+tA8
Fsi+mZJwquZyx4Ud8MD8Xgwa8FYm3JaOBO86gJEayL/HtoFUMuKQz9UOXNjQ71Q8dc0Z2maYkqSv
ET+0rpYgpQBnNteav+mAKgdbLDC1ys2YstyQ05Gu640fwBFePX1wp2w2wxqBuWPClpmNmwLt1K0r
lg4ToPSH6doH/2yjwM1ypJs/llZR4KrqMFDkCbtfkKH+ecUMg3kZ2ILVZ1xggo71LMCkyRWV2Ypj
qpsz0ULXzbOYFKYOMXddI/EAkLgt8uA3jtuUWaJAC4RjjHlCoFzr8TLiP2slEycLlVZOFlaxbuGy
leLrRUZufTqQPpAXN8yAYs7RefwBitERGR9C8sW2ySUY+50UkTU/yyEkyAAhntbF8zESQfJzJDlV
QuyXZt2ruetFc8sDRdAVxqlbAUjk1erzfw7YO73Zue16qgQlm1T2+1n6aNl+4yo8M0lnfIsgzrDf
CrRxnPiTIPwQS2Alprsz9G8sgw9WFEZeYPy6BU/n0D6Z4HLzYmN6XMCdSdk8Zo4iTdDdKErf2/GN
2znnaCKG8sHsixs6O/rDqOxy399hPWFjN/i8roO+sIb5/y4UE4DqoZi29CQsxjoMV1Ix39WvPwUu
J172B+45EpcopHqzqtR6CIi5h8rAKPUr0qzCHXRliKIEVqVM21r0tqIo9DGd3q5k2CY2B0g1KhR+
PYzb0Q87PnbzOq6EdN+g/F1cRvNeHJ1TIm1+I49nloFt32kjCPwaKlOBNhTXhqDm0Ra+Sg5+D4Ml
J3L1I9oHccFuTjbWWlY3MShJO9MJ30edevgI/uhKzcwPoHRISEYTMMavmqaXWm4anLt25dcT2hDy
4SOBy2qF3thzCZP3prtSw7NOUBhZxhdfnLg0ErR5LqSr1fNkKpBKWDIHyHSly40BDdNwXWAwgDQN
a+1LYzKufPewNi6DCR5E4xbk0cj9zRpE/qxg5n3/MWxaiLFUePk1fRgr4z8FK6DkhVl4xJNIS1Lm
acpBPyrkP2Uh3L0tCZzTmKnmsEbv7OBhdC8ez03nug1DPx4anIsynGjpqJDaw+vQcZ3jYgHGisOg
5YIQGyBo9BGnTm5XBi50IRUOboCqBBdVazGDMoQIsN4bWNXslrlkpKUCyPkRoM5h1VKrmTMpLXo0
aIO9X+IOEELL55WmCjyVGN6fV4NgJ7qbUoO2F7nW8pbBkIX+JnuyqWQ+89vpPOaH3JHAfCB1O0AW
u/HZb+VdyuHrq5/6z8FTUc1/2zUmpv+EE+x4SP6daNMd/9H109INUFmpq3CrXYGgKNsm3v/8gwgw
MJGdqILX2AoGYhhxtTaCcEexcN+g88mG9SkP1hsxZdD1kIackOIgfsrwZlBCc1W9huadzX0rSHBj
oA9kakI36aN8n1bKyOAzhE1mJgyY2HrlM+GfU7nDtMYADXXfgloNrkazr1r3VqxdXLVpIn6VI4v3
2m8dvZmxmsdRnakFIrQBSFgiox2g1eyUsZWyHE+bqa2rvOiNRfTCRsKbjjomxqyaDRCGCudHiZ2F
tCffGEqzOE8GGDAGtHquMqNez9NKotSOcNQYV38BP+fVX40xv3BgB7JQZlkRnh8ET6nzw1OZT8sh
Lv2CMbTPLJAaURAesnOxT2C7WPvHCPPg4k0p8tthddj+134Pt7CNyk8XZNYDcnXNmHI/UCWFUWhS
HelZ/Th8ReWHZnNG0wBDgBJmHwnYWYAx96eHL0kRwiW7qlQ1wK0n8fSrJJHqUGb2HobxaquBSDxN
/VP3U9K9gWULCVweX+5bwlvs4XLiTegEuGiCFRymO0KPQm24XKQzbcQeAmOQB2P5h0EHyx+zZKij
IpZ4YTPOkJP089WGF9+7vqCLUzr1A8OdQ0shAM4Qa71uqoehZBeBy9UzAqUuYNYPZn/byvWyPuE2
btohWWqLj2VlYmA0/ixoQAWag/ZtiUBEI2AS/tv9GelNv5vHU4Qw6QI4isenFVGuiCkfXlWt8PgJ
qCZoTD8HbjZty7KcGbtGFKJNc9wxbA50UEme5OPHA7sVspVKhkcT4JJhDfY7f3XgCi0Ijw/Y6ww5
veD7UlTkEXvXzx+ynXaR64SFsGf4aCHFupKQOIsWw+hmQryvp3MXHj2+gb8ZwXcfXOwbFR6THznR
19HFvuY4uQAYCw2Y+IR7J8/ZpkS/31XIFxA0fT8ptWQdWNbbB94m0VPYHWkkI6rxdKz7PAfpuHWq
ikJYjgVJaP9/vcTZQxYbgJsDl4oSH2m4gHetgb8HC6jde4BLtakFLvw111uKJez3ayrg5S5qPsF9
tyiic2SiR23fTR3JYW94tU6qDwPTuJZLwlekUskTY1Ppxduiv7zFnezUvmOMa9RtOzm9kLHdlhvO
pGlfpeMZ0dLGkUOSnAA695s7JYUNQ8OdWJNg+43ZwEKQq51Q832ZaMMhF3rknjv3g/RHED/TQXtL
38NhHNl8EhjpaTPu8Ax34v5L3h+xzsDgcwmRHHF01vxU3+Olxzj5gqerLCRCSMdADZfqUGoepFR8
xvv/cfP+bkVLGkQiJj2CBqd04Laeya1CpMCt2oyINEw8nebegkEcDc3Q3R/Dj7XmX/kmj5TWus/d
nNVc1+0xtrTBNXBaEpuh9JIbgonBNZMCMSfLfpg+PrNC9MZu+EYhbJC7swE1kntxyWAqXwv17jSo
V5GLRW4D0wEBcqKr0TU4nqMqXWNE9kGsYimXu1/Xk5Ff7sijds1vkuNlLOo/eD53WXeGyxNYL2zG
igEBxSfn31bFwj1j7DQg6Mf3IC5MbQnr5nYT0hR3LrzHrgaPzX2w7gDzpG9TLoYwIABLHkA5Bg2U
RH3f7Q5v0TJRANhgbGMUUOM7D1UWPhfxQRhHH6UqwK1b+YnlLI/17B+IgoHwmWLNNCG7rP4UOjtF
Vfz6dlbt8jtgUJE59q73UGfbCWao9JVXli9cHyprdxGAZcI09tHWUjdOrJGlAezmUexjcfh3MNQ6
4dTfsryVJ9wSEi3GXQehrqxDLWc1Ep9WOSZDsbACiNbqvkQ1nhTn1AdQM29Q5qAKhcOVTMzCdkPd
PK9FW3dBMRN1qfu7iTI9X3gmviakjRdE/3jL3EuOxYG1DnHJ4sBRoqlsedXLfjffZ26sxlptz/tG
10R3qjaS8XTbAwLGEAxPi/bBdgWDwXFBaJjjvEhfIpOhD1+3QPDcjOPQvtJg3I7KlPlN0vXYtH/O
Sj49V0SG/cLslxYAjnXwZ2J75Xy494BtvLyx7nleGq8k7+ZF1ZPyoNKx51M6zYhwLfUlhA4fOIpa
S+cDMgx9Dq5FZ2+t8fBaUEadbOa5eR2BGFVVgXgdUoUbTtewiGflM6nZib8mkcEnonJDy6bpjInH
mskcUSDs9sSYvx2sSC8tU9WqiNHD1qQUHXliIaPjMrfe2+2uUictygy9aF1WXwEUHiTvV3lH6yTS
6NnZeVoVkQY4g9A8z2xHreoSjhC5bitrGvsmvpIMsQ84eZ9+hi5UFCnFzRvtvO11oBS5d0mo7KHU
g06b0jMOGYCNCbFSH+GFxE/jYqRN6edW2PW2fAZ6vyxk/7n1E73xryo4E+3nWWbh9Gjq2VIy6bQe
HBoDDDq7S53EF+lpZbpNW8HtiJLBH531J8S6bP/m0wQLMxK47aHiNeV8ZT2N5t4GtPxlAGJ5C/6w
/9j9KNV2KEjkr/TUjL2d0b+1uVpjhldPjeIrRsiRbmGkYkkvXSAQdIEg8TfDvNw30hlsvQhu/U6H
7GfPM8SIIZWKIMyVScA0KNzIbT0cs0vW/HyLJYc07iQveHWzcxEvKWEZnflLRPHcPpV2HM1PHfoF
UsODloEoWGmzz2ouSN5fMohxG799OZDwL6mU9FKxdF4pnOrX6P0LiiKmDlvCLEkyAlM3Dhphbvpj
DlvgjNaQU9jDUWIor0o5OiK+WdZ64+vGDayvGJ6A/d/exQ1JTzKc1C8jTSXl1ftD0YQJplnQrMFS
iYqsMZw3jy3stNygpKolh+rLkAr+C2w4XNc9lUQAWWzivfa2Dr9nx0Z8BXnoZBUoNa2CA1xAfnjx
2FrV2mfn4i3deO+NR0wpExERXvfTo1GJmc5z6i3sqY7hCYa3qeyN1GrKMnF9CHDmqhE//lTY5Sb2
gb/VxyFpffxescBAu7UkqrXAObqQfO5ae5oWPPX0g7e4YJUgaPvRbnhagIIJ7LI0qL8qTPUky6Sj
8zo0ANv6i1vhvzGSHJGmmBu6MxJY1kDxP7erXow0PRP10XggBn+1tbySdBMgOFQCaP/YQuDXJ4W3
mHeXYQxFc1IccnKcUMahTQmjuGQwDqDsj6UeXkIb7mwMgYe3p5JnDnYj6r4Vx+qZ/iIIHq7p4VnU
043U8mexPrQ7J9GnjyanhgcIKT7YAZEdKGhTnYHlsmoTTiv5wIqdCi09cjeGl6G4BKZ7I6/rZ5qn
TapgSoJ4z7kNug4e1E46OtJYG1XjvTdkseldvqM0z9eczxgwR7yuM0Ku0tJvRjNSdgCGzz2sOTmY
XZ+5cppsusyIo64Sl9/O6py8kYdwiSxeTGZBoa01ZSf+rWa8Dp05FuRI99xc93qkkSDja45PLB02
JkyOtfRpD9fxCSc=
`protect end_protected
| gpl-2.0 | 8f5ca52e280d3d420d00f3110ddd5a3b | 0.941102 | 1.856398 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ALU/ALU/arith_unit.vhd | 1 | 1,885 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:20:02 03/28/2016
-- Design Name:
-- Module Name: arith_unit - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity arith_unit is
Port ( RA : in STD_LOGIC_VECTOR (15 downto 0);
RB : in STD_LOGIC_VECTOR (15 downto 0);
OP : in STD_LOGIC_VECTOR (2 downto 0);
AR_OUT : out STD_LOGIC_VECTOR (15 downto 0);
SREG_OUT : out STD_LOGIC_VECTOR (3 downto 0));
end arith_unit;
architecture Combinational of arith_unit is
signal a,b : STD_LOGIC_VECTOR (16 downto 0) := (OTHERS => '0');
signal RESULT : STD_LOGIC_VECTOR (16 downto 0) := (OTHERS => '0');
signal SREG : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
begin
a <= '0' & RA;
b <= '0' & RB;
with OP select
RESULT <=
a + b when "000", -- ADD
a - b when "001", -- SUB
a + b when "101", -- ADDI
'0' & X"0000" when OTHERS;
SREG(3) <= RESULT(15); -- Negative with signed logic
SREG(2) <= '1' when RESULT(15 downto 0) = x"00000000" else '1'; -- Zero
SREG(1) <= RESULT(16) xor RESULT(15); -- Overflow with signed logic
SREG(0) <= RESULT(16); -- Carry
SREG_OUT <= SREG;
AR_OUT <= RESULT(15 downto 0);
end Combinational;
| gpl-3.0 | 6d5e5581803788f1c46cf5cec0783d90 | 0.586207 | 3.244406 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/so_run_addr_gen_rotator.vhd | 3 | 225,106 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
CLbmC0BczV+oWfv+62LJrpqdpVdXCwiuGJ0+uKUg8Ne2DSWvrYswnxoT+bmZEsVjkGImcqYhPHoi
jks19YmVUQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
C/Nxl4EaW4SwO2Iktd9jdAmLgPVsGrElqjcoC+SsHc4n02WeSSuEftOB5wXuDmAWhNn6CKpsFlP6
/HRzzHpROnXm1qmOYZcanp3GQAYg+/fneAICVRT5SrPNCQM6Nfq+qtoQXl0wZoNgBXy7gVld6UTL
CeQ/+vEHuMh6S087YtA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
oxnsdPQ5LwsMFMERgeos9kv56a3H+q0i7amLJpw/mGpjmXcq/gJvy7y6Kj+qzFYZMwk4nZTtmMV+
W2k3/wYpWShRWwLDi0eVxyl5DTSgXnuxyZQzSx2BV2N2yW/+7waZ67VYx7j6QBkhkFAYW5TWhe/+
zeW8zNA5m9/mUiHA8552MN6xatUQm1m0Z1lijpbPoRBKsDMW8jjpfQLSG/IO/theA9B85yaYZVnM
V8NcUrhcuH/FKbQqEnMquarVwPTezDMD8JqFpQw/Hw6iNLyd+PWoRPl3a2lekgYapKWWq258N/ed
Rcqa+f2zor9olqIVPrqVH3EFjlcVJ8zZvhap9w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
o4APFk0QwmMcnWOBSY5dr5Q3PbyYRcBHimJdG5p5StNCoaIztR6uDfwcCUsneRYp25Ihyqv7ncPl
WOWKzAX4MQbJ5BVpZRs8upREBltGmuMggK3tDxTpeGcVlFEb0xOT1IloyH0gGJAYnBlVHqP8u2Mv
hJw22oG0FbsVGFYrYpk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mJTSXRkV7LEacO+4mWAhgOa/XDR7pWStVycs3Qv+nPi/xY4WdCSAp1xt1f/AgtUbxRPonRocVMIZ
YkbRaZrlepPWZFKx7r9PKdWrQcGFZ7NpBEg/nMWCWibA47lc+vKdgEpPwbZV1mh8sbvAddrEm7MI
WwIeFifybJdGC1n/Cr3eX5lbFFk6L2XyOjrXurqBfl3sNJtCAxzAzGtAfyPRCZr0tAmYUSBUbgSi
wyYA2K2T7+29YKZUA4LhBp1vhju6lSA3YwIZ8EYedAdMuHxilQJ+FluV76C74+frOV7Z71ZdyGte
ekgOo850d3Zrkx6X4bCrUmx9fC+VsI18UNaT5A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 164896)
`protect data_block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==
`protect end_protected
| gpl-2.0 | 234ab0da6524106ab6d86ec24c5f85b9 | 0.954084 | 1.808996 | false | false | false | false |
UVVM/UVVM_All | bitvis_irqc/src/irqc_pif.vhd | 1 | 4,367 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- VHDL unit : Bitvis IRQC Library : irqc_pif
--
-- Description : See dedicated powerpoint presentation and README-file(s)
------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.irqc_pif_pkg.all;
entity irqc_pif is
port(
arst : in std_logic;
clk : in std_logic;
-- CPU interface
cs : in std_logic;
addr : in unsigned;
wr : in std_logic;
rd : in std_logic;
din : in std_logic_vector(7 downto 0);
dout : out std_logic_vector(7 downto 0) := (others => '0');
--
p2c : out t_p2c;
c2p : in t_c2p
);
end irqc_pif;
architecture rtl of irqc_pif is
signal p2c_i : t_p2c; -- internal version of output
signal dout_i : std_logic_vector(7 downto 0) := (others => '0');
begin
-- Assigning internally used signals to outputs
p2c <= p2c_i;
p_read_reg : process(cs, addr, rd, c2p, p2c_i)
begin
-- default values
dout_i <= (others => '0');
if cs = '1' and rd = '1' then
case to_integer(addr) is
when C_ADDR_IRR =>
dout_i(C_NUM_SOURCES-1 downto 0) <= c2p.aro_irr;
when C_ADDR_IER =>
dout_i(C_NUM_SOURCES-1 downto 0) <= p2c_i.rw_ier;
when C_ADDR_IPR =>
dout_i(C_NUM_SOURCES-1 downto 0) <= c2p.aro_ipr;
when C_ADDR_IRQ2CPU_ALLOWED =>
dout_i(0) <= c2p.aro_irq2cpu_allowed;
when others =>
null;
end case;
end if;
end process p_read_reg;
dout <= dout_i;
-- Writing to registers that are not functionally manipulated
p_write_reg : process(clk, arst)
begin
if arst = '1' then
p2c_i.rw_ier <= (others => '0');
elsif rising_edge(clk) then
if cs = '1' and wr = '1' then
case to_integer(addr) is
when C_ADDR_IER =>
p2c_i.rw_ier <= din(C_NUM_SOURCES-1 downto 0);
-- Auxiliary write (below)
when others =>
null;
end case;
end if;
end if;
end process p_write_reg;
-- Writing to registers that are functionally manipulated and/or located outside PIF (or dummy registers)
p_aux : process(wr, addr, din)
begin
-- Note that arst is not considered here, but must be considered in any clocked process in the core
-- Default - always to return to these values
p2c_i.awt_icr(C_NUM_SOURCES-1 downto 0) <= (others => '0');
p2c_i.awt_itr(C_NUM_SOURCES-1 downto 0) <= (others => '0');
p2c_i.awt_irq2cpu_ena <= '0';
p2c_i.awt_irq2cpu_disable <= '0';
if (cs = '1' and wr = '1') then
case to_integer(addr) is
when C_ADDR_ITR =>
p2c_i.awt_itr <= din(C_NUM_SOURCES-1 downto 0);
when C_ADDR_ICR =>
p2c_i.awt_icr <= din(C_NUM_SOURCES-1 downto 0);
when C_ADDR_IRQ2CPU_ENA =>
p2c_i.awt_irq2cpu_ena <= din(0);
when C_ADDR_IRQ2CPU_DISABLE =>
p2c_i.awt_irq2cpu_disable <= din(0);
when others =>
null;
end case;
end if;
end process p_aux;
end rtl;
| mit | e8dd76bfc141b0198156e015f8129015 | 0.517747 | 3.735672 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xbip_dsp48_wrapper_v3_0/hdl/xbip_dsp48_wrapper_v3_0_pkg.vhd | 7 | 24,914 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
KNxSR/aJ+y4Vx76YjnWoe4eW5kZ9MjJvJLkbkGFMM071W4IfQhZTZLLAptwj7dnWQ8r1Q9wrSmAS
B85lXJ8EBg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kZg6x+L2tgmQFATCSjQ7eo2YUOS2HLfgvowvQQsQnQtoz/Xb7xi1C8eFIaawu13rrtQTWGUFCKp2
xsh+o+6yvdRjCcSqIs6860tzJJYNuMni0I0KX8E00dff9aQwt7UpE8WxduqQN1XLg2mVLv2K+AMQ
URE+gTAdF9UcQolA0co=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
h+JzZ6vZxcDtnXis77mndg0y1fHGeWY4MpUw75O1mQYqoaKZ3uRvBoLsj1je4f/AgEFuqaWNS7/h
qU1aHR8YosZ+6W4xVSlvB7f4ImNcTBRV9+waIpOVnFsj8Kda1MhkW8rUJfKSsy8qEF5SJrnrOHCg
jDiuOGKsng8DVwA8KDh1psu1mv5cRVBJPKUVNYBFdcxqeIowiARy845+RpllNVLhifWYOPOln2nw
1pBr2vYc4//AreQTL9O3vJ+Ie3liHcVr+GNbDqLgQrxY6bhV9xZPJsuahsIUCDgsbKSUdAdgRMRD
gWOGp9++FfTboKStePx8O6C9a5hgGewaQzyX7g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KE4T4S+V0stqOBj4/8mzG+H1NQG+zZIdWlg5RGEIYa9xGytJdjovPqxLPpQRJ6HYhMzP0hswn4aj
OThR6Gpdskj4XEXiTg6GTtRXnnL5cEV9XZ/sewEIDjB1yIHy4gZMFyVJWrtg+KOrvkCe9//ZlXfY
GqIUuVIyLvFcaYtKxUw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Mm9s51LvygyofDsW1p8JglymQiyEAsZ+9NcxX3TB98eq6yAJQnvJj96DrW75Uxa+ua2Hh4uqUz/q
MMkQUlqvQlhdjH5AJX83pDQ04DXk4WjdtnErKSJ+nqsB9EDaipbIpnukHv95UEYMo64WSvDI55ar
X9GU3EOW9Mv14KqmgcDkyIB2LXkHO4eId9lIHc+pwdwtloec7Aqbp1XUXCnbqOPK69ehgt4vcMUI
vs+K5bmGEhAW0fqg2VSrs5PJXwjVnguVs9ROdeyLrnTvo3iBwH/bnzPexeIjUEJEgJqAQSbvL5NS
8DH8kRtK8AUc1YuY6nsPMcpGj4puYucEFjZKTA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16704)
`protect data_block
LBRsSjtWVCR8J2hEkFpgkkNdITzvBfWRMASiMpRrpjik+4T8yF+yUOFRzrivIV4ZhPfYa+2YdMOb
v8XnSTWet7wkwMWfv/kXt2WEeP0OfYEpBnVcb4rLoZGs3SjZlIHlvMtXRUm9FU4At/yVYqbSFJJm
8gWJ1kt2wiS2l/JQP3Gmq9Mj1aLaVkWxGy9JHWl4ZBD8nR+we9lYlVBbjo3AXVXPQLhxdB8Tp3OK
NXN2fWUjb4tJC1E6owTDCQ8SKMOGg35u80votIFt6zoig8RQDnsMEZ3q/IVY6NfMomJAP4IIX8N4
TvChyJZGe7Tt6caorlL0Sl/qmWGLtGTKv3KpLpIMupSGGvdrhkZaz6cXeoMXlGYc2/sESxnzqQuX
YUqGF6lCuElqNS2q1Qj7mpJGUod/GLwGO3gE25AoNeQFUqoZYR5TxLIHQo1CD+Rdbc2uSXT+k5wD
ST4Tt+SAWp2Z0BBNs29w/X/GnTXPt/HvnN6LG29Yc2TKET9U4KIASWK/2IqTOZrk/T2pBozlsNGx
lhBtF8aM0iAQDlqVD/1SITvbYW/Suas51kHGvl4/kkffQEC+DHV9cUYLgLRL9UVqV1LE7WgNvdh0
Lo+qF7GHeqGVbT2SImv8pjT1fgj6HC0vW8DByvXaNJrJYWeWFfdnR0Sk6gWigyySdZXifo6MbSpq
7CmSsNPxiEdGM7rQKJUopSTn5aU1anGvBj42JzajV8CrWNDFSqKP8WeFb1Gfyi3wM5dTjzOAXoi6
63mQM1DTzCi24acYjLeGZ5bIH2WQY9Hu9yEFjN0C0v41jFxgQ9/51OnQLFQaJzDnmmlRqzD5i/bo
g2IUlwoEufvrMqvJCCv7vOvN5AYusQPpFOo+akiHCkFncZbRc9y+vhVdkw9isjj3jGsWR1oWCQ5n
3zroP610EZ4YTwHT2W91US0yRQGzn7ETeEs9xmfJmB4BSmEl1/novRlDNNxnV6z+wAvbB+8fCPGF
FxrIIeLd55LvLJFwUQyWN6bC5ManddiuDZdIAKBd2UeXJLUku2W8lj56/oKaV2TiZMCQ+zTR84hD
CeY7pIY/B3kHlibiYFl/lZdfyODwlEhpdUvP5XnjjGa98dZCFfHTQdeSRr22GJBvzq90qqI7VxsM
eOscS/zU2MgzP8ABzlAAAE9o/20EzCfbeMtLhbKX6Fxlz6Aux9J+ycWZSeueyo5qRw7cSiADzilU
LKj+a4JlyK/6eov8XMqskHYQhTU4Sn3WQ66frTHHugf3FHJthJs8Dab8w3a2J/RwWEFU3L2crAsj
NWB9jPbGoeR3lK13Q2JPzykGmAPSirPZ1jCnLHqTOFfzR85xlmVsavftvG5cagc0BFS1ij9iSQQs
3VLTqwZP/qFprveZv9oIWpZRyMhTa8AH7rn+k10VH/BMD+/evaYJVcavYclnquOvT0cJsGD/1HsR
eHlXE+f34nE5RqlJotaedcraqLf9ARERLt2uqT+42IWCrg4r5vw7LtFrgkJ0ff7j+Soqzuj+MFhV
gvrDbtKEdyZ4KEVfELUB4WvtKRJCelI9/WgiIUhH2rbwgB7smOgNSwBAD6hRAF31PwiGERa2RFls
gkvscJpzNZ/k+nZ1DXFC2r2FmbUGjQVspHRUtaBNDpLLtPagoMi3pghz4nlb8WIXmyk2xUvT/pGd
rCD9GaXgGDDtF6y/mJVF1aPUV+Y4D4BKysVZWylNO6bTbfwNCxP0cQ/thHs3EtcvsImaSaY+VPfI
bBGM1zEa7NzZc+17E8IG3YelT4rs3p0H5mO/Q3YvnLbjrwjAUQzYAm39BAGwqxDksCSAEUwhrL1u
foYo40pl+O0TZefANers2jegQAysR/lmfGt8K9qxDM6ceZu1Fqi2mre88ok2D4ffcqfNjGAr7MZL
ofujGl1p6pcCc7C2mV/71BvNM3oD+qRINR7zrnN32eBtdGVubbspViMCm/ah9zhRJgCOxplI12Q9
azzLsq2Q30Bh6pCb+7W/9dSzS3e7Mt7ygQ2jzCtuuxDLBxt47m2etJEQEfDN1My9psqkljmNcIW5
EqxGcouDQYET0EjgRb5aSveLeJce7lHeDLjJO9zhuyo27+8DJyu8OArVoHEdZ8psqVRuduVW7rGZ
qCVSj3D8bK2+9G3E4u7qR9gLvUB6TnEzb80s5/eEoT4UnG97LCPmuGbomRjEnaSIBrbf81AICFVP
kwynaDZ1TKAyjz2id3w3rssdB1RO2tefm2/huo2yEHQ4yqRBru9pqTUgClF0BPKtQSbxtMS7e8eb
toJnDm/gUiSHsM6wJLUW21R5Ua8CM4cM2eWY+c7n5EQE0b0jqV9qZosHQiSsiQ3r6Vv5y18gYVsx
LuewBV+k/VgFA8858bx83TszH7wBAA3VPO2aMSg5ZS6xPGwP7AzA2YLWbMc5zreNkBXc/GVKEmR1
P67eGIV2ytSNyHoaVdAq6492NOLGmJ1FLCI/GbAH1CPK6Hlmp80Vo1HfQNv6bBNdjM2YeMKM67wZ
YXxEVMv9vz2mSh3Qk2IT4OhT+n9zAbQ4r1X+yxrf+UU7oZgaUqSIwXfo77urHT3Mg/MUvNb+NE4+
66/N5EDvkf5Nd5UnbwE4TzNLclZppyCaa//273KS0uLhzZ3btG5nQ8tNXCBiRR83fyax0zaGQ2YH
oaWywsHllAz7+aDfR6VApMltJdykfJpLh7wQt68idciEt4krDbgUT2phSwt5AEf3nW7O34xdTMSW
aR/T3YprFleVjzwP4NDvAc0fExs2yd+alrUrxSDpH9kCVo8jgLENgFbc9gzKBIOhfnJNIFbG8XrS
txUEqKtfBabLTqVXQGnE54nxxEcl+uEe5AnwqHbI8nR7rpS2mw/D1Gdgs6nq0ps6pVgIZyc6SWk2
URSd1mX5I3ZF5DJQdgYPZhuEW2Bcwbyc7ZpeRx/8Wh6v4psT7IyD5xz70IHyAOympbBycL7TPpBa
7stfT+huBExMavmI+gJHEE32AoZXc6wWqqrWNFm4K2s/pMYg7R0dskrAKkrK2z6ozE7Beo0vp1j/
s5ibZJY50DIpN1MKxNq0gKDqn1eOXhoJVC+S0kbAQG6Tg9TDaN+GxX8hOOMRsTIjauGH4CKfULl+
dTGrswFUwgdXq970VojfAha/6rMPIh4nRdCYSivHT66/8/Cp3Ao5esgkfO/cZtC3AZtFm5jZ2B86
XI6dj8x1LANI+wrGZWd18XpF7eZqiD2b3wcIUpLbjh3LwVvk9/Hx1K8VoOeEzrbLhEzxZn1V7iLP
cz/P+phtyLT8sWsnR2StwSMfxBvd6WXPhD7XDgIUmPSsDTANPw8SmgOb51W5gmtiGsIVOPnEwCwr
lLTO+C2NRD7/9wdtuzBdqWI+2fsaXmq8dAo0EqXd9LzK0F8ky+3UcT1qmdTQbfjQlZxISal8a7Jz
JuOGfBvKpGbmhEP9EljqjDTFhUDqn+JRjQjci3EwOADAo5wxlIyfCp/hKqIZD2vrJKX7fPMD0RC9
rL/j5pvoVp07ZgQ8U3rAkbXzZWSRmRYWy2SbLm2f5GXvvqn62NIyOabP8swrtHR9jAgXhkgiaeuh
a3pSjDDnSMkVt25hr8ZTGEf3LBpIdUoIhZDfvLME44B9ayfquP2j6a5RHVdBuxauuLfXQaAUKkLq
TaKHbGO6C1CX7kp1REmwqqSAKagSZULTGLnOxX9r/ip3tbBGN+q+OBMWIMy0dKYdq1n9UVOSNfGg
Decmn+ii2FqXsqclediTdFyUb3T2VpGSZr13nqfKIezPrpkJhB+G6D1Lp0u7l7P6m8IN7VxDhFr2
3JCe9aElym9aYd355/tbt3T+rMYrGadNGeQK9vRLgWu7G1IYG3qMgVaIaUdNGeYHfdEbPIqufz+t
HahoUK7PDJdiTv5mexWrlbGj+Xo5o6P13C1QuOKndrwrKqpRP59eXkF/BuhK/zM/kXrg5JMpvhTN
qSoJzyWKHDrQsIEOOomWXJSBkWqe9R6KQikhn+llwP+Mg6dBXfQCrB6QVwsht8W+kYTmBrTtahOe
GSGOcaW2bMpaQ4+isGHtqIDyNtc2fOr53XZ+9yRUXnk02ysrnnNK1Pt/miRrbbHn0GvlwaTeMS0v
SuYyZ0fNQknKSUpRdFK+s/iaPD2Sf38OIgmY7ot/yDQIRAm2vb1/MkHaXAdr7lzyia6L+P45tIb4
I1vFtksOQTmMIjvzfNh0AwUgBbHQyzeDHeHqLiNNFoZcgMxnEbpIXzUOpkKXJItLAgxc8Ch2KtgT
HrAebW9OpmzUrM9n2CG6eyW8tS4wsxUKtn5RSi+oXMp8xCa0iI1NrekhfQ9BDodcnTKAz+fBJxOp
cNNSjPqESEzA71EQvVciDxRC59H9gIUIdAkLhRdoKCGInPvgOo4iKjUS706i0Vg/keMfdH7nxW0i
QuYmNDgbFCMMIJm14UVNtPx2vW6sVdUTXoLTanYcrUN4rrhFKe5NkTDifHaZYnx/RiqNSd3hAdqP
iCQfm/zkti8e8MBugDI89JfUrPIYNyebBPWqD3FQ2dJm5zKMhmTjUOWD1lGkBjpicFdCnLeKGrBq
P89QgrC+YwLkcUvwjmKaiJYSXCjwwlJStRSBZ7sNwYSvvCYAXeeeoCpVai4ZtMY143i45wiIAQv9
CFdHJAOcy0f/86FCPGGdkOeEEr5RMg8vdGFV2cwyThQw7clVG6uJOF21Qo8kCP/HqHCtwwbfhdYa
nEVX0DHGz0TJcYWJ7EHXu3ZfgAVd6KeJHQq+StuHI2t8k9WcTJICpIBqC7UFSR+1RpleUs8nr6kB
62QMKmdQQcmeEBpsz50HcsMHMfH2NOCOycjaBoGOGWlGXByljTVWn1sjK2M+tyxrYMGO3MUKLN96
9G8P2mBVE8CiKV/zWxCrFz4wLgI/Yd0V+2AVaOzETrnWabJiErBiIPe3yVVbPm90vfRoiXGK2VcO
TyMdlceEh2VypShFEhzQ9eRd3hgJVaJxpkCZWJPeEg3UQpnRqnhvpZVNRY5pQSNdmXDi4ZJMjaXp
terTa5UZuX1cTQRe4uP3qDIJwsUu2efBIgQ9tcXiL+EYMGUl9ryNBpnMhWMZ0/Pcv1/JFJS+0XkK
9k+rlBcW9yfOTeU4UmkzpgGN76pOJ7MokYS3KRQt8rbWsszSRdGAEPvEb6CmoxnR+hDdtpB924VJ
w7D8VOVNGA1WooHcailqeqsiDmdpyiI3xvZtl9e4yTzoeXIsHOH7dOWZv6fbwpKLYXUgel+d4MZv
bwwjMeM6Z+H1ajUSmhCL7+o4Q1PXNt9zgyDDzOtAgtBqgapKo6MehAkXMyzB4rocbJKI6OVRgANx
Bn4i56ht3tr8eAe3QgSuFVqcM9U6TSiZp1XbkN36TpyTFqD7Y4gECl0UHTw1fNupO/q0Wflr4Jrw
28ZWnYnIZJRgNPERVwp4jmrFu40KDgzhhK5GkUpgasdRvC1k7U6XdlKMVCejbpQcWSBrqhJccFNq
IWDOcAmO0619e2uHgc+qRXr+J+EGDlkckFSyCJKovt8UxVFbuG7G0Oh5NLEkh6rn5ivRAICjoO5U
2YCgQDxFvuWn7BVRUXAPNNxBrWxBgSBa3mXbxSXdg7ZxVE7l23WvfBq/2YTYmqj6vEHfHwQyrgfm
8S11R9sY27i77FLOastA8DOsJSB7IrgRv3hobyRMPHpdKJM3PS2xc5SZn3FZF5qH2TuSfm7hxdnQ
PBeoWqCo6O/n5bNv8F0Ud7urh6t4m7DUKSy1DbvIL+8eS//+B0cDRa8L3NlPmKMEMw4ZoT7vw5ud
y5qTT6Dqt/83tTsdk2yWFUB3JHLcsTdH+YK7tDrW+/HAgllqrJ/rWQ0XmQV+i+vQyWfah4wd6tpW
UumJc/K4Wqn6sGjv8OjoUMSPk8n+2M/FuG6uJ9oSyUtCq9zMUIj0uVggUtZEpmNjy2zYXCiGStUL
cxqTuTUL2l5irabeFI/b3t/Y1iLMnDBdqSH+NPR087zrgFhTkH0tRnUaKPRDOqKQb0txgSNd8z8B
BlxZlSpjsPmyofWmcIV5P2bti0eU1JLSuR4mj82gWCKYYDSvt/ERSkO5kdM1tN47I635HknxnKo7
8h6SPL3UmFPyegNVwofryrRbVyBgKbQelZo/d9LqI6Op6OOhghL3kwMKHPZanCV/Nc56Vxrbv2e2
s+fsm2IDXcb8kZfIiRqPcWzSqMFqP0kJV7VVGOR7/R1pcxkAs9yS9B28dY4Apvk+OkYhPvr8Qwek
miaGs/Pm3yTIgu4L4I+xAF4lofuN0dwvFPkp71nsl0y0Xry3DCdQgM/CnK6TpYNeBzcBvgdfAwAH
Au7Jboxcd/Bgc2xEcIURA0WUXcIGbtLt9Bv4qhRlVOl9FiYUkmHCRsA7Y37TuUao6K4UwiGKHQBE
Epz5zDdylMjeBowQUefM0CMKpLSt0qLavbIB1u+uG3eyCF54llh3frAQOsuI1G/wiWV3p/85YfNE
BcUTmW2K9xOtzqHyN69FM8ZmpkifP2tkdBvC4AAsOWe6qwb9NZaWiEg3VuxzGDU6PhXigC0xdJHE
4d9HXevW8sHkZ5gmbqkAg/v7eeA0JEAivxaVALHVOupaf5FzC54tJsbBe0nfNMWEelnvUaCDsEeu
hI8i2UZzbi0MmiAhxiHStCtPO6iFp+4d86e9mPhxTWA9nUluMbEEOHMW/iuDvsBuScMM4cPFJQZc
qSy/xR591UVVR6hEC9kSWFhjLRhi9aosHniURJxr+9wXS9XCd2lSXFUujVKVztjai3E+heoAs7Vx
R8ISxt88CmnwtcPBAlthsWbEjv2c/MXU6eFlLANTF69at1a/qk25sV0R6xFhR8/dPpZ73EN4RTee
ePZE8KvvlKyrOY6VR0gSrifRKGj5dA5729ErnPh0aNh/srtlsiKUMnItO0QTyVxUj1pEN4rkc5WF
bHYpJeInXy1ePNjjIJn+Vvx6R+Hx1EcfG+a/2ISuiMKkIEdjymc6wbZcydbOYxJNqdUbhR1seN1Y
X5E9jgNriuVLdar2hgYNWfQVtpl6SLqEbKlW8hMlHCZw1D1g3gaXVYFRCNH14ySG2W/A1+f2KJxn
7kOxOKwRB+HDf8pvK/y0Dh1lGEwF3rt035sZDWIXWFC53P8/FopT48/Ai9wHKM8mzvYUWjbQaoFP
8GKWxo0uplWugDyQI2qXAjCqueT3WqvR+P0F01leF1xSegweHlRPtACVXkYPECnI6GQQh23uUO/F
LLyK6G42ddAqbolzw6TVrah0e5YKlRPf+Bmf3u/txDuHAeBz6jzNGiR7ukpgJndPRnT9euoAtqsn
GdF06QjKTeYSqHEgweZowHAmz3/pQzHvfE8BMprq1GXcpEpg1wuMyUroQ5LntxF5AV7Y6tSdshTl
bZ4B31C+i85a+n5485B1gM565BCMiOV+yn7IVSloiiojvb0l6NDLusctZepv3y0xQZ5Iu53Nvry6
xqpTJnJWdHrBYkRwhf5xSDI47MamTApa6LOI36FQ+cs2XMjghd8WBxO04HyuWW9fBoYVnavtxL0r
FvHC2xPf6BHvTGx1lvhkVmBalZUVJ2Ul881bPYqQXM5vSWYj86dv1UMmbNG/y2tVSzl66ksYlVpt
Cdbh4E55TjdjoXCf2nxYeoPn71j4wCAbiLzLA3XRWuOOpT6+faAPUpZI5Q2y631g7BrNqoLuJiF+
kY9KECeEXuXHBNh3Y7Ip+/9mLuZliUiRH6WTuMu6BnArhJZJfVf9nxUL0263sQFuAe3/tllonraz
3KETt4A515WZHsPTcG52EY2dKd4hYEVR4NH0ibgSCopzWilmB0UawUzndfyjBaGdh6wWtPy/nzeW
7jn1fqIZpOsTdYZJz7ZHA9pkvybFVRDtWpK/8OFhPD/Vv+wQ27hoU5uirrRIStTZI+3g9642sa1K
ekNvEaBh4UOSUoL9E8N2y1m8UC6Z4DeWgCNK5oBluUu8KViFElpRpgezXu0+/dud+f5Sf6AHj9q3
Y8YHfp9qLNRsI9Sc62Z0QeIh+lfrAjCPr/MCXB5kmNE55/8FLOiAjZU1hxetnrqE2dnejIoWbf/x
Ia8JV0VXu8rJsqEDH84FR8Wn5kvuWvoS0u+I3DfCYeYPJ+XlEaS0Ok/ROkaVOzkgQ5mFoLPLqyfz
93wQqCqWxQH2coAt5eQSXax0kjg5E72XdR7zBCuDoaAyFkvxlzKrryKi61BaX6mpXrqisuA3isWy
zJaB2NVWLyLVzYtVbEO0dvbKEuTpONR7Tk6UFcGpDhdcMnHDJrcLw/MsULHs6E1s1+mt6TC4lXJW
hTTxqz+BbxZfGLOYyzOjJNRnUg0FnLt07D3cBmqoCPn9qu1vU8xU0IYL8v5Lu1xpa8Gfmgin6Mgu
J18c2FhWUmF7onZyLV53bcLMN3cE4N0cyYUDc2Que/dDbHbAFOazUBojxrOumH1ozW5HCW/2Us7M
7guEmyCDH1fyU9ASTPcVjoCbsUMFd9zFSQyIGidOu+bip8DPKzPbg3239UNl962LGYlcjtu9OCgd
Js9u6U6yGvQLVwbzOKmkCG4wgjOgInVCiSaRH6uf1cEI3yeLwP+URC9Npm3K1+2jqhOIm4w/wwxN
cxn9qPQEnOoGVQRN4re/7cYyvfO6Fp5vMcUcovxJRjqxTmoxMCpViv79qR7DEgmTu9KRXYyCfRvK
RlPnqB/9uJ+M5XImy+VwIpTRWOtNfcgv64GdS333zbXGYRnkHsXVxVTyi+UvHovbFap35hMMcvHA
wKwa9nca6jA1fDUToziseAyPB+pRGPVYOaJQXp8DNHN2KnJ6/dKyvyhWoH/FQSK8GvHyFaAHMzQq
4EwT9c8eum5Z/enaaN3ibktqdLp6lfPOTIzyAO+L8K8iiiKYLj2eLk1sqs6GGb/P6kwY5nVrMtfN
FqactimU7iCDZUH9ybMyOkA5r34Gwec+3dCuoPgi9UnZ3lFr2lE3w/2MM3QYNjVbydyJYf1uBh52
u5C6SrJhHbN88c2CxG65fP3k0/qSPaZdq3FkV3liiiVAsvU7hi7JRKEk9ofLpDeJCI7ZKsXhhRhl
33QW+rYtdHoOxgiuiH3WbXf8zKaLUK4YHvNqnPcq7o7EhVHcSDhmCHsHlluwNamhIOH0wy28lqbN
6qB6I04hz6bMsbvvtJbnuFc1tZ1ZWm57Hnmkwoznthe7K0NOuRp++5NPxAP2DnjsFK4CayMYBMNQ
fa0Y9j5g/ONXz25NX0ffh6lgaZSZQFUn+GJSy6G4CFTs6lVmw7da40xnOy5TmDehv1Yfe/FO/TV6
cLTWLPNtBpZvaLQb1ouEInYX2658B+2RC4VS7wpn4ps+B1c+3Me82raxn+uwhkHV4s0jwG7RNhvV
74P1cmCfWx0/Zt8NI0XBceciGbMw0aefCGkjPW2dx3zwlaZyEd9deGT2ZQv/qUH8OPSX4HSAol0B
KG7LyMd7UU7+bjD7AuDld5RptjjWoo0NndBaeCjNHd6YvIvZglh9fpQgzWDkcAXfExrA46s5bx0d
/ZJ1lgH7mq2cn3dDn/wJnKL3j72x5kTYRKVdvKDgcuv4nQ/htdzW2mHrCf4tB04WvVFEq6p/StWY
dE7yAsnkZLQfMmmomOvTSaeIWDvICBAuv2iChioFvQ/jOvV9WFjN/WRfAVILzZ/QLrYlKv1NNOFT
9XhQPEQ6qZ/wautFV6n8tLg0cu+dieO4bMo27Qul8oslXIUxcgyMVEoCTMVnyMtfIwe7pgl6pXiV
KvrwCne2lZTqeMeykSFwJ/+WWh+BAwT1fHYeLnc26C4TyR2U1qpswZZTewqJG6KOSgYX4hD90Tvx
Oox1+gduVJD/A8NpgmfVecsgcWNse1sRJe4E4CsIJOPre8TWpwgYppxejBW0yWCHXvoFDqHFMuSu
Td5oJuDIw54lEsQwgvQZun+sm+0Xwjf4LkiDz3w7YaP9+RuE0ja+Pcuw0toavd5Bh7vzGXauvOtx
Cq4wTdVoUR3KSuudJtLjJOiSEIF/GCdqA/GyP7im3nkpa38alG0gKe38e5RPodKj7Tnix+Nyg8FJ
8putlJPQdkjcbDcF3srrhHLTgZrR0kFQ/9amaxLqF1P3rzUrbM5uTlfJlLl2s6WPUs/jv0HaNt17
qwOH+N1B7IQKMCH/aA2J96aRjasgSqHpbDq5mauHdatIlZV4FRPAWUU36riM/Lrbi7YlXYCvWF9o
eB6qOsbZfKxCfcSFtGLuiwUJfmfyM5+uAgYwJXnr9LBK6cxmP/RTOoCCSFaOVvds7lmKhQk3CjSN
nCWgFehoycfD0gihrrlvl/5TEyiBF9TZ3sFhV+D0DCUZkJUDd9I8EDBeu53+sLOoR6EKCFhYOzNW
O0iqsIXjvyP0qpp3kl6SdGEatT19Iph99pzoIOxRoHga8PG8vq5t9n+oKJ9KGbBMdExblvI9+DJd
iKoIKktMivXXhnqdeaKiJyBZg/Y4PxtbD+2c5xAElHqyZA4VLoT8uveYxsYkGNbeiIbXyDlBssr/
RrB7+E/Hmf6b10PD2k4myWi7oPMzx/H8FQRt7PM0qsAr5q/GAHUw//NPIIEvd9NbeuLBMjgW7a3V
CR6Ii6ICGzVh5THBM37oWWrywAUdqFkfIzDEU1EoANA0wf8Rnmis8z+lLm1HqHc3k4Vgb4dMEP7K
JQtz0g0S5dO2nNUXFrEk8NXREev6w9nK/IobQ/ytVlRXPrprdTNfxJdjHiTQ/rtYi8+qJr//KF1Z
AYbXYAWCYZw8yWvOKrpp1cGPP+pn6NwkitOAUZIzXdWLgllnTMUaMzs217qVSXv/AiZbXvCK+ilk
A5FryVj9tnC7J0JG+FZMBlgEEtnE+C7eWXzjswFsxLnn2/Ib8pML3T1ldDKo62k1qQbNI9MImWpX
5rzl6nRP/tqVS22fLmV5Ue0AYn/XewUa53UWc7OKy496rd9TLjNGkK6Asw0LFS1I/uKuFg0sArE1
5PCP5VtQNNku6vwRieoYeW3bikY57B2GvxMuLYO/Qu+9ehe45hcDsYaIrcdd2cJr6UyOB6wbjZxE
YeDrLIz+Pt4OtOn8BcvzuU1Xx30gEKsD5DBy8YkNeXYblLpVOpV9onxcfWpMFL4MHmjIO7/x64GC
JBgUqFZyqimrguYmafM4WhX8puZ6/Po+O9fIJp7iA3BDVdcNVqzWJ6QNv0HZ0+2gFS43L3gwKMR4
HMXFIzj46k83lx8nbObKib2fOenbwcWXP8PharVJ5JJqH22VOZojxW6oUzPocEfs9U61pxT7vqty
o8a4HQRbs3bAm9knBfcRu7oTl0SGJ0reBvn2k8cqHl8jrdYY5nPwtOUkArF2ddkNzeYivevst3js
GzSfVQlIdbHtmAtzeyIRx1V2PrNZ9vQhTvl7QSTyVKFga7AuIkdG2t9kNl+di98C1nAS4wQmtNVE
XAAjgNSubHywPjMokjz0jZZpwK4nZSTO5v+VSkQkrG3jYX0p2eQaeBn6rP/wZixAY0klOC1lPy68
ZFbet3JWcD1oqGxc1OBvshvmHa1P8bhuQTBcPQYyc+OBBR4pdAks8R7d16RxL9L4VB7PALGWy0vb
sgPkIbpvHj+k6aj4IPK2wCoGmqa9SKIOsapf8cgh9GCdAvhDsfIBb6IAacmfiMkZ33Lf6KdiYFyf
JnL5l+mb9JL1RAIZo0kpQsHBkDcHaPHAidw2DZlLhIiN5OeLPVd7RPIdKnRd7O71WWB5K28Ry65I
ik1HBQAVsYg1iDuQ2RrcHkl3vPNu7H8fuSTDOZjAAPPNxWppmE2lbFKDgIuo3OwM6IPSW8HCCKUH
F5f1x11UpGtNlfwVq4ypB+J9pAbr2bSRmM4/px6ZI0vWMNn4NjWAudPfDYzWjTi598l1UZ6Zyhuo
LMCFkotMqJc1jCBcm6SEoQKgdDAGaEZQOxl3tmFEF6wWNc38oy34ULac8bg8fpJVpYH1I47aV2Yk
YD0r+QK2CXSp9HDL3aZkU2AbrUqXXgJkjemaRZzxZgMzLdbSQdNPMH2kMV8tQI49yCeDDljg1+AT
at0EjDNsMD+uuJpEWSUYwi4XtXDkfYIsojHiUMamkZvgCQVqzAJKgZ5e78hRd+YC++5zSsVtKhEk
sFkFaL8Jakxrm1Hg+Hla5xHIXuERUt438aV4HimcVu6w+mbNK4Aofr/rPJtWzYrX7P0Iy5jkaOnI
YPs4/2FqrKRRXgGrmxVtSM0ujnDYGO/8jNmz+QP/8yjKWZk/r/O36exkEYBP4Zuq5RVzZshr/KUa
iWTTlg7Nbu7FxTQ5BVz9Iqhn7au/7zcIQmkNY91TkK5Kd5Mq8sTd+BYzle5I5QR9zZ+7Lb55q5OH
h8KicDzQTug/dEXJD3QaYwkQUEAYVUeM80sIGeHzK/J7PFd0NAiwGGozNDv7OxqRST71lEed5GD/
nyt1HrCCYslFoDrBAnyOUYIsGqb08RpaM6RAXQASBoae9DpU38GLjXgcWuFI+WX2it4JmxcMXToY
YNnBXUw76dYCXmVbcwcZNqkeoA6ozHzYo5BuLvQX/pPHnEfpHnyFu86uXeU2oyQ1HE++NAFezgu3
2xC/ZsJvj5G7g1Y/30hpSECvOD+US0MsOk6bqO5SHo7L+Y8wD5Zja281ET3rDOFdUG3VMcIReTb+
xb+g+HKi4bUpkJ17PdWlyknwouQfTfPNJcDu/GjM2oUtBHku6vekjV6DttBSjgrLzcq0cdpwjaSv
bMTeLQX0672doTbP/bIiqkZQEtv0Hc952Q+GJSGm7F6JUO83wFhz5O+rDZwe4qhSod1qg4SAyC2/
OuaihiMQo/dKj8tuaZZBd4x8qNVWnBhCR51o1bcpo9s3oGH1ZwXHzvzqtot2rIxocSSEH8olNPwG
cSeROaCi25mIkcE6wctnb+cCwhwCF44iEJ2AaUYpSs3jM51nf56ko9lf4m+ODQg/NAAl1t4e2g/r
5ge/ceknlHNJBc30H8WtOS9bYqeyZDkIJ2EB7zAuuNv99vHGytDJnVWWDUvTFHiDfUjh+SJifN4y
6Nv63OFM7y/PPXlbMgV7T6YvIxljPFnMJNzbvWBghwHlXm1pRaNlaA8nQvWForav+b77LB9anZVJ
BY8lQgSCmj26HRQa3BGJDXqHlZYWiEEg8VBWDXNl/JJ/dQRgP2UQhy/VbfYQg0fdgvgiIRIs7AZm
KdUX4yPtSMmhHAoMmBn4pPe3w204Y4ah0JSbY9N6aKSbdezprejqWnv7AxhXQS7AeBhXpSw4HhAr
CfLXuDvk3yOxb5lvjtsTgtFV4N41b5RWPCAO04orb9NczUAI8tiP3t8FULbYecF13jNsBnQCv1LP
fFKtIznV98NalJamFboj7G7S/ipBxRNHmF8OCT2bKlWcMfaSXEGRgx8dAWYByW1Br74YPGwuSHAj
Y+ta01lZCc0e4HO8ScZ6S7A3px8GMjTrXZpHX1mq/C6VcAcNBbQOxCA86sGT+eGSnnXsq/aYzzj4
8DnH5xqfSo2u5wr3OggOzeOpBkqSQaAkMmffsILnueNP41+hduT9yp5gyVT4ob9oyiw3HXEftgFK
IT41B5Bj3GJhXGg8ylQYWoL4+GCd+2h2W41ohwxksf7tQUC2SF0uZVn6//48lM4+zLhG4F+H6oNP
s8g2QI3J77WyBg6xRDY6KcXMIrnuwJQTDVALgX6LJ2yJnKgNDRJ9Zee13LdtuAQV0rJmKwn5OMT8
fKm98fFJUlUqYrx7PwLzPy9qEF8Okk/5Tqbg4ij90ph++ngvEpUQ1UAuYGGhV96XpYGLTBb+H81I
1PgCzS/Ha9zdyeFuBBhKopie2Z5cbItD+tV/1qdsslWpaP331f31O+OYcXRSs+SK39ZuSDqj2HCC
9aSj0m1y4EUx+0GZguwU0/05iKEx4jim4Ejzv5dca6LYM0MeveyvFLdOOH4jczTuaO7GwNHSRFZf
Hz3bnMO7cVOvvPLMiTCsojKiuwy6EuOs5IK9rZae3dhowniQSPF3zUUQlF8nK7b0l+8Mq8hkcCKn
2PL1iSD3FyP5tMYJoT7FaicaXxH8TBuVT+buDO578gF7m8gNl4oxONMMxWiw/mTgCh96tZLNPK1j
6GvzhSnbrEKlEzTqhcxJhx9ueuiPDhiIimUsaXn438O+dwEMA4rjrLTGiDrBJvke7nMePjdEKvr4
d0F14J192MJlYEIRvmhI+eYXnttHycxoSII4FlqcKLB9j+MDtoNS8ADC15mmVwL/CxF7e2nmECrt
CXVK0WySfTnScsO8ktueSSi9ipc367JlrOA/MHAuengKUI683WqBn0UzQT0a2NzNzZgnyHqBBuNU
68ofatDkz5QknBjt3oK9kpQFDiR75fR0Ag2bYh1Cmc6ucseJlVXK5ISlhuGOkfSM64FSg7HcOWIF
nmZWWWJd37rmxRiJHVkkNRhuC24gFUeEFkMdltAL80OVAOvj4rgfgK2+XCrNJgdHCJ/pypukj1S/
GyJwf7H/H3YGg40mJNMbmextOvhl4XDv95w8cIne+Z5rubSBmPnFmUOt1Qhm26h8K5Lb7jHKdET2
H/72Jz5UbO/TkngtBsG247LRs3xRYugwxi/haZ9/cuLi7gP3fMbmtAYYDgQpZazmM+ZOEs4LKqBZ
VCFDDKCUg1bLpM+Vscru/RGTLn/YHn2cqCbA7WT7QA4RYV0/yrSA9L/KrX9BuoUzywto6KdzMjSE
FemAy+lqexQL+cfTJ28sG/Hpj2ztqF7Unstpymay3Rvsc4tt/cr0Fbs7RWiO9aUasXrSQRiCObUH
mqDRJwTrq/R5N4gfXp5xWJDMcFEorudAHbkNCmfrpb9GsVIpazNXbCDfZQafqrm+t1wejVlxQqST
kAgnbxw5s39ULuR6RlvHFNkOV4THKlvSVkfZjd/pQHXOsh+zmD+6a//9KHQYqy9HtHpjXDnInt0I
uMx5kFFcpyJ/bshntGy5f/1hdiB7MEAxjFtljstxqisx+svWZ8MQdzOkWtTka3vwF7pkBk+cvm7W
HC1ZrUrXBCYY/qU2+2JoOdUS7TT2+dw2tD+0ty2CNztmT5Cju6orPLSa9hu2RopL/R2ACMM9ibaF
hKf9lVD651Q938of18Dhlk+SCFMAAU8e0AgLe8eDVdSIa8LreU3UuAYQlgJW5vz2G0oAJ7c4q7sT
0vjxVlcQzKD9TPLwEhjNuzaWb7Fy72ROiibaQEqrvwr6Phsk8pwyftLORWVQ6tgGxf/V+5Jd5vQn
+4KnOcnQj+dw6VUTw9DM+lHDAD2R0BmD6wKSYgwOKqNo6whtWZfMeuWC9iAju51nrlv4iem6NcNu
gHGFlujjzltJF6WWJd6tpXx8KjhG23mioxrRSH1KRqwSfw79h+ICug1EhTEMJMIhbfulr4duQfOP
/b19fGjNVExrMYvmvu7QyI+HafSGxPo/CUKqajkXZDFvKaP9C4MaiEMf+YPUWqkZLgR96bfqZcO9
jJl2CcFXeCch3LSfQmQCcfWDdTG+bjgd5TQFxV+MZMa93Da6EBAoh+HT7tsL6sNPkTXVduRbIikn
FL6IaPWfib0SuAIJc3j91puc976YWFnf+E24QBxznQlJ6IyDe1zVpOYZnOFp7kmmcnnk3xdRFwof
Wbu68ykzbG2l2sUFHA8ea8ctHZ7N3E3wFi9jarDP0UgIk6xDppTYOHe9JZb+b7uzVQgxI/ab0L/O
eOUIVXA2k5RePGbbXVhD1LoMBPhw4ZHsEcmGr+Bs+03hyTQ+byIygXUwl1Q9bj6pyCjvpVx5lcfY
nTTnMNbP323Ygs+duDMovuqXBW8H+gJwOn+eoG95sF52ELql8WE9Lba2wUKAwEdPdQ6pESB7QuyF
48LoXziJ0NJjwKJIuVciCoX0b5dGfI0SfA0WmeUW5UD8McIuIRZ28B1BmLovV3+ZdFrzvnKVltpb
UqBlgCw63tp9obGI6MXxbEMoWqNpdLbuaQ1GdbFN082sYZM50FnJSGoV+KvebmGosnNILFMssJIq
bMhs6obWfaSr1HPFZyiBrOyTAyQ/EfUmq7VIT9QBJ4F1ujsZWalJaYs3I+3F+qXzyyuNLNp4YXPe
Dxt9J6VeC0zP8jBdSCI65xP7sgW71WUUck8gTRwPBKEV+/AQiywNefZlJOkf9R3U0Aujn0dXtr3N
0UY1hcTt2dkUTp+47l30nIDg6JrraZrMgQjD6/wCCD8meg1O6AXTnY8DSQIDo6/YWPJdbzSvyiEc
8jM3vQmGYegnw56BWIO32Pr1FGPhaMPswrwd7NQkFvK7pc3x/NnbRC7drFmPabATcQTNGqL7xrGd
g9guq+bo+d+rH7qnzeNIzGWni4rM4//JZCX2OlIdQVkEQjX6F3F3H01T1DEMjK0s5VxmU9SnR1mB
PBvNGlKYMsqHI7bpE5/xPVa8VevGBUngelzC0WgSX6+t7UjtLKZ2t0s7Pi1eqjIf4gLucJ09uhOk
U7ysQDEJHgmJ46IC3BQX0aLTobBRNXW+KKJp/U/Mcsy8iIISp3mIKiIjysB8l1KCPhpoD8mJiXx6
BgoXPWDarKPUnF4FI5BDlSqk6cLUCx04pSqa2e2cnNxzTEOwOt5N3PPECKk0EoF+LTiE9iZPLxOr
okeWVF0BiIws05yxJPU0igTXpxnzFaef+nRXyN3B1OBiDJ0rO2/fDUiihi8fiBiMb3vXS9+Q8WBv
RIy+s1e3200HndknRcw0jqbrZYTKZkLRooOMBL5+fnywDRR/2s1WbzIruIOhYX2ZjuLGNYw8tGRb
5+hI106a0xQFv+c2tZEPdCkvr24MWy+Yw/v2sfXnngHtEA0/mHLILyWdQ4Vw0shVz4Avtoonxmkv
YjUgFwn8/WA8V42lpOCj09KRTPnKcIql42nCGLdfJ7URj6jiAKsI5p0MXWmyIxgM2qcszdbhcX60
+jvTqWeFkbaxWpF6xuLBherronuZwL337yytzrzGpmqaUdsQv2rzc203auHdszfXmtdOUvn56f+5
OXdq1g8LUjU0ZSI1HnB2WmdMDROrlXe0/QbzuPqP7ABjSV2gbfdMe8SH5d/zzOEjJQkTwYvB3PDw
SE0W5gHvI4okJbe/iFmC/FKm8d+1sfcV2dQmn0GtULv7JtwAvTD5yRFvm8PTItfeEX21MvcCqwLN
GPAh6/9YWQgQU8lKhhggubYkqeNHRsm7YOGgz9j9pPmyNPqtGc+OQjg8zSKvLRLQaxoDlbN2tGIC
CZL0yZ9fQ2RUAFBpVrOmNS416GBgn1gX3/DTaWlR53yS33/5FeWZOGaU/IlGv0mkIXmCuw62qj6b
p3xAwVTlStXbvc3Tw3tp8i1NVFd3ztOCu0gt/XBMpNw+5YRSTOQs83UBIa3ZhTqAHkZutAMFpNLM
W4EdsYhdgfM2NfvFtxc4KwaS3C0VeaD19zChuODbbNLFaerdbpc1RP1WuToMnhFIoTn4ke0q5D00
Jejn6/f2uvd3TiPH6tUmmrGah+3ZSaidCfrwgcGDtw9ykNMXdOKRt7MYhuxOOUNml/h2R5xADpOR
BaHUuecjATXrLlpqdo3Tmi530jw4Pa0ADBAyBoU1DyepFSZRgH4JcQ0ozBc6shl9wfvzSny4W/+G
7VKwTTaHnzd7pgP2DKdEZSV5w08GFfyIR2AZqCO50SqeIbxmZBZobulm+qcw7UEQ9OiYaH9tPYLm
W1W7AuaT4Ddxp34G23zJrbrX0b6STMLHLcqE9mOPUxGbz5Rhyfv5AvMHmhKcZ/suW01G5tvdGXQK
uuKXaDmHXXv6QHn9UMme3enpQTgVhAg3Hq2P4w/f0SxYZofVGUDbngVJn8tng44j9Q5ER+gqbNUp
MJCmdfGtjRvFucMxVJ4MUWSAs2IXHo1jVk3Ap0mMwUq6eE6Tga5sujE5WddwALTVzsj4L1sVquwX
A7FE9rEQaGVW46PZm7+bCpfqFwYDS7SW9NaQECelAQ/1LqIc7Vf/Gtoo9aL04VLSS3UE5rudqvlh
y1iSBrTFXdEUuPenxnu+TbP/o7Pq9I3/qtqwQJKd5LYhrMGcpfilH2zunBMfVopMxvqH5eSyUXXI
oKXXk0sD7kboynma5XBifRuRw8z6Ug6rjN8VlHLsRapP++zRdq+vA04TcEerLLZWAZ6sb7GaTf/O
G5Y/GTwoli3G8ruDTcB7y1io8rksrvg91ianPdJ1jW7WWEC49pz51USv6b7gMCSBFRCbFjqfNDbs
6EzroH3m3PiDYUr3NKeSVIbKPILjcFt0/TP6Mw4hj4Fc74sbswO45BSo4fRiz6dIezdkSXCyPwZd
qqR7MVaaqp0xm5E0iGeMlBz0iBKOt3PWVvHtuYaDm42R9ML8im9hG707PyC+PMXQiHAZSjoMh12G
tfl1/AzInyBPUQDckznOx00fXnfJt9D0YlTM7E5aM1QpZP4nmc8DLR0WxTKGcimod7DP434I/tCd
Uh6zd8TVDja3CZdyqaMVb9UB711iUIebdt9SJ/atN5KGZujEOONEr0sEK8Bw6UHcTu1NNOkdi5on
jzFNowLoOG4DTxilyrm2Wcwx11UPd36JPGTt53fdpyDqtvMxEoIzhIm4D9CSxqKGzK/m5UmmWgi+
8Bu0dLYfwNL5uWJrXYi3GBaH2hrkyLlB1JRzztm8cPjDp25uXvhuOtVLuBbvdLIAiy9eBQ2VpYmW
kwfbPCDN5sbf4pFrucl4boUX4/Cxncqj6X3wel3Hn8BmYQclEshOW4dBw+tskW3zzGnkvfFuLKx4
fXNIai7jfYqd6NrtvqkDe0Mx3EcgqY2uGcBrtAWbFipVuMAu3BAZznGbsLNkmj/bBH2rPp6vhMZz
HRcJ5IXBt4dgof8u0Y5s3FFFWimAYdcZLra/T2HYnwSQ1kvB0f8JSrW6Hi3ZkxrcDct8BB+sG1m7
4rFv0v1JWKaigQGi8ICoSmArNhBNjHqM3SEjlneF176r0gDFMN0ORrBz4VK8rhaa7fHoA35th3l1
k6no57xy+O6e7HXmZVxOzJq3d3vdoI7FhrMJmCKIcF5XfzgT47jnphHpCFIssycBVwq4OXwvOER+
y5HwvkPKNNDFvZ64f+93AwNleVbFp3YE7B3dXBGANE0uKTUfEYI1Qw2yNKAtXCQyp0SA3hEqpYvb
Qc8flKCgcpEBfp81DZ6XFSCLdL/7F+rwkE/+LlWQonXaAH7QQECUVyZc5miIuEvpaITIg+EZrxB/
y+9rVvMh5bJB4hhN+03zuKebsWvD7uWcSCLRhuiYaZP9WGuI7evq1pDNSyehAXJytNI4Tay60C5U
5XmrZgse1BEWtnconHeSMU2hdVrMGT5fbU6/9rmB2kKynYKSDajZQZTIwaAcq/7o9JGWC6ufoEzK
ruKeA0eNynEq+Hx+qtLLWhMxFGbkvDh2npeSPyuVvUfBf0VFAuea/F7hqADIcVEy2d/xX4ZsHAsk
So3DjZvHHaaQoGq9Y9yuRVXzVj7Qv6tOgwQwWzonUgwgJQ1DsMMWL6xBZLsok4V2qqJznv4xyodB
lZ+fF1Ah+Kz9lGqpZu96y6GJaws8FS5oC5xSiDOYNDBajPbmrjY3y6fujvdRLjiBfr5AqQ41ZBsE
NrWVUbEA7JQLxvEQtUl/GQVyVDuwKyIMuYSsAox2kDmvwekECNDkcv3RkDCN93JnZa/fmVO5RiTa
Q+vMq0/84wfvH2xx8ihaflG21hEHw/3vdTtS61MZatMFpkrCmWp0BB40q43jdUscxcpzQ3oD5LbF
O5WX/2SCH5KU9l22NNIot+7BmlCqWFx7j3n3rijCt8QTxiQ4JREB1MeCrD+aHTAyfEQ6HBUjEnw5
wAzpLsS6EYhHgTzOgukBubpnrYsyOqrbNYdchJq0e9zvw9Xq7iPQhNr/bxGZEo/gQjcRANdrM4V/
3loY4b+nGa3DN8lA8dYNS8Vx0oYifDuaKAu8kU6NFrGnXHWqe5grEzaFps2B8aEh9vWINSAaeU1I
x/+qtQIvu9WVL8fFuxubaYfRIdnZMktf1ue6f3UkK0YHEcb4Xo5iCm4H6LnwuaI0c6VK2mNCyjJI
gD+VOjX5iHhhfN/QVn+idoMoqlhoRpFRULTow6c3QsMeDSa6DqLwrZi6wZl2Ve9ttBT7LI8TmriX
JOkpKLePrdBtZPrX/sOJ4sWMJ5adv1Q78hEMbcycJKVwnyatIBYcCV1Pt55KfHTSMeKhVZbgopVH
xNScaD23p9zgX2ploTYB+bZYXwkv1d3YwLkkI3IBzGBS/95x8KfH5cdPieoGnqED1JiZ5ybkSY/N
TzUylTpROsVgaCgBE4vdFrcRYbfPsF+6LeZL/bI57JVKCfo79i00Q64VG41py3oMzxPhXiskpk/E
HnF4qU3pO8QhLe5vYrapayx2Vm2VcViQvh0+lxsh3sCEpMzxBumtY/8AJ+PILj2WcTO7fjEo6NAF
ZhE2tf6deh3XSyFhsZXfBS/iN/80xmaFJouxs9vi9GRCscsSpMb1U85PbfLpOly2/K0NQTVDxy5A
zzntOe0/2y0Obzjar09cDQFtxH3wdzSX/Qv+y6I+sjfTz7btgRU64cr5H0rGad2QOC2ScjkVXm9k
CsTTUAlagFMQMZR/qMGopI92ZkEiQ66iEff7LL0LOEREaKY9VEtNKAq7AsueDBz9Z+peUf22Orzf
xqKa4DvfV+1h/CY7h+hXirCZqJ3n7oFPsHTqx+EG4BRONgyRvGs3gcSitRZ1NjS/fJ5275Wfjr4Z
kzhgUQJzUvYpHUd9fuWpQQPJ/7Xez4DB2tYncp/FicZIC1c3xDOFdTiSMmCwhhwcgLvNxiwa5lyK
tnWl09d/IbXKgjq9pHTNg35W5WYkM6q2TMRKyzySwWcj0HBW4/YTwpdfT6HVFyPgh/BihSVTcbKF
NmVlNJE3lwyVg4zRTUH560LlAwnsIahVKTe+memtZN+WsENX3CIfi8TLOujVbffgcwT87FadHVVc
SsDuvMgUrBSeAAb+D7yRVdRwCTaSZYT8guGtiU6P7rhwYXFtFOcf7n5KuqnPpJKRV0w8XbUOX1Dp
eXZpAxODBoqTw6o5PswNDkSYyDJFhrEBOZM+pA+Yh4b4LVXDREx3opDRxps/tnca/4cjtAUdT8lm
q5yBcGyq4+pYhOfrzZyoNHp9ifgzqpZYmd4fm81uI6NsDTxY1x4L709pwMVXuwlqxLXF+tXz9l6R
G5/d/J8kwFOdE/uO3tOki3ZEmkVlHwXP0cBb6yQCSq9IzxhDfYiJqIMXq230snKHqQw2nhIfKmKq
JfAUHsn3JIopeKCoif47WgLMyXfi0747I0fkAZjh6oUB5kES7FwjP10VxyCld4T4pv5a/blj235D
RhE9zEb8eETV/ygQAMqQebTGqdtL9HL3VA9ZGE5Bruzg3xvwVJRaH3b7ssjdonp3lDVfnh9wgArT
gBSRdzD7QKTgNqDtGu5NFpNfZyZeYAyXcknal9rjvCuOt4sgfNejftjUG5JWITUIGKUwIKFdLdJ1
0OMfHfUiuGVBliHUTIWjPSJxj32TwLTLqOL9/XfH6RBOGteS4ELjZZHbGGYD38+DGaV7EOVTB7Kk
1kylo0tuIE1U3PbIPPwAfmUqFGiXInLboLEQktNui377vKoS0/wQpysCnGn8KXF5IQRIzjfo/2pO
FNOIS3xGA8mWr9fAeC4kur4s6UeRVkT3ngrGsQq72qK0KIFVoXSTV6Ek4KvkwlhC6q44oCuJWUTv
d+1X1Ly499RvTlwiOIIfq2ygTyS8khhlUZ4bb6JR50B6MOKpJhixROaguWOFrSKAclDIADD9bNJN
6bpeheLs79K5CUp8MGLsGzW4xwc9aNUuoCmzJzZhrnY8d5ARyhPArAJS6/kOqHjqR5OybmJj0ugb
vGrEQrXjiI5kkCMjIeIRjfw+CxAGVWVSy5Iivw7RUgqE8u2Z6bhzCHv3AcuxzfCWYRtvOh4MumhF
NG6Te2j2JQejH/XohmeCBLTfecVQK1n+d6vYdNaTaGhhMnnCf8cInlWGE2dj8jYr96uPS70AtrDu
jf+G8RJJ3KeQo6JpML1IwRof5MMxvD7bG0B4lcicPQo8F39sRQUfY3xlSulxIFQxDnMOnGK2LC+5
zf+kQDIn9tQ5UpGaLfQyNjFVC9BdU14AEL7ne0uyDoxrw6j3RMMnD1uOQDz3mU7dRKrMTCcHTD1D
VQPRo/5enGWTWQSyj21Ujic0rcNSlDRf0V2pICQvYbI1pgEpA2eHP4OMLYIuhsiB3R3BkOhe2FxA
6D2MxH0NzzbXk6W5Mh7hG3wqg0/4yUEMQHuBLowME153FBNC6cakaWGW0cdfd6ZPQ6eSE8m9Ok1U
Hyqc
`protect end_protected
| gpl-2.0 | a9db03b4980b2df3271c9ae4b446794a | 0.944569 | 1.842615 | false | false | false | false |
Unrelentless/FPGAProject2011 | LCD_controller/LCD_controller.vhd | 1 | 17,829 | LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.STD_LOGIC_ARITH.all;
USE IEEE.STD_LOGIC_UNSIGNED.all;
-- This code displays time in the DE2's LCD Display
-- Key2 resets time
ENTITY LCD_controller IS
PORT(clock_50Mhz, reset : IN STD_LOGIC;
LCD_EN, LCD_RS, LCD_ON : OUT STD_LOGIC;
LCD_RW : BUFFER STD_LOGIC;
data_in : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
address_in : IN STD_LOGIC_VECTOR(17 DOWNTO 0);
data_bus : INOUT STD_LOGIC_VECTOR(7 DOWNTO 0));
END LCD_controller;
ARCHITECTURE operation OF LCD_controller IS
TYPE STATE_TYPE IS (HOLD, FUNC_SET, DISPLAY_ON, MODE_SET, MODE_SET2, WRITE_ADD1,
WRITE_ADD2,WRITE_ADD3,WRITE_ADD4,WRITE_ADD5,WRITE_ADD6,WRITE_ADD7,
WRITE_ADD8, WRITE_ADD9, WRITE_ADD10,WRITE_ADD11,WRITE_ADD12,
WRITE_ADD13, WRITE_ADD14, WRITE_ADD15, WRITE_ADD16, WRITE_DATA1,
WRITE_DATA2,WRITE_DATA3,WRITE_DATA4,WRITE_DATA5,WRITE_DATA6,WRITE_DATA7,
WRITE_DATA8, WRITE_DATA9, WRITE_DATA10,WRITE_DATA11,WRITE_DATA12,
WRITE_DATA13, WRITE_DATA14, WRITE_DATA15, WRITE_DATA16, RETURN_HOME,
TOGGLE_E, RESET1, RESET2, RESET3, DISPLAY_OFF, DISPLAY_CLEAR);
SIGNAL state, next_command: STATE_TYPE;
SIGNAL data_1, data_2, data_3, data_4 : STD_LOGIC_VECTOR(3 DOWNTO 0);
SIGNAL add_1, add_2, add_3, add_4, add_5 : STD_LOGIC_VECTOR(3 DOWNTO 0);
SIGNAL data_bus_value : STD_LOGIC_VECTOR(7 DOWNTO 0);
SIGNAL clock_count_400Hz : STD_LOGIC_VECTOR(19 DOWNTO 0);
SIGNAL clock_400Hz : STD_LOGIC;
BEGIN
LCD_ON <= '1';
-- BIDIRECTIONAL TRI STATE LCD DATA BUS
data_bus <= data_bus_value WHEN LCD_RW = '0' ELSE "ZZZZZZZZ";
add_1 <= X"1" WHEN address_in(3 downto 0) = X"1" OR address_in(3 downto 0) = X"A" ELSE
X"2" WHEN address_in(3 downto 0) = X"2" OR address_in(3 downto 0) = X"B" ELSE
X"3" WHEN address_in(3 downto 0) = X"3" OR address_in(3 downto 0) = X"C" ELSE
X"4" WHEN address_in(3 downto 0) = X"4" OR address_in(3 downto 0) = X"D" ELSE
X"5" WHEN address_in(3 downto 0) = X"5" OR address_in(3 downto 0) = X"E" ELSE
X"6" WHEN address_in(3 downto 0) = X"6" OR address_in(3 downto 0) = X"F" ELSE
X"7" WHEN address_in(3 downto 0) = X"7" ELSE
X"8" WHEN address_in(3 downto 0) = X"8" ELSE
X"9" WHEN address_in(3 downto 0) = X"9" ELSE
X"0";
add_2 <= X"1" WHEN address_in(7 downto 4) = X"1" OR address_in(7 downto 4) = X"A" ELSE
X"2" WHEN address_in(7 downto 4) = X"2" OR address_in(7 downto 4) = X"B" ELSE
X"3" WHEN address_in(7 downto 4) = X"3" OR address_in(7 downto 4) = X"C" ELSE
X"4" WHEN address_in(7 downto 4) = X"4" OR address_in(7 downto 4) = X"D" ELSE
X"5" WHEN address_in(7 downto 4) = X"5" OR address_in(7 downto 4) = X"E" ELSE
X"6" WHEN address_in(7 downto 4) = X"6" OR address_in(7 downto 4) = X"F" ELSE
X"7" WHEN address_in(7 downto 4) = X"7" ELSE
X"8" WHEN address_in(7 downto 4) = X"8" ELSE
X"9" WHEN address_in(7 downto 4) = X"9" ELSE
X"0";
add_3 <= X"1" WHEN address_in(11 downto 8) = X"1" OR address_in(11 downto 8) = X"A" ELSE
X"2" WHEN address_in(11 downto 8) = X"2" OR address_in(11 downto 8) = X"B" ELSE
X"3" WHEN address_in(11 downto 8) = X"3" OR address_in(11 downto 8) = X"C" ELSE
X"4" WHEN address_in(11 downto 8) = X"4" OR address_in(11 downto 8) = X"D" ELSE
X"5" WHEN address_in(11 downto 8) = X"5" OR address_in(11 downto 8) = X"E" ELSE
X"6" WHEN address_in(11 downto 8) = X"6" OR address_in(11 downto 8) = X"F" ELSE
X"7" WHEN address_in(11 downto 8) = X"7" ELSE
X"8" WHEN address_in(11 downto 8) = X"8" ELSE
X"9" WHEN address_in(11 downto 8) = X"9" ELSE
X"0";
add_4 <= X"1" WHEN address_in(15 downto 12) = X"1" OR address_in(15 downto 12) = X"A" ELSE
X"2" WHEN address_in(15 downto 12) = X"2" OR address_in(15 downto 12) = X"B" ELSE
X"3" WHEN address_in(15 downto 12) = X"3" OR address_in(15 downto 12) = X"C" ELSE
X"4" WHEN address_in(15 downto 12) = X"4" OR address_in(15 downto 12) = X"D" ELSE
X"5" WHEN address_in(15 downto 12) = X"5" OR address_in(15 downto 12) = X"E" ELSE
X"6" WHEN address_in(15 downto 12) = X"6" OR address_in(15 downto 12) = X"F" ELSE
X"7" WHEN address_in(15 downto 12) = X"7" ELSE
X"8" WHEN address_in(15 downto 12) = X"8" ELSE
X"9" WHEN address_in(15 downto 12) = X"9" ELSE
X"0";
add_5 <= "00" & address_in(17 downto 16);
data_1 <= X"1" WHEN data_in(3 downto 0) = X"1" OR data_in(3 downto 0) = X"A" ELSE
X"2" WHEN data_in(3 downto 0) = X"2" OR data_in(3 downto 0) = X"B" ELSE
X"3" WHEN data_in(3 downto 0) = X"3" OR data_in(3 downto 0) = X"C" ELSE
X"4" WHEN data_in(3 downto 0) = X"4" OR data_in(3 downto 0) = X"D" ELSE
X"5" WHEN data_in(3 downto 0) = X"5" OR data_in(3 downto 0) = X"E" ELSE
X"6" WHEN data_in(3 downto 0) = X"6" OR data_in(3 downto 0) = X"F" ELSE
X"7" WHEN data_in(3 downto 0) = X"7" ELSE
X"8" WHEN data_in(3 downto 0) = X"8" ELSE
X"9" WHEN data_in(3 downto 0) = X"9" ELSE
X"0";
data_2 <= X"1" WHEN data_in(7 downto 4) = X"1" OR data_in(7 downto 4) = X"A" ELSE
X"2" WHEN data_in(7 downto 4) = X"2" OR data_in(7 downto 4) = X"B" ELSE
X"3" WHEN data_in(7 downto 4) = X"3" OR data_in(7 downto 4) = X"C" ELSE
X"4" WHEN data_in(7 downto 4) = X"4" OR data_in(7 downto 4) = X"D" ELSE
X"5" WHEN data_in(7 downto 4) = X"5" OR data_in(7 downto 4) = X"E" ELSE
X"6" WHEN data_in(7 downto 4) = X"6" OR data_in(7 downto 4) = X"F" ELSE
X"7" WHEN data_in(7 downto 4) = X"7" ELSE
X"8" WHEN data_in(7 downto 4) = X"8" ELSE
X"9" WHEN data_in(7 downto 4) = X"9" ELSE
X"0";
data_3 <= X"1" WHEN data_in(11 downto 8) = X"1" OR data_in(11 downto 8) = X"A" ELSE
X"2" WHEN data_in(11 downto 8) = X"2" OR data_in(11 downto 8) = X"B" ELSE
X"3" WHEN data_in(11 downto 8) = X"3" OR data_in(11 downto 8) = X"C" ELSE
X"4" WHEN data_in(11 downto 8) = X"4" OR data_in(11 downto 8) = X"D" ELSE
X"5" WHEN data_in(11 downto 8) = X"5" OR data_in(11 downto 8) = X"E" ELSE
X"6" WHEN data_in(11 downto 8) = X"6" OR data_in(11 downto 8) = X"F" ELSE
X"7" WHEN data_in(11 downto 8) = X"7" ELSE
X"8" WHEN data_in(11 downto 8) = X"8" ELSE
X"9" WHEN data_in(11 downto 8) = X"9" ELSE
X"0";
data_4 <= X"1" WHEN data_in(15 downto 12) = X"1" OR data_in(15 downto 12) = X"A" ELSE
X"2" WHEN data_in(15 downto 12) = X"2" OR data_in(15 downto 12) = X"B" ELSE
X"3" WHEN data_in(15 downto 12) = X"3" OR data_in(15 downto 12) = X"C" ELSE
X"4" WHEN data_in(15 downto 12) = X"4" OR data_in(15 downto 12) = X"D" ELSE
X"5" WHEN data_in(15 downto 12) = X"5" OR data_in(15 downto 12) = X"E" ELSE
X"6" WHEN data_in(15 downto 12) = X"6" OR data_in(15 downto 12) = X"F" ELSE
X"7" WHEN data_in(15 downto 12) = X"7" ELSE
X"8" WHEN data_in(15 downto 12) = X"8" ELSE
X"9" WHEN data_in(15 downto 12) = X"9" ELSE
X"0";
PROCESS
BEGIN
WAIT UNTIL clock_50Mhz'EVENT AND clock_50Mhz = '1';
IF reset = '0' THEN
clock_count_400Hz <= X"00000";
clock_400Hz <= '0';
ELSE
IF clock_count_400Hz < X"0F424" THEN
clock_count_400Hz <= clock_count_400Hz + 1;
ELSE
clock_count_400Hz <= X"00000";
clock_400Hz <= NOT clock_400Hz;
END IF;
END IF;
END PROCESS;
PROCESS (clock_400Hz, reset)
BEGIN
IF reset = '0' THEN
state <= RESET1;
data_bus_value <= X"38";
next_command <= RESET2;
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW <= '0';
ELSIF clock_400Hz'EVENT AND clock_400Hz = '1' THEN
-- SEND DATA AND ADDRESS TO LCD
CASE state IS
-- Set Function to 8-bit transfer and 2 line display with 5x8 Font size
-- see Hitachi HD44780 family data sheet for LCD command and timing details
WHEN RESET1 =>
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW <= '0';
data_bus_value <= X"38";
state <= TOGGLE_E;
next_command <= RESET2;
WHEN RESET2 =>
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW <= '0';
data_bus_value <= X"38";
state <= TOGGLE_E;
next_command <= RESET3;
WHEN RESET3 =>
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW <= '0';
data_bus_value <= X"38";
state <= TOGGLE_E;
next_command <= FUNC_SET;
-- EXTRA STATES ABOVE ARE NEEDED FOR RELIABLE PUSHBUTTON RESET OF LCD
WHEN FUNC_SET =>
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW <= '0';
data_bus_value <= X"38";
state <= TOGGLE_E;
next_command <= DISPLAY_OFF;
-- Turn off Display and Turn off cursor
WHEN DISPLAY_OFF =>
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW <= '0';
data_bus_value <= X"08";
state <= TOGGLE_E;
next_command <= DISPLAY_CLEAR;
-- Turn on Display and Turn off cursor
WHEN DISPLAY_CLEAR =>
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW <= '0';
data_bus_value <= X"01";
state <= TOGGLE_E;
next_command <= DISPLAY_ON;
-- Turn on Display and Turn off cursor
WHEN DISPLAY_ON =>
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW <= '0';
data_bus_value <= X"0C";
state <= TOGGLE_E;
next_command <= MODE_SET;
-- Set write mode to auto increment address and move cursor to the right
WHEN MODE_SET =>
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW <= '0';
data_bus_value <= X"06";
state <= TOGGLE_E;
next_command <= WRITE_ADD1;
-- Write ASCII hex character in first LCD character location
WHEN WRITE_ADD1 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"41";
state <= TOGGLE_E;
next_command <= WRITE_ADD2;
-- Write ASCII hex character in second LCD character location
WHEN WRITE_ADD2 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"64";
state <= TOGGLE_E;
next_command <= WRITE_ADD3;
-- Write ASCII hex character in third LCD character location
WHEN WRITE_ADD3 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"64";
state <= TOGGLE_E;
next_command <= WRITE_ADD4;
-- Write ASCII hex character in fourth LCD character location
WHEN WRITE_ADD4 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"72";
state <= TOGGLE_E;
next_command <= WRITE_ADD5;
-- Write ASCII hex character in fifth LCD character location
WHEN WRITE_ADD5 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"65";
state <= TOGGLE_E;
next_command <= WRITE_ADD6;
-- Write ASCII hex character in sixth LCD character location
WHEN WRITE_ADD6 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"73" ;
state <= TOGGLE_E;
next_command <= WRITE_ADD7;
-- Write ASCII hex character in seventh LCD character location
WHEN WRITE_ADD7 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"73";
state <= TOGGLE_E;
next_command <= WRITE_ADD8;
-- Write ASCII hex character in eighth LCD character location
WHEN WRITE_ADD8 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"20";
state <= TOGGLE_E;
next_command <= WRITE_ADD9;
WHEN WRITE_ADD9 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"3D";
state <= TOGGLE_E;
next_command <= WRITE_ADD10;
WHEN WRITE_ADD10 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"20";
state <= TOGGLE_E;
next_command <= WRITE_ADD11;
WHEN WRITE_ADD11 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
IF(address_in(17 downto 16) < X"A") THEN
data_bus_value <= X"3" & add_5;
ELSE
data_bus_value <= X"4" & add_5;
END IF;
state <= TOGGLE_E;
next_command <= WRITE_ADD12;
WHEN WRITE_ADD12 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
IF(address_in(15 downto 12) < X"A") THEN
data_bus_value <= X"3" & add_4;
ELSE
data_bus_value <= X"4" & add_4;
END IF;
state <= TOGGLE_E;
next_command <= WRITE_ADD13;
WHEN WRITE_ADD13 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
IF(address_in(11 downto 8) < X"A") THEN
data_bus_value <= X"3" & add_3;
ELSE
data_bus_value <= X"4" & add_3;
END IF;
state <= TOGGLE_E;
next_command <= WRITE_ADD14;
WHEN WRITE_ADD14 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
IF(address_in(7 downto 4) < X"A") THEN
data_bus_value <= X"3" & add_2;
ELSE
data_bus_value <= X"4" & add_2;
END IF;
state <= TOGGLE_E;
next_command <= WRITE_ADD15;
WHEN WRITE_ADD15 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
IF(address_in(3 downto 0) < X"A") THEN
data_bus_value <= X"3" & add_1;
ELSE
data_bus_value <= X"4" & add_1;
END IF;
state <= TOGGLE_E;
next_command <= WRITE_ADD16;
WHEN WRITE_ADD16 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"20";
state <= TOGGLE_E;
next_command <= MODE_SET2;
-- Set write mode to auto increment address and move cursor to the right on second line
WHEN MODE_SET2 =>
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW <= '0';
data_bus_value <= X"C0";
state <= TOGGLE_E;
next_command <= WRITE_DATA1;
-- Write ASCII hex character in first LCD character location
WHEN WRITE_DATA1 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"44";
state <= TOGGLE_E;
next_command <= WRITE_DATA2;
-- Write ASCII hex character in second LCD character location
WHEN WRITE_DATA2 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"61";
state <= TOGGLE_E;
next_command <= WRITE_DATA3;
-- Write ASCII hex character in third LCD character location
WHEN WRITE_DATA3 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"74";
state <= TOGGLE_E;
next_command <= WRITE_DATA4;
-- Write ASCII hex character in fourth LCD character location
WHEN WRITE_DATA4 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"61";
state <= TOGGLE_E;
next_command <= WRITE_DATA5;
-- Write ASCII hex character in fifth LCD character location
WHEN WRITE_DATA5 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"20";
state <= TOGGLE_E;
next_command <= WRITE_DATA6;
-- Write ASCII hex character in sixth LCD character location
WHEN WRITE_DATA6 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"20" ;
state <= TOGGLE_E;
next_command <= WRITE_DATA7;
-- Write ASCII hex character in seventh LCD character location
WHEN WRITE_DATA7 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"20";
state <= TOGGLE_E;
next_command <= WRITE_DATA8;
-- Write ASCII hex character in eighth LCD character location
WHEN WRITE_DATA8 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"20";
state <= TOGGLE_E;
next_command <= WRITE_DATA9;
WHEN WRITE_DATA9 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"3D";
state <= TOGGLE_E;
next_command <= WRITE_DATA10;
WHEN WRITE_DATA10 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"20";
state <= TOGGLE_E;
next_command <= WRITE_DATA11;
WHEN WRITE_DATA11 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"20";
state <= TOGGLE_E;
next_command <= WRITE_DATA12;
WHEN WRITE_DATA12 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
IF(data_in(15 downto 12) < X"A") THEN
data_bus_value <= X"3" & data_4;
ELSE
data_bus_value <= X"4" & data_4;
END IF;
state <= TOGGLE_E;
next_command <= WRITE_DATA13;
WHEN WRITE_DATA13 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
IF(data_in(11 downto 8) < X"A") THEN
data_bus_value <= X"3" & data_3;
ELSE
data_bus_value <= X"4" & data_3;
END IF;
state <= TOGGLE_E;
next_command <= WRITE_DATA14;
WHEN WRITE_DATA14 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
IF(data_in(7 downto 4) < X"A") THEN
data_bus_value <= X"3" & data_2;
ELSE
data_bus_value <= X"4" & data_2;
END IF;
state <= TOGGLE_E;
next_command <= WRITE_DATA15;
WHEN WRITE_DATA15 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
IF(data_in(3 downto 0) < X"A") THEN
data_bus_value <= X"3" & data_1;
ELSE
data_bus_value <= X"4" & data_1;
END IF;
state <= TOGGLE_E;
next_command <= WRITE_DATA16;
WHEN WRITE_DATA16 =>
LCD_EN <= '1';
LCD_RS <= '1';
LCD_RW <= '0';
data_bus_value <= X"20";
state <= TOGGLE_E;
next_command <= RETURN_HOME;
-- Return write address to first character postion
WHEN RETURN_HOME =>
LCD_EN <= '1';
LCD_RS <= '0';
LCD_RW <= '0';
data_bus_value <= X"80";
state <= TOGGLE_E;
next_command <= WRITE_ADD1;
-- The next two states occur at the end of each command to the LCD
-- Toggle E line - falling edge loads inst/data to LCD controller
WHEN TOGGLE_E =>
LCD_EN <= '0';
state <= HOLD;
-- Hold LCD inst/data valid after falling edge of E line
WHEN HOLD =>
state <= next_command;
END CASE;
END IF;
END PROCESS;
END operation;
| gpl-2.0 | 6b1db176bcae84f9c05b689729112018 | 0.553761 | 2.650758 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/c_mux_bit_v12_0/hdl/c_mux_bit_8to1.vhd | 3 | 22,085 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
GhhecwZsri7eEDNkktDtg9tDF8/XERrF7+pbF4VnOYhpIBuTzCUhfn7PSsj6MUTcMDRw52zOJcCy
N8/XzL3bTA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
lPQdp3eIOpUGeUKL2T/e0Y7MITYnjx8RGnlxPSjyiXf6k8w6TD+1mXHTp+w9ZGwya0k54UsFjq2c
b7mCtKI1MvkNowNhjy2tyrl6rQb3zg2yAadtG1+y5lH9qyybxfL1hjboO88jjlmZDC3e4Lw/hXwP
M0nXDdjbIIyF0VS+mxc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
kcl/A0VtSOh11lXU1o1UtAkxAYqg//TsMTantQLvE5BJurUM6qnFbbxHayIxCxxhLe/bx3UspWhO
F8kNOWtXZ6aBCKZuGceY5r5tOOPeppTNE756Q2deiquBJSN/b5LhcLJW98SOepBMhVCoCLbjOgjy
3In5lHKDhewS8sNdMJaJ+zA5E+dtre0LUYbUPurhwNeRanvGYi0g8Ym/ZZXr4AO0Fvz5MRs418/e
urGv4eMYAHbMVWPOWsnVXdaYubfO2zp1gJrfFNFXt8EXx7QBVswWYOGbx9TQvFj7nzUpObLGBGdv
X4PiWwpFpkcLUUiI0OGvpirM2j51262j1IYz8A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UFG7Gj0+WaNHvR18Ee2LKzsgJq6LAZROZ3PfAcGm7+EArskD8uw9xhrnxpqiTfTFMILEFkujG+5K
kl1oCajKfbEY4C+mbPhQn0vDNo5//uULZqlJGTnuTV26hyJa1LMh+aOvlmzoWFcTXhbic509A7hv
XZm9ybgXFUwHgquXmzU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
kv2MinRVgUM6m2rVCLIVtkt6n6vQRYZGlMMKGMx3XfKgrjebmjS/5NYy2l+FRlUdWuC7pPetf5MJ
44UDAfwU3N1DLSeWXVU2h5BoLHWVpmGNyigqb8gNOFMgsJd++Az83tC+Dxe6loTJxqNP+sF54mok
SwQpvMiy+KwAgaxaMiAY5OVLLCYvNb+ndQwq52W2k0STlYvqETrUJY66scflhX5fmtE9s4LGsIwC
F7Eu43yA7MPSb3WamaltUEkZLj0ddNf8H1on+C5A48/OyRurvp5EeTvAAg5VvtKeJFgOk9rlLxvv
vvlLAoG2lzbOMpWuMr/TW5nI10nrb6PcZBVcmw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14608)
`protect data_block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`protect end_protected
| gpl-2.0 | 68698b0fcdcc363e736047227ce950f0 | 0.942585 | 1.847035 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/axi_utils_v2_0/hdl/glb_srl_fifo.vhd | 15 | 35,288 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
jcV+8Yhj74nfuWE4CKC4ZbwJG6aMqqWGo7gsClf0wT9B5BVirp5LbUsMZ6qc/PPpXrTxPphWU20n
RlV+3zlpbA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
IPxgfKwy1B7z2eY0mLK+3yDASL5J9Upr3rsw9DqipQka3GRU0iNFfvec4N1guYz6zdyjJD84dwUh
OSwXMtNpg9T6qLqZkoAO0cGsYYBbYpHHxSMvCzaCmPFZbYUPCGOTwbB6NS6wc9OrEYkvs8JImkLe
ILb7Tpkt4xnalEPolaQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
h7ibxtNOVrCvx6K0Dd6SFKAqYhv7DqmWcIXBbNmJRxPBDR0Ev9rbPHohYXgFRpP1Y0FcKaumLd85
+rHX2EU9ZNa/pbfkAYZOBeaBQ8c1Dl7K1ec0T0DNxqmcT8COhbu8mKq3i+07yQgyTFvvW5BWB/pG
QqctLoIeLam99pR4tLgq+nRknJ8Tr0C7a9/Bk+dyVrBvxnfZrFRNc+7BHFVps5UGa2HCUmpq30Rf
VOpxBXvpYLDhOXwCn/0V4De4NxSpRv+JgbHTKUTbNY34c5UTqi5xGyiQUI5vbey3F4DplduWrYZE
XKrUJ6f0lqyZLDwWmVw2/LkdWsbM+Rs7mMDziQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
31UX3IRklUp8wS8ogiE3HOmYV1eGXZPbkq5Tx/hg7XDPqCNcvuobnimOH8Xr5lUFSxdsHlHQpiRX
ztQaIcmfUX/9BEpcPgkZ2rgsIfqanC1GOyYY/kc4WEbcaNvFe9oDF3mc3llJZwjWfVjuWWqcqRK/
d77sb/p9hYBGGjQgNlQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UFC5QuCRDkUh3JIbEFQSSI7IPzrrfaiMt5qDCUX4gNsC0Nbc9ojPDrcvGMC3gXCJaFxJZpb45eWu
J7/wk/aQ0rysSwPO9GK/hQYY9cJHQxQDEOnEzoZDYojyFmGtRZ6Jz0xReJ3hztmVGvTkIgvtJHR8
ChlkLhDZ5JgeTAMGbqmv9omtHByYG+oZVK4B0jJfidDacm6uTzLZFD8jBWKMSyDUcDZsJmPPvlyf
fhx0yJNmD+L48xjyopotQ13qeGfRVBVmvlfz9Q3zyT1pIcQ6SG8RRhARw9xJZwX2AjYOlL8M98bF
9q9uKutsckANv2jb7mECOTw1TsnsYCwbIdD/VQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24384)
`protect data_block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`protect end_protected
| gpl-2.0 | 1f118646c56911bb52708ac8d97c0e33 | 0.945477 | 1.827068 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_avalon_mm/src/vvc_cmd_pkg.vhd | 1 | 7,204 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
use work.transaction_pkg.all;
--=================================================================================================
--=================================================================================================
--=================================================================================================
package vvc_cmd_pkg is
alias t_operation is work.transaction_pkg.t_operation;
--===============================================================================================
-- t_vvc_cmd_record
-- - Record type used for communication with the VVC
--===============================================================================================
type t_vvc_cmd_record is record
-- Common UVVM fields (Used by td_vvc_framework_common_methods_pkg procedures, and thus mandatory)
operation : t_operation;
proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH);
msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH);
data_routing : t_data_routing;
cmd_idx : natural;
command_type : t_immediate_or_queued; -- QUEUED/IMMEDIATE
msg_id : t_msg_id;
gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed
gen_boolean : boolean; -- Generic boolean
timeout : time;
alert_level : t_alert_level;
delay : time;
quietness : t_quietness;
parent_msg_id_panel : t_msg_id_panel;
-- VVC dedicated fields
addr : unsigned(C_VVC_CMD_ADDR_MAX_LENGTH-1 downto 0); -- Max width may be increased if required
data : std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0);
byte_enable : std_logic_vector(C_VVC_CMD_BYTE_ENABLE_MAX_LENGTH-1 downto 0);
max_polls : integer;
end record;
constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := (
operation => NO_OPERATION, -- Default unless overwritten by a common operation
addr => (others => '0'),
data => (others => '0'),
byte_enable => (others => '1'), -- All bytes enabled by default
max_polls => 1,
alert_level => failure,
proc_call => (others => NUL),
msg => (others => NUL),
data_routing => NA,
cmd_idx => 0,
command_type => NO_command_type,
msg_id => NO_ID,
gen_integer_array => (others => -1),
gen_boolean => false,
timeout => 0 ns,
delay => 0 ns,
quietness => NON_QUIET,
parent_msg_id_panel => C_UNUSED_MSG_ID_PANEL
);
--===============================================================================================
-- shared_vvc_cmd
-- - Shared variable used for transmitting VVC commands
--===============================================================================================
shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT;
--===============================================================================================
-- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response :
--
-- - Used for storing the result of a BFM procedure called by the VVC,
-- so that the result can be transported from the VVC to for example a sequencer via
-- fetch_result() as described in VVC_Framework_common_methods_QuickRef
--
-- - t_vvc_result includes the return value of the procedure in the BFM.
-- It can also be defined as a record if multiple values shall be transported from the BFM
--===============================================================================================
subtype t_vvc_result is std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0);
type t_vvc_result_queue_element is record
cmd_idx : natural; -- from UVVM handshake mechanism
result : t_vvc_result;
end record;
type t_vvc_response is record
fetch_is_accepted : boolean;
transaction_result : t_transaction_result;
result : t_vvc_result;
end record;
shared variable shared_vvc_response : t_vvc_response;
--===============================================================================================
-- t_last_received_cmd_idx :
-- - Used to store the last queued cmd in vvc interpreter.
--===============================================================================================
type t_last_received_cmd_idx is array (t_channel range <>,natural range <>) of integer;
--===============================================================================================
-- shared_vvc_last_received_cmd_idx
-- - Shared variable used to get last queued index from vvc to sequencer
--===============================================================================================
shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => (others => -1));
end package vvc_cmd_pkg;
--=================================================================================================
--=================================================================================================
package body vvc_cmd_pkg is
end package body vvc_cmd_pkg;
| mit | 63c1cba29ebcd882f5a443b673d81d16 | 0.436008 | 5.293167 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_mult/flt_mult.vhd | 3 | 21,866 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
HdzB8ofyx+AmBg8l1IogJ4kGN5VKAeuwr5j8Lug7tF+v8sOOMP+pMvR1283YcqL1yj7c8AdYXZBB
liB8bwB/Lg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KUq13MYAWQNAj1aMKJkNrsPQJjofnlNmX6QBz94vkkVUiA4XJR8qRQAYSxOjIynKNZ1OTYXPZql6
MVaOknKAhf0gM9441ep+nR7U/bvkR9M7r6TvvAgiwjEmc3nV2E8NFyZ/GSgUxotJc0JmETI26gd6
lyk/HAPh+euVQipXQkU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Sc0HlK3I93uVRyvXv1kuecVoUs1DCtYbIvQC8cR0rkB8qdt/ITyXTUQIhaag0z4lhcmhmyJ8oKV/
eawpMLtjKXXlu9JYEUDjxYfCTmmdB5Am+HSlqA7L1VulaBluMYHRJJZszkgaXzqzPoqAXEDwxbFZ
JRBxVlkoa5MMxokbhRu27BZVJsvXWSZMipgm3eQUJ28DeKK1uqBWkN7jBFk2g2gEPvTNyKhzo1N/
CyLc78b+fUFdE58eWCPXCpSPDhrMpy8rDCKxnzNZM0uptndYNl+HoJq9fgJoEIh5A3hrs/9LVp/P
Ibs28OjywInKgS+lXRHCeS/RK3xL6/YGj/PnRg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aFPOFU3U7RgSaPj+g6W5d0wFA8xXR13zwgV+DDWsjlaJlD2AV8mw7y1KhVSmDUfbAmL8bt0ML5Es
hCxiR5xtmnREYt+f5J/P9jooKH9o6RVSfdqR+Mls+aABqBl5vUkUgNB4rEDJ/eBU+UuefYInFPVJ
Ttuzn6SjgRT4WOBpRN4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
coFM3rzGhn7SSz2uHU59bOODhJikIIhTgCjU+DRZm1hDlJKiRhWkTy8mnJByjVI9cgrxSx5Scvmt
cIkISQjRTr79Csan9RHid7b2F1qNLAKaLf4Nm3wGb+jBXmHIKpDGupCYR9SRHl9ZCbCjOxeOMR57
ZjUjqbP0FejAHPddNtpn8CzZRuD1ewcgvtim3doibMyuV4nmBiO+xEUTaxDf/lhiJ9mVpMUvhZYe
+rtewjoCOf7AYAP5cUHa8t1B/ltDfaL7pqhxQM+9JDPDjrYluHEscOyZN7Q/rWdNh9wGHNyMEUn+
AF9+r6uIPOqQmNXMVeI8lATwjTp4Hl6cL8p3VA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14448)
`protect data_block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`protect end_protected
| gpl-2.0 | a952767d4bdb1d38b4405eabf0cf8fe9 | 0.940227 | 1.846011 | false | false | false | false |
amerryfellow/dlx | cu/bht.vhd | 1 | 1,548 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
use work.myTypes.all;
use work.cu.all;
--use work.all;
entity BHT is
generic (
LSBITS : integer := 8; -- How many bits is the BHT addressed by?
LINES : integer := 2 ** LSBITS
);
port (
Clk : in std_logic; -- Clock
Rst : in std_logic; -- Reset:Active-Low
PC : out std_logic;
NPC : out std_logic;
LAST : in std_logic;
ADDR : in std_logic_vector(31 downto 0);
);
end BHT;
architecture CORRELATING_PREDICTOR of BHT is
type LOCAL_HISTORY is array (1 downto 0) of std_logic := '0';
type ARRAY_LOCAL is array (0 to LINES-1) of LOCAL_HISTORY;
variable HISTORY : ARRAY_LOCAL;
variable INDEX0 : integer;
variable INDEX1 : integer;
variable GLOBAL_HISTORY : std_logic_vector(1 downto 0) := (others => '0');
variable PRED : std_logic;
variable LAST_PREDICTION : std_logic;
variable RIGHT_PREDICTION : std_logic;
signal IPC : integer;
signal INPC : integer;
begin
-- 0 : Not Taken ; 1 : Taken
PREDICTOR: process(Clk, Rst)
begin
INDEX0 := to_integer(signed(ADDR));
INDEX1 := to_integer(signed(GLOBAL_HISTORY));
if Rst = '0' then
PRED <= '0';
GLOBAL_HISTORY <= (others => '0');
elsif Clk'event and Clk = '1' then
PRED <= HISTORY( INDEX0 )( INDEX1 )(1);
GLOBAL_HISTORY := GLOBAL_HISTORY(0) & LAST;
RIGHT_PREDICTION := not ( LAST xor LAST_PREDICTION );
-- Wrong prediction, must stall and update PC
if RIGHT_PREDICTION = '0' then
end if;
end process;
end
| gpl-3.0 | a8336a51673f208aa72f30a75f198c65 | 0.652455 | 2.819672 | false | false | false | false |
Subsets and Splits