repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/adventures_with_ip/adventures_with_ip.srcs/sources_1/bd/ip_design/ip/ip_design_axi_gpio_0_0/ip_design_axi_gpio_0_0_sim_netlist.vhdl
1
66,758
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.3 (lin64) Build 2018833 Wed Oct 4 19:58:07 MDT 2017 -- Date : Tue Oct 17 19:49:29 2017 -- Host : TacitMonolith running 64-bit Ubuntu 16.04.3 LTS -- Command : write_vhdl -force -mode funcsim -- /home/mark/Documents/Repos/FPGA_Sandbox/RecComp/Lab3/adventures_with_ip/adventures_with_ip.srcs/sources_1/bd/ip_design/ip/ip_design_axi_gpio_0_0/ip_design_axi_gpio_0_0_sim_netlist.vhdl -- Design : ip_design_axi_gpio_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity ip_design_axi_gpio_0_0_address_decoder is port ( \MEM_DECODE_GEN[0].cs_out_i_reg[0]_0\ : out STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; \Not_Dual.gpio_Data_Out_reg[0]\ : out STD_LOGIC; \Not_Dual.gpio_OE_reg[0]\ : out STD_LOGIC; \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg1_reg[30]\ : out STD_LOGIC; \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg2_reg[31]\ : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 2 downto 0 ); Q : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; rst_reg : in STD_LOGIC; bus2ip_rnw_i_reg : in STD_LOGIC; \bus2ip_addr_i_reg[8]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); ip2bus_rdack_i_D1 : in STD_LOGIC; is_read : in STD_LOGIC; \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); ip2bus_wrack_i_D1 : in STD_LOGIC; is_write_reg : in STD_LOGIC; gpio_xferAck_Reg : in STD_LOGIC; GPIO_xferAck_i : in STD_LOGIC; reg2 : in STD_LOGIC_VECTOR ( 1 downto 0 ); reg1 : in STD_LOGIC_VECTOR ( 1 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of ip_design_axi_gpio_0_0_address_decoder : entity is "address_decoder"; end ip_design_axi_gpio_0_0_address_decoder; architecture STRUCTURE of ip_design_axi_gpio_0_0_address_decoder is signal Bus_RNW_reg : STD_LOGIC; signal Bus_RNW_reg_i_1_n_0 : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\ : STD_LOGIC; signal \MEM_DECODE_GEN[0].cs_out_i[0]_i_1_n_0\ : STD_LOGIC; signal \^mem_decode_gen[0].cs_out_i_reg[0]_0\ : STD_LOGIC; signal ce_expnd_i_0 : STD_LOGIC; signal ce_expnd_i_1 : STD_LOGIC; signal ce_expnd_i_2 : STD_LOGIC; signal ce_expnd_i_3 : STD_LOGIC; signal cs_ce_clr : STD_LOGIC; signal \ip2bus_data_i_D1[30]_i_2_n_0\ : STD_LOGIC; signal \^s_axi_arready\ : STD_LOGIC; signal \^s_axi_wready\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \GEN_BKEND_CE_REGISTERS[0].ce_out_i[0]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \GEN_BKEND_CE_REGISTERS[1].ce_out_i[1]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \GEN_BKEND_CE_REGISTERS[2].ce_out_i[2]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \GEN_BKEND_CE_REGISTERS[3].ce_out_i[3]_i_2\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg1[31]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg1[31]_i_3\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \ip2bus_data_i_D1[0]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \ip2bus_data_i_D1[30]_i_2\ : label is "soft_lutpair0"; begin \MEM_DECODE_GEN[0].cs_out_i_reg[0]_0\ <= \^mem_decode_gen[0].cs_out_i_reg[0]_0\; s_axi_arready <= \^s_axi_arready\; s_axi_wready <= \^s_axi_wready\; Bus_RNW_reg_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => Q, I2 => Bus_RNW_reg, O => Bus_RNW_reg_i_1_n_0 ); Bus_RNW_reg_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Bus_RNW_reg_i_1_n_0, Q => Bus_RNW_reg, R => '0' ); \GEN_BKEND_CE_REGISTERS[0].ce_out_i[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(0), I1 => \bus2ip_addr_i_reg[8]\(1), O => ce_expnd_i_3 ); \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => Q, D => ce_expnd_i_3, Q => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, R => cs_ce_clr ); \GEN_BKEND_CE_REGISTERS[1].ce_out_i[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(0), I1 => \bus2ip_addr_i_reg[8]\(1), O => ce_expnd_i_2 ); \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => Q, D => ce_expnd_i_2, Q => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, R => cs_ce_clr ); \GEN_BKEND_CE_REGISTERS[2].ce_out_i[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(1), I1 => \bus2ip_addr_i_reg[8]\(0), O => ce_expnd_i_1 ); \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => Q, D => ce_expnd_i_1, Q => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, R => cs_ce_clr ); \GEN_BKEND_CE_REGISTERS[3].ce_out_i[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"EF" ) port map ( I0 => \^s_axi_wready\, I1 => \^s_axi_arready\, I2 => s_axi_aresetn, O => cs_ce_clr ); \GEN_BKEND_CE_REGISTERS[3].ce_out_i[3]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(1), I1 => \bus2ip_addr_i_reg[8]\(0), O => ce_expnd_i_0 ); \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => Q, D => ce_expnd_i_0, Q => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, R => cs_ce_clr ); \MEM_DECODE_GEN[0].cs_out_i[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"000000E0" ) port map ( I0 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I1 => Q, I2 => s_axi_aresetn, I3 => \^s_axi_arready\, I4 => \^s_axi_wready\, O => \MEM_DECODE_GEN[0].cs_out_i[0]_i_1_n_0\ ); \MEM_DECODE_GEN[0].cs_out_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \MEM_DECODE_GEN[0].cs_out_i[0]_i_1_n_0\, Q => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, R => '0' ); \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg1[31]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FFF7" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I2 => gpio_xferAck_Reg, I3 => GPIO_xferAck_i, O => \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg1_reg[30]\ ); \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg1[31]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I1 => \bus2ip_addr_i_reg[8]\(2), O => \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg2_reg[31]\ ); \Not_Dual.gpio_Data_Out[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAAAAAAAABAA" ) port map ( I0 => rst_reg, I1 => bus2ip_rnw_i_reg, I2 => \bus2ip_addr_i_reg[8]\(0), I3 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I4 => \bus2ip_addr_i_reg[8]\(2), I5 => \bus2ip_addr_i_reg[8]\(1), O => \Not_Dual.gpio_Data_Out_reg[0]\ ); \Not_Dual.gpio_OE[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAAAAABAAAAA" ) port map ( I0 => rst_reg, I1 => bus2ip_rnw_i_reg, I2 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I3 => \bus2ip_addr_i_reg[8]\(2), I4 => \bus2ip_addr_i_reg[8]\(0), I5 => \bus2ip_addr_i_reg[8]\(1), O => \Not_Dual.gpio_OE_reg[0]\ ); \ip2bus_data_i_D1[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"00000400" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, I1 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, I2 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, I3 => Bus_RNW_reg, I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, O => D(2) ); \ip2bus_data_i_D1[30]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"000F0AC000000000" ) port map ( I0 => reg2(1), I1 => reg1(1), I2 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, I3 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, I4 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, I5 => \ip2bus_data_i_D1[30]_i_2_n_0\, O => D(1) ); \ip2bus_data_i_D1[30]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => Bus_RNW_reg, I1 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, O => \ip2bus_data_i_D1[30]_i_2_n_0\ ); \ip2bus_data_i_D1[31]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"000F0AC000000000" ) port map ( I0 => reg2(0), I1 => reg1(0), I2 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, I3 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, I4 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, I5 => \ip2bus_data_i_D1[30]_i_2_n_0\, O => D(0) ); s_axi_arready_INST_0: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAAAAAAEAAAA" ) port map ( I0 => ip2bus_rdack_i_D1, I1 => is_read, I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(2), I3 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(1), I4 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(3), I5 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(0), O => \^s_axi_arready\ ); s_axi_wready_INST_0: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAAAAAAEAAAA" ) port map ( I0 => ip2bus_wrack_i_D1, I1 => is_write_reg, I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(2), I3 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(1), I4 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(3), I5 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(0), O => \^s_axi_wready\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity ip_design_axi_gpio_0_0_cdc_sync is port ( scndry_vect_out : out STD_LOGIC_VECTOR ( 1 downto 0 ); gpio_io_i : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of ip_design_axi_gpio_0_0_cdc_sync : entity is "cdc_sync"; end ip_design_axi_gpio_0_0_cdc_sync; architecture STRUCTURE of ip_design_axi_gpio_0_0_cdc_sync is signal s_level_out_bus_d1_cdc_to_0 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_1 : STD_LOGIC; signal s_level_out_bus_d2_0 : STD_LOGIC; signal s_level_out_bus_d2_1 : STD_LOGIC; signal s_level_out_bus_d3_0 : STD_LOGIC; signal s_level_out_bus_d3_1 : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type : string; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; begin \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_0, Q => s_level_out_bus_d2_0, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_1, Q => s_level_out_bus_d2_1, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_0, Q => s_level_out_bus_d3_0, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_1, Q => s_level_out_bus_d3_1, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_0, Q => scndry_vect_out(0), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_1, Q => scndry_vect_out(1), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i(0), Q => s_level_out_bus_d1_cdc_to_0, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i(1), Q => s_level_out_bus_d1_cdc_to_1, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity ip_design_axi_gpio_0_0_GPIO_Core is port ( reg1 : out STD_LOGIC_VECTOR ( 1 downto 0 ); GPIO_xferAck_i : out STD_LOGIC; gpio_xferAck_Reg : out STD_LOGIC; reg2 : out STD_LOGIC_VECTOR ( 1 downto 0 ); gpio_io_o : out STD_LOGIC_VECTOR ( 1 downto 0 ); \gpio_io_t[0]\ : out STD_LOGIC; \gpio_io_t[1]\ : out STD_LOGIC; ip2bus_rdack_i : out STD_LOGIC; ip2bus_wrack_i_D1_reg : out STD_LOGIC; bus2ip_rnw_i_reg : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; bus2ip_reset : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); \MEM_DECODE_GEN[0].cs_out_i_reg[0]\ : in STD_LOGIC; bus2ip_rnw : in STD_LOGIC; bus2ip_cs : in STD_LOGIC; gpio_io_i : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 3 downto 0 ); rst_reg : in STD_LOGIC; rst_reg_0 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of ip_design_axi_gpio_0_0_GPIO_Core : entity is "GPIO_Core"; end ip_design_axi_gpio_0_0_GPIO_Core; architecture STRUCTURE of ip_design_axi_gpio_0_0_GPIO_Core is signal \^gpio_xferack_i\ : STD_LOGIC; signal \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg1[30]_i_1_n_0\ : STD_LOGIC; signal \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg2[30]_i_1_n_0\ : STD_LOGIC; signal \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg1[31]_i_2_n_0\ : STD_LOGIC; signal \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg2[31]_i_1_n_0\ : STD_LOGIC; signal \Not_Dual.gpio_Data_Out[0]_i_1_n_0\ : STD_LOGIC; signal \Not_Dual.gpio_Data_Out[1]_i_1_n_0\ : STD_LOGIC; signal \Not_Dual.gpio_OE[0]_i_1_n_0\ : STD_LOGIC; signal \Not_Dual.gpio_OE[1]_i_1_n_0\ : STD_LOGIC; signal gpio_Data_In : STD_LOGIC_VECTOR ( 0 to 1 ); signal gpio_io_i_d2 : STD_LOGIC_VECTOR ( 0 to 1 ); signal \^gpio_io_o\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^gpio_io_t[0]\ : STD_LOGIC; signal \^gpio_io_t[1]\ : STD_LOGIC; signal \^gpio_xferack_reg\ : STD_LOGIC; signal iGPIO_xferAck : STD_LOGIC; signal \^reg2\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of iGPIO_xferAck_i_1 : label is "soft_lutpair8"; attribute SOFT_HLUTNM of ip2bus_rdack_i_D1_i_1 : label is "soft_lutpair8"; begin GPIO_xferAck_i <= \^gpio_xferack_i\; gpio_io_o(1 downto 0) <= \^gpio_io_o\(1 downto 0); \gpio_io_t[0]\ <= \^gpio_io_t[0]\; \gpio_io_t[1]\ <= \^gpio_io_t[1]\; gpio_xferAck_Reg <= \^gpio_xferack_reg\; reg2(1 downto 0) <= \^reg2\(1 downto 0); \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg1[30]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"2C2E22222C222222" ) port map ( I0 => \^gpio_io_o\(1), I1 => \^gpio_io_t[1]\, I2 => Q(1), I3 => Q(0), I4 => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\, I5 => gpio_Data_In(0), O => \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg1[30]_i_1_n_0\ ); \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg1_reg[30]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg1[30]_i_1_n_0\, Q => reg1(1), R => bus2ip_rnw_i_reg ); \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg2[30]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"2C2E22222C222222" ) port map ( I0 => \^reg2\(1), I1 => \^gpio_io_t[1]\, I2 => Q(1), I3 => Q(0), I4 => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\, I5 => gpio_Data_In(0), O => \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg2[30]_i_1_n_0\ ); \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg2_reg[30]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg2[30]_i_1_n_0\, Q => \^reg2\(1), R => bus2ip_rnw_i_reg ); \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg1[31]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"2C2E22222C222222" ) port map ( I0 => \^gpio_io_o\(0), I1 => \^gpio_io_t[0]\, I2 => Q(1), I3 => Q(0), I4 => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\, I5 => gpio_Data_In(1), O => \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg1[31]_i_2_n_0\ ); \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg1_reg[31]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg1[31]_i_2_n_0\, Q => reg1(0), R => bus2ip_rnw_i_reg ); \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg2[31]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"2C2E22222C222222" ) port map ( I0 => \^reg2\(0), I1 => \^gpio_io_t[0]\, I2 => Q(1), I3 => Q(0), I4 => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\, I5 => gpio_Data_In(1), O => \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg2[31]_i_1_n_0\ ); \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg2_reg[31]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg2[31]_i_1_n_0\, Q => \^reg2\(0), R => bus2ip_rnw_i_reg ); \Not_Dual.INPUT_DOUBLE_REGS3\: entity work.ip_design_axi_gpio_0_0_cdc_sync port map ( gpio_io_i(1 downto 0) => gpio_io_i(1 downto 0), s_axi_aclk => s_axi_aclk, scndry_vect_out(1) => gpio_io_i_d2(0), scndry_vect_out(0) => gpio_io_i_d2(1) ); \Not_Dual.gpio_Data_In_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i_d2(0), Q => gpio_Data_In(0), R => '0' ); \Not_Dual.gpio_Data_In_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i_d2(1), Q => gpio_Data_In(1), R => '0' ); \Not_Dual.gpio_Data_Out[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FB08FFFFFB080000" ) port map ( I0 => s_axi_wdata(1), I1 => bus2ip_cs, I2 => Q(1), I3 => s_axi_wdata(3), I4 => rst_reg, I5 => \^gpio_io_o\(1), O => \Not_Dual.gpio_Data_Out[0]_i_1_n_0\ ); \Not_Dual.gpio_Data_Out[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FB08FFFFFB080000" ) port map ( I0 => s_axi_wdata(0), I1 => bus2ip_cs, I2 => Q(1), I3 => s_axi_wdata(2), I4 => rst_reg, I5 => \^gpio_io_o\(0), O => \Not_Dual.gpio_Data_Out[1]_i_1_n_0\ ); \Not_Dual.gpio_Data_Out_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \Not_Dual.gpio_Data_Out[0]_i_1_n_0\, Q => \^gpio_io_o\(1), R => bus2ip_reset ); \Not_Dual.gpio_Data_Out_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \Not_Dual.gpio_Data_Out[1]_i_1_n_0\, Q => \^gpio_io_o\(0), R => bus2ip_reset ); \Not_Dual.gpio_OE[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FB08FFFFFB080000" ) port map ( I0 => s_axi_wdata(1), I1 => bus2ip_cs, I2 => Q(1), I3 => s_axi_wdata(3), I4 => rst_reg_0, I5 => \^gpio_io_t[1]\, O => \Not_Dual.gpio_OE[0]_i_1_n_0\ ); \Not_Dual.gpio_OE[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FB08FFFFFB080000" ) port map ( I0 => s_axi_wdata(0), I1 => bus2ip_cs, I2 => Q(1), I3 => s_axi_wdata(2), I4 => rst_reg_0, I5 => \^gpio_io_t[0]\, O => \Not_Dual.gpio_OE[1]_i_1_n_0\ ); \Not_Dual.gpio_OE_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => '1', D => \Not_Dual.gpio_OE[0]_i_1_n_0\, Q => \^gpio_io_t[1]\, S => bus2ip_reset ); \Not_Dual.gpio_OE_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => '1', D => \Not_Dual.gpio_OE[1]_i_1_n_0\, Q => \^gpio_io_t[0]\, S => bus2ip_reset ); gpio_xferAck_Reg_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \^gpio_xferack_i\, Q => \^gpio_xferack_reg\, R => bus2ip_reset ); iGPIO_xferAck_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => bus2ip_cs, I1 => \^gpio_xferack_reg\, I2 => \^gpio_xferack_i\, O => iGPIO_xferAck ); iGPIO_xferAck_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => iGPIO_xferAck, Q => \^gpio_xferack_i\, R => bus2ip_reset ); ip2bus_rdack_i_D1_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^gpio_xferack_i\, I1 => bus2ip_rnw, O => ip2bus_rdack_i ); ip2bus_wrack_i_D1_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^gpio_xferack_i\, I1 => bus2ip_rnw, O => ip2bus_wrack_i_D1_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity ip_design_axi_gpio_0_0_slave_attachment is port ( SR : out STD_LOGIC; \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg1_reg[30]\ : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; \MEM_DECODE_GEN[0].cs_out_i_reg[0]\ : out STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; \Not_Dual.gpio_Data_Out_reg[0]\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); \Not_Dual.gpio_OE_reg[0]\ : out STD_LOGIC; \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg1_reg[30]_0\ : out STD_LOGIC; \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg2_reg[31]\ : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 2 downto 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_aclk : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_bready : in STD_LOGIC; ip2bus_rdack_i_D1 : in STD_LOGIC; ip2bus_wrack_i_D1 : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); gpio_xferAck_Reg : in STD_LOGIC; GPIO_xferAck_i : in STD_LOGIC; \ip2bus_data_i_D1_reg[0]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); reg2 : in STD_LOGIC_VECTOR ( 1 downto 0 ); reg1 : in STD_LOGIC_VECTOR ( 1 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of ip_design_axi_gpio_0_0_slave_attachment : entity is "slave_attachment"; end ip_design_axi_gpio_0_0_slave_attachment; architecture STRUCTURE of ip_design_axi_gpio_0_0_slave_attachment is signal \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^not_dual.allout0_nd.read_reg_gen[0].reg1_reg[30]\ : STD_LOGIC; signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^sr\ : STD_LOGIC; signal bus2ip_addr : STD_LOGIC_VECTOR ( 0 to 0 ); signal \bus2ip_addr_i[2]_i_1_n_0\ : STD_LOGIC; signal \bus2ip_addr_i[3]_i_1_n_0\ : STD_LOGIC; signal \bus2ip_addr_i[8]_i_1_n_0\ : STD_LOGIC; signal \bus2ip_addr_i[8]_i_2_n_0\ : STD_LOGIC; signal clear : STD_LOGIC; signal is_read : STD_LOGIC; signal is_read_i_1_n_0 : STD_LOGIC; signal is_write : STD_LOGIC; signal is_write_i_1_n_0 : STD_LOGIC; signal is_write_reg_n_0 : STD_LOGIC; signal p_0_out : STD_LOGIC_VECTOR ( 1 downto 0 ); signal plusOp : STD_LOGIC_VECTOR ( 3 downto 0 ); signal rst_i_1_n_0 : STD_LOGIC; signal \^s_axi_arready\ : STD_LOGIC; signal \^s_axi_bvalid\ : STD_LOGIC; signal s_axi_bvalid_i_i_1_n_0 : STD_LOGIC; signal \^s_axi_rdata\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \s_axi_rdata_i[0]_i_1_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[1]_i_1_n_0\ : STD_LOGIC; signal \s_axi_rdata_i[31]_i_1_n_0\ : STD_LOGIC; signal \^s_axi_rvalid\ : STD_LOGIC; signal s_axi_rvalid_i_i_1_n_0 : STD_LOGIC; signal \^s_axi_wready\ : STD_LOGIC; signal start2 : STD_LOGIC; signal start2_i_1_n_0 : STD_LOGIC; signal state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \state1__2\ : STD_LOGIC; signal \state[1]_i_3_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[0]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[1]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[2]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_2\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \bus2ip_addr_i[3]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \bus2ip_addr_i[8]_i_2\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of start2_i_1 : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \state[1]_i_3\ : label is "soft_lutpair4"; begin \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg1_reg[30]\ <= \^not_dual.allout0_nd.read_reg_gen[0].reg1_reg[30]\; Q(1 downto 0) <= \^q\(1 downto 0); SR <= \^sr\; s_axi_arready <= \^s_axi_arready\; s_axi_bvalid <= \^s_axi_bvalid\; s_axi_rdata(2 downto 0) <= \^s_axi_rdata\(2 downto 0); s_axi_rvalid <= \^s_axi_rvalid\; s_axi_wready <= \^s_axi_wready\; \INCLUDE_DPHASE_TIMER.dpto_cnt[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), O => plusOp(0) ); \INCLUDE_DPHASE_TIMER.dpto_cnt[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), O => plusOp(1) ); \INCLUDE_DPHASE_TIMER.dpto_cnt[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2), O => plusOp(2) ); \INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => state(0), I1 => state(1), O => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2), I3 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3), O => plusOp(3) ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(0), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), R => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(1), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), R => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(2), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2), R => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(3), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3), R => clear ); I_DECODER: entity work.ip_design_axi_gpio_0_0_address_decoder port map ( D(2 downto 0) => D(2 downto 0), GPIO_xferAck_i => GPIO_xferAck_i, \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(3 downto 0) => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3 downto 0), \MEM_DECODE_GEN[0].cs_out_i_reg[0]_0\ => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\, \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg1_reg[30]\ => \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg1_reg[30]_0\, \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg2_reg[31]\ => \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg2_reg[31]\, \Not_Dual.gpio_Data_Out_reg[0]\ => \Not_Dual.gpio_Data_Out_reg[0]\, \Not_Dual.gpio_OE_reg[0]\ => \Not_Dual.gpio_OE_reg[0]\, Q => start2, \bus2ip_addr_i_reg[8]\(2) => bus2ip_addr(0), \bus2ip_addr_i_reg[8]\(1 downto 0) => \^q\(1 downto 0), bus2ip_rnw_i_reg => \^not_dual.allout0_nd.read_reg_gen[0].reg1_reg[30]\, gpio_xferAck_Reg => gpio_xferAck_Reg, ip2bus_rdack_i_D1 => ip2bus_rdack_i_D1, ip2bus_wrack_i_D1 => ip2bus_wrack_i_D1, is_read => is_read, is_write_reg => is_write_reg_n_0, reg1(1 downto 0) => reg1(1 downto 0), reg2(1 downto 0) => reg2(1 downto 0), rst_reg => \^sr\, s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_arready => \^s_axi_arready\, s_axi_wready => \^s_axi_wready\ ); \bus2ip_addr_i[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => s_axi_araddr(0), I1 => s_axi_awaddr(0), I2 => s_axi_arvalid, O => \bus2ip_addr_i[2]_i_1_n_0\ ); \bus2ip_addr_i[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => s_axi_araddr(1), I1 => s_axi_awaddr(1), I2 => s_axi_arvalid, O => \bus2ip_addr_i[3]_i_1_n_0\ ); \bus2ip_addr_i[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"000000EA" ) port map ( I0 => s_axi_arvalid, I1 => s_axi_awvalid, I2 => s_axi_wvalid, I3 => state(1), I4 => state(0), O => \bus2ip_addr_i[8]_i_1_n_0\ ); \bus2ip_addr_i[8]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => s_axi_araddr(2), I1 => s_axi_awaddr(2), I2 => s_axi_arvalid, O => \bus2ip_addr_i[8]_i_2_n_0\ ); \bus2ip_addr_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => \bus2ip_addr_i[8]_i_1_n_0\, D => \bus2ip_addr_i[2]_i_1_n_0\, Q => \^q\(0), R => \^sr\ ); \bus2ip_addr_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => \bus2ip_addr_i[8]_i_1_n_0\, D => \bus2ip_addr_i[3]_i_1_n_0\, Q => \^q\(1), R => \^sr\ ); \bus2ip_addr_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => \bus2ip_addr_i[8]_i_1_n_0\, D => \bus2ip_addr_i[8]_i_2_n_0\, Q => bus2ip_addr(0), R => \^sr\ ); bus2ip_rnw_i_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => \bus2ip_addr_i[8]_i_1_n_0\, D => s_axi_arvalid, Q => \^not_dual.allout0_nd.read_reg_gen[0].reg1_reg[30]\, R => \^sr\ ); is_read_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"3FFA000A" ) port map ( I0 => s_axi_arvalid, I1 => \state1__2\, I2 => state(0), I3 => state(1), I4 => is_read, O => is_read_i_1_n_0 ); is_read_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => is_read_i_1_n_0, Q => is_read, R => \^sr\ ); is_write_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"0040FFFF00400000" ) port map ( I0 => s_axi_arvalid, I1 => s_axi_awvalid, I2 => s_axi_wvalid, I3 => state(1), I4 => is_write, I5 => is_write_reg_n_0, O => is_write_i_1_n_0 ); is_write_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"F88800000000FFFF" ) port map ( I0 => \^s_axi_rvalid\, I1 => s_axi_rready, I2 => \^s_axi_bvalid\, I3 => s_axi_bready, I4 => state(0), I5 => state(1), O => is_write ); is_write_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => is_write_i_1_n_0, Q => is_write_reg_n_0, R => \^sr\ ); rst_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => s_axi_aresetn, O => rst_i_1_n_0 ); rst_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => rst_i_1_n_0, Q => \^sr\, R => '0' ); s_axi_bvalid_i_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"08FF0808" ) port map ( I0 => \^s_axi_wready\, I1 => state(1), I2 => state(0), I3 => s_axi_bready, I4 => \^s_axi_bvalid\, O => s_axi_bvalid_i_i_1_n_0 ); s_axi_bvalid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_axi_bvalid_i_i_1_n_0, Q => \^s_axi_bvalid\, R => \^sr\ ); \s_axi_rdata_i[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => \ip2bus_data_i_D1_reg[0]\(0), I1 => state(0), I2 => state(1), I3 => \^s_axi_rdata\(0), O => \s_axi_rdata_i[0]_i_1_n_0\ ); \s_axi_rdata_i[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => \ip2bus_data_i_D1_reg[0]\(1), I1 => state(0), I2 => state(1), I3 => \^s_axi_rdata\(1), O => \s_axi_rdata_i[1]_i_1_n_0\ ); \s_axi_rdata_i[31]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => \ip2bus_data_i_D1_reg[0]\(2), I1 => state(0), I2 => state(1), I3 => \^s_axi_rdata\(2), O => \s_axi_rdata_i[31]_i_1_n_0\ ); \s_axi_rdata_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \s_axi_rdata_i[0]_i_1_n_0\, Q => \^s_axi_rdata\(0), R => \^sr\ ); \s_axi_rdata_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \s_axi_rdata_i[1]_i_1_n_0\, Q => \^s_axi_rdata\(1), R => \^sr\ ); \s_axi_rdata_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \s_axi_rdata_i[31]_i_1_n_0\, Q => \^s_axi_rdata\(2), R => \^sr\ ); s_axi_rvalid_i_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"08FF0808" ) port map ( I0 => \^s_axi_arready\, I1 => state(0), I2 => state(1), I3 => s_axi_rready, I4 => \^s_axi_rvalid\, O => s_axi_rvalid_i_i_1_n_0 ); s_axi_rvalid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_axi_rvalid_i_i_1_n_0, Q => \^s_axi_rvalid\, R => \^sr\ ); start2_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"000000F8" ) port map ( I0 => s_axi_awvalid, I1 => s_axi_wvalid, I2 => s_axi_arvalid, I3 => state(1), I4 => state(0), O => start2_i_1_n_0 ); start2_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => start2_i_1_n_0, Q => start2, R => \^sr\ ); \state[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"77FC44FC" ) port map ( I0 => \state1__2\, I1 => state(0), I2 => s_axi_arvalid, I3 => state(1), I4 => \^s_axi_wready\, O => p_0_out(0) ); \state[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"5FFC50FC" ) port map ( I0 => \state1__2\, I1 => \state[1]_i_3_n_0\, I2 => state(1), I3 => state(0), I4 => \^s_axi_arready\, O => p_0_out(1) ); \state[1]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => s_axi_bready, I1 => \^s_axi_bvalid\, I2 => s_axi_rready, I3 => \^s_axi_rvalid\, O => \state1__2\ ); \state[1]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => s_axi_wvalid, I1 => s_axi_awvalid, I2 => s_axi_arvalid, O => \state[1]_i_3_n_0\ ); \state_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => p_0_out(0), Q => state(0), R => \^sr\ ); \state_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => p_0_out(1), Q => state(1), R => \^sr\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity ip_design_axi_gpio_0_0_axi_lite_ipif is port ( bus2ip_reset : out STD_LOGIC; bus2ip_rnw : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; bus2ip_cs : out STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; \Not_Dual.gpio_Data_Out_reg[0]\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); \Not_Dual.gpio_OE_reg[0]\ : out STD_LOGIC; \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg1_reg[30]\ : out STD_LOGIC; \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg2_reg[31]\ : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 2 downto 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_aclk : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_bready : in STD_LOGIC; ip2bus_rdack_i_D1 : in STD_LOGIC; ip2bus_wrack_i_D1 : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); gpio_xferAck_Reg : in STD_LOGIC; GPIO_xferAck_i : in STD_LOGIC; \ip2bus_data_i_D1_reg[0]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); reg2 : in STD_LOGIC_VECTOR ( 1 downto 0 ); reg1 : in STD_LOGIC_VECTOR ( 1 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of ip_design_axi_gpio_0_0_axi_lite_ipif : entity is "axi_lite_ipif"; end ip_design_axi_gpio_0_0_axi_lite_ipif; architecture STRUCTURE of ip_design_axi_gpio_0_0_axi_lite_ipif is begin I_SLAVE_ATTACHMENT: entity work.ip_design_axi_gpio_0_0_slave_attachment port map ( D(2 downto 0) => D(2 downto 0), GPIO_xferAck_i => GPIO_xferAck_i, \MEM_DECODE_GEN[0].cs_out_i_reg[0]\ => bus2ip_cs, \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg1_reg[30]\ => bus2ip_rnw, \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg1_reg[30]_0\ => \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg1_reg[30]\, \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg2_reg[31]\ => \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg2_reg[31]\, \Not_Dual.gpio_Data_Out_reg[0]\ => \Not_Dual.gpio_Data_Out_reg[0]\, \Not_Dual.gpio_OE_reg[0]\ => \Not_Dual.gpio_OE_reg[0]\, Q(1 downto 0) => Q(1 downto 0), SR => bus2ip_reset, gpio_xferAck_Reg => gpio_xferAck_Reg, \ip2bus_data_i_D1_reg[0]\(2 downto 0) => \ip2bus_data_i_D1_reg[0]\(2 downto 0), ip2bus_rdack_i_D1 => ip2bus_rdack_i_D1, ip2bus_wrack_i_D1 => ip2bus_wrack_i_D1, reg1(1 downto 0) => reg1(1 downto 0), reg2(1 downto 0) => reg2(1 downto 0), s_axi_aclk => s_axi_aclk, s_axi_araddr(2 downto 0) => s_axi_araddr(2 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(2 downto 0) => s_axi_awaddr(2 downto 0), s_axi_awvalid => s_axi_awvalid, s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, s_axi_rdata(2 downto 0) => s_axi_rdata(2 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, s_axi_wready => s_axi_wready, s_axi_wvalid => s_axi_wvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity ip_design_axi_gpio_0_0_axi_gpio is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; ip2intc_irpt : out STD_LOGIC; gpio_io_i : in STD_LOGIC_VECTOR ( 1 downto 0 ); gpio_io_o : out STD_LOGIC_VECTOR ( 1 downto 0 ); gpio_io_t : out STD_LOGIC_VECTOR ( 1 downto 0 ); gpio2_io_i : in STD_LOGIC_VECTOR ( 31 downto 0 ); gpio2_io_o : out STD_LOGIC_VECTOR ( 31 downto 0 ); gpio2_io_t : out STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute C_ALL_INPUTS : integer; attribute C_ALL_INPUTS of ip_design_axi_gpio_0_0_axi_gpio : entity is 0; attribute C_ALL_INPUTS_2 : integer; attribute C_ALL_INPUTS_2 of ip_design_axi_gpio_0_0_axi_gpio : entity is 0; attribute C_ALL_OUTPUTS : integer; attribute C_ALL_OUTPUTS of ip_design_axi_gpio_0_0_axi_gpio : entity is 0; attribute C_ALL_OUTPUTS_2 : integer; attribute C_ALL_OUTPUTS_2 of ip_design_axi_gpio_0_0_axi_gpio : entity is 0; attribute C_DOUT_DEFAULT : integer; attribute C_DOUT_DEFAULT of ip_design_axi_gpio_0_0_axi_gpio : entity is 0; attribute C_DOUT_DEFAULT_2 : integer; attribute C_DOUT_DEFAULT_2 of ip_design_axi_gpio_0_0_axi_gpio : entity is 0; attribute C_FAMILY : string; attribute C_FAMILY of ip_design_axi_gpio_0_0_axi_gpio : entity is "zynq"; attribute C_GPIO2_WIDTH : integer; attribute C_GPIO2_WIDTH of ip_design_axi_gpio_0_0_axi_gpio : entity is 32; attribute C_GPIO_WIDTH : integer; attribute C_GPIO_WIDTH of ip_design_axi_gpio_0_0_axi_gpio : entity is 2; attribute C_INTERRUPT_PRESENT : integer; attribute C_INTERRUPT_PRESENT of ip_design_axi_gpio_0_0_axi_gpio : entity is 0; attribute C_IS_DUAL : integer; attribute C_IS_DUAL of ip_design_axi_gpio_0_0_axi_gpio : entity is 0; attribute C_S_AXI_ADDR_WIDTH : integer; attribute C_S_AXI_ADDR_WIDTH of ip_design_axi_gpio_0_0_axi_gpio : entity is 9; attribute C_S_AXI_DATA_WIDTH : integer; attribute C_S_AXI_DATA_WIDTH of ip_design_axi_gpio_0_0_axi_gpio : entity is 32; attribute C_TRI_DEFAULT : integer; attribute C_TRI_DEFAULT of ip_design_axi_gpio_0_0_axi_gpio : entity is -1; attribute C_TRI_DEFAULT_2 : integer; attribute C_TRI_DEFAULT_2 of ip_design_axi_gpio_0_0_axi_gpio : entity is -1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of ip_design_axi_gpio_0_0_axi_gpio : entity is "axi_gpio"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of ip_design_axi_gpio_0_0_axi_gpio : entity is "yes"; attribute ip_group : string; attribute ip_group of ip_design_axi_gpio_0_0_axi_gpio : entity is "LOGICORE"; end ip_design_axi_gpio_0_0_axi_gpio; architecture STRUCTURE of ip_design_axi_gpio_0_0_axi_gpio is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; signal AXI_LITE_IPIF_I_n_10 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_11 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_12 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_7 : STD_LOGIC; signal GPIO_xferAck_i : STD_LOGIC; signal bus2ip_addr : STD_LOGIC_VECTOR ( 5 to 6 ); signal bus2ip_cs : STD_LOGIC; signal bus2ip_reset : STD_LOGIC; signal bus2ip_rnw : STD_LOGIC; signal gpio_core_1_n_11 : STD_LOGIC; signal gpio_xferAck_Reg : STD_LOGIC; signal ip2bus_data : STD_LOGIC_VECTOR ( 0 to 31 ); signal ip2bus_data_i_D1 : STD_LOGIC_VECTOR ( 0 to 31 ); signal ip2bus_rdack_i : STD_LOGIC; signal ip2bus_rdack_i_D1 : STD_LOGIC; signal ip2bus_wrack_i_D1 : STD_LOGIC; signal reg1 : STD_LOGIC_VECTOR ( 30 to 31 ); signal reg2 : STD_LOGIC_VECTOR ( 30 to 31 ); signal \^s_axi_rdata\ : STD_LOGIC_VECTOR ( 30 downto 0 ); signal \^s_axi_wready\ : STD_LOGIC; attribute sigis : string; attribute sigis of ip2intc_irpt : signal is "INTR_LEVEL_HIGH"; attribute max_fanout : string; attribute max_fanout of s_axi_aclk : signal is "10000"; attribute sigis of s_axi_aclk : signal is "Clk"; attribute max_fanout of s_axi_aresetn : signal is "10000"; attribute sigis of s_axi_aresetn : signal is "Rst"; begin gpio2_io_o(31) <= \<const0>\; gpio2_io_o(30) <= \<const0>\; gpio2_io_o(29) <= \<const0>\; gpio2_io_o(28) <= \<const0>\; gpio2_io_o(27) <= \<const0>\; gpio2_io_o(26) <= \<const0>\; gpio2_io_o(25) <= \<const0>\; gpio2_io_o(24) <= \<const0>\; gpio2_io_o(23) <= \<const0>\; gpio2_io_o(22) <= \<const0>\; gpio2_io_o(21) <= \<const0>\; gpio2_io_o(20) <= \<const0>\; gpio2_io_o(19) <= \<const0>\; gpio2_io_o(18) <= \<const0>\; gpio2_io_o(17) <= \<const0>\; gpio2_io_o(16) <= \<const0>\; gpio2_io_o(15) <= \<const0>\; gpio2_io_o(14) <= \<const0>\; gpio2_io_o(13) <= \<const0>\; gpio2_io_o(12) <= \<const0>\; gpio2_io_o(11) <= \<const0>\; gpio2_io_o(10) <= \<const0>\; gpio2_io_o(9) <= \<const0>\; gpio2_io_o(8) <= \<const0>\; gpio2_io_o(7) <= \<const0>\; gpio2_io_o(6) <= \<const0>\; gpio2_io_o(5) <= \<const0>\; gpio2_io_o(4) <= \<const0>\; gpio2_io_o(3) <= \<const0>\; gpio2_io_o(2) <= \<const0>\; gpio2_io_o(1) <= \<const0>\; gpio2_io_o(0) <= \<const0>\; gpio2_io_t(31) <= \<const1>\; gpio2_io_t(30) <= \<const1>\; gpio2_io_t(29) <= \<const1>\; gpio2_io_t(28) <= \<const1>\; gpio2_io_t(27) <= \<const1>\; gpio2_io_t(26) <= \<const1>\; gpio2_io_t(25) <= \<const1>\; gpio2_io_t(24) <= \<const1>\; gpio2_io_t(23) <= \<const1>\; gpio2_io_t(22) <= \<const1>\; gpio2_io_t(21) <= \<const1>\; gpio2_io_t(20) <= \<const1>\; gpio2_io_t(19) <= \<const1>\; gpio2_io_t(18) <= \<const1>\; gpio2_io_t(17) <= \<const1>\; gpio2_io_t(16) <= \<const1>\; gpio2_io_t(15) <= \<const1>\; gpio2_io_t(14) <= \<const1>\; gpio2_io_t(13) <= \<const1>\; gpio2_io_t(12) <= \<const1>\; gpio2_io_t(11) <= \<const1>\; gpio2_io_t(10) <= \<const1>\; gpio2_io_t(9) <= \<const1>\; gpio2_io_t(8) <= \<const1>\; gpio2_io_t(7) <= \<const1>\; gpio2_io_t(6) <= \<const1>\; gpio2_io_t(5) <= \<const1>\; gpio2_io_t(4) <= \<const1>\; gpio2_io_t(3) <= \<const1>\; gpio2_io_t(2) <= \<const1>\; gpio2_io_t(1) <= \<const1>\; gpio2_io_t(0) <= \<const1>\; ip2intc_irpt <= \<const0>\; s_axi_awready <= \^s_axi_wready\; s_axi_bresp(1) <= \<const0>\; s_axi_bresp(0) <= \<const0>\; s_axi_rdata(31) <= \^s_axi_rdata\(30); s_axi_rdata(30) <= \^s_axi_rdata\(30); s_axi_rdata(29) <= \^s_axi_rdata\(30); s_axi_rdata(28) <= \^s_axi_rdata\(30); s_axi_rdata(27) <= \^s_axi_rdata\(30); s_axi_rdata(26) <= \^s_axi_rdata\(30); s_axi_rdata(25) <= \^s_axi_rdata\(30); s_axi_rdata(24) <= \^s_axi_rdata\(30); s_axi_rdata(23) <= \^s_axi_rdata\(30); s_axi_rdata(22) <= \^s_axi_rdata\(30); s_axi_rdata(21) <= \^s_axi_rdata\(30); s_axi_rdata(20) <= \^s_axi_rdata\(30); s_axi_rdata(19) <= \^s_axi_rdata\(30); s_axi_rdata(18) <= \^s_axi_rdata\(30); s_axi_rdata(17) <= \^s_axi_rdata\(30); s_axi_rdata(16) <= \^s_axi_rdata\(30); s_axi_rdata(15) <= \^s_axi_rdata\(30); s_axi_rdata(14) <= \^s_axi_rdata\(30); s_axi_rdata(13) <= \^s_axi_rdata\(30); s_axi_rdata(12) <= \^s_axi_rdata\(30); s_axi_rdata(11) <= \^s_axi_rdata\(30); s_axi_rdata(10) <= \^s_axi_rdata\(30); s_axi_rdata(9) <= \^s_axi_rdata\(30); s_axi_rdata(8) <= \^s_axi_rdata\(30); s_axi_rdata(7) <= \^s_axi_rdata\(30); s_axi_rdata(6) <= \^s_axi_rdata\(30); s_axi_rdata(5) <= \^s_axi_rdata\(30); s_axi_rdata(4) <= \^s_axi_rdata\(30); s_axi_rdata(3) <= \^s_axi_rdata\(30); s_axi_rdata(2) <= \^s_axi_rdata\(30); s_axi_rdata(1 downto 0) <= \^s_axi_rdata\(1 downto 0); s_axi_rresp(1) <= \<const0>\; s_axi_rresp(0) <= \<const0>\; s_axi_wready <= \^s_axi_wready\; AXI_LITE_IPIF_I: entity work.ip_design_axi_gpio_0_0_axi_lite_ipif port map ( D(2) => ip2bus_data(0), D(1) => ip2bus_data(30), D(0) => ip2bus_data(31), GPIO_xferAck_i => GPIO_xferAck_i, \Not_Dual.ALLOUT0_ND.READ_REG_GEN[0].reg1_reg[30]\ => AXI_LITE_IPIF_I_n_11, \Not_Dual.ALLOUT0_ND.READ_REG_GEN[1].reg2_reg[31]\ => AXI_LITE_IPIF_I_n_12, \Not_Dual.gpio_Data_Out_reg[0]\ => AXI_LITE_IPIF_I_n_7, \Not_Dual.gpio_OE_reg[0]\ => AXI_LITE_IPIF_I_n_10, Q(1) => bus2ip_addr(5), Q(0) => bus2ip_addr(6), bus2ip_cs => bus2ip_cs, bus2ip_reset => bus2ip_reset, bus2ip_rnw => bus2ip_rnw, gpio_xferAck_Reg => gpio_xferAck_Reg, \ip2bus_data_i_D1_reg[0]\(2) => ip2bus_data_i_D1(0), \ip2bus_data_i_D1_reg[0]\(1) => ip2bus_data_i_D1(30), \ip2bus_data_i_D1_reg[0]\(0) => ip2bus_data_i_D1(31), ip2bus_rdack_i_D1 => ip2bus_rdack_i_D1, ip2bus_wrack_i_D1 => ip2bus_wrack_i_D1, reg1(1) => reg1(30), reg1(0) => reg1(31), reg2(1) => reg2(30), reg2(0) => reg2(31), s_axi_aclk => s_axi_aclk, s_axi_araddr(2) => s_axi_araddr(8), s_axi_araddr(1 downto 0) => s_axi_araddr(3 downto 2), s_axi_aresetn => s_axi_aresetn, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(2) => s_axi_awaddr(8), s_axi_awaddr(1 downto 0) => s_axi_awaddr(3 downto 2), s_axi_awvalid => s_axi_awvalid, s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, s_axi_rdata(2) => \^s_axi_rdata\(30), s_axi_rdata(1 downto 0) => \^s_axi_rdata\(1 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, s_axi_wready => \^s_axi_wready\, s_axi_wvalid => s_axi_wvalid ); GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); gpio_core_1: entity work.ip_design_axi_gpio_0_0_GPIO_Core port map ( GPIO_xferAck_i => GPIO_xferAck_i, \MEM_DECODE_GEN[0].cs_out_i_reg[0]\ => AXI_LITE_IPIF_I_n_12, Q(1) => bus2ip_addr(5), Q(0) => bus2ip_addr(6), bus2ip_cs => bus2ip_cs, bus2ip_reset => bus2ip_reset, bus2ip_rnw => bus2ip_rnw, bus2ip_rnw_i_reg => AXI_LITE_IPIF_I_n_11, gpio_io_i(1 downto 0) => gpio_io_i(1 downto 0), gpio_io_o(1 downto 0) => gpio_io_o(1 downto 0), \gpio_io_t[0]\ => gpio_io_t(0), \gpio_io_t[1]\ => gpio_io_t(1), gpio_xferAck_Reg => gpio_xferAck_Reg, ip2bus_rdack_i => ip2bus_rdack_i, ip2bus_wrack_i_D1_reg => gpio_core_1_n_11, reg1(1) => reg1(30), reg1(0) => reg1(31), reg2(1) => reg2(30), reg2(0) => reg2(31), rst_reg => AXI_LITE_IPIF_I_n_7, rst_reg_0 => AXI_LITE_IPIF_I_n_10, s_axi_aclk => s_axi_aclk, s_axi_wdata(3 downto 2) => s_axi_wdata(31 downto 30), s_axi_wdata(1 downto 0) => s_axi_wdata(1 downto 0) ); \ip2bus_data_i_D1_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_data(0), Q => ip2bus_data_i_D1(0), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[30]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_data(30), Q => ip2bus_data_i_D1(30), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[31]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_data(31), Q => ip2bus_data_i_D1(31), R => bus2ip_reset ); ip2bus_rdack_i_D1_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_rdack_i, Q => ip2bus_rdack_i_D1, R => bus2ip_reset ); ip2bus_wrack_i_D1_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_core_1_n_11, Q => ip2bus_wrack_i_D1, R => bus2ip_reset ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity ip_design_axi_gpio_0_0 is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; gpio_io_i : in STD_LOGIC_VECTOR ( 1 downto 0 ); gpio_io_o : out STD_LOGIC_VECTOR ( 1 downto 0 ); gpio_io_t : out STD_LOGIC_VECTOR ( 1 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of ip_design_axi_gpio_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of ip_design_axi_gpio_0_0 : entity is "ip_design_axi_gpio_0_0,axi_gpio,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of ip_design_axi_gpio_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of ip_design_axi_gpio_0_0 : entity is "axi_gpio,Vivado 2017.3"; end ip_design_axi_gpio_0_0; architecture STRUCTURE of ip_design_axi_gpio_0_0 is signal NLW_U0_ip2intc_irpt_UNCONNECTED : STD_LOGIC; signal NLW_U0_gpio2_io_o_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_gpio2_io_t_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); attribute C_ALL_INPUTS : integer; attribute C_ALL_INPUTS of U0 : label is 0; attribute C_ALL_INPUTS_2 : integer; attribute C_ALL_INPUTS_2 of U0 : label is 0; attribute C_ALL_OUTPUTS : integer; attribute C_ALL_OUTPUTS of U0 : label is 0; attribute C_ALL_OUTPUTS_2 : integer; attribute C_ALL_OUTPUTS_2 of U0 : label is 0; attribute C_DOUT_DEFAULT : integer; attribute C_DOUT_DEFAULT of U0 : label is 0; attribute C_DOUT_DEFAULT_2 : integer; attribute C_DOUT_DEFAULT_2 of U0 : label is 0; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "zynq"; attribute C_GPIO2_WIDTH : integer; attribute C_GPIO2_WIDTH of U0 : label is 32; attribute C_GPIO_WIDTH : integer; attribute C_GPIO_WIDTH of U0 : label is 2; attribute C_INTERRUPT_PRESENT : integer; attribute C_INTERRUPT_PRESENT of U0 : label is 0; attribute C_IS_DUAL : integer; attribute C_IS_DUAL of U0 : label is 0; attribute C_S_AXI_ADDR_WIDTH : integer; attribute C_S_AXI_ADDR_WIDTH of U0 : label is 9; attribute C_S_AXI_DATA_WIDTH : integer; attribute C_S_AXI_DATA_WIDTH of U0 : label is 32; attribute C_TRI_DEFAULT : integer; attribute C_TRI_DEFAULT of U0 : label is -1; attribute C_TRI_DEFAULT_2 : integer; attribute C_TRI_DEFAULT_2 of U0 : label is -1; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; attribute ip_group : string; attribute ip_group of U0 : label is "LOGICORE"; attribute x_interface_info : string; attribute x_interface_info of s_axi_aclk : signal is "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK"; attribute x_interface_parameter : string; attribute x_interface_parameter of s_axi_aclk : signal is "XIL_INTERFACENAME S_AXI_ACLK, ASSOCIATED_BUSIF S_AXI, ASSOCIATED_RESET s_axi_aresetn, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN ip_design_processing_system7_0_0_FCLK_CLK0"; attribute x_interface_info of s_axi_aresetn : signal is "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST"; attribute x_interface_parameter of s_axi_aresetn : signal is "XIL_INTERFACENAME S_AXI_ARESETN, POLARITY ACTIVE_LOW"; attribute x_interface_info of s_axi_arready : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; attribute x_interface_info of s_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; attribute x_interface_info of s_axi_awready : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; attribute x_interface_info of s_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; attribute x_interface_info of s_axi_bready : signal is "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; attribute x_interface_info of s_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; attribute x_interface_info of s_axi_rready : signal is "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; attribute x_interface_info of s_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; attribute x_interface_info of s_axi_wready : signal is "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; attribute x_interface_info of s_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; attribute x_interface_info of gpio_io_i : signal is "xilinx.com:interface:gpio:1.0 GPIO TRI_I"; attribute x_interface_parameter of gpio_io_i : signal is "XIL_INTERFACENAME GPIO, BOARD.ASSOCIATED_PARAM GPIO_BOARD_INTERFACE"; attribute x_interface_info of gpio_io_o : signal is "xilinx.com:interface:gpio:1.0 GPIO TRI_O"; attribute x_interface_info of gpio_io_t : signal is "xilinx.com:interface:gpio:1.0 GPIO TRI_T"; attribute x_interface_info of s_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; attribute x_interface_info of s_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; attribute x_interface_parameter of s_axi_awaddr : signal is "XIL_INTERFACENAME S_AXI, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 100000000, ID_WIDTH 0, ADDR_WIDTH 9, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 0, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 2, NUM_WRITE_OUTSTANDING 2, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN ip_design_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0"; attribute x_interface_info of s_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; attribute x_interface_info of s_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; attribute x_interface_info of s_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; attribute x_interface_info of s_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; attribute x_interface_info of s_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; begin U0: entity work.ip_design_axi_gpio_0_0_axi_gpio port map ( gpio2_io_i(31 downto 0) => B"00000000000000000000000000000000", gpio2_io_o(31 downto 0) => NLW_U0_gpio2_io_o_UNCONNECTED(31 downto 0), gpio2_io_t(31 downto 0) => NLW_U0_gpio2_io_t_UNCONNECTED(31 downto 0), gpio_io_i(1 downto 0) => gpio_io_i(1 downto 0), gpio_io_o(1 downto 0) => gpio_io_o(1 downto 0), gpio_io_t(1 downto 0) => gpio_io_t(1 downto 0), ip2intc_irpt => NLW_U0_ip2intc_irpt_UNCONNECTED, s_axi_aclk => s_axi_aclk, s_axi_araddr(8 downto 0) => s_axi_araddr(8 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(8 downto 0) => s_axi_awaddr(8 downto 0), s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid, s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wvalid => s_axi_wvalid ); end STRUCTURE;
mit
7ab13bd7e8d25ff3f8daf2f0e6cc77bb
0.587885
2.616319
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/my_lab_1/my_lab_1.cache/ip/2017.2/1af391c58f596ed5/zqynq_lab_1_design_axi_bram_ctrl_0_0_sim_netlist.vhdl
1
321,568
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Fri Sep 22 22:04:02 2017 -- Host : DarkCube running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ zqynq_lab_1_design_axi_bram_ctrl_0_0_sim_netlist.vhdl -- Design : zqynq_lab_1_design_axi_bram_ctrl_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_SRL_FIFO is port ( E : out STD_LOGIC_VECTOR ( 0 to 0 ); bid_gets_fifo_load : out STD_LOGIC; bvalid_cnt_inc : out STD_LOGIC; bid_gets_fifo_load_d1_reg : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 11 downto 0 ); axi_wdata_full_cmb114_out : out STD_LOGIC; SR : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_aclk : in STD_LOGIC; \bvalid_cnt_reg[2]\ : in STD_LOGIC; wr_addr_sm_cs : in STD_LOGIC; \bvalid_cnt_reg[2]_0\ : in STD_LOGIC; \GEN_AWREADY.axi_aresetn_d2_reg\ : in STD_LOGIC; axi_awaddr_full : in STD_LOGIC; bram_addr_ld_en : in STD_LOGIC; bid_gets_fifo_load_d1 : in STD_LOGIC; s_axi_bready : in STD_LOGIC; axi_bvalid_int_reg : in STD_LOGIC; bvalid_cnt : in STD_LOGIC_VECTOR ( 2 downto 0 ); Q : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); \bvalid_cnt_reg[1]\ : in STD_LOGIC; aw_active : in STD_LOGIC; s_axi_awready : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; curr_awlen_reg_1_or_2 : in STD_LOGIC; axi_awlen_pipe_1_or_2 : in STD_LOGIC; \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg\ : in STD_LOGIC; last_data_ack_mod : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); axi_wr_burst : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wlast : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_SRL_FIFO; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_SRL_FIFO is signal \Addr_Counters[0].FDRE_I_n_0\ : STD_LOGIC; signal \Addr_Counters[1].FDRE_I_n_0\ : STD_LOGIC; signal \Addr_Counters[2].FDRE_I_n_0\ : STD_LOGIC; signal \Addr_Counters[3].FDRE_I_n_0\ : STD_LOGIC; signal \Addr_Counters[3].XORCY_I_i_1_n_0\ : STD_LOGIC; signal CI : STD_LOGIC; signal D_0 : STD_LOGIC; signal Data_Exists_DFF_i_2_n_0 : STD_LOGIC; signal Data_Exists_DFF_i_3_n_0 : STD_LOGIC; signal S : STD_LOGIC; signal S0_out : STD_LOGIC; signal S1_out : STD_LOGIC; signal addr_cy_1 : STD_LOGIC; signal addr_cy_2 : STD_LOGIC; signal addr_cy_3 : STD_LOGIC; signal \axi_bid_int[11]_i_3_n_0\ : STD_LOGIC; signal axi_bvalid_int_i_4_n_0 : STD_LOGIC; signal axi_bvalid_int_i_5_n_0 : STD_LOGIC; signal axi_bvalid_int_i_6_n_0 : STD_LOGIC; signal \^axi_wdata_full_cmb114_out\ : STD_LOGIC; signal bid_fifo_ld : STD_LOGIC_VECTOR ( 11 downto 0 ); signal bid_fifo_not_empty : STD_LOGIC; signal bid_fifo_rd : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^bid_gets_fifo_load\ : STD_LOGIC; signal bid_gets_fifo_load_d1_i_3_n_0 : STD_LOGIC; signal \^bid_gets_fifo_load_d1_reg\ : STD_LOGIC; signal \^bvalid_cnt_inc\ : STD_LOGIC; signal sum_A_0 : STD_LOGIC; signal sum_A_1 : STD_LOGIC; signal sum_A_2 : STD_LOGIC; signal sum_A_3 : STD_LOGIC; signal \NLW_Addr_Counters[0].MUXCY_L_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); signal \NLW_Addr_Counters[0].MUXCY_L_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute BOX_TYPE : string; attribute BOX_TYPE of \Addr_Counters[0].FDRE_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \Addr_Counters[0].MUXCY_L_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \Addr_Counters[0].MUXCY_L_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute XILINX_TRANSFORM_PINMAP : string; attribute XILINX_TRANSFORM_PINMAP of \Addr_Counters[0].MUXCY_L_I_CARRY4\ : label is "LO:O"; attribute BOX_TYPE of \Addr_Counters[1].FDRE_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \Addr_Counters[2].FDRE_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \Addr_Counters[3].FDRE_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of Data_Exists_DFF : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of Data_Exists_DFF : label is "FDR"; attribute BOX_TYPE of \FIFO_RAM[0].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name : string; attribute srl_bus_name of \FIFO_RAM[0].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name : string; attribute srl_name of \FIFO_RAM[0].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[0].SRL16E_I "; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \FIFO_RAM[0].SRL16E_I_i_1\ : label is "soft_lutpair42"; attribute BOX_TYPE of \FIFO_RAM[10].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[10].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[10].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[10].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[10].SRL16E_I_i_1\ : label is "soft_lutpair52"; attribute BOX_TYPE of \FIFO_RAM[11].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[11].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[11].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[11].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[11].SRL16E_I_i_1\ : label is "soft_lutpair53"; attribute BOX_TYPE of \FIFO_RAM[1].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[1].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[1].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[1].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[1].SRL16E_I_i_1\ : label is "soft_lutpair43"; attribute BOX_TYPE of \FIFO_RAM[2].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[2].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[2].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[2].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[2].SRL16E_I_i_1\ : label is "soft_lutpair44"; attribute BOX_TYPE of \FIFO_RAM[3].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[3].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[3].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[3].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[3].SRL16E_I_i_1\ : label is "soft_lutpair45"; attribute BOX_TYPE of \FIFO_RAM[4].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[4].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[4].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[4].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[4].SRL16E_I_i_1\ : label is "soft_lutpair46"; attribute BOX_TYPE of \FIFO_RAM[5].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[5].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[5].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[5].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[5].SRL16E_I_i_1\ : label is "soft_lutpair47"; attribute BOX_TYPE of \FIFO_RAM[6].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[6].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[6].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[6].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[6].SRL16E_I_i_1\ : label is "soft_lutpair48"; attribute BOX_TYPE of \FIFO_RAM[7].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[7].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[7].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[7].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[7].SRL16E_I_i_1\ : label is "soft_lutpair49"; attribute BOX_TYPE of \FIFO_RAM[8].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[8].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[8].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[8].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[8].SRL16E_I_i_1\ : label is "soft_lutpair50"; attribute BOX_TYPE of \FIFO_RAM[9].SRL16E_I\ : label is "PRIMITIVE"; attribute srl_bus_name of \FIFO_RAM[9].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM "; attribute srl_name of \FIFO_RAM[9].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[9].SRL16E_I "; attribute SOFT_HLUTNM of \FIFO_RAM[9].SRL16E_I_i_1\ : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \axi_bid_int[0]_i_1\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \axi_bid_int[10]_i_1\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \axi_bid_int[11]_i_2\ : label is "soft_lutpair42"; attribute SOFT_HLUTNM of \axi_bid_int[1]_i_1\ : label is "soft_lutpair52"; attribute SOFT_HLUTNM of \axi_bid_int[2]_i_1\ : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \axi_bid_int[3]_i_1\ : label is "soft_lutpair50"; attribute SOFT_HLUTNM of \axi_bid_int[4]_i_1\ : label is "soft_lutpair49"; attribute SOFT_HLUTNM of \axi_bid_int[5]_i_1\ : label is "soft_lutpair48"; attribute SOFT_HLUTNM of \axi_bid_int[6]_i_1\ : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \axi_bid_int[7]_i_1\ : label is "soft_lutpair46"; attribute SOFT_HLUTNM of \axi_bid_int[8]_i_1\ : label is "soft_lutpair45"; attribute SOFT_HLUTNM of \axi_bid_int[9]_i_1\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of axi_bvalid_int_i_3 : label is "soft_lutpair54"; attribute SOFT_HLUTNM of bid_gets_fifo_load_d1_i_3 : label is "soft_lutpair54"; begin axi_wdata_full_cmb114_out <= \^axi_wdata_full_cmb114_out\; bid_gets_fifo_load <= \^bid_gets_fifo_load\; bid_gets_fifo_load_d1_reg <= \^bid_gets_fifo_load_d1_reg\; bvalid_cnt_inc <= \^bvalid_cnt_inc\; \Addr_Counters[0].FDRE_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bid_fifo_not_empty, D => sum_A_3, Q => \Addr_Counters[0].FDRE_I_n_0\, R => SR(0) ); \Addr_Counters[0].MUXCY_L_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \NLW_Addr_Counters[0].MUXCY_L_I_CARRY4_CO_UNCONNECTED\(3), CO(2) => addr_cy_1, CO(1) => addr_cy_2, CO(0) => addr_cy_3, CYINIT => CI, DI(3) => \NLW_Addr_Counters[0].MUXCY_L_I_CARRY4_DI_UNCONNECTED\(3), DI(2) => \Addr_Counters[2].FDRE_I_n_0\, DI(1) => \Addr_Counters[1].FDRE_I_n_0\, DI(0) => \Addr_Counters[0].FDRE_I_n_0\, O(3) => sum_A_0, O(2) => sum_A_1, O(1) => sum_A_2, O(0) => sum_A_3, S(3) => \Addr_Counters[3].XORCY_I_i_1_n_0\, S(2) => S0_out, S(1) => S1_out, S(0) => S ); \Addr_Counters[0].MUXCY_L_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => \Addr_Counters[1].FDRE_I_n_0\, I1 => \Addr_Counters[3].FDRE_I_n_0\, I2 => \Addr_Counters[2].FDRE_I_n_0\, I3 => bram_addr_ld_en, I4 => \axi_bid_int[11]_i_3_n_0\, I5 => \Addr_Counters[0].FDRE_I_n_0\, O => S ); \Addr_Counters[0].MUXCY_L_I_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8AAAAAAAAAAAAAAA" ) port map ( I0 => bram_addr_ld_en, I1 => \axi_bid_int[11]_i_3_n_0\, I2 => \Addr_Counters[0].FDRE_I_n_0\, I3 => \Addr_Counters[1].FDRE_I_n_0\, I4 => \Addr_Counters[3].FDRE_I_n_0\, I5 => \Addr_Counters[2].FDRE_I_n_0\, O => CI ); \Addr_Counters[1].FDRE_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bid_fifo_not_empty, D => sum_A_2, Q => \Addr_Counters[1].FDRE_I_n_0\, R => SR(0) ); \Addr_Counters[1].MUXCY_L_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => \Addr_Counters[0].FDRE_I_n_0\, I1 => \Addr_Counters[3].FDRE_I_n_0\, I2 => \Addr_Counters[2].FDRE_I_n_0\, I3 => bram_addr_ld_en, I4 => \axi_bid_int[11]_i_3_n_0\, I5 => \Addr_Counters[1].FDRE_I_n_0\, O => S1_out ); \Addr_Counters[2].FDRE_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bid_fifo_not_empty, D => sum_A_1, Q => \Addr_Counters[2].FDRE_I_n_0\, R => SR(0) ); \Addr_Counters[2].MUXCY_L_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => \Addr_Counters[0].FDRE_I_n_0\, I1 => \Addr_Counters[1].FDRE_I_n_0\, I2 => \Addr_Counters[3].FDRE_I_n_0\, I3 => bram_addr_ld_en, I4 => \axi_bid_int[11]_i_3_n_0\, I5 => \Addr_Counters[2].FDRE_I_n_0\, O => S0_out ); \Addr_Counters[3].FDRE_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bid_fifo_not_empty, D => sum_A_0, Q => \Addr_Counters[3].FDRE_I_n_0\, R => SR(0) ); \Addr_Counters[3].XORCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFFFFFE0000" ) port map ( I0 => \Addr_Counters[0].FDRE_I_n_0\, I1 => \Addr_Counters[1].FDRE_I_n_0\, I2 => \Addr_Counters[2].FDRE_I_n_0\, I3 => bram_addr_ld_en, I4 => \axi_bid_int[11]_i_3_n_0\, I5 => \Addr_Counters[3].FDRE_I_n_0\, O => \Addr_Counters[3].XORCY_I_i_1_n_0\ ); Data_Exists_DFF: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => D_0, Q => bid_fifo_not_empty, R => SR(0) ); Data_Exists_DFF_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"FE0A" ) port map ( I0 => bram_addr_ld_en, I1 => Data_Exists_DFF_i_2_n_0, I2 => Data_Exists_DFF_i_3_n_0, I3 => bid_fifo_not_empty, O => D_0 ); Data_Exists_DFF_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"000000000000FFFD" ) port map ( I0 => \^bvalid_cnt_inc\, I1 => bvalid_cnt(2), I2 => bvalid_cnt(0), I3 => bvalid_cnt(1), I4 => \^bid_gets_fifo_load_d1_reg\, I5 => bid_gets_fifo_load_d1, O => Data_Exists_DFF_i_2_n_0 ); Data_Exists_DFF_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \Addr_Counters[0].FDRE_I_n_0\, I1 => \Addr_Counters[1].FDRE_I_n_0\, I2 => \Addr_Counters[3].FDRE_I_n_0\, I3 => \Addr_Counters[2].FDRE_I_n_0\, O => Data_Exists_DFF_i_3_n_0 ); \FIFO_RAM[0].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(11), Q => bid_fifo_rd(11) ); \FIFO_RAM[0].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(11), I1 => axi_awaddr_full, I2 => s_axi_awid(11), O => bid_fifo_ld(11) ); \FIFO_RAM[10].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(1), Q => bid_fifo_rd(1) ); \FIFO_RAM[10].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(1), I1 => axi_awaddr_full, I2 => s_axi_awid(1), O => bid_fifo_ld(1) ); \FIFO_RAM[11].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(0), Q => bid_fifo_rd(0) ); \FIFO_RAM[11].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(0), I1 => axi_awaddr_full, I2 => s_axi_awid(0), O => bid_fifo_ld(0) ); \FIFO_RAM[1].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(10), Q => bid_fifo_rd(10) ); \FIFO_RAM[1].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(10), I1 => axi_awaddr_full, I2 => s_axi_awid(10), O => bid_fifo_ld(10) ); \FIFO_RAM[2].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(9), Q => bid_fifo_rd(9) ); \FIFO_RAM[2].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(9), I1 => axi_awaddr_full, I2 => s_axi_awid(9), O => bid_fifo_ld(9) ); \FIFO_RAM[3].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(8), Q => bid_fifo_rd(8) ); \FIFO_RAM[3].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(8), I1 => axi_awaddr_full, I2 => s_axi_awid(8), O => bid_fifo_ld(8) ); \FIFO_RAM[4].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(7), Q => bid_fifo_rd(7) ); \FIFO_RAM[4].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(7), I1 => axi_awaddr_full, I2 => s_axi_awid(7), O => bid_fifo_ld(7) ); \FIFO_RAM[5].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(6), Q => bid_fifo_rd(6) ); \FIFO_RAM[5].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(6), I1 => axi_awaddr_full, I2 => s_axi_awid(6), O => bid_fifo_ld(6) ); \FIFO_RAM[6].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(5), Q => bid_fifo_rd(5) ); \FIFO_RAM[6].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(5), I1 => axi_awaddr_full, I2 => s_axi_awid(5), O => bid_fifo_ld(5) ); \FIFO_RAM[7].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(4), Q => bid_fifo_rd(4) ); \FIFO_RAM[7].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(4), I1 => axi_awaddr_full, I2 => s_axi_awid(4), O => bid_fifo_ld(4) ); \FIFO_RAM[8].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(3), Q => bid_fifo_rd(3) ); \FIFO_RAM[8].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(3), I1 => axi_awaddr_full, I2 => s_axi_awid(3), O => bid_fifo_ld(3) ); \FIFO_RAM[9].SRL16E_I\: unisim.vcomponents.SRL16E generic map( INIT => X"0000", IS_CLK_INVERTED => '0' ) port map ( A0 => \Addr_Counters[0].FDRE_I_n_0\, A1 => \Addr_Counters[1].FDRE_I_n_0\, A2 => \Addr_Counters[2].FDRE_I_n_0\, A3 => \Addr_Counters[3].FDRE_I_n_0\, CE => CI, CLK => s_axi_aclk, D => bid_fifo_ld(2), Q => bid_fifo_rd(2) ); \FIFO_RAM[9].SRL16E_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(2), I1 => axi_awaddr_full, I2 => s_axi_awid(2), O => bid_fifo_ld(2) ); \axi_bid_int[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(0), I1 => axi_awaddr_full, I2 => s_axi_awid(0), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(0), O => D(0) ); \axi_bid_int[10]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(10), I1 => axi_awaddr_full, I2 => s_axi_awid(10), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(10), O => D(10) ); \axi_bid_int[11]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \^bid_gets_fifo_load\, I1 => \axi_bid_int[11]_i_3_n_0\, O => E(0) ); \axi_bid_int[11]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(11), I1 => axi_awaddr_full, I2 => s_axi_awid(11), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(11), O => D(11) ); \axi_bid_int[11]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"A888AAAAA8888888" ) port map ( I0 => bid_fifo_not_empty, I1 => bid_gets_fifo_load_d1, I2 => s_axi_bready, I3 => axi_bvalid_int_reg, I4 => bid_gets_fifo_load_d1_i_3_n_0, I5 => \^bvalid_cnt_inc\, O => \axi_bid_int[11]_i_3_n_0\ ); \axi_bid_int[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(1), I1 => axi_awaddr_full, I2 => s_axi_awid(1), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(1), O => D(1) ); \axi_bid_int[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(2), I1 => axi_awaddr_full, I2 => s_axi_awid(2), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(2), O => D(2) ); \axi_bid_int[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(3), I1 => axi_awaddr_full, I2 => s_axi_awid(3), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(3), O => D(3) ); \axi_bid_int[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(4), I1 => axi_awaddr_full, I2 => s_axi_awid(4), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(4), O => D(4) ); \axi_bid_int[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(5), I1 => axi_awaddr_full, I2 => s_axi_awid(5), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(5), O => D(5) ); \axi_bid_int[6]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(6), I1 => axi_awaddr_full, I2 => s_axi_awid(6), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(6), O => D(6) ); \axi_bid_int[7]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(7), I1 => axi_awaddr_full, I2 => s_axi_awid(7), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(7), O => D(7) ); \axi_bid_int[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(8), I1 => axi_awaddr_full, I2 => s_axi_awid(8), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(8), O => D(8) ); \axi_bid_int[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(9), I1 => axi_awaddr_full, I2 => s_axi_awid(9), I3 => \^bid_gets_fifo_load\, I4 => bid_fifo_rd(9), O => D(9) ); axi_bvalid_int_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"000055FD00000000" ) port map ( I0 => \out\(2), I1 => \^axi_wdata_full_cmb114_out\, I2 => axi_bvalid_int_i_4_n_0, I3 => axi_wr_burst, I4 => \out\(1), I5 => axi_bvalid_int_i_5_n_0, O => \^bvalid_cnt_inc\ ); axi_bvalid_int_i_3: unisim.vcomponents.LUT5 generic map( INIT => X"FE000000" ) port map ( I0 => bvalid_cnt(1), I1 => bvalid_cnt(0), I2 => bvalid_cnt(2), I3 => axi_bvalid_int_reg, I4 => s_axi_bready, O => \^bid_gets_fifo_load_d1_reg\ ); axi_bvalid_int_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"1F11000000000000" ) port map ( I0 => axi_bvalid_int_i_6_n_0, I1 => \bvalid_cnt_reg[2]\, I2 => wr_addr_sm_cs, I3 => \bvalid_cnt_reg[2]_0\, I4 => \GEN_AWREADY.axi_aresetn_d2_reg\, I5 => axi_awaddr_full, O => axi_bvalid_int_i_4_n_0 ); axi_bvalid_int_i_5: unisim.vcomponents.LUT5 generic map( INIT => X"74446444" ) port map ( I0 => \out\(0), I1 => \out\(2), I2 => s_axi_wvalid, I3 => s_axi_wlast, I4 => \^axi_wdata_full_cmb114_out\, O => axi_bvalid_int_i_5_n_0 ); axi_bvalid_int_i_6: unisim.vcomponents.LUT5 generic map( INIT => X"FEFFFFFF" ) port map ( I0 => curr_awlen_reg_1_or_2, I1 => axi_awlen_pipe_1_or_2, I2 => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg\, I3 => axi_awaddr_full, I4 => last_data_ack_mod, O => axi_bvalid_int_i_6_n_0 ); axi_wready_int_mod_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"7F7F7F007F007F00" ) port map ( I0 => bvalid_cnt(1), I1 => bvalid_cnt(0), I2 => bvalid_cnt(2), I3 => aw_active, I4 => s_axi_awready, I5 => s_axi_awvalid, O => \^axi_wdata_full_cmb114_out\ ); bid_gets_fifo_load_d1_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"00000800AA00AA00" ) port map ( I0 => bram_addr_ld_en, I1 => \^bid_gets_fifo_load_d1_reg\, I2 => bid_fifo_not_empty, I3 => \^bvalid_cnt_inc\, I4 => \bvalid_cnt_reg[1]\, I5 => bid_gets_fifo_load_d1_i_3_n_0, O => \^bid_gets_fifo_load\ ); bid_gets_fifo_load_d1_i_3: unisim.vcomponents.LUT3 generic map( INIT => X"FE" ) port map ( I0 => bvalid_cnt(2), I1 => bvalid_cnt(0), I2 => bvalid_cnt(1), O => bid_gets_fifo_load_d1_i_3_n_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wrap_brst is port ( \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]\ : out STD_LOGIC; bram_addr_ld_en_mod : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); D : out STD_LOGIC_VECTOR ( 9 downto 0 ); \save_init_bram_addr_ld_reg[12]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\ : out STD_LOGIC; bram_addr_ld_en : out STD_LOGIC; \save_init_bram_addr_ld_reg[12]_1\ : out STD_LOGIC; \save_init_bram_addr_ld_reg[12]_2\ : out STD_LOGIC; \save_init_bram_addr_ld_reg[12]_3\ : out STD_LOGIC; curr_fixed_burst_reg_reg : out STD_LOGIC; curr_wrap_burst_reg_reg : out STD_LOGIC; curr_fixed_burst_reg : in STD_LOGIC; bram_addr_inc : in STD_LOGIC; bram_addr_rst_cmb : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_wvalid : in STD_LOGIC; bram_addr_a : in STD_LOGIC_VECTOR ( 9 downto 0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]_0\ : in STD_LOGIC; \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[2].axi_awaddr_pipe_reg\ : in STD_LOGIC; axi_awaddr_full : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 10 downto 0 ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[3].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[4].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[5].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[6].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[7].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[8].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[9].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[10].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[11].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AW_PIPE_DUAL.GEN_AWADDR[12].axi_awaddr_pipe_reg\ : in STD_LOGIC; \GEN_AWREADY.axi_aresetn_d2_reg\ : in STD_LOGIC; wr_addr_sm_cs : in STD_LOGIC; last_data_ack_mod : in STD_LOGIC; bvalid_cnt : in STD_LOGIC_VECTOR ( 2 downto 0 ); aw_active : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg\ : in STD_LOGIC; axi_awlen_pipe_1_or_2 : in STD_LOGIC; curr_awlen_reg_1_or_2 : in STD_LOGIC; curr_wrap_burst_reg : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_awsize_pipe : in STD_LOGIC_VECTOR ( 0 to 0 ); curr_fixed_burst : in STD_LOGIC; curr_wrap_burst : in STD_LOGIC; SR : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_aclk : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wrap_brst; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wrap_brst is signal \GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_3_n_0\ : STD_LOGIC; signal \GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_4_n_0\ : STD_LOGIC; signal \^gen_dual_addr_cnt.bram_addr_int_reg[11]\ : STD_LOGIC; signal \^gen_dual_addr_cnt.bram_addr_int_reg[8]\ : STD_LOGIC; signal bram_addr_ld : STD_LOGIC_VECTOR ( 9 downto 1 ); signal \^bram_addr_ld_en\ : STD_LOGIC; signal \^bram_addr_ld_en_mod\ : STD_LOGIC; signal save_init_bram_addr_ld : STD_LOGIC_VECTOR ( 12 downto 3 ); signal \save_init_bram_addr_ld[12]_i_6_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[3]_i_2__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[4]_i_2__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[5]_i_2__0_n_0\ : STD_LOGIC; signal \^save_init_bram_addr_ld_reg[12]_0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^save_init_bram_addr_ld_reg[12]_1\ : STD_LOGIC; signal \^save_init_bram_addr_ld_reg[12]_2\ : STD_LOGIC; signal \^save_init_bram_addr_ld_reg[12]_3\ : STD_LOGIC; signal wrap_burst_total : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \wrap_burst_total[0]_i_1__0_n_0\ : STD_LOGIC; signal \wrap_burst_total[0]_i_2__0_n_0\ : STD_LOGIC; signal \wrap_burst_total[0]_i_3_n_0\ : STD_LOGIC; signal \wrap_burst_total[1]_i_1__0_n_0\ : STD_LOGIC; signal \wrap_burst_total[1]_i_2__0_n_0\ : STD_LOGIC; signal \wrap_burst_total[1]_i_3__0_n_0\ : STD_LOGIC; signal \wrap_burst_total[2]_i_1__0_n_0\ : STD_LOGIC; signal \wrap_burst_total[2]_i_2__0_n_0\ : STD_LOGIC; signal \wrap_burst_total[2]_i_3_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \curr_fixed_burst_reg_i_1__0\ : label is "soft_lutpair57"; attribute SOFT_HLUTNM of \save_init_bram_addr_ld[12]_i_5\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of \save_init_bram_addr_ld[12]_i_6\ : label is "soft_lutpair57"; attribute SOFT_HLUTNM of \save_init_bram_addr_ld[3]_i_2__0\ : label is "soft_lutpair56"; attribute SOFT_HLUTNM of \save_init_bram_addr_ld[4]_i_2__0\ : label is "soft_lutpair56"; attribute SOFT_HLUTNM of \wrap_burst_total[0]_i_3\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of \wrap_burst_total[1]_i_2__0\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of \wrap_burst_total[1]_i_3__0\ : label is "soft_lutpair55"; attribute SOFT_HLUTNM of \wrap_burst_total[2]_i_2__0\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of \wrap_burst_total[2]_i_3\ : label is "soft_lutpair55"; begin \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]\ <= \^gen_dual_addr_cnt.bram_addr_int_reg[11]\; \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\ <= \^gen_dual_addr_cnt.bram_addr_int_reg[8]\; bram_addr_ld_en <= \^bram_addr_ld_en\; bram_addr_ld_en_mod <= \^bram_addr_ld_en_mod\; \save_init_bram_addr_ld_reg[12]_0\(0) <= \^save_init_bram_addr_ld_reg[12]_0\(0); \save_init_bram_addr_ld_reg[12]_1\ <= \^save_init_bram_addr_ld_reg[12]_1\; \save_init_bram_addr_ld_reg[12]_2\ <= \^save_init_bram_addr_ld_reg[12]_2\; \save_init_bram_addr_ld_reg[12]_3\ <= \^save_init_bram_addr_ld_reg[12]_3\; \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"BB8BBBBB88B88888" ) port map ( I0 => bram_addr_ld(8), I1 => \^bram_addr_ld_en_mod\, I2 => bram_addr_a(6), I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\, I4 => bram_addr_a(7), I5 => bram_addr_a(8), O => D(8) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"4500FFFF" ) port map ( I0 => \^bram_addr_ld_en_mod\, I1 => curr_fixed_burst_reg, I2 => bram_addr_inc, I3 => bram_addr_rst_cmb, I4 => s_axi_aresetn, O => \^gen_dual_addr_cnt.bram_addr_int_reg[11]\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AAABAAAAAAAAAAAA" ) port map ( I0 => \^bram_addr_ld_en_mod\, I1 => curr_fixed_burst_reg, I2 => \out\(1), I3 => \out\(2), I4 => \out\(0), I5 => s_axi_wvalid, O => E(0) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"B88BB8B8" ) port map ( I0 => bram_addr_ld(9), I1 => \^bram_addr_ld_en_mod\, I2 => bram_addr_a(9), I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]_0\, I4 => bram_addr_a(8), O => D(9) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AAABAAAAAAAAAAAA" ) port map ( I0 => \^bram_addr_ld_en\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_3_n_0\, I2 => \out\(1), I3 => \out\(2), I4 => \out\(0), I5 => s_axi_wvalid, O => \^bram_addr_ld_en_mod\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"55555555FFFFFFDF" ) port map ( I0 => curr_wrap_burst_reg, I1 => wrap_burst_total(1), I2 => wrap_burst_total(2), I3 => wrap_burst_total(0), I4 => \^gen_dual_addr_cnt.bram_addr_int_reg[8]\, I5 => \GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_4_n_0\, O => \GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_3_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"000000008F00C000" ) port map ( I0 => bram_addr_a(2), I1 => bram_addr_a(1), I2 => wrap_burst_total(1), I3 => bram_addr_a(0), I4 => wrap_burst_total(0), I5 => wrap_burst_total(2), O => \GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_4_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"B800B800B800FFFF" ) port map ( I0 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[2].axi_awaddr_pipe_reg\, I1 => axi_awaddr_full, I2 => s_axi_awaddr(0), I3 => \^bram_addr_ld_en\, I4 => \^bram_addr_ld_en_mod\, I5 => bram_addr_a(0), O => D(0) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"8BB8" ) port map ( I0 => bram_addr_ld(1), I1 => \^bram_addr_ld_en_mod\, I2 => bram_addr_a(1), I3 => bram_addr_a(0), O => D(1) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"8BB8B8B8" ) port map ( I0 => bram_addr_ld(2), I1 => \^bram_addr_ld_en_mod\, I2 => bram_addr_a(2), I3 => bram_addr_a(0), I4 => bram_addr_a(1), O => D(2) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"8BB8B8B8B8B8B8B8" ) port map ( I0 => bram_addr_ld(3), I1 => \^bram_addr_ld_en_mod\, I2 => bram_addr_a(3), I3 => bram_addr_a(2), I4 => bram_addr_a(0), I5 => bram_addr_a(1), O => D(3) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[6]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"B88B" ) port map ( I0 => bram_addr_ld(4), I1 => \^bram_addr_ld_en_mod\, I2 => bram_addr_a(4), I3 => \^gen_dual_addr_cnt.bram_addr_int_reg[8]\, O => D(4) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[7]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B88BB8B8" ) port map ( I0 => bram_addr_ld(5), I1 => \^bram_addr_ld_en_mod\, I2 => bram_addr_a(5), I3 => \^gen_dual_addr_cnt.bram_addr_int_reg[8]\, I4 => bram_addr_a(4), O => D(5) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[8]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"B8B88BB8B8B8B8B8" ) port map ( I0 => bram_addr_ld(6), I1 => \^bram_addr_ld_en_mod\, I2 => bram_addr_a(6), I3 => bram_addr_a(4), I4 => \^gen_dual_addr_cnt.bram_addr_int_reg[8]\, I5 => bram_addr_a(5), O => D(6) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[8]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"7FFF" ) port map ( I0 => bram_addr_a(1), I1 => bram_addr_a(0), I2 => bram_addr_a(2), I3 => bram_addr_a(3), O => \^gen_dual_addr_cnt.bram_addr_int_reg[8]\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B88BB8B8" ) port map ( I0 => bram_addr_ld(7), I1 => \^bram_addr_ld_en_mod\, I2 => bram_addr_a(7), I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\, I4 => bram_addr_a(6), O => D(7) ); \curr_fixed_burst_reg_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00E2" ) port map ( I0 => curr_fixed_burst_reg, I1 => \^bram_addr_ld_en\, I2 => curr_fixed_burst, I3 => \^gen_dual_addr_cnt.bram_addr_int_reg[11]\, O => curr_fixed_burst_reg_reg ); \curr_wrap_burst_reg_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00E2" ) port map ( I0 => curr_wrap_burst_reg, I1 => \^bram_addr_ld_en\, I2 => curr_wrap_burst, I3 => \^gen_dual_addr_cnt.bram_addr_int_reg[11]\, O => curr_wrap_burst_reg_reg ); \save_init_bram_addr_ld[10]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => save_init_bram_addr_ld(10), I1 => \save_init_bram_addr_ld[12]_i_6_n_0\, I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[10].axi_awaddr_pipe_reg\, I3 => axi_awaddr_full, I4 => s_axi_awaddr(8), O => bram_addr_ld(8) ); \save_init_bram_addr_ld[11]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => save_init_bram_addr_ld(11), I1 => \save_init_bram_addr_ld[12]_i_6_n_0\, I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[11].axi_awaddr_pipe_reg\, I3 => axi_awaddr_full, I4 => s_axi_awaddr(9), O => bram_addr_ld(9) ); \save_init_bram_addr_ld[12]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0808080808AA0808" ) port map ( I0 => \GEN_AWREADY.axi_aresetn_d2_reg\, I1 => \^save_init_bram_addr_ld_reg[12]_1\, I2 => wr_addr_sm_cs, I3 => \^save_init_bram_addr_ld_reg[12]_2\, I4 => last_data_ack_mod, I5 => \^save_init_bram_addr_ld_reg[12]_3\, O => \^bram_addr_ld_en\ ); \save_init_bram_addr_ld[12]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => save_init_bram_addr_ld(12), I1 => \save_init_bram_addr_ld[12]_i_6_n_0\, I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[12].axi_awaddr_pipe_reg\, I3 => axi_awaddr_full, I4 => s_axi_awaddr(10), O => \^save_init_bram_addr_ld_reg[12]_0\(0) ); \save_init_bram_addr_ld[12]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"007F007F007F0000" ) port map ( I0 => bvalid_cnt(2), I1 => bvalid_cnt(0), I2 => bvalid_cnt(1), I3 => aw_active, I4 => axi_awaddr_full, I5 => s_axi_awvalid, O => \^save_init_bram_addr_ld_reg[12]_1\ ); \save_init_bram_addr_ld[12]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => bvalid_cnt(2), I1 => bvalid_cnt(0), I2 => bvalid_cnt(1), O => \^save_init_bram_addr_ld_reg[12]_2\ ); \save_init_bram_addr_ld[12]_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFD" ) port map ( I0 => axi_awaddr_full, I1 => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg\, I2 => axi_awlen_pipe_1_or_2, I3 => curr_awlen_reg_1_or_2, O => \^save_init_bram_addr_ld_reg[12]_3\ ); \save_init_bram_addr_ld[12]_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \^bram_addr_ld_en\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_3_n_0\, O => \save_init_bram_addr_ld[12]_i_6_n_0\ ); \save_init_bram_addr_ld[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld[3]_i_2__0_n_0\, I1 => \save_init_bram_addr_ld[12]_i_6_n_0\, I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[3].axi_awaddr_pipe_reg\, I3 => axi_awaddr_full, I4 => s_axi_awaddr(1), O => bram_addr_ld(1) ); \save_init_bram_addr_ld[3]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"C80C" ) port map ( I0 => wrap_burst_total(0), I1 => save_init_bram_addr_ld(3), I2 => wrap_burst_total(1), I3 => wrap_burst_total(2), O => \save_init_bram_addr_ld[3]_i_2__0_n_0\ ); \save_init_bram_addr_ld[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld[4]_i_2__0_n_0\, I1 => \save_init_bram_addr_ld[12]_i_6_n_0\, I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[4].axi_awaddr_pipe_reg\, I3 => axi_awaddr_full, I4 => s_axi_awaddr(2), O => bram_addr_ld(2) ); \save_init_bram_addr_ld[4]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"A28A" ) port map ( I0 => save_init_bram_addr_ld(4), I1 => wrap_burst_total(0), I2 => wrap_burst_total(2), I3 => wrap_burst_total(1), O => \save_init_bram_addr_ld[4]_i_2__0_n_0\ ); \save_init_bram_addr_ld[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"8F808F8F8F808080" ) port map ( I0 => save_init_bram_addr_ld(5), I1 => \save_init_bram_addr_ld[5]_i_2__0_n_0\, I2 => \save_init_bram_addr_ld[12]_i_6_n_0\, I3 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[5].axi_awaddr_pipe_reg\, I4 => axi_awaddr_full, I5 => s_axi_awaddr(3), O => bram_addr_ld(3) ); \save_init_bram_addr_ld[5]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"FB" ) port map ( I0 => wrap_burst_total(0), I1 => wrap_burst_total(2), I2 => wrap_burst_total(1), O => \save_init_bram_addr_ld[5]_i_2__0_n_0\ ); \save_init_bram_addr_ld[6]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => save_init_bram_addr_ld(6), I1 => \save_init_bram_addr_ld[12]_i_6_n_0\, I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[6].axi_awaddr_pipe_reg\, I3 => axi_awaddr_full, I4 => s_axi_awaddr(4), O => bram_addr_ld(4) ); \save_init_bram_addr_ld[7]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => save_init_bram_addr_ld(7), I1 => \save_init_bram_addr_ld[12]_i_6_n_0\, I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[7].axi_awaddr_pipe_reg\, I3 => axi_awaddr_full, I4 => s_axi_awaddr(5), O => bram_addr_ld(5) ); \save_init_bram_addr_ld[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => save_init_bram_addr_ld(8), I1 => \save_init_bram_addr_ld[12]_i_6_n_0\, I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[8].axi_awaddr_pipe_reg\, I3 => axi_awaddr_full, I4 => s_axi_awaddr(6), O => bram_addr_ld(6) ); \save_init_bram_addr_ld[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => save_init_bram_addr_ld(9), I1 => \save_init_bram_addr_ld[12]_i_6_n_0\, I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[9].axi_awaddr_pipe_reg\, I3 => axi_awaddr_full, I4 => s_axi_awaddr(7), O => bram_addr_ld(7) ); \save_init_bram_addr_ld_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => bram_addr_ld(8), Q => save_init_bram_addr_ld(10), R => SR(0) ); \save_init_bram_addr_ld_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => bram_addr_ld(9), Q => save_init_bram_addr_ld(11), R => SR(0) ); \save_init_bram_addr_ld_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \^save_init_bram_addr_ld_reg[12]_0\(0), Q => save_init_bram_addr_ld(12), R => SR(0) ); \save_init_bram_addr_ld_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => bram_addr_ld(1), Q => save_init_bram_addr_ld(3), R => SR(0) ); \save_init_bram_addr_ld_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => bram_addr_ld(2), Q => save_init_bram_addr_ld(4), R => SR(0) ); \save_init_bram_addr_ld_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => bram_addr_ld(3), Q => save_init_bram_addr_ld(5), R => SR(0) ); \save_init_bram_addr_ld_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => bram_addr_ld(4), Q => save_init_bram_addr_ld(6), R => SR(0) ); \save_init_bram_addr_ld_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => bram_addr_ld(5), Q => save_init_bram_addr_ld(7), R => SR(0) ); \save_init_bram_addr_ld_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => bram_addr_ld(6), Q => save_init_bram_addr_ld(8), R => SR(0) ); \save_init_bram_addr_ld_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => bram_addr_ld(7), Q => save_init_bram_addr_ld(9), R => SR(0) ); \wrap_burst_total[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000A22200000000" ) port map ( I0 => \wrap_burst_total[0]_i_2__0_n_0\, I1 => \wrap_burst_total[0]_i_3_n_0\, I2 => Q(1), I3 => Q(2), I4 => \wrap_burst_total[2]_i_2__0_n_0\, I5 => \wrap_burst_total[1]_i_2__0_n_0\, O => \wrap_burst_total[0]_i_1__0_n_0\ ); \wrap_burst_total[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"CCA533A5FFA5FFA5" ) port map ( I0 => s_axi_awlen(2), I1 => Q(2), I2 => s_axi_awlen(1), I3 => axi_awaddr_full, I4 => Q(1), I5 => axi_awsize_pipe(0), O => \wrap_burst_total[0]_i_2__0_n_0\ ); \wrap_burst_total[0]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => axi_awaddr_full, I1 => axi_awsize_pipe(0), O => \wrap_burst_total[0]_i_3_n_0\ ); \wrap_burst_total[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"08000800F3000000" ) port map ( I0 => \wrap_burst_total[2]_i_3_n_0\, I1 => axi_awaddr_full, I2 => axi_awsize_pipe(0), I3 => \wrap_burst_total[1]_i_2__0_n_0\, I4 => \wrap_burst_total[1]_i_3__0_n_0\, I5 => \wrap_burst_total[2]_i_2__0_n_0\, O => \wrap_burst_total[1]_i_1__0_n_0\ ); \wrap_burst_total[1]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(0), I1 => axi_awaddr_full, I2 => s_axi_awlen(0), O => \wrap_burst_total[1]_i_2__0_n_0\ ); \wrap_burst_total[1]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(1), I1 => axi_awaddr_full, I2 => s_axi_awlen(1), O => \wrap_burst_total[1]_i_3__0_n_0\ ); \wrap_burst_total[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"A000000088008800" ) port map ( I0 => \wrap_burst_total[2]_i_2__0_n_0\, I1 => s_axi_awlen(0), I2 => Q(0), I3 => \wrap_burst_total[2]_i_3_n_0\, I4 => axi_awsize_pipe(0), I5 => axi_awaddr_full, O => \wrap_burst_total[2]_i_1__0_n_0\ ); \wrap_burst_total[2]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => Q(3), I1 => axi_awaddr_full, I2 => s_axi_awlen(3), O => \wrap_burst_total[2]_i_2__0_n_0\ ); \wrap_burst_total[2]_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"CCA000A0" ) port map ( I0 => s_axi_awlen(2), I1 => Q(2), I2 => s_axi_awlen(1), I3 => axi_awaddr_full, I4 => Q(1), O => \wrap_burst_total[2]_i_3_n_0\ ); \wrap_burst_total_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \wrap_burst_total[0]_i_1__0_n_0\, Q => wrap_burst_total(0), R => SR(0) ); \wrap_burst_total_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \wrap_burst_total[1]_i_1__0_n_0\, Q => wrap_burst_total(1), R => SR(0) ); \wrap_burst_total_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \wrap_burst_total[2]_i_1__0_n_0\, Q => wrap_burst_total(2), R => SR(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wrap_brst_0 is port ( \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]\ : out STD_LOGIC; SR : out STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_burst_total_reg[0]_0\ : out STD_LOGIC; \wrap_burst_total_reg[0]_1\ : out STD_LOGIC; \wrap_burst_total_reg[0]_2\ : out STD_LOGIC; \wrap_burst_total_reg[0]_3\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_0\ : out STD_LOGIC; \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\ : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 9 downto 0 ); bram_addr_ld_en : out STD_LOGIC; \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\ : out STD_LOGIC; \save_init_bram_addr_ld_reg[12]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \rd_data_sm_cs_reg[1]\ : out STD_LOGIC; \save_init_bram_addr_ld_reg[12]_1\ : out STD_LOGIC; axi_b2b_brst_reg : out STD_LOGIC; \rd_data_sm_cs_reg[3]\ : out STD_LOGIC; rd_adv_buf67_out : out STD_LOGIC; end_brst_rd : in STD_LOGIC; brst_zero : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_rvalid_int_reg : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_arsize_pipe : in STD_LOGIC_VECTOR ( 0 to 0 ); axi_araddr_full : in STD_LOGIC; s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); curr_fixed_burst_reg : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 10 downto 0 ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[2].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\ : in STD_LOGIC_VECTOR ( 9 downto 0 ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[3].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_AR_PIPE_DUAL.GEN_ARADDR[4].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_AR_PIPE_DUAL.GEN_ARADDR[5].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_AR_PIPE_DUAL.GEN_ARADDR[6].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_AR_PIPE_DUAL.GEN_ARADDR[7].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_AR_PIPE_DUAL.GEN_ARADDR[8].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]_0\ : in STD_LOGIC; \GEN_AR_PIPE_DUAL.GEN_ARADDR[9].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_AR_PIPE_DUAL.GEN_ARADDR[10].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\ : in STD_LOGIC; \GEN_AR_PIPE_DUAL.GEN_ARADDR[11].axi_araddr_pipe_reg\ : in STD_LOGIC; \GEN_AR_PIPE_DUAL.GEN_ARADDR[12].axi_araddr_pipe_reg\ : in STD_LOGIC; curr_wrap_burst_reg : in STD_LOGIC; axi_rd_burst_two_reg : in STD_LOGIC; axi_rd_burst : in STD_LOGIC; axi_aresetn_d2 : in STD_LOGIC; rd_addr_sm_cs : in STD_LOGIC; last_bram_addr : in STD_LOGIC; ar_active : in STD_LOGIC; pend_rd_op : in STD_LOGIC; no_ar_ack : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; axi_b2b_brst : in STD_LOGIC; axi_arsize_pipe_max : in STD_LOGIC; disable_b2b_brst : in STD_LOGIC; \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg\ : in STD_LOGIC; axi_arlen_pipe_1_or_2 : in STD_LOGIC; s_axi_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wrap_brst_0 : entity is "wrap_brst"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wrap_brst_0; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wrap_brst_0 is signal \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_5__0_n_0\ : STD_LOGIC; signal \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_6_n_0\ : STD_LOGIC; signal \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_2_n_0\ : STD_LOGIC; signal \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_3_n_0\ : STD_LOGIC; signal \^gen_dual_addr_cnt.bram_addr_int_reg[11]\ : STD_LOGIC; signal \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\ : STD_LOGIC; signal \^gen_dual_addr_cnt.bram_addr_int_reg[11]_1\ : STD_LOGIC; signal \^gen_dual_addr_cnt.bram_addr_int_reg[6]\ : STD_LOGIC; signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^axi_b2b_brst_reg\ : STD_LOGIC; signal \^bram_addr_ld_en\ : STD_LOGIC; signal \^rd_adv_buf67_out\ : STD_LOGIC; signal \^rd_data_sm_cs_reg[1]\ : STD_LOGIC; signal \^rd_data_sm_cs_reg[3]\ : STD_LOGIC; signal \save_init_bram_addr_ld[10]_i_1__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[11]_i_1__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[12]_i_3__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[3]_i_1__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[3]_i_2_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[4]_i_1__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[4]_i_2_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[5]_i_1__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[5]_i_2_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[6]_i_1__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[7]_i_1__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[8]_i_1__0_n_0\ : STD_LOGIC; signal \save_init_bram_addr_ld[9]_i_1__0_n_0\ : STD_LOGIC; signal \^save_init_bram_addr_ld_reg[12]_0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^save_init_bram_addr_ld_reg[12]_1\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[10]\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[11]\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[12]\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[3]\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[4]\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[5]\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[6]\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[7]\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[8]\ : STD_LOGIC; signal \save_init_bram_addr_ld_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_burst_total[0]_i_1_n_0\ : STD_LOGIC; signal \wrap_burst_total[0]_i_3__0_n_0\ : STD_LOGIC; signal \wrap_burst_total[1]_i_1_n_0\ : STD_LOGIC; signal \wrap_burst_total[2]_i_1_n_0\ : STD_LOGIC; signal \wrap_burst_total[2]_i_2_n_0\ : STD_LOGIC; signal \^wrap_burst_total_reg[0]_0\ : STD_LOGIC; signal \^wrap_burst_total_reg[0]_1\ : STD_LOGIC; signal \^wrap_burst_total_reg[0]_2\ : STD_LOGIC; signal \^wrap_burst_total_reg[0]_3\ : STD_LOGIC; signal \wrap_burst_total_reg_n_0_[0]\ : STD_LOGIC; signal \wrap_burst_total_reg_n_0_[1]\ : STD_LOGIC; signal \wrap_burst_total_reg_n_0_[2]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \save_init_bram_addr_ld[4]_i_2\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \save_init_bram_addr_ld[5]_i_2\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \wrap_burst_total[0]_i_2\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \wrap_burst_total[0]_i_3__0\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \wrap_burst_total[1]_i_2\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \wrap_burst_total[1]_i_3\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \wrap_burst_total[1]_i_4\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \wrap_burst_total[2]_i_1\ : label is "soft_lutpair0"; begin \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]\ <= \^gen_dual_addr_cnt.bram_addr_int_reg[11]\; \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_0\ <= \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\; \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\ <= \^gen_dual_addr_cnt.bram_addr_int_reg[11]_1\; \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\ <= \^gen_dual_addr_cnt.bram_addr_int_reg[6]\; SR(0) <= \^sr\(0); axi_b2b_brst_reg <= \^axi_b2b_brst_reg\; bram_addr_ld_en <= \^bram_addr_ld_en\; rd_adv_buf67_out <= \^rd_adv_buf67_out\; \rd_data_sm_cs_reg[1]\ <= \^rd_data_sm_cs_reg[1]\; \rd_data_sm_cs_reg[3]\ <= \^rd_data_sm_cs_reg[3]\; \save_init_bram_addr_ld_reg[12]_0\(0) <= \^save_init_bram_addr_ld_reg[12]_0\(0); \save_init_bram_addr_ld_reg[12]_1\ <= \^save_init_bram_addr_ld_reg[12]_1\; \wrap_burst_total_reg[0]_0\ <= \^wrap_burst_total_reg[0]_0\; \wrap_burst_total_reg[0]_1\ <= \^wrap_burst_total_reg[0]_1\; \wrap_burst_total_reg[0]_2\ <= \^wrap_burst_total_reg[0]_2\; \wrap_burst_total_reg[0]_3\ <= \^wrap_burst_total_reg[0]_3\; \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"DF20FFFFDF200000" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(6), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]_0\, I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(7), I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(8), I4 => \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\, I5 => \save_init_bram_addr_ld[10]_i_1__0_n_0\, O => D(8) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"5D" ) port map ( I0 => \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\, I1 => \^gen_dual_addr_cnt.bram_addr_int_reg[11]_1\, I2 => curr_fixed_burst_reg, O => E(0) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"9AFF9A00" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(9), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\, I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(8), I3 => \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\, I4 => \save_init_bram_addr_ld[11]_i_1__0_n_0\, O => D(9) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"E0E0F0F0E0E0FFF0" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_5__0_n_0\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_6_n_0\, I2 => \^rd_data_sm_cs_reg[1]\, I3 => \^gen_dual_addr_cnt.bram_addr_int_reg[11]\, I4 => Q(1), I5 => Q(3), O => \^gen_dual_addr_cnt.bram_addr_int_reg[11]_1\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_5__0\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => axi_rd_burst_two_reg, I1 => Q(0), O => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_5__0_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000080800080" ) port map ( I0 => Q(0), I1 => axi_rvalid_int_reg, I2 => s_axi_rready, I3 => end_brst_rd, I4 => axi_b2b_brst, I5 => brst_zero, O => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_6_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_2__0\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \^bram_addr_ld_en\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_2_n_0\, O => \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000A808FD5D" ) port map ( I0 => \^bram_addr_ld_en\, I1 => s_axi_araddr(0), I2 => axi_araddr_full, I3 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[2].axi_araddr_pipe_reg\, I4 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(0), I5 => \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_2_n_0\, O => D(0) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"88A80000" ) port map ( I0 => \^gen_dual_addr_cnt.bram_addr_int_reg[11]_1\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_3_n_0\, I2 => \save_init_bram_addr_ld[5]_i_2_n_0\, I3 => \^gen_dual_addr_cnt.bram_addr_int_reg[6]\, I4 => curr_wrap_burst_reg, O => \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_2_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"000000008F00A000" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(1), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(2), I2 => \wrap_burst_total_reg_n_0_[1]\, I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(0), I4 => \wrap_burst_total_reg_n_0_[0]\, I5 => \wrap_burst_total_reg_n_0_[2]\, O => \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_3_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"6F60" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(1), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(0), I2 => \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\, I3 => \save_init_bram_addr_ld[3]_i_1__0_n_0\, O => D(1) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[4]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"6AFF6A00" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(2), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(0), I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(1), I3 => \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\, I4 => \save_init_bram_addr_ld[4]_i_1__0_n_0\, O => D(2) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[5]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAFFFF6AAA0000" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(3), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(2), I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(0), I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(1), I4 => \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\, I5 => \save_init_bram_addr_ld[5]_i_1__0_n_0\, O => D(3) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[6]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9F90" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(4), I1 => \^gen_dual_addr_cnt.bram_addr_int_reg[6]\, I2 => \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\, I3 => \save_init_bram_addr_ld[6]_i_1__0_n_0\, O => D(4) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[7]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"9AFF9A00" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(5), I1 => \^gen_dual_addr_cnt.bram_addr_int_reg[6]\, I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(4), I3 => \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\, I4 => \save_init_bram_addr_ld[7]_i_1__0_n_0\, O => D(5) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[8]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"A6AAFFFFA6AA0000" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(6), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(4), I2 => \^gen_dual_addr_cnt.bram_addr_int_reg[6]\, I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(5), I4 => \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\, I5 => \save_init_bram_addr_ld[8]_i_1__0_n_0\, O => D(6) ); \GEN_DUAL_ADDR_CNT.bram_addr_int[8]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"7FFF" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(1), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(0), I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(2), I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(3), O => \^gen_dual_addr_cnt.bram_addr_int_reg[6]\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[9]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"9AFF9A00" ) port map ( I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(7), I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]_0\, I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(6), I3 => \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\, I4 => \save_init_bram_addr_ld[9]_i_1__0_n_0\, O => D(7) ); \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => axi_rvalid_int_reg, I1 => s_axi_rready, O => \^rd_adv_buf67_out\ ); axi_b2b_brst_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"FFFDFFFF" ) port map ( I0 => axi_arsize_pipe_max, I1 => disable_b2b_brst, I2 => \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg\, I3 => axi_arlen_pipe_1_or_2, I4 => axi_araddr_full, O => \^axi_b2b_brst_reg\ ); bram_en_int_i_5: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => Q(3), I1 => Q(2), O => \^rd_data_sm_cs_reg[3]\ ); bram_en_int_i_8: unisim.vcomponents.LUT6 generic map( INIT => X"0010000000000000" ) port map ( I0 => end_brst_rd, I1 => brst_zero, I2 => Q(2), I3 => Q(0), I4 => axi_rvalid_int_reg, I5 => s_axi_rready, O => \^gen_dual_addr_cnt.bram_addr_int_reg[11]\ ); bram_rst_b_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => s_axi_aresetn, O => \^sr\(0) ); \rd_data_sm_cs[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"000F000E000F0000" ) port map ( I0 => axi_rd_burst_two_reg, I1 => axi_rd_burst, I2 => Q(3), I3 => Q(2), I4 => Q(1), I5 => Q(0), O => \^rd_data_sm_cs_reg[1]\ ); \save_init_bram_addr_ld[10]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[10]\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_2_n_0\, I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[10].axi_araddr_pipe_reg\, I3 => axi_araddr_full, I4 => s_axi_araddr(8), O => \save_init_bram_addr_ld[10]_i_1__0_n_0\ ); \save_init_bram_addr_ld[11]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[11]\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_2_n_0\, I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[11].axi_araddr_pipe_reg\, I3 => axi_araddr_full, I4 => s_axi_araddr(9), O => \save_init_bram_addr_ld[11]_i_1__0_n_0\ ); \save_init_bram_addr_ld[12]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"02AA0202" ) port map ( I0 => axi_aresetn_d2, I1 => rd_addr_sm_cs, I2 => \save_init_bram_addr_ld[12]_i_3__0_n_0\, I3 => \^save_init_bram_addr_ld_reg[12]_1\, I4 => last_bram_addr, O => \^bram_addr_ld_en\ ); \save_init_bram_addr_ld[12]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[12]\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_2_n_0\, I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[12].axi_araddr_pipe_reg\, I3 => axi_araddr_full, I4 => s_axi_araddr(10), O => \^save_init_bram_addr_ld_reg[12]_0\(0) ); \save_init_bram_addr_ld[12]_i_3__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FEFEFEFF" ) port map ( I0 => ar_active, I1 => pend_rd_op, I2 => no_ar_ack, I3 => s_axi_arvalid, I4 => axi_araddr_full, O => \save_init_bram_addr_ld[12]_i_3__0_n_0\ ); \save_init_bram_addr_ld[12]_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AABAAABAFFFFAABA" ) port map ( I0 => \^axi_b2b_brst_reg\, I1 => Q(0), I2 => Q(1), I3 => \^rd_data_sm_cs_reg[3]\, I4 => brst_zero, I5 => \^rd_adv_buf67_out\, O => \^save_init_bram_addr_ld_reg[12]_1\ ); \save_init_bram_addr_ld[3]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld[3]_i_2_n_0\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_2_n_0\, I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[3].axi_araddr_pipe_reg\, I3 => axi_araddr_full, I4 => s_axi_araddr(1), O => \save_init_bram_addr_ld[3]_i_1__0_n_0\ ); \save_init_bram_addr_ld[3]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"A282" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[3]\, I1 => \wrap_burst_total_reg_n_0_[1]\, I2 => \wrap_burst_total_reg_n_0_[2]\, I3 => \wrap_burst_total_reg_n_0_[0]\, O => \save_init_bram_addr_ld[3]_i_2_n_0\ ); \save_init_bram_addr_ld[4]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld[4]_i_2_n_0\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_2_n_0\, I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[4].axi_araddr_pipe_reg\, I3 => axi_araddr_full, I4 => s_axi_araddr(2), O => \save_init_bram_addr_ld[4]_i_1__0_n_0\ ); \save_init_bram_addr_ld[4]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"A28A" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[4]\, I1 => \wrap_burst_total_reg_n_0_[0]\, I2 => \wrap_burst_total_reg_n_0_[2]\, I3 => \wrap_burst_total_reg_n_0_[1]\, O => \save_init_bram_addr_ld[4]_i_2_n_0\ ); \save_init_bram_addr_ld[5]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"2F202F2F2F202020" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[5]\, I1 => \save_init_bram_addr_ld[5]_i_2_n_0\, I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_2_n_0\, I3 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[5].axi_araddr_pipe_reg\, I4 => axi_araddr_full, I5 => s_axi_araddr(3), O => \save_init_bram_addr_ld[5]_i_1__0_n_0\ ); \save_init_bram_addr_ld[5]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \wrap_burst_total_reg_n_0_[0]\, I1 => \wrap_burst_total_reg_n_0_[2]\, I2 => \wrap_burst_total_reg_n_0_[1]\, O => \save_init_bram_addr_ld[5]_i_2_n_0\ ); \save_init_bram_addr_ld[6]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[6]\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_2_n_0\, I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[6].axi_araddr_pipe_reg\, I3 => axi_araddr_full, I4 => s_axi_araddr(4), O => \save_init_bram_addr_ld[6]_i_1__0_n_0\ ); \save_init_bram_addr_ld[7]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[7]\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_2_n_0\, I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[7].axi_araddr_pipe_reg\, I3 => axi_araddr_full, I4 => s_axi_araddr(5), O => \save_init_bram_addr_ld[7]_i_1__0_n_0\ ); \save_init_bram_addr_ld[8]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[8]\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_2_n_0\, I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[8].axi_araddr_pipe_reg\, I3 => axi_araddr_full, I4 => s_axi_araddr(6), O => \save_init_bram_addr_ld[8]_i_1__0_n_0\ ); \save_init_bram_addr_ld[9]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \save_init_bram_addr_ld_reg_n_0_[9]\, I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_2_n_0\, I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[9].axi_araddr_pipe_reg\, I3 => axi_araddr_full, I4 => s_axi_araddr(7), O => \save_init_bram_addr_ld[9]_i_1__0_n_0\ ); \save_init_bram_addr_ld_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \save_init_bram_addr_ld[10]_i_1__0_n_0\, Q => \save_init_bram_addr_ld_reg_n_0_[10]\, R => \^sr\(0) ); \save_init_bram_addr_ld_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \save_init_bram_addr_ld[11]_i_1__0_n_0\, Q => \save_init_bram_addr_ld_reg_n_0_[11]\, R => \^sr\(0) ); \save_init_bram_addr_ld_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \^save_init_bram_addr_ld_reg[12]_0\(0), Q => \save_init_bram_addr_ld_reg_n_0_[12]\, R => \^sr\(0) ); \save_init_bram_addr_ld_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \save_init_bram_addr_ld[3]_i_1__0_n_0\, Q => \save_init_bram_addr_ld_reg_n_0_[3]\, R => \^sr\(0) ); \save_init_bram_addr_ld_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \save_init_bram_addr_ld[4]_i_1__0_n_0\, Q => \save_init_bram_addr_ld_reg_n_0_[4]\, R => \^sr\(0) ); \save_init_bram_addr_ld_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \save_init_bram_addr_ld[5]_i_1__0_n_0\, Q => \save_init_bram_addr_ld_reg_n_0_[5]\, R => \^sr\(0) ); \save_init_bram_addr_ld_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \save_init_bram_addr_ld[6]_i_1__0_n_0\, Q => \save_init_bram_addr_ld_reg_n_0_[6]\, R => \^sr\(0) ); \save_init_bram_addr_ld_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \save_init_bram_addr_ld[7]_i_1__0_n_0\, Q => \save_init_bram_addr_ld_reg_n_0_[7]\, R => \^sr\(0) ); \save_init_bram_addr_ld_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \save_init_bram_addr_ld[8]_i_1__0_n_0\, Q => \save_init_bram_addr_ld_reg_n_0_[8]\, R => \^sr\(0) ); \save_init_bram_addr_ld_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \save_init_bram_addr_ld[9]_i_1__0_n_0\, Q => \save_init_bram_addr_ld_reg_n_0_[9]\, R => \^sr\(0) ); \wrap_burst_total[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"3202010100000000" ) port map ( I0 => \^wrap_burst_total_reg[0]_0\, I1 => \^wrap_burst_total_reg[0]_1\, I2 => \wrap_burst_total[0]_i_3__0_n_0\, I3 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\(2), I4 => \^wrap_burst_total_reg[0]_2\, I5 => \^wrap_burst_total_reg[0]_3\, O => \wrap_burst_total[0]_i_1_n_0\ ); \wrap_burst_total[0]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\(2), I1 => axi_araddr_full, I2 => s_axi_arlen(2), O => \^wrap_burst_total_reg[0]_0\ ); \wrap_burst_total[0]_i_3__0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => axi_araddr_full, I1 => axi_arsize_pipe(0), O => \wrap_burst_total[0]_i_3__0_n_0\ ); \wrap_burst_total[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"20CF000000000000" ) port map ( I0 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\(2), I1 => axi_arsize_pipe(0), I2 => axi_araddr_full, I3 => \^wrap_burst_total_reg[0]_1\, I4 => \^wrap_burst_total_reg[0]_3\, I5 => \^wrap_burst_total_reg[0]_2\, O => \wrap_burst_total[1]_i_1_n_0\ ); \wrap_burst_total[1]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\(3), I1 => axi_araddr_full, I2 => s_axi_arlen(3), O => \^wrap_burst_total_reg[0]_1\ ); \wrap_burst_total[1]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\(0), I1 => axi_araddr_full, I2 => s_axi_arlen(0), O => \^wrap_burst_total_reg[0]_3\ ); \wrap_burst_total[1]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\(1), I1 => axi_araddr_full, I2 => s_axi_arlen(1), O => \^wrap_burst_total_reg[0]_2\ ); \wrap_burst_total[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0000D580" ) port map ( I0 => axi_araddr_full, I1 => axi_arsize_pipe(0), I2 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\(2), I3 => s_axi_arlen(2), I4 => \wrap_burst_total[2]_i_2_n_0\, O => \wrap_burst_total[2]_i_1_n_0\ ); \wrap_burst_total[2]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"3FFF5F5F3FFFFFFF" ) port map ( I0 => s_axi_arlen(3), I1 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\(3), I2 => \^wrap_burst_total_reg[0]_3\, I3 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\(1), I4 => axi_araddr_full, I5 => s_axi_arlen(1), O => \wrap_burst_total[2]_i_2_n_0\ ); \wrap_burst_total_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \wrap_burst_total[0]_i_1_n_0\, Q => \wrap_burst_total_reg_n_0_[0]\, R => \^sr\(0) ); \wrap_burst_total_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \wrap_burst_total[1]_i_1_n_0\, Q => \wrap_burst_total_reg_n_0_[1]\, R => \^sr\(0) ); \wrap_burst_total_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \^bram_addr_ld_en\, D => \wrap_burst_total[2]_i_1_n_0\, Q => \wrap_burst_total_reg_n_0_[2]\, R => \^sr\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_chnl is port ( bram_rst_a : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; bram_en_b : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 9 downto 0 ); s_axi_arready : out STD_LOGIC; bram_addr_b : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 10 downto 0 ); s_axi_aclk : in STD_LOGIC; \GEN_AWREADY.axi_aresetn_d2_reg\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); axi_aresetn_d2 : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; axi_aresetn_re_reg : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); bram_rddata_b : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_chnl; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_chnl is signal \/FSM_sequential_rlast_sm_cs[0]_i_2_n_0\ : STD_LOGIC; signal \/FSM_sequential_rlast_sm_cs[1]_i_2_n_0\ : STD_LOGIC; signal \/i__n_0\ : STD_LOGIC; signal \FSM_sequential_rlast_sm_cs[0]_i_1_n_0\ : STD_LOGIC; signal \FSM_sequential_rlast_sm_cs[1]_i_1_n_0\ : STD_LOGIC; signal \FSM_sequential_rlast_sm_cs[2]_i_1_n_0\ : STD_LOGIC; signal \GEN_ARREADY.axi_arready_int_i_1_n_0\ : STD_LOGIC; signal \GEN_ARREADY.axi_early_arready_int_i_2_n_0\ : STD_LOGIC; signal \GEN_ARREADY.axi_early_arready_int_i_3_n_0\ : STD_LOGIC; signal \GEN_AR_DUAL.ar_active_i_1_n_0\ : STD_LOGIC; signal \GEN_AR_DUAL.ar_active_i_2_n_0\ : STD_LOGIC; signal \GEN_AR_DUAL.ar_active_i_3_n_0\ : STD_LOGIC; signal \GEN_AR_DUAL.ar_active_i_4_n_0\ : STD_LOGIC; signal \GEN_AR_DUAL.ar_active_i_5_n_0\ : STD_LOGIC; signal \GEN_AR_DUAL.rd_addr_sm_cs_i_1_n_0\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[10].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[11].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[12].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[2].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[3].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[4].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[5].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[6].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[7].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[8].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[9].axi_araddr_pipe_reg\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.axi_araddr_full_i_1_n_0\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_i_1_n_0\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg_n_0\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_2_n_0\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_3_n_0\ : STD_LOGIC; signal \GEN_AR_PIPE_DUAL.axi_arlen_pipe_1_or_2_i_2_n_0\ : STD_LOGIC; signal \GEN_BRST_MAX_WO_NARROW.brst_cnt_max_i_1_n_0\ : STD_LOGIC; signal \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2_n_0\ : STD_LOGIC; signal \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_4__0_n_0\ : STD_LOGIC; signal \GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[0].axi_rdata_int[0]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[10].axi_rdata_int[10]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[11].axi_rdata_int[11]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[12].axi_rdata_int[12]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[13].axi_rdata_int[13]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[14].axi_rdata_int[14]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[15].axi_rdata_int[15]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[16].axi_rdata_int[16]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[17].axi_rdata_int[17]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[18].axi_rdata_int[18]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[19].axi_rdata_int[19]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[1].axi_rdata_int[1]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[20].axi_rdata_int[20]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[21].axi_rdata_int[21]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[22].axi_rdata_int[22]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[23].axi_rdata_int[23]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[24].axi_rdata_int[24]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[25].axi_rdata_int[25]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[26].axi_rdata_int[26]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[27].axi_rdata_int[27]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[28].axi_rdata_int[28]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[29].axi_rdata_int[29]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[2].axi_rdata_int[2]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[30].axi_rdata_int[30]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_3_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[3].axi_rdata_int[3]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[4].axi_rdata_int[4]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[5].axi_rdata_int[5]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[6].axi_rdata_int[6]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[7].axi_rdata_int[7]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[8].axi_rdata_int[8]_i_1_n_0\ : STD_LOGIC; signal \GEN_RDATA_NO_ECC.GEN_RDATA[9].axi_rdata_int[9]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_int[11]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp2_full_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[0]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[10]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[11]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[11]_i_2_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[1]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[2]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[3]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[4]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[5]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[6]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[7]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[8]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp[9]_i_1_n_0\ : STD_LOGIC; signal \GEN_RID.axi_rid_temp_full_i_1_n_0\ : STD_LOGIC; signal I_WRAP_BRST_n_0 : STD_LOGIC; signal I_WRAP_BRST_n_10 : STD_LOGIC; signal I_WRAP_BRST_n_11 : STD_LOGIC; signal I_WRAP_BRST_n_12 : STD_LOGIC; signal I_WRAP_BRST_n_13 : STD_LOGIC; signal I_WRAP_BRST_n_14 : STD_LOGIC; signal I_WRAP_BRST_n_15 : STD_LOGIC; signal I_WRAP_BRST_n_16 : STD_LOGIC; signal I_WRAP_BRST_n_17 : STD_LOGIC; signal I_WRAP_BRST_n_18 : STD_LOGIC; signal I_WRAP_BRST_n_2 : STD_LOGIC; signal I_WRAP_BRST_n_20 : STD_LOGIC; signal I_WRAP_BRST_n_21 : STD_LOGIC; signal I_WRAP_BRST_n_22 : STD_LOGIC; signal I_WRAP_BRST_n_23 : STD_LOGIC; signal I_WRAP_BRST_n_24 : STD_LOGIC; signal I_WRAP_BRST_n_25 : STD_LOGIC; signal I_WRAP_BRST_n_3 : STD_LOGIC; signal I_WRAP_BRST_n_4 : STD_LOGIC; signal I_WRAP_BRST_n_5 : STD_LOGIC; signal I_WRAP_BRST_n_6 : STD_LOGIC; signal I_WRAP_BRST_n_7 : STD_LOGIC; signal I_WRAP_BRST_n_8 : STD_LOGIC; signal I_WRAP_BRST_n_9 : STD_LOGIC; signal \^q\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal act_rd_burst : STD_LOGIC; signal act_rd_burst_i_1_n_0 : STD_LOGIC; signal act_rd_burst_i_3_n_0 : STD_LOGIC; signal act_rd_burst_i_4_n_0 : STD_LOGIC; signal act_rd_burst_set : STD_LOGIC; signal act_rd_burst_two : STD_LOGIC; signal act_rd_burst_two_i_1_n_0 : STD_LOGIC; signal ar_active : STD_LOGIC; signal araddr_pipe_ld43_out : STD_LOGIC; signal axi_araddr_full : STD_LOGIC; signal axi_arburst_pipe : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_arid_pipe : STD_LOGIC_VECTOR ( 11 downto 0 ); signal axi_arlen_pipe : STD_LOGIC_VECTOR ( 7 downto 0 ); signal axi_arlen_pipe_1_or_2 : STD_LOGIC; signal axi_arready_int : STD_LOGIC; signal axi_arsize_pipe : STD_LOGIC_VECTOR ( 1 to 1 ); signal axi_arsize_pipe_max : STD_LOGIC; signal axi_arsize_pipe_max_i_1_n_0 : STD_LOGIC; signal axi_b2b_brst : STD_LOGIC; signal axi_b2b_brst_i_1_n_0 : STD_LOGIC; signal axi_b2b_brst_i_3_n_0 : STD_LOGIC; signal axi_early_arready_int : STD_LOGIC; signal axi_rd_burst : STD_LOGIC; signal axi_rd_burst_i_1_n_0 : STD_LOGIC; signal axi_rd_burst_i_2_n_0 : STD_LOGIC; signal axi_rd_burst_i_3_n_0 : STD_LOGIC; signal axi_rd_burst_two : STD_LOGIC; signal axi_rd_burst_two_i_1_n_0 : STD_LOGIC; signal axi_rd_burst_two_reg_n_0 : STD_LOGIC; signal axi_rid_temp : STD_LOGIC_VECTOR ( 11 downto 0 ); signal axi_rid_temp2 : STD_LOGIC_VECTOR ( 11 downto 0 ); signal axi_rid_temp20_in : STD_LOGIC_VECTOR ( 11 downto 0 ); signal axi_rid_temp2_full : STD_LOGIC; signal axi_rid_temp_full : STD_LOGIC; signal axi_rid_temp_full_d1 : STD_LOGIC; signal axi_rlast_int_i_1_n_0 : STD_LOGIC; signal axi_rlast_set : STD_LOGIC; signal axi_rvalid_clr_ok : STD_LOGIC; signal axi_rvalid_clr_ok_i_1_n_0 : STD_LOGIC; signal axi_rvalid_clr_ok_i_2_n_0 : STD_LOGIC; signal axi_rvalid_clr_ok_i_3_n_0 : STD_LOGIC; signal axi_rvalid_int_i_1_n_0 : STD_LOGIC; signal axi_rvalid_set : STD_LOGIC; signal axi_rvalid_set_cmb : STD_LOGIC; signal \^bram_addr_b\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal bram_addr_ld_en : STD_LOGIC; signal \^bram_en_b\ : STD_LOGIC; signal bram_en_int_i_10_n_0 : STD_LOGIC; signal bram_en_int_i_11_n_0 : STD_LOGIC; signal bram_en_int_i_1_n_0 : STD_LOGIC; signal bram_en_int_i_2_n_0 : STD_LOGIC; signal bram_en_int_i_3_n_0 : STD_LOGIC; signal bram_en_int_i_4_n_0 : STD_LOGIC; signal bram_en_int_i_6_n_0 : STD_LOGIC; signal bram_en_int_i_7_n_0 : STD_LOGIC; signal bram_en_int_i_9_n_0 : STD_LOGIC; signal \^bram_rst_a\ : STD_LOGIC; signal brst_cnt : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \brst_cnt[0]_i_1_n_0\ : STD_LOGIC; signal \brst_cnt[1]_i_1_n_0\ : STD_LOGIC; signal \brst_cnt[2]_i_1_n_0\ : STD_LOGIC; signal \brst_cnt[3]_i_1_n_0\ : STD_LOGIC; signal \brst_cnt[4]_i_1_n_0\ : STD_LOGIC; signal \brst_cnt[4]_i_2_n_0\ : STD_LOGIC; signal \brst_cnt[5]_i_1_n_0\ : STD_LOGIC; signal \brst_cnt[6]_i_1_n_0\ : STD_LOGIC; signal \brst_cnt[6]_i_2_n_0\ : STD_LOGIC; signal \brst_cnt[7]_i_1_n_0\ : STD_LOGIC; signal \brst_cnt[7]_i_2_n_0\ : STD_LOGIC; signal \brst_cnt[7]_i_3_n_0\ : STD_LOGIC; signal \brst_cnt[7]_i_4_n_0\ : STD_LOGIC; signal brst_cnt_max : STD_LOGIC; signal brst_cnt_max_d1 : STD_LOGIC; signal brst_one : STD_LOGIC; signal brst_one0 : STD_LOGIC; signal brst_one_i_1_n_0 : STD_LOGIC; signal brst_zero : STD_LOGIC; signal brst_zero_i_1_n_0 : STD_LOGIC; signal curr_fixed_burst : STD_LOGIC; signal curr_fixed_burst_reg : STD_LOGIC; signal curr_wrap_burst : STD_LOGIC; signal curr_wrap_burst_reg : STD_LOGIC; signal disable_b2b_brst : STD_LOGIC; signal disable_b2b_brst_cmb : STD_LOGIC; signal disable_b2b_brst_i_2_n_0 : STD_LOGIC; signal disable_b2b_brst_i_3_n_0 : STD_LOGIC; signal disable_b2b_brst_i_4_n_0 : STD_LOGIC; signal end_brst_rd : STD_LOGIC; signal end_brst_rd_clr : STD_LOGIC; signal end_brst_rd_clr_i_1_n_0 : STD_LOGIC; signal end_brst_rd_i_1_n_0 : STD_LOGIC; signal last_bram_addr : STD_LOGIC; signal last_bram_addr0 : STD_LOGIC; signal last_bram_addr_i_10_n_0 : STD_LOGIC; signal last_bram_addr_i_2_n_0 : STD_LOGIC; signal last_bram_addr_i_3_n_0 : STD_LOGIC; signal last_bram_addr_i_4_n_0 : STD_LOGIC; signal last_bram_addr_i_5_n_0 : STD_LOGIC; signal last_bram_addr_i_6_n_0 : STD_LOGIC; signal last_bram_addr_i_7_n_0 : STD_LOGIC; signal last_bram_addr_i_8_n_0 : STD_LOGIC; signal last_bram_addr_i_9_n_0 : STD_LOGIC; signal no_ar_ack : STD_LOGIC; signal no_ar_ack_i_1_n_0 : STD_LOGIC; signal p_0_in13_in : STD_LOGIC; signal p_13_out : STD_LOGIC; signal p_26_out : STD_LOGIC; signal p_48_out : STD_LOGIC; signal p_4_out : STD_LOGIC; signal p_9_out : STD_LOGIC; signal pend_rd_op : STD_LOGIC; signal pend_rd_op_i_1_n_0 : STD_LOGIC; signal pend_rd_op_i_2_n_0 : STD_LOGIC; signal pend_rd_op_i_3_n_0 : STD_LOGIC; signal pend_rd_op_i_4_n_0 : STD_LOGIC; signal pend_rd_op_i_5_n_0 : STD_LOGIC; signal pend_rd_op_i_6_n_0 : STD_LOGIC; signal pend_rd_op_i_7_n_0 : STD_LOGIC; signal rd_addr_sm_cs : STD_LOGIC; signal rd_adv_buf67_out : STD_LOGIC; signal rd_data_sm_cs : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \rd_data_sm_cs[0]_i_1_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[0]_i_2_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[0]_i_3_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[0]_i_4_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[1]_i_1_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[1]_i_3_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[2]_i_1_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[2]_i_2_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[2]_i_3_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[2]_i_4_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[2]_i_5_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[3]_i_2_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[3]_i_3_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[3]_i_4_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[3]_i_5_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[3]_i_6_n_0\ : STD_LOGIC; signal \rd_data_sm_cs[3]_i_7_n_0\ : STD_LOGIC; signal rd_data_sm_ns : STD_LOGIC; signal rd_skid_buf : STD_LOGIC_VECTOR ( 31 downto 0 ); signal rd_skid_buf_ld : STD_LOGIC; signal rd_skid_buf_ld_cmb : STD_LOGIC; signal rd_skid_buf_ld_reg : STD_LOGIC; signal rddata_mux_sel : STD_LOGIC; signal rddata_mux_sel_cmb : STD_LOGIC; signal rddata_mux_sel_i_1_n_0 : STD_LOGIC; signal rddata_mux_sel_i_3_n_0 : STD_LOGIC; signal rlast_sm_cs : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of rlast_sm_cs : signal is "yes"; signal \^s_axi_rlast\ : STD_LOGIC; signal \^s_axi_rvalid\ : STD_LOGIC; attribute KEEP : string; attribute KEEP of \FSM_sequential_rlast_sm_cs_reg[0]\ : label is "yes"; attribute KEEP of \FSM_sequential_rlast_sm_cs_reg[1]\ : label is "yes"; attribute KEEP of \FSM_sequential_rlast_sm_cs_reg[2]\ : label is "yes"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \GEN_ARREADY.axi_arready_int_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \GEN_ARREADY.axi_early_arready_int_i_3\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \GEN_AR_DUAL.ar_active_i_4\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_2\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_3\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[0].axi_rdata_int[0]_i_1\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[10].axi_rdata_int[10]_i_1\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[11].axi_rdata_int[11]_i_1\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[12].axi_rdata_int[12]_i_1\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[13].axi_rdata_int[13]_i_1\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[14].axi_rdata_int[14]_i_1\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[15].axi_rdata_int[15]_i_1\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[16].axi_rdata_int[16]_i_1\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[17].axi_rdata_int[17]_i_1\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[18].axi_rdata_int[18]_i_1\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[19].axi_rdata_int[19]_i_1\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[1].axi_rdata_int[1]_i_1\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[20].axi_rdata_int[20]_i_1\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[21].axi_rdata_int[21]_i_1\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[22].axi_rdata_int[22]_i_1\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[23].axi_rdata_int[23]_i_1\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[24].axi_rdata_int[24]_i_1\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[25].axi_rdata_int[25]_i_1\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[26].axi_rdata_int[26]_i_1\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[27].axi_rdata_int[27]_i_1\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[28].axi_rdata_int[28]_i_1\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[29].axi_rdata_int[29]_i_1\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[2].axi_rdata_int[2]_i_1\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[30].axi_rdata_int[30]_i_1\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_3\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[3].axi_rdata_int[3]_i_1\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[4].axi_rdata_int[4]_i_1\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[5].axi_rdata_int[5]_i_1\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[6].axi_rdata_int[6]_i_1\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[7].axi_rdata_int[7]_i_1\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[8].axi_rdata_int[8]_i_1\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[9].axi_rdata_int[9]_i_1\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[0]_i_1\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[10]_i_1\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[11]_i_2\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[1]_i_1\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[2]_i_1\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[3]_i_1\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[4]_i_1\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[5]_i_1\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[6]_i_1\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[7]_i_1\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[8]_i_1\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp2[9]_i_1\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of act_rd_burst_i_4 : label is "soft_lutpair14"; attribute SOFT_HLUTNM of axi_rvalid_clr_ok_i_2 : label is "soft_lutpair7"; attribute SOFT_HLUTNM of axi_rvalid_clr_ok_i_3 : label is "soft_lutpair30"; attribute SOFT_HLUTNM of axi_rvalid_set_i_1 : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \brst_cnt[4]_i_2\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \brst_cnt[6]_i_1\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \brst_cnt[6]_i_2\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \brst_cnt[7]_i_3\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \brst_cnt[7]_i_4\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of brst_zero_i_1 : label is "soft_lutpair12"; attribute SOFT_HLUTNM of curr_fixed_burst_reg_i_1 : label is "soft_lutpair4"; attribute SOFT_HLUTNM of curr_wrap_burst_reg_i_1 : label is "soft_lutpair4"; attribute SOFT_HLUTNM of disable_b2b_brst_i_2 : label is "soft_lutpair14"; attribute SOFT_HLUTNM of last_bram_addr_i_10 : label is "soft_lutpair9"; attribute SOFT_HLUTNM of last_bram_addr_i_3 : label is "soft_lutpair8"; attribute SOFT_HLUTNM of last_bram_addr_i_6 : label is "soft_lutpair19"; attribute SOFT_HLUTNM of last_bram_addr_i_8 : label is "soft_lutpair8"; attribute SOFT_HLUTNM of pend_rd_op_i_5 : label is "soft_lutpair18"; attribute SOFT_HLUTNM of pend_rd_op_i_6 : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \rd_data_sm_cs[0]_i_3\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \rd_data_sm_cs[2]_i_4\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \rd_data_sm_cs[2]_i_5\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \rd_data_sm_cs[3]_i_4\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \rd_data_sm_cs[3]_i_5\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \rd_data_sm_cs[3]_i_6\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of rddata_mux_sel_i_1 : label is "soft_lutpair11"; begin Q(9 downto 0) <= \^q\(9 downto 0); bram_addr_b(0) <= \^bram_addr_b\(0); bram_en_b <= \^bram_en_b\; bram_rst_a <= \^bram_rst_a\; s_axi_rlast <= \^s_axi_rlast\; s_axi_rvalid <= \^s_axi_rvalid\; \/FSM_sequential_rlast_sm_cs[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0011001300130013" ) port map ( I0 => axi_rd_burst, I1 => rlast_sm_cs(1), I2 => act_rd_burst_two, I3 => axi_rd_burst_two_reg_n_0, I4 => \^s_axi_rvalid\, I5 => s_axi_rready, O => \/FSM_sequential_rlast_sm_cs[0]_i_2_n_0\ ); \/FSM_sequential_rlast_sm_cs[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"003F007F003F0055" ) port map ( I0 => axi_rd_burst, I1 => s_axi_rready, I2 => \^s_axi_rvalid\, I3 => rlast_sm_cs(1), I4 => axi_rd_burst_two_reg_n_0, I5 => act_rd_burst_two, O => \/FSM_sequential_rlast_sm_cs[1]_i_2_n_0\ ); \/i_\: unisim.vcomponents.LUT6 generic map( INIT => X"F000F111F000E000" ) port map ( I0 => rlast_sm_cs(2), I1 => rlast_sm_cs(1), I2 => \^s_axi_rvalid\, I3 => s_axi_rready, I4 => rlast_sm_cs(0), I5 => last_bram_addr, O => \/i__n_0\ ); \/i___0\: unisim.vcomponents.LUT6 generic map( INIT => X"00008080000F8080" ) port map ( I0 => s_axi_rready, I1 => \^s_axi_rvalid\, I2 => rlast_sm_cs(0), I3 => rlast_sm_cs(1), I4 => rlast_sm_cs(2), I5 => \^s_axi_rlast\, O => axi_rlast_set ); \FSM_sequential_rlast_sm_cs[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"01FF0100" ) port map ( I0 => rlast_sm_cs(2), I1 => rlast_sm_cs(0), I2 => \/FSM_sequential_rlast_sm_cs[0]_i_2_n_0\, I3 => \/i__n_0\, I4 => rlast_sm_cs(0), O => \FSM_sequential_rlast_sm_cs[0]_i_1_n_0\ ); \FSM_sequential_rlast_sm_cs[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"01FF0100" ) port map ( I0 => rlast_sm_cs(2), I1 => rlast_sm_cs(0), I2 => \/FSM_sequential_rlast_sm_cs[1]_i_2_n_0\, I3 => \/i__n_0\, I4 => rlast_sm_cs(1), O => \FSM_sequential_rlast_sm_cs[1]_i_1_n_0\ ); \FSM_sequential_rlast_sm_cs[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00A4FFFF00A40000" ) port map ( I0 => rlast_sm_cs(1), I1 => p_0_in13_in, I2 => rlast_sm_cs(0), I3 => rlast_sm_cs(2), I4 => \/i__n_0\, I5 => rlast_sm_cs(2), O => \FSM_sequential_rlast_sm_cs[2]_i_1_n_0\ ); \FSM_sequential_rlast_sm_cs[2]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => axi_rd_burst_two_reg_n_0, I1 => axi_rd_burst, O => p_0_in13_in ); \FSM_sequential_rlast_sm_cs_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \FSM_sequential_rlast_sm_cs[0]_i_1_n_0\, Q => rlast_sm_cs(0), R => \^bram_rst_a\ ); \FSM_sequential_rlast_sm_cs_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \FSM_sequential_rlast_sm_cs[1]_i_1_n_0\, Q => rlast_sm_cs(1), R => \^bram_rst_a\ ); \FSM_sequential_rlast_sm_cs_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \FSM_sequential_rlast_sm_cs[2]_i_1_n_0\, Q => rlast_sm_cs(2), R => \^bram_rst_a\ ); \GEN_ARREADY.axi_arready_int_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAEEE" ) port map ( I0 => p_9_out, I1 => axi_arready_int, I2 => s_axi_arvalid, I3 => axi_araddr_full, I4 => araddr_pipe_ld43_out, O => \GEN_ARREADY.axi_arready_int_i_1_n_0\ ); \GEN_ARREADY.axi_arready_int_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"BAAA" ) port map ( I0 => axi_aresetn_re_reg, I1 => axi_early_arready_int, I2 => axi_araddr_full, I3 => bram_addr_ld_en, O => p_9_out ); \GEN_ARREADY.axi_arready_int_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_ARREADY.axi_arready_int_i_1_n_0\, Q => axi_arready_int, R => \^bram_rst_a\ ); \GEN_ARREADY.axi_early_arready_int_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000200" ) port map ( I0 => \GEN_ARREADY.axi_early_arready_int_i_2_n_0\, I1 => \GEN_ARREADY.axi_early_arready_int_i_3_n_0\, I2 => rd_data_sm_cs(3), I3 => brst_one, I4 => axi_arready_int, I5 => I_WRAP_BRST_n_23, O => p_48_out ); \GEN_ARREADY.axi_early_arready_int_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"00CC304400000044" ) port map ( I0 => axi_rd_burst_two_reg_n_0, I1 => rd_data_sm_cs(1), I2 => \rd_data_sm_cs[2]_i_5_n_0\, I3 => rd_data_sm_cs(2), I4 => rd_data_sm_cs(0), I5 => rd_adv_buf67_out, O => \GEN_ARREADY.axi_early_arready_int_i_2_n_0\ ); \GEN_ARREADY.axi_early_arready_int_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"7" ) port map ( I0 => axi_araddr_full, I1 => s_axi_arvalid, O => \GEN_ARREADY.axi_early_arready_int_i_3_n_0\ ); \GEN_ARREADY.axi_early_arready_int_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => p_48_out, Q => axi_early_arready_int, R => \^bram_rst_a\ ); \GEN_AR_DUAL.ar_active_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"CDCDCDDDCCCCCCCC" ) port map ( I0 => \GEN_AR_DUAL.ar_active_i_2_n_0\, I1 => bram_addr_ld_en, I2 => \GEN_AR_DUAL.ar_active_i_3_n_0\, I3 => end_brst_rd, I4 => brst_zero, I5 => ar_active, O => \GEN_AR_DUAL.ar_active_i_1_n_0\ ); \GEN_AR_DUAL.ar_active_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"808880808088A280" ) port map ( I0 => \GEN_AR_DUAL.ar_active_i_4_n_0\, I1 => rd_data_sm_cs(1), I2 => \GEN_AR_DUAL.ar_active_i_5_n_0\, I3 => rd_data_sm_cs(0), I4 => axi_rd_burst_two_reg_n_0, I5 => axi_rd_burst, O => \GEN_AR_DUAL.ar_active_i_2_n_0\ ); \GEN_AR_DUAL.ar_active_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0010000000000000" ) port map ( I0 => rd_data_sm_cs(3), I1 => rd_data_sm_cs(1), I2 => rd_data_sm_cs(2), I3 => rd_data_sm_cs(0), I4 => \^s_axi_rvalid\, I5 => s_axi_rready, O => \GEN_AR_DUAL.ar_active_i_3_n_0\ ); \GEN_AR_DUAL.ar_active_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => rd_data_sm_cs(3), I1 => rd_data_sm_cs(2), O => \GEN_AR_DUAL.ar_active_i_4_n_0\ ); \GEN_AR_DUAL.ar_active_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"8A88000000000000" ) port map ( I0 => I_WRAP_BRST_n_24, I1 => brst_zero, I2 => axi_b2b_brst, I3 => end_brst_rd, I4 => rd_adv_buf67_out, I5 => rd_data_sm_cs(0), O => \GEN_AR_DUAL.ar_active_i_5_n_0\ ); \GEN_AR_DUAL.ar_active_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_AR_DUAL.ar_active_i_1_n_0\, Q => ar_active, R => \GEN_AWREADY.axi_aresetn_d2_reg\ ); \GEN_AR_DUAL.rd_addr_sm_cs_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"10001000F0F01000" ) port map ( I0 => rd_addr_sm_cs, I1 => axi_araddr_full, I2 => s_axi_arvalid, I3 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_3_n_0\, I4 => last_bram_addr, I5 => I_WRAP_BRST_n_23, O => \GEN_AR_DUAL.rd_addr_sm_cs_i_1_n_0\ ); \GEN_AR_DUAL.rd_addr_sm_cs_reg\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \GEN_AR_DUAL.rd_addr_sm_cs_i_1_n_0\, Q => rd_addr_sm_cs, R => \GEN_AWREADY.axi_aresetn_d2_reg\ ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[10].axi_araddr_pipe_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(8), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[10].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[11].axi_araddr_pipe_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(9), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[11].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[12].axi_araddr_pipe_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(10), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[12].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[2].axi_araddr_pipe_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(0), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[2].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[3].axi_araddr_pipe_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(1), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[3].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[4].axi_araddr_pipe_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(2), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[4].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[5].axi_araddr_pipe_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(3), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[5].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[6].axi_araddr_pipe_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(4), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[6].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[7].axi_araddr_pipe_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(5), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[7].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[8].axi_araddr_pipe_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(6), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[8].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.GEN_ARADDR[9].axi_araddr_pipe_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_araddr(7), Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[9].axi_araddr_pipe_reg\, R => '0' ); \GEN_AR_PIPE_DUAL.axi_araddr_full_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00C08888CCCC8888" ) port map ( I0 => araddr_pipe_ld43_out, I1 => s_axi_aresetn, I2 => s_axi_arvalid, I3 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_2_n_0\, I4 => axi_araddr_full, I5 => bram_addr_ld_en, O => \GEN_AR_PIPE_DUAL.axi_araddr_full_i_1_n_0\ ); \GEN_AR_PIPE_DUAL.axi_araddr_full_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_AR_PIPE_DUAL.axi_araddr_full_i_1_n_0\, Q => axi_araddr_full, R => '0' ); \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"03AA" ) port map ( I0 => \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg_n_0\, I1 => s_axi_arburst(0), I2 => s_axi_arburst(1), I3 => araddr_pipe_ld43_out, O => \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_i_1_n_0\ ); \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_i_1_n_0\, Q => \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg_n_0\, R => '0' ); \GEN_AR_PIPE_DUAL.axi_arburst_pipe_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arburst(0), Q => axi_arburst_pipe(0), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arburst_pipe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arburst(1), Q => axi_arburst_pipe(1), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(0), Q => axi_arid_pipe(0), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(10), Q => axi_arid_pipe(10), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(11), Q => axi_arid_pipe(11), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(1), Q => axi_arid_pipe(1), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(2), Q => axi_arid_pipe(2), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(3), Q => axi_arid_pipe(3), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(4), Q => axi_arid_pipe(4), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(5), Q => axi_arid_pipe(5), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(6), Q => axi_arid_pipe(6), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(7), Q => axi_arid_pipe(7), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(8), Q => axi_arid_pipe(8), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arid(9), Q => axi_arid_pipe(9), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"220022002A002200" ) port map ( I0 => axi_aresetn_d2, I1 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_2_n_0\, I2 => rd_addr_sm_cs, I3 => s_axi_arvalid, I4 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_3_n_0\, I5 => axi_araddr_full, O => araddr_pipe_ld43_out ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => I_WRAP_BRST_n_23, I1 => last_bram_addr, O => \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_2_n_0\ ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"FE" ) port map ( I0 => no_ar_ack, I1 => pend_rd_op, I2 => ar_active, O => \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_3_n_0\ ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_1_or_2_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => s_axi_arlen(7), I1 => s_axi_arlen(1), I2 => s_axi_arlen(3), I3 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_1_or_2_i_2_n_0\, O => p_13_out ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_1_or_2_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => s_axi_arlen(5), I1 => s_axi_arlen(4), I2 => s_axi_arlen(2), I3 => s_axi_arlen(6), O => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_1_or_2_i_2_n_0\ ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_1_or_2_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => p_13_out, Q => axi_arlen_pipe_1_or_2, R => '0' ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arlen(0), Q => axi_arlen_pipe(0), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arlen(1), Q => axi_arlen_pipe(1), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arlen(2), Q => axi_arlen_pipe(2), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arlen(3), Q => axi_arlen_pipe(3), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arlen(4), Q => axi_arlen_pipe(4), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arlen(5), Q => axi_arlen_pipe(5), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arlen(6), Q => axi_arlen_pipe(6), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => s_axi_arlen(7), Q => axi_arlen_pipe(7), R => '0' ); \GEN_AR_PIPE_DUAL.axi_arsize_pipe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => araddr_pipe_ld43_out, D => '1', Q => axi_arsize_pipe(1), R => '0' ); \GEN_BRST_MAX_WO_NARROW.brst_cnt_max_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000BAAA0000" ) port map ( I0 => brst_cnt_max, I1 => pend_rd_op, I2 => ar_active, I3 => brst_zero, I4 => s_axi_aresetn, I5 => bram_addr_ld_en, O => \GEN_BRST_MAX_WO_NARROW.brst_cnt_max_i_1_n_0\ ); \GEN_BRST_MAX_WO_NARROW.brst_cnt_max_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_BRST_MAX_WO_NARROW.brst_cnt_max_i_1_n_0\, Q => brst_cnt_max, R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFFFFFFFFFF" ) port map ( I0 => \^q\(4), I1 => \^q\(1), I2 => \^q\(0), I3 => \^q\(2), I4 => \^q\(3), I5 => \^q\(5), O => \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_4__0\: unisim.vcomponents.LUT5 generic map( INIT => X"F7FFFFFF" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => I_WRAP_BRST_n_20, I3 => \^q\(5), I4 => \^q\(7), O => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_4__0_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"E2" ) port map ( I0 => I_WRAP_BRST_n_21, I1 => I_WRAP_BRST_n_7, I2 => \^bram_addr_b\(0), O => \GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_1_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_6, D => I_WRAP_BRST_n_10, Q => \^q\(8), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_6, D => I_WRAP_BRST_n_9, Q => \^q\(9), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_1_n_0\, Q => \^bram_addr_b\(0), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_6, D => I_WRAP_BRST_n_18, Q => \^q\(0), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_6, D => I_WRAP_BRST_n_17, Q => \^q\(1), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_6, D => I_WRAP_BRST_n_16, Q => \^q\(2), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_6, D => I_WRAP_BRST_n_15, Q => \^q\(3), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_6, D => I_WRAP_BRST_n_14, Q => \^q\(4), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_6, D => I_WRAP_BRST_n_13, Q => \^q\(5), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_6, D => I_WRAP_BRST_n_12, Q => \^q\(6), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_6, D => I_WRAP_BRST_n_11, Q => \^q\(7), R => '0' ); \GEN_RDATA_NO_ECC.GEN_RDATA[0].axi_rdata_int[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(0), I1 => bram_rddata_b(0), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[0].axi_rdata_int[0]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[0].axi_rdata_int_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[0].axi_rdata_int[0]_i_1_n_0\, Q => s_axi_rdata(0), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[10].axi_rdata_int[10]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(10), I1 => bram_rddata_b(10), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[10].axi_rdata_int[10]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[10].axi_rdata_int_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[10].axi_rdata_int[10]_i_1_n_0\, Q => s_axi_rdata(10), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[11].axi_rdata_int[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(11), I1 => bram_rddata_b(11), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[11].axi_rdata_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[11].axi_rdata_int_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[11].axi_rdata_int[11]_i_1_n_0\, Q => s_axi_rdata(11), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[12].axi_rdata_int[12]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(12), I1 => bram_rddata_b(12), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[12].axi_rdata_int[12]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[12].axi_rdata_int_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[12].axi_rdata_int[12]_i_1_n_0\, Q => s_axi_rdata(12), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[13].axi_rdata_int[13]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(13), I1 => bram_rddata_b(13), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[13].axi_rdata_int[13]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[13].axi_rdata_int_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[13].axi_rdata_int[13]_i_1_n_0\, Q => s_axi_rdata(13), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[14].axi_rdata_int[14]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(14), I1 => bram_rddata_b(14), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[14].axi_rdata_int[14]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[14].axi_rdata_int_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[14].axi_rdata_int[14]_i_1_n_0\, Q => s_axi_rdata(14), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[15].axi_rdata_int[15]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(15), I1 => bram_rddata_b(15), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[15].axi_rdata_int[15]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[15].axi_rdata_int_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[15].axi_rdata_int[15]_i_1_n_0\, Q => s_axi_rdata(15), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[16].axi_rdata_int[16]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(16), I1 => bram_rddata_b(16), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[16].axi_rdata_int[16]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[16].axi_rdata_int_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[16].axi_rdata_int[16]_i_1_n_0\, Q => s_axi_rdata(16), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[17].axi_rdata_int[17]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(17), I1 => bram_rddata_b(17), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[17].axi_rdata_int[17]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[17].axi_rdata_int_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[17].axi_rdata_int[17]_i_1_n_0\, Q => s_axi_rdata(17), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[18].axi_rdata_int[18]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(18), I1 => bram_rddata_b(18), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[18].axi_rdata_int[18]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[18].axi_rdata_int_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[18].axi_rdata_int[18]_i_1_n_0\, Q => s_axi_rdata(18), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[19].axi_rdata_int[19]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(19), I1 => bram_rddata_b(19), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[19].axi_rdata_int[19]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[19].axi_rdata_int_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[19].axi_rdata_int[19]_i_1_n_0\, Q => s_axi_rdata(19), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[1].axi_rdata_int[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(1), I1 => bram_rddata_b(1), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[1].axi_rdata_int[1]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[1].axi_rdata_int_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[1].axi_rdata_int[1]_i_1_n_0\, Q => s_axi_rdata(1), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[20].axi_rdata_int[20]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(20), I1 => bram_rddata_b(20), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[20].axi_rdata_int[20]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[20].axi_rdata_int_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[20].axi_rdata_int[20]_i_1_n_0\, Q => s_axi_rdata(20), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[21].axi_rdata_int[21]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(21), I1 => bram_rddata_b(21), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[21].axi_rdata_int[21]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[21].axi_rdata_int_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[21].axi_rdata_int[21]_i_1_n_0\, Q => s_axi_rdata(21), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[22].axi_rdata_int[22]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(22), I1 => bram_rddata_b(22), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[22].axi_rdata_int[22]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[22].axi_rdata_int_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[22].axi_rdata_int[22]_i_1_n_0\, Q => s_axi_rdata(22), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[23].axi_rdata_int[23]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(23), I1 => bram_rddata_b(23), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[23].axi_rdata_int[23]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[23].axi_rdata_int_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[23].axi_rdata_int[23]_i_1_n_0\, Q => s_axi_rdata(23), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[24].axi_rdata_int[24]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(24), I1 => bram_rddata_b(24), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[24].axi_rdata_int[24]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[24].axi_rdata_int_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[24].axi_rdata_int[24]_i_1_n_0\, Q => s_axi_rdata(24), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[25].axi_rdata_int[25]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(25), I1 => bram_rddata_b(25), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[25].axi_rdata_int[25]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[25].axi_rdata_int_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[25].axi_rdata_int[25]_i_1_n_0\, Q => s_axi_rdata(25), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[26].axi_rdata_int[26]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(26), I1 => bram_rddata_b(26), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[26].axi_rdata_int[26]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[26].axi_rdata_int_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[26].axi_rdata_int[26]_i_1_n_0\, Q => s_axi_rdata(26), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[27].axi_rdata_int[27]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(27), I1 => bram_rddata_b(27), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[27].axi_rdata_int[27]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[27].axi_rdata_int_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[27].axi_rdata_int[27]_i_1_n_0\, Q => s_axi_rdata(27), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[28].axi_rdata_int[28]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(28), I1 => bram_rddata_b(28), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[28].axi_rdata_int[28]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[28].axi_rdata_int_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[28].axi_rdata_int[28]_i_1_n_0\, Q => s_axi_rdata(28), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[29].axi_rdata_int[29]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(29), I1 => bram_rddata_b(29), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[29].axi_rdata_int[29]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[29].axi_rdata_int_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[29].axi_rdata_int[29]_i_1_n_0\, Q => s_axi_rdata(29), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[2].axi_rdata_int[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(2), I1 => bram_rddata_b(2), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[2].axi_rdata_int[2]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[2].axi_rdata_int_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[2].axi_rdata_int[2]_i_1_n_0\, Q => s_axi_rdata(2), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[30].axi_rdata_int[30]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(30), I1 => bram_rddata_b(30), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[30].axi_rdata_int[30]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[30].axi_rdata_int_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[30].axi_rdata_int[30]_i_1_n_0\, Q => s_axi_rdata(30), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"1414545410000404" ) port map ( I0 => rd_data_sm_cs(3), I1 => rd_data_sm_cs(1), I2 => rd_data_sm_cs(2), I3 => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_3_n_0\, I4 => rd_data_sm_cs(0), I5 => rd_adv_buf67_out, O => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(31), I1 => bram_rddata_b(31), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => act_rd_burst, I1 => act_rd_burst_two, O => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_3_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\, Q => s_axi_rdata(31), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[3].axi_rdata_int[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(3), I1 => bram_rddata_b(3), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[3].axi_rdata_int[3]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[3].axi_rdata_int_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[3].axi_rdata_int[3]_i_1_n_0\, Q => s_axi_rdata(3), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[4].axi_rdata_int[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(4), I1 => bram_rddata_b(4), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[4].axi_rdata_int[4]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[4].axi_rdata_int_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[4].axi_rdata_int[4]_i_1_n_0\, Q => s_axi_rdata(4), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[5].axi_rdata_int[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(5), I1 => bram_rddata_b(5), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[5].axi_rdata_int[5]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[5].axi_rdata_int_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[5].axi_rdata_int[5]_i_1_n_0\, Q => s_axi_rdata(5), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[6].axi_rdata_int[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(6), I1 => bram_rddata_b(6), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[6].axi_rdata_int[6]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[6].axi_rdata_int_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[6].axi_rdata_int[6]_i_1_n_0\, Q => s_axi_rdata(6), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[7].axi_rdata_int[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(7), I1 => bram_rddata_b(7), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[7].axi_rdata_int[7]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[7].axi_rdata_int_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[7].axi_rdata_int[7]_i_1_n_0\, Q => s_axi_rdata(7), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[8].axi_rdata_int[8]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(8), I1 => bram_rddata_b(8), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[8].axi_rdata_int[8]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[8].axi_rdata_int_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[8].axi_rdata_int[8]_i_1_n_0\, Q => s_axi_rdata(8), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[9].axi_rdata_int[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => rd_skid_buf(9), I1 => bram_rddata_b(9), I2 => rddata_mux_sel, O => \GEN_RDATA_NO_ECC.GEN_RDATA[9].axi_rdata_int[9]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.GEN_RDATA[9].axi_rdata_int_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\, D => \GEN_RDATA_NO_ECC.GEN_RDATA[9].axi_rdata_int[9]_i_1_n_0\, Q => s_axi_rdata(9), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RDATA_NO_ECC.rd_skid_buf[31]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAAAAAAAAEAA" ) port map ( I0 => rd_skid_buf_ld_reg, I1 => rd_adv_buf67_out, I2 => rd_data_sm_cs(0), I3 => rd_data_sm_cs(2), I4 => rd_data_sm_cs(1), I5 => rd_data_sm_cs(3), O => rd_skid_buf_ld ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(0), Q => rd_skid_buf(0), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(10), Q => rd_skid_buf(10), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(11), Q => rd_skid_buf(11), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(12), Q => rd_skid_buf(12), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(13), Q => rd_skid_buf(13), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(14), Q => rd_skid_buf(14), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(15), Q => rd_skid_buf(15), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(16), Q => rd_skid_buf(16), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(17), Q => rd_skid_buf(17), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(18), Q => rd_skid_buf(18), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(19), Q => rd_skid_buf(19), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(1), Q => rd_skid_buf(1), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(20), Q => rd_skid_buf(20), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(21), Q => rd_skid_buf(21), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(22), Q => rd_skid_buf(22), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(23), Q => rd_skid_buf(23), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(24), Q => rd_skid_buf(24), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(25), Q => rd_skid_buf(25), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(26), Q => rd_skid_buf(26), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(27), Q => rd_skid_buf(27), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(28), Q => rd_skid_buf(28), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(29), Q => rd_skid_buf(29), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(2), Q => rd_skid_buf(2), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(30), Q => rd_skid_buf(30), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(31), Q => rd_skid_buf(31), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(3), Q => rd_skid_buf(3), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(4), Q => rd_skid_buf(4), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(5), Q => rd_skid_buf(5), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(6), Q => rd_skid_buf(6), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(7), Q => rd_skid_buf(7), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(8), Q => rd_skid_buf(8), R => \^bram_rst_a\ ); \GEN_RDATA_NO_ECC.rd_skid_buf_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => rd_skid_buf_ld, D => bram_rddata_b(9), Q => rd_skid_buf(9), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_int[11]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"08FF" ) port map ( I0 => s_axi_rready, I1 => \^s_axi_rlast\, I2 => axi_b2b_brst, I3 => s_axi_aresetn, O => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int[11]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"EAAA" ) port map ( I0 => axi_rvalid_set, I1 => s_axi_rready, I2 => \^s_axi_rlast\, I3 => axi_b2b_brst, O => p_4_out ); \GEN_RID.axi_rid_int_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(0), Q => s_axi_rid(0), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(10), Q => s_axi_rid(10), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(11), Q => s_axi_rid(11), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(1), Q => s_axi_rid(1), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(2), Q => s_axi_rid(2), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(3), Q => s_axi_rid(3), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(4), Q => s_axi_rid(4), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(5), Q => s_axi_rid(5), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(6), Q => s_axi_rid(6), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(7), Q => s_axi_rid(7), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(8), Q => s_axi_rid(8), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_int_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_4_out, D => axi_rid_temp(9), Q => s_axi_rid(9), R => \GEN_RID.axi_rid_int[11]_i_1_n_0\ ); \GEN_RID.axi_rid_temp2[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(0), I1 => axi_araddr_full, I2 => s_axi_arid(0), O => axi_rid_temp20_in(0) ); \GEN_RID.axi_rid_temp2[10]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(10), I1 => axi_araddr_full, I2 => s_axi_arid(10), O => axi_rid_temp20_in(10) ); \GEN_RID.axi_rid_temp2[11]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => axi_rid_temp_full, I1 => bram_addr_ld_en, O => p_26_out ); \GEN_RID.axi_rid_temp2[11]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(11), I1 => axi_araddr_full, I2 => s_axi_arid(11), O => axi_rid_temp20_in(11) ); \GEN_RID.axi_rid_temp2[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(1), I1 => axi_araddr_full, I2 => s_axi_arid(1), O => axi_rid_temp20_in(1) ); \GEN_RID.axi_rid_temp2[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(2), I1 => axi_araddr_full, I2 => s_axi_arid(2), O => axi_rid_temp20_in(2) ); \GEN_RID.axi_rid_temp2[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(3), I1 => axi_araddr_full, I2 => s_axi_arid(3), O => axi_rid_temp20_in(3) ); \GEN_RID.axi_rid_temp2[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(4), I1 => axi_araddr_full, I2 => s_axi_arid(4), O => axi_rid_temp20_in(4) ); \GEN_RID.axi_rid_temp2[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(5), I1 => axi_araddr_full, I2 => s_axi_arid(5), O => axi_rid_temp20_in(5) ); \GEN_RID.axi_rid_temp2[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(6), I1 => axi_araddr_full, I2 => s_axi_arid(6), O => axi_rid_temp20_in(6) ); \GEN_RID.axi_rid_temp2[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(7), I1 => axi_araddr_full, I2 => s_axi_arid(7), O => axi_rid_temp20_in(7) ); \GEN_RID.axi_rid_temp2[8]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(8), I1 => axi_araddr_full, I2 => s_axi_arid(8), O => axi_rid_temp20_in(8) ); \GEN_RID.axi_rid_temp2[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arid_pipe(9), I1 => axi_araddr_full, I2 => s_axi_arid(9), O => axi_rid_temp20_in(9) ); \GEN_RID.axi_rid_temp2_full_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"08080000C8C800C0" ) port map ( I0 => bram_addr_ld_en, I1 => s_axi_aresetn, I2 => axi_rid_temp2_full, I3 => axi_rid_temp_full_d1, I4 => axi_rid_temp_full, I5 => p_4_out, O => \GEN_RID.axi_rid_temp2_full_i_1_n_0\ ); \GEN_RID.axi_rid_temp2_full_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_RID.axi_rid_temp2_full_i_1_n_0\, Q => axi_rid_temp2_full, R => '0' ); \GEN_RID.axi_rid_temp2_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(0), Q => axi_rid_temp2(0), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(10), Q => axi_rid_temp2(10), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(11), Q => axi_rid_temp2(11), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(1), Q => axi_rid_temp2(1), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(2), Q => axi_rid_temp2(2), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(3), Q => axi_rid_temp2(3), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(4), Q => axi_rid_temp2(4), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(5), Q => axi_rid_temp2(5), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(6), Q => axi_rid_temp2(6), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(7), Q => axi_rid_temp2(7), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(8), Q => axi_rid_temp2(8), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp2_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => p_26_out, D => axi_rid_temp20_in(9), Q => axi_rid_temp2(9), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(0), I1 => axi_araddr_full, I2 => s_axi_arid(0), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(0), O => \GEN_RID.axi_rid_temp[0]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[10]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(10), I1 => axi_araddr_full, I2 => s_axi_arid(10), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(10), O => \GEN_RID.axi_rid_temp[10]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[11]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"A0FFA0E0" ) port map ( I0 => p_4_out, I1 => axi_rid_temp_full_d1, I2 => axi_rid_temp2_full, I3 => axi_rid_temp_full, I4 => bram_addr_ld_en, O => \GEN_RID.axi_rid_temp[11]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[11]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(11), I1 => axi_araddr_full, I2 => s_axi_arid(11), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(11), O => \GEN_RID.axi_rid_temp[11]_i_2_n_0\ ); \GEN_RID.axi_rid_temp[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(1), I1 => axi_araddr_full, I2 => s_axi_arid(1), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(1), O => \GEN_RID.axi_rid_temp[1]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(2), I1 => axi_araddr_full, I2 => s_axi_arid(2), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(2), O => \GEN_RID.axi_rid_temp[2]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(3), I1 => axi_araddr_full, I2 => s_axi_arid(3), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(3), O => \GEN_RID.axi_rid_temp[3]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(4), I1 => axi_araddr_full, I2 => s_axi_arid(4), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(4), O => \GEN_RID.axi_rid_temp[4]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(5), I1 => axi_araddr_full, I2 => s_axi_arid(5), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(5), O => \GEN_RID.axi_rid_temp[5]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[6]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(6), I1 => axi_araddr_full, I2 => s_axi_arid(6), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(6), O => \GEN_RID.axi_rid_temp[6]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[7]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(7), I1 => axi_araddr_full, I2 => s_axi_arid(7), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(7), O => \GEN_RID.axi_rid_temp[7]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[8]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(8), I1 => axi_araddr_full, I2 => s_axi_arid(8), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(8), O => \GEN_RID.axi_rid_temp[8]_i_1_n_0\ ); \GEN_RID.axi_rid_temp[9]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFB8FF0000B800" ) port map ( I0 => axi_arid_pipe(9), I1 => axi_araddr_full, I2 => s_axi_arid(9), I3 => bram_addr_ld_en, I4 => axi_rid_temp_full, I5 => axi_rid_temp2(9), O => \GEN_RID.axi_rid_temp[9]_i_1_n_0\ ); \GEN_RID.axi_rid_temp_full_d1_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_rid_temp_full, Q => axi_rid_temp_full_d1, R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_full_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0F0F0E000F0A0A0" ) port map ( I0 => bram_addr_ld_en, I1 => axi_rid_temp_full_d1, I2 => s_axi_aresetn, I3 => p_4_out, I4 => axi_rid_temp_full, I5 => axi_rid_temp2_full, O => \GEN_RID.axi_rid_temp_full_i_1_n_0\ ); \GEN_RID.axi_rid_temp_full_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_RID.axi_rid_temp_full_i_1_n_0\, Q => axi_rid_temp_full, R => '0' ); \GEN_RID.axi_rid_temp_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[0]_i_1_n_0\, Q => axi_rid_temp(0), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[10]_i_1_n_0\, Q => axi_rid_temp(10), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[11]_i_2_n_0\, Q => axi_rid_temp(11), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[1]_i_1_n_0\, Q => axi_rid_temp(1), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[2]_i_1_n_0\, Q => axi_rid_temp(2), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[3]_i_1_n_0\, Q => axi_rid_temp(3), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[4]_i_1_n_0\, Q => axi_rid_temp(4), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[5]_i_1_n_0\, Q => axi_rid_temp(5), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[6]_i_1_n_0\, Q => axi_rid_temp(6), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[7]_i_1_n_0\, Q => axi_rid_temp(7), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[8]_i_1_n_0\, Q => axi_rid_temp(8), R => \^bram_rst_a\ ); \GEN_RID.axi_rid_temp_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_RID.axi_rid_temp[11]_i_1_n_0\, D => \GEN_RID.axi_rid_temp[9]_i_1_n_0\, Q => axi_rid_temp(9), R => \^bram_rst_a\ ); I_WRAP_BRST: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wrap_brst_0 port map ( D(9) => I_WRAP_BRST_n_9, D(8) => I_WRAP_BRST_n_10, D(7) => I_WRAP_BRST_n_11, D(6) => I_WRAP_BRST_n_12, D(5) => I_WRAP_BRST_n_13, D(4) => I_WRAP_BRST_n_14, D(3) => I_WRAP_BRST_n_15, D(2) => I_WRAP_BRST_n_16, D(1) => I_WRAP_BRST_n_17, D(0) => I_WRAP_BRST_n_18, E(0) => I_WRAP_BRST_n_6, \GEN_AR_PIPE_DUAL.GEN_ARADDR[10].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[10].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[11].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[11].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[12].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[12].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[2].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[2].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[3].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[3].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[4].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[4].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[5].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[5].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[6].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[6].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[7].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[7].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[8].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[8].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.GEN_ARADDR[9].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[9].axi_araddr_pipe_reg\, \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg\ => \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg_n_0\, \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\(3 downto 0) => axi_arlen_pipe(3 downto 0), \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]\ => I_WRAP_BRST_n_0, \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_0\ => I_WRAP_BRST_n_7, \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\ => I_WRAP_BRST_n_8, \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_2\(9 downto 0) => \^q\(9 downto 0), \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\ => I_WRAP_BRST_n_20, \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]_0\ => \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2_n_0\, \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\ => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_4__0_n_0\, Q(3 downto 0) => rd_data_sm_cs(3 downto 0), SR(0) => \^bram_rst_a\, ar_active => ar_active, axi_araddr_full => axi_araddr_full, axi_aresetn_d2 => axi_aresetn_d2, axi_arlen_pipe_1_or_2 => axi_arlen_pipe_1_or_2, axi_arsize_pipe(0) => axi_arsize_pipe(1), axi_arsize_pipe_max => axi_arsize_pipe_max, axi_b2b_brst => axi_b2b_brst, axi_b2b_brst_reg => I_WRAP_BRST_n_24, axi_rd_burst => axi_rd_burst, axi_rd_burst_two_reg => axi_rd_burst_two_reg_n_0, axi_rvalid_int_reg => \^s_axi_rvalid\, bram_addr_ld_en => bram_addr_ld_en, brst_zero => brst_zero, curr_fixed_burst_reg => curr_fixed_burst_reg, curr_wrap_burst_reg => curr_wrap_burst_reg, disable_b2b_brst => disable_b2b_brst, end_brst_rd => end_brst_rd, last_bram_addr => last_bram_addr, no_ar_ack => no_ar_ack, pend_rd_op => pend_rd_op, rd_addr_sm_cs => rd_addr_sm_cs, rd_adv_buf67_out => rd_adv_buf67_out, \rd_data_sm_cs_reg[1]\ => I_WRAP_BRST_n_22, \rd_data_sm_cs_reg[3]\ => I_WRAP_BRST_n_25, s_axi_aclk => s_axi_aclk, s_axi_araddr(10 downto 0) => s_axi_araddr(10 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0), s_axi_arvalid => s_axi_arvalid, s_axi_rready => s_axi_rready, \save_init_bram_addr_ld_reg[12]_0\(0) => I_WRAP_BRST_n_21, \save_init_bram_addr_ld_reg[12]_1\ => I_WRAP_BRST_n_23, \wrap_burst_total_reg[0]_0\ => I_WRAP_BRST_n_2, \wrap_burst_total_reg[0]_1\ => I_WRAP_BRST_n_3, \wrap_burst_total_reg[0]_2\ => I_WRAP_BRST_n_4, \wrap_burst_total_reg[0]_3\ => I_WRAP_BRST_n_5 ); act_rd_burst_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"000000002EEE22E2" ) port map ( I0 => act_rd_burst, I1 => act_rd_burst_set, I2 => bram_addr_ld_en, I3 => axi_rd_burst_two, I4 => axi_rd_burst, I5 => act_rd_burst_i_3_n_0, O => act_rd_burst_i_1_n_0 ); act_rd_burst_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"A8A8AAA8A8A8A8A8" ) port map ( I0 => \GEN_AR_DUAL.ar_active_i_4_n_0\, I1 => act_rd_burst_i_4_n_0, I2 => axi_b2b_brst_i_3_n_0, I3 => \rd_data_sm_cs[2]_i_4_n_0\, I4 => last_bram_addr_i_8_n_0, I5 => bram_addr_ld_en, O => act_rd_burst_set ); act_rd_burst_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"02000004FFFFFFFF" ) port map ( I0 => rd_data_sm_cs(2), I1 => rd_data_sm_cs(3), I2 => \rd_data_sm_cs[3]_i_6_n_0\, I3 => rd_data_sm_cs(1), I4 => rd_data_sm_cs(0), I5 => s_axi_aresetn, O => act_rd_burst_i_3_n_0 ); act_rd_burst_i_4: unisim.vcomponents.LUT4 generic map( INIT => X"4440" ) port map ( I0 => rd_data_sm_cs(1), I1 => rd_data_sm_cs(0), I2 => axi_rd_burst, I3 => axi_rd_burst_two_reg_n_0, O => act_rd_burst_i_4_n_0 ); act_rd_burst_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => act_rd_burst_i_1_n_0, Q => act_rd_burst, R => '0' ); act_rd_burst_two_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"00000000E2EEE222" ) port map ( I0 => act_rd_burst_two, I1 => act_rd_burst_set, I2 => axi_rd_burst_two, I3 => bram_addr_ld_en, I4 => axi_rd_burst_two_reg_n_0, I5 => act_rd_burst_i_3_n_0, O => act_rd_burst_two_i_1_n_0 ); act_rd_burst_two_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => act_rd_burst_two_i_1_n_0, Q => act_rd_burst_two, R => '0' ); axi_arsize_pipe_max_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => araddr_pipe_ld43_out, I1 => axi_arsize_pipe_max, O => axi_arsize_pipe_max_i_1_n_0 ); axi_arsize_pipe_max_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_arsize_pipe_max_i_1_n_0, Q => axi_arsize_pipe_max, R => \^bram_rst_a\ ); axi_b2b_brst_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"CC0CCC55CC0CCCCC" ) port map ( I0 => I_WRAP_BRST_n_24, I1 => axi_b2b_brst, I2 => disable_b2b_brst_i_2_n_0, I3 => rd_data_sm_cs(3), I4 => rd_data_sm_cs(2), I5 => axi_b2b_brst_i_3_n_0, O => axi_b2b_brst_i_1_n_0 ); axi_b2b_brst_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"0000000088880080" ) port map ( I0 => \rd_data_sm_cs[0]_i_3_n_0\, I1 => rd_adv_buf67_out, I2 => end_brst_rd, I3 => axi_b2b_brst, I4 => brst_zero, I5 => I_WRAP_BRST_n_24, O => axi_b2b_brst_i_3_n_0 ); axi_b2b_brst_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_b2b_brst_i_1_n_0, Q => axi_b2b_brst, R => \^bram_rst_a\ ); axi_rd_burst_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"303000A0" ) port map ( I0 => axi_rd_burst, I1 => axi_rd_burst_i_2_n_0, I2 => s_axi_aresetn, I3 => brst_zero, I4 => bram_addr_ld_en, O => axi_rd_burst_i_1_n_0 ); axi_rd_burst_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000004" ) port map ( I0 => \brst_cnt[6]_i_2_n_0\, I1 => axi_rd_burst_i_3_n_0, I2 => I_WRAP_BRST_n_4, I3 => \brst_cnt[7]_i_3_n_0\, I4 => I_WRAP_BRST_n_3, I5 => I_WRAP_BRST_n_2, O => axi_rd_burst_i_2_n_0 ); axi_rd_burst_i_3: unisim.vcomponents.LUT5 generic map( INIT => X"00053305" ) port map ( I0 => s_axi_arlen(5), I1 => axi_arlen_pipe(5), I2 => s_axi_arlen(4), I3 => axi_araddr_full, I4 => axi_arlen_pipe(4), O => axi_rd_burst_i_3_n_0 ); axi_rd_burst_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_rd_burst_i_1_n_0, Q => axi_rd_burst, R => '0' ); axi_rd_burst_two_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"C0C000A0" ) port map ( I0 => axi_rd_burst_two_reg_n_0, I1 => axi_rd_burst_two, I2 => s_axi_aresetn, I3 => brst_zero, I4 => bram_addr_ld_en, O => axi_rd_burst_two_i_1_n_0 ); axi_rd_burst_two_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"A808" ) port map ( I0 => axi_rd_burst_i_2_n_0, I1 => s_axi_arlen(0), I2 => axi_araddr_full, I3 => axi_arlen_pipe(0), O => axi_rd_burst_two ); axi_rd_burst_two_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_rd_burst_two_i_1_n_0, Q => axi_rd_burst_two_reg_n_0, R => '0' ); axi_rlast_int_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"88A8" ) port map ( I0 => s_axi_aresetn, I1 => axi_rlast_set, I2 => \^s_axi_rlast\, I3 => s_axi_rready, O => axi_rlast_int_i_1_n_0 ); axi_rlast_int_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_rlast_int_i_1_n_0, Q => \^s_axi_rlast\, R => '0' ); axi_rvalid_clr_ok_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"00000000FFFFEEEA" ) port map ( I0 => axi_rvalid_clr_ok, I1 => last_bram_addr, I2 => disable_b2b_brst, I3 => disable_b2b_brst_cmb, I4 => axi_rvalid_clr_ok_i_2_n_0, I5 => axi_rvalid_clr_ok_i_3_n_0, O => axi_rvalid_clr_ok_i_1_n_0 ); axi_rvalid_clr_ok_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"AAAABAAA" ) port map ( I0 => bram_addr_ld_en, I1 => rd_data_sm_cs(3), I2 => rd_data_sm_cs(2), I3 => rd_data_sm_cs(0), I4 => rd_data_sm_cs(1), O => axi_rvalid_clr_ok_i_2_n_0 ); axi_rvalid_clr_ok_i_3: unisim.vcomponents.LUT3 generic map( INIT => X"4F" ) port map ( I0 => I_WRAP_BRST_n_23, I1 => bram_addr_ld_en, I2 => s_axi_aresetn, O => axi_rvalid_clr_ok_i_3_n_0 ); axi_rvalid_clr_ok_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_rvalid_clr_ok_i_1_n_0, Q => axi_rvalid_clr_ok, R => '0' ); axi_rvalid_int_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"00E0E0E0E0E0E0E0" ) port map ( I0 => \^s_axi_rvalid\, I1 => axi_rvalid_set, I2 => s_axi_aresetn, I3 => axi_rvalid_clr_ok, I4 => \^s_axi_rlast\, I5 => s_axi_rready, O => axi_rvalid_int_i_1_n_0 ); axi_rvalid_int_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_rvalid_int_i_1_n_0, Q => \^s_axi_rvalid\, R => '0' ); axi_rvalid_set_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"0100" ) port map ( I0 => rd_data_sm_cs(2), I1 => rd_data_sm_cs(3), I2 => rd_data_sm_cs(1), I3 => rd_data_sm_cs(0), O => axi_rvalid_set_cmb ); axi_rvalid_set_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_rvalid_set_cmb, Q => axi_rvalid_set, R => \^bram_rst_a\ ); bram_en_int_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"EEEEFFFEEEEE000E" ) port map ( I0 => bram_en_int_i_2_n_0, I1 => bram_en_int_i_3_n_0, I2 => bram_en_int_i_4_n_0, I3 => I_WRAP_BRST_n_25, I4 => bram_en_int_i_6_n_0, I5 => \^bram_en_b\, O => bram_en_int_i_1_n_0 ); bram_en_int_i_10: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF777FFFFFFFFF" ) port map ( I0 => \^s_axi_rvalid\, I1 => s_axi_rready, I2 => act_rd_burst, I3 => act_rd_burst_two, I4 => rd_data_sm_cs(1), I5 => rd_data_sm_cs(0), O => bram_en_int_i_10_n_0 ); bram_en_int_i_11: unisim.vcomponents.LUT6 generic map( INIT => X"D0D000F0D0D0F0F0" ) port map ( I0 => \rd_data_sm_cs[3]_i_7_n_0\, I1 => I_WRAP_BRST_n_24, I2 => rd_data_sm_cs(1), I3 => brst_one, I4 => rd_adv_buf67_out, I5 => \rd_data_sm_cs[2]_i_5_n_0\, O => bram_en_int_i_11_n_0 ); bram_en_int_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"00000000FDF50000" ) port map ( I0 => rd_data_sm_cs(2), I1 => pend_rd_op, I2 => bram_addr_ld_en, I3 => rd_adv_buf67_out, I4 => rd_data_sm_cs(1), I5 => bram_en_int_i_7_n_0, O => bram_en_int_i_2_n_0 ); bram_en_int_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAEEAFAAAAAAEE" ) port map ( I0 => I_WRAP_BRST_n_0, I1 => bram_addr_ld_en, I2 => p_0_in13_in, I3 => rd_data_sm_cs(2), I4 => rd_data_sm_cs(1), I5 => rd_data_sm_cs(0), O => bram_en_int_i_3_n_0 ); bram_en_int_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"000F007F0000007F" ) port map ( I0 => pend_rd_op, I1 => rd_adv_buf67_out, I2 => \rd_data_sm_cs[0]_i_3_n_0\, I3 => bram_en_int_i_9_n_0, I4 => bram_addr_ld_en, I5 => bram_en_int_i_10_n_0, O => bram_en_int_i_4_n_0 ); bram_en_int_i_6: unisim.vcomponents.LUT6 generic map( INIT => X"1010111111111110" ) port map ( I0 => rd_data_sm_cs(2), I1 => rd_data_sm_cs(3), I2 => bram_en_int_i_11_n_0, I3 => bram_addr_ld_en, I4 => rd_data_sm_cs(1), I5 => rd_data_sm_cs(0), O => bram_en_int_i_6_n_0 ); bram_en_int_i_7: unisim.vcomponents.LUT6 generic map( INIT => X"3330131003001310" ) port map ( I0 => \rd_data_sm_cs[2]_i_5_n_0\, I1 => rd_data_sm_cs(2), I2 => rd_data_sm_cs(0), I3 => axi_rd_burst_two_reg_n_0, I4 => rd_adv_buf67_out, I5 => \rd_data_sm_cs[3]_i_7_n_0\, O => bram_en_int_i_7_n_0 ); bram_en_int_i_9: unisim.vcomponents.LUT6 generic map( INIT => X"1111111111111000" ) port map ( I0 => rd_data_sm_cs(0), I1 => rd_data_sm_cs(1), I2 => \^s_axi_rvalid\, I3 => s_axi_rready, I4 => brst_zero, I5 => end_brst_rd, O => bram_en_int_i_9_n_0 ); bram_en_int_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => bram_en_int_i_1_n_0, Q => \^bram_en_b\, R => \^bram_rst_a\ ); \brst_cnt[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"D1DDD111" ) port map ( I0 => brst_cnt(0), I1 => bram_addr_ld_en, I2 => axi_arlen_pipe(0), I3 => axi_araddr_full, I4 => s_axi_arlen(0), O => \brst_cnt[0]_i_1_n_0\ ); \brst_cnt[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"B8FFB800B800B8FF" ) port map ( I0 => axi_arlen_pipe(1), I1 => axi_araddr_full, I2 => s_axi_arlen(1), I3 => bram_addr_ld_en, I4 => brst_cnt(0), I5 => brst_cnt(1), O => \brst_cnt[1]_i_1_n_0\ ); \brst_cnt[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8B8B88B" ) port map ( I0 => I_WRAP_BRST_n_2, I1 => bram_addr_ld_en, I2 => brst_cnt(2), I3 => brst_cnt(1), I4 => brst_cnt(0), O => \brst_cnt[2]_i_1_n_0\ ); \brst_cnt[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"B8B8B8B8B8B8B88B" ) port map ( I0 => I_WRAP_BRST_n_3, I1 => bram_addr_ld_en, I2 => brst_cnt(3), I3 => brst_cnt(2), I4 => brst_cnt(0), I5 => brst_cnt(1), O => \brst_cnt[3]_i_1_n_0\ ); \brst_cnt[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"B800B8FFB8FFB800" ) port map ( I0 => axi_arlen_pipe(4), I1 => axi_araddr_full, I2 => s_axi_arlen(4), I3 => bram_addr_ld_en, I4 => brst_cnt(4), I5 => \brst_cnt[4]_i_2_n_0\, O => \brst_cnt[4]_i_1_n_0\ ); \brst_cnt[4]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => brst_cnt(2), I1 => brst_cnt(0), I2 => brst_cnt(1), I3 => brst_cnt(3), O => \brst_cnt[4]_i_2_n_0\ ); \brst_cnt[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"B800B8FFB8FFB800" ) port map ( I0 => axi_arlen_pipe(5), I1 => axi_araddr_full, I2 => s_axi_arlen(5), I3 => bram_addr_ld_en, I4 => brst_cnt(5), I5 => \brst_cnt[7]_i_4_n_0\, O => \brst_cnt[5]_i_1_n_0\ ); \brst_cnt[6]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B88BB8B8" ) port map ( I0 => \brst_cnt[6]_i_2_n_0\, I1 => bram_addr_ld_en, I2 => brst_cnt(6), I3 => brst_cnt(5), I4 => \brst_cnt[7]_i_4_n_0\, O => \brst_cnt[6]_i_1_n_0\ ); \brst_cnt[6]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arlen_pipe(6), I1 => axi_araddr_full, I2 => s_axi_arlen(6), O => \brst_cnt[6]_i_2_n_0\ ); \brst_cnt[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => bram_addr_ld_en, I1 => I_WRAP_BRST_n_8, O => \brst_cnt[7]_i_1_n_0\ ); \brst_cnt[7]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"B8B8B88BB8B8B8B8" ) port map ( I0 => \brst_cnt[7]_i_3_n_0\, I1 => bram_addr_ld_en, I2 => brst_cnt(7), I3 => brst_cnt(6), I4 => brst_cnt(5), I5 => \brst_cnt[7]_i_4_n_0\, O => \brst_cnt[7]_i_2_n_0\ ); \brst_cnt[7]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_arlen_pipe(7), I1 => axi_araddr_full, I2 => s_axi_arlen(7), O => \brst_cnt[7]_i_3_n_0\ ); \brst_cnt[7]_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"00000001" ) port map ( I0 => brst_cnt(3), I1 => brst_cnt(1), I2 => brst_cnt(0), I3 => brst_cnt(2), I4 => brst_cnt(4), O => \brst_cnt[7]_i_4_n_0\ ); brst_cnt_max_d1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => brst_cnt_max, Q => brst_cnt_max_d1, R => \^bram_rst_a\ ); \brst_cnt_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \brst_cnt[7]_i_1_n_0\, D => \brst_cnt[0]_i_1_n_0\, Q => brst_cnt(0), R => \^bram_rst_a\ ); \brst_cnt_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \brst_cnt[7]_i_1_n_0\, D => \brst_cnt[1]_i_1_n_0\, Q => brst_cnt(1), R => \^bram_rst_a\ ); \brst_cnt_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \brst_cnt[7]_i_1_n_0\, D => \brst_cnt[2]_i_1_n_0\, Q => brst_cnt(2), R => \^bram_rst_a\ ); \brst_cnt_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \brst_cnt[7]_i_1_n_0\, D => \brst_cnt[3]_i_1_n_0\, Q => brst_cnt(3), R => \^bram_rst_a\ ); \brst_cnt_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \brst_cnt[7]_i_1_n_0\, D => \brst_cnt[4]_i_1_n_0\, Q => brst_cnt(4), R => \^bram_rst_a\ ); \brst_cnt_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \brst_cnt[7]_i_1_n_0\, D => \brst_cnt[5]_i_1_n_0\, Q => brst_cnt(5), R => \^bram_rst_a\ ); \brst_cnt_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \brst_cnt[7]_i_1_n_0\, D => \brst_cnt[6]_i_1_n_0\, Q => brst_cnt(6), R => \^bram_rst_a\ ); \brst_cnt_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \brst_cnt[7]_i_1_n_0\, D => \brst_cnt[7]_i_2_n_0\, Q => brst_cnt(7), R => \^bram_rst_a\ ); brst_one_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"00000000E0EE0000" ) port map ( I0 => brst_one, I1 => brst_one0, I2 => axi_rd_burst_two, I3 => bram_addr_ld_en, I4 => s_axi_aresetn, I5 => last_bram_addr_i_7_n_0, O => brst_one_i_1_n_0 ); brst_one_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"80FF808080808080" ) port map ( I0 => bram_addr_ld_en, I1 => I_WRAP_BRST_n_5, I2 => axi_rd_burst_i_2_n_0, I3 => brst_cnt(0), I4 => brst_cnt(1), I5 => last_bram_addr_i_9_n_0, O => brst_one0 ); brst_one_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => brst_one_i_1_n_0, Q => brst_one, R => '0' ); brst_zero_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"00E0" ) port map ( I0 => brst_zero, I1 => last_bram_addr_i_7_n_0, I2 => s_axi_aresetn, I3 => last_bram_addr_i_3_n_0, O => brst_zero_i_1_n_0 ); brst_zero_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => brst_zero_i_1_n_0, Q => brst_zero, R => '0' ); curr_fixed_burst_reg_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"00053305" ) port map ( I0 => s_axi_arburst(0), I1 => axi_arburst_pipe(0), I2 => s_axi_arburst(1), I3 => axi_araddr_full, I4 => axi_arburst_pipe(1), O => curr_fixed_burst ); curr_fixed_burst_reg_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bram_addr_ld_en, D => curr_fixed_burst, Q => curr_fixed_burst_reg, R => \^bram_rst_a\ ); curr_wrap_burst_reg_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"000ACC0A" ) port map ( I0 => s_axi_arburst(1), I1 => axi_arburst_pipe(1), I2 => s_axi_arburst(0), I3 => axi_araddr_full, I4 => axi_arburst_pipe(0), O => curr_wrap_burst ); curr_wrap_burst_reg_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bram_addr_ld_en, D => curr_wrap_burst, Q => curr_wrap_burst_reg, R => \^bram_rst_a\ ); disable_b2b_brst_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF000D0000" ) port map ( I0 => axi_rd_burst, I1 => axi_rd_burst_two_reg_n_0, I2 => rd_data_sm_cs(2), I3 => rd_data_sm_cs(3), I4 => disable_b2b_brst_i_2_n_0, I5 => disable_b2b_brst_i_3_n_0, O => disable_b2b_brst_cmb ); disable_b2b_brst_i_2: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => rd_data_sm_cs(0), I1 => rd_data_sm_cs(1), O => disable_b2b_brst_i_2_n_0 ); disable_b2b_brst_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"FE7D0000FE7DFE7D" ) port map ( I0 => rd_data_sm_cs(0), I1 => rd_data_sm_cs(2), I2 => rd_data_sm_cs(1), I3 => rd_data_sm_cs(3), I4 => disable_b2b_brst, I5 => disable_b2b_brst_i_4_n_0, O => disable_b2b_brst_i_3_n_0 ); disable_b2b_brst_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"DFDFDFDFDFDFDFFF" ) port map ( I0 => \GEN_AR_DUAL.ar_active_i_4_n_0\, I1 => rd_adv_buf67_out, I2 => rd_data_sm_cs(0), I3 => brst_zero, I4 => end_brst_rd, I5 => brst_one, O => disable_b2b_brst_i_4_n_0 ); disable_b2b_brst_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => disable_b2b_brst_cmb, Q => disable_b2b_brst, R => \^bram_rst_a\ ); end_brst_rd_clr_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FEFEFEFF10100000" ) port map ( I0 => rd_data_sm_cs(3), I1 => rd_data_sm_cs(1), I2 => rd_data_sm_cs(2), I3 => bram_addr_ld_en, I4 => rd_data_sm_cs(0), I5 => end_brst_rd_clr, O => end_brst_rd_clr_i_1_n_0 ); end_brst_rd_clr_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => end_brst_rd_clr_i_1_n_0, Q => end_brst_rd_clr, R => \^bram_rst_a\ ); end_brst_rd_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"0020F020" ) port map ( I0 => brst_cnt_max, I1 => brst_cnt_max_d1, I2 => s_axi_aresetn, I3 => end_brst_rd, I4 => end_brst_rd_clr, O => end_brst_rd_i_1_n_0 ); end_brst_rd_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => end_brst_rd_i_1_n_0, Q => end_brst_rd, R => '0' ); last_bram_addr_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF57550000" ) port map ( I0 => last_bram_addr_i_2_n_0, I1 => last_bram_addr_i_3_n_0, I2 => last_bram_addr_i_4_n_0, I3 => last_bram_addr_i_5_n_0, I4 => last_bram_addr_i_6_n_0, I5 => last_bram_addr_i_7_n_0, O => last_bram_addr0 ); last_bram_addr_i_10: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => brst_cnt(6), I1 => brst_cnt(5), O => last_bram_addr_i_10_n_0 ); last_bram_addr_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"AABFFFBFFFBFFFBF" ) port map ( I0 => rd_data_sm_cs(2), I1 => last_bram_addr_i_8_n_0, I2 => bram_addr_ld_en, I3 => rd_data_sm_cs(3), I4 => rd_adv_buf67_out, I5 => p_0_in13_in, O => last_bram_addr_i_2_n_0 ); last_bram_addr_i_3: unisim.vcomponents.LUT5 generic map( INIT => X"8A80AAAA" ) port map ( I0 => bram_addr_ld_en, I1 => axi_arlen_pipe(0), I2 => axi_araddr_full, I3 => s_axi_arlen(0), I4 => axi_rd_burst_i_2_n_0, O => last_bram_addr_i_3_n_0 ); last_bram_addr_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"DDDDDDDDFFFDFFFF" ) port map ( I0 => rd_data_sm_cs(2), I1 => rd_data_sm_cs(3), I2 => axi_rd_burst, I3 => axi_rd_burst_two_reg_n_0, I4 => pend_rd_op, I5 => bram_addr_ld_en, O => last_bram_addr_i_4_n_0 ); last_bram_addr_i_5: unisim.vcomponents.LUT4 generic map( INIT => X"8880" ) port map ( I0 => s_axi_rready, I1 => \^s_axi_rvalid\, I2 => bram_addr_ld_en, I3 => pend_rd_op, O => last_bram_addr_i_5_n_0 ); last_bram_addr_i_6: unisim.vcomponents.LUT3 generic map( INIT => X"81" ) port map ( I0 => rd_data_sm_cs(2), I1 => rd_data_sm_cs(1), I2 => rd_data_sm_cs(0), O => last_bram_addr_i_6_n_0 ); last_bram_addr_i_7: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => last_bram_addr_i_9_n_0, I1 => brst_cnt(0), I2 => brst_cnt(1), O => last_bram_addr_i_7_n_0 ); last_bram_addr_i_8: unisim.vcomponents.LUT4 generic map( INIT => X"02A2" ) port map ( I0 => axi_rd_burst_i_2_n_0, I1 => s_axi_arlen(0), I2 => axi_araddr_full, I3 => axi_arlen_pipe(0), O => last_bram_addr_i_8_n_0 ); last_bram_addr_i_9: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000002" ) port map ( I0 => I_WRAP_BRST_n_8, I1 => last_bram_addr_i_10_n_0, I2 => brst_cnt(3), I3 => brst_cnt(2), I4 => brst_cnt(4), I5 => brst_cnt(7), O => last_bram_addr_i_9_n_0 ); last_bram_addr_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => last_bram_addr0, Q => last_bram_addr, R => \^bram_rst_a\ ); no_ar_ack_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAAA88C8AAAA" ) port map ( I0 => no_ar_ack, I1 => rd_data_sm_cs(1), I2 => bram_addr_ld_en, I3 => rd_adv_buf67_out, I4 => rd_data_sm_cs(0), I5 => I_WRAP_BRST_n_25, O => no_ar_ack_i_1_n_0 ); no_ar_ack_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => no_ar_ack_i_1_n_0, Q => no_ar_ack, R => \^bram_rst_a\ ); pend_rd_op_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAFFFEAAAA0002" ) port map ( I0 => pend_rd_op_i_2_n_0, I1 => pend_rd_op_i_3_n_0, I2 => rd_data_sm_cs(3), I3 => rd_data_sm_cs(2), I4 => pend_rd_op_i_4_n_0, I5 => pend_rd_op, O => pend_rd_op_i_1_n_0 ); pend_rd_op_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"0FFCC8C80CCCC8C8" ) port map ( I0 => p_0_in13_in, I1 => bram_addr_ld_en, I2 => rd_data_sm_cs(1), I3 => rd_data_sm_cs(0), I4 => rd_data_sm_cs(2), I5 => pend_rd_op_i_5_n_0, O => pend_rd_op_i_2_n_0 ); pend_rd_op_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"0303070733F3FFFF" ) port map ( I0 => p_0_in13_in, I1 => rd_data_sm_cs(0), I2 => rd_data_sm_cs(1), I3 => \^s_axi_rlast\, I4 => pend_rd_op, I5 => bram_addr_ld_en, O => pend_rd_op_i_3_n_0 ); pend_rd_op_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"00000000BBBABB00" ) port map ( I0 => pend_rd_op_i_6_n_0, I1 => rd_data_sm_cs(0), I2 => pend_rd_op_i_5_n_0, I3 => bram_addr_ld_en, I4 => pend_rd_op_i_7_n_0, I5 => I_WRAP_BRST_n_25, O => pend_rd_op_i_4_n_0 ); pend_rd_op_i_5: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => ar_active, I1 => end_brst_rd, O => pend_rd_op_i_5_n_0 ); pend_rd_op_i_6: unisim.vcomponents.LUT5 generic map( INIT => X"8000FFFF" ) port map ( I0 => pend_rd_op, I1 => s_axi_rready, I2 => \^s_axi_rvalid\, I3 => rd_data_sm_cs(0), I4 => rd_data_sm_cs(1), O => pend_rd_op_i_6_n_0 ); pend_rd_op_i_7: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFF0008888" ) port map ( I0 => pend_rd_op, I1 => \^s_axi_rlast\, I2 => ar_active, I3 => end_brst_rd, I4 => rd_data_sm_cs(0), I5 => rd_data_sm_cs(1), O => pend_rd_op_i_7_n_0 ); pend_rd_op_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => pend_rd_op_i_1_n_0, Q => pend_rd_op, R => \^bram_rst_a\ ); \rd_data_sm_cs[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF54005555" ) port map ( I0 => \rd_data_sm_cs[0]_i_2_n_0\, I1 => pend_rd_op, I2 => bram_addr_ld_en, I3 => rd_adv_buf67_out, I4 => \rd_data_sm_cs[0]_i_3_n_0\, I5 => \rd_data_sm_cs[0]_i_4_n_0\, O => \rd_data_sm_cs[0]_i_1_n_0\ ); \rd_data_sm_cs[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FEAAAAAAFEAAFEAA" ) port map ( I0 => I_WRAP_BRST_n_25, I1 => act_rd_burst_two, I2 => act_rd_burst, I3 => disable_b2b_brst_i_2_n_0, I4 => bram_addr_ld_en, I5 => rd_adv_buf67_out, O => \rd_data_sm_cs[0]_i_2_n_0\ ); \rd_data_sm_cs[0]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => rd_data_sm_cs(1), I1 => rd_data_sm_cs(0), O => \rd_data_sm_cs[0]_i_3_n_0\ ); \rd_data_sm_cs[0]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"000300BF0003008F" ) port map ( I0 => rd_adv_buf67_out, I1 => rd_data_sm_cs(1), I2 => rd_data_sm_cs(0), I3 => rd_data_sm_cs(2), I4 => rd_data_sm_cs(3), I5 => p_0_in13_in, O => \rd_data_sm_cs[0]_i_4_n_0\ ); \rd_data_sm_cs[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AABAAABAFFFFAABA" ) port map ( I0 => \rd_data_sm_cs[2]_i_2_n_0\, I1 => I_WRAP_BRST_n_25, I2 => \rd_data_sm_cs[2]_i_5_n_0\, I3 => rd_data_sm_cs(0), I4 => I_WRAP_BRST_n_22, I5 => \rd_data_sm_cs[1]_i_3_n_0\, O => \rd_data_sm_cs[1]_i_1_n_0\ ); \rd_data_sm_cs[1]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"C0CCCCCC88888888" ) port map ( I0 => axi_rd_burst_two_reg_n_0, I1 => rd_data_sm_cs(1), I2 => I_WRAP_BRST_n_24, I3 => s_axi_rready, I4 => \^s_axi_rvalid\, I5 => rd_data_sm_cs(0), O => \rd_data_sm_cs[1]_i_3_n_0\ ); \rd_data_sm_cs[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAABAAABAEAFAAAB" ) port map ( I0 => \rd_data_sm_cs[2]_i_2_n_0\, I1 => rd_data_sm_cs(2), I2 => rd_data_sm_cs(3), I3 => \rd_data_sm_cs[2]_i_3_n_0\, I4 => \rd_data_sm_cs[2]_i_4_n_0\, I5 => \rd_data_sm_cs[2]_i_5_n_0\, O => \rd_data_sm_cs[2]_i_1_n_0\ ); \rd_data_sm_cs[2]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"000000000DF00000" ) port map ( I0 => bram_addr_ld_en, I1 => \rd_data_sm_cs[3]_i_6_n_0\, I2 => rd_data_sm_cs(1), I3 => rd_data_sm_cs(0), I4 => rd_data_sm_cs(2), I5 => rd_data_sm_cs(3), O => \rd_data_sm_cs[2]_i_2_n_0\ ); \rd_data_sm_cs[2]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"00C0FFFF33F3BBBB" ) port map ( I0 => axi_rd_burst, I1 => rd_data_sm_cs(0), I2 => rd_adv_buf67_out, I3 => I_WRAP_BRST_n_24, I4 => rd_data_sm_cs(1), I5 => axi_rd_burst_two_reg_n_0, O => \rd_data_sm_cs[2]_i_3_n_0\ ); \rd_data_sm_cs[2]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => rd_data_sm_cs(1), I1 => rd_data_sm_cs(0), O => \rd_data_sm_cs[2]_i_4_n_0\ ); \rd_data_sm_cs[2]_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => brst_zero, I1 => end_brst_rd, O => \rd_data_sm_cs[2]_i_5_n_0\ ); \rd_data_sm_cs[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FCCCBBBB3000B888" ) port map ( I0 => \rd_data_sm_cs[3]_i_3_n_0\, I1 => \rd_data_sm_cs[3]_i_4_n_0\, I2 => s_axi_rready, I3 => \^s_axi_rvalid\, I4 => \rd_data_sm_cs[3]_i_5_n_0\, I5 => bram_addr_ld_en, O => rd_data_sm_ns ); \rd_data_sm_cs[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000004050005040" ) port map ( I0 => I_WRAP_BRST_n_25, I1 => bram_addr_ld_en, I2 => rd_data_sm_cs(0), I3 => rd_data_sm_cs(1), I4 => \rd_data_sm_cs[3]_i_6_n_0\, I5 => rd_adv_buf67_out, O => \rd_data_sm_cs[3]_i_2_n_0\ ); \rd_data_sm_cs[3]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFF5EFFFF" ) port map ( I0 => rd_data_sm_cs(0), I1 => rd_data_sm_cs(2), I2 => rd_data_sm_cs(1), I3 => rd_data_sm_cs(3), I4 => rd_adv_buf67_out, I5 => \rd_data_sm_cs[3]_i_7_n_0\, O => \rd_data_sm_cs[3]_i_3_n_0\ ); \rd_data_sm_cs[3]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"BFAD" ) port map ( I0 => rd_data_sm_cs(3), I1 => rd_data_sm_cs(1), I2 => rd_data_sm_cs(2), I3 => rd_data_sm_cs(0), O => \rd_data_sm_cs[3]_i_4_n_0\ ); \rd_data_sm_cs[3]_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"0035" ) port map ( I0 => rd_data_sm_cs(1), I1 => rd_data_sm_cs(3), I2 => rd_data_sm_cs(2), I3 => rd_data_sm_cs(0), O => \rd_data_sm_cs[3]_i_5_n_0\ ); \rd_data_sm_cs[3]_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"1FFF" ) port map ( I0 => act_rd_burst_two, I1 => act_rd_burst, I2 => s_axi_rready, I3 => \^s_axi_rvalid\, O => \rd_data_sm_cs[3]_i_6_n_0\ ); \rd_data_sm_cs[3]_i_7\: unisim.vcomponents.LUT3 generic map( INIT => X"BA" ) port map ( I0 => brst_zero, I1 => axi_b2b_brst, I2 => end_brst_rd, O => \rd_data_sm_cs[3]_i_7_n_0\ ); \rd_data_sm_cs_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => rd_data_sm_ns, D => \rd_data_sm_cs[0]_i_1_n_0\, Q => rd_data_sm_cs(0), R => \^bram_rst_a\ ); \rd_data_sm_cs_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => rd_data_sm_ns, D => \rd_data_sm_cs[1]_i_1_n_0\, Q => rd_data_sm_cs(1), R => \^bram_rst_a\ ); \rd_data_sm_cs_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => rd_data_sm_ns, D => \rd_data_sm_cs[2]_i_1_n_0\, Q => rd_data_sm_cs(2), R => \^bram_rst_a\ ); \rd_data_sm_cs_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => rd_data_sm_ns, D => \rd_data_sm_cs[3]_i_2_n_0\, Q => rd_data_sm_cs(3), R => \^bram_rst_a\ ); rd_skid_buf_ld_reg_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"1110011001100110" ) port map ( I0 => rd_data_sm_cs(3), I1 => rd_data_sm_cs(2), I2 => rd_data_sm_cs(0), I3 => rd_data_sm_cs(1), I4 => s_axi_rready, I5 => \^s_axi_rvalid\, O => rd_skid_buf_ld_cmb ); rd_skid_buf_ld_reg_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => rd_skid_buf_ld_cmb, Q => rd_skid_buf_ld_reg, R => \^bram_rst_a\ ); rddata_mux_sel_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"FE02" ) port map ( I0 => rddata_mux_sel_cmb, I1 => rd_data_sm_cs(3), I2 => rddata_mux_sel_i_3_n_0, I3 => rddata_mux_sel, O => rddata_mux_sel_i_1_n_0 ); rddata_mux_sel_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"F0F010F00F00F000" ) port map ( I0 => act_rd_burst, I1 => act_rd_burst_two, I2 => rd_data_sm_cs(2), I3 => rd_data_sm_cs(0), I4 => rd_data_sm_cs(1), I5 => rd_adv_buf67_out, O => rddata_mux_sel_cmb ); rddata_mux_sel_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"F700070FF70F070F" ) port map ( I0 => \^s_axi_rvalid\, I1 => s_axi_rready, I2 => rd_data_sm_cs(0), I3 => rd_data_sm_cs(2), I4 => rd_data_sm_cs(1), I5 => axi_rd_burst_two_reg_n_0, O => rddata_mux_sel_i_3_n_0 ); rddata_mux_sel_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => rddata_mux_sel_i_1_n_0, Q => rddata_mux_sel, R => \^bram_rst_a\ ); s_axi_arready_INST_0: unisim.vcomponents.LUT4 generic map( INIT => X"EAAA" ) port map ( I0 => axi_arready_int, I1 => \^s_axi_rvalid\, I2 => s_axi_rready, I3 => axi_early_arready_int, O => s_axi_arready ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_chnl is port ( axi_aresetn_d2 : out STD_LOGIC; axi_aresetn_re_reg : out STD_LOGIC; bram_en_a : out STD_LOGIC; bram_wrdata_a : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_bvalid : out STD_LOGIC; \GEN_AW_DUAL.aw_active_reg_0\ : out STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_awready : out STD_LOGIC; bram_addr_a : out STD_LOGIC_VECTOR ( 10 downto 0 ); s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); bram_we_a : out STD_LOGIC_VECTOR ( 3 downto 0 ); SR : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_aclk : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 10 downto 0 ); s_axi_aresetn : in STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wlast : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_chnl; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_chnl is signal BID_FIFO_n_0 : STD_LOGIC; signal BID_FIFO_n_10 : STD_LOGIC; signal BID_FIFO_n_11 : STD_LOGIC; signal BID_FIFO_n_12 : STD_LOGIC; signal BID_FIFO_n_13 : STD_LOGIC; signal BID_FIFO_n_14 : STD_LOGIC; signal BID_FIFO_n_15 : STD_LOGIC; signal BID_FIFO_n_3 : STD_LOGIC; signal BID_FIFO_n_4 : STD_LOGIC; signal BID_FIFO_n_5 : STD_LOGIC; signal BID_FIFO_n_6 : STD_LOGIC; signal BID_FIFO_n_7 : STD_LOGIC; signal BID_FIFO_n_8 : STD_LOGIC; signal BID_FIFO_n_9 : STD_LOGIC; signal \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_1_n_0\ : STD_LOGIC; signal \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_2_n_0\ : STD_LOGIC; signal \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_1_n_0\ : STD_LOGIC; signal \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_2_n_0\ : STD_LOGIC; signal \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_1_n_0\ : STD_LOGIC; signal \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_2_n_0\ : STD_LOGIC; signal \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_3_n_0\ : STD_LOGIC; signal \GEN_AWREADY.axi_awready_int_i_1_n_0\ : STD_LOGIC; signal \GEN_AWREADY.axi_awready_int_i_2_n_0\ : STD_LOGIC; signal \GEN_AWREADY.axi_awready_int_i_3_n_0\ : STD_LOGIC; signal \GEN_AW_DUAL.aw_active_i_2_n_0\ : STD_LOGIC; signal \^gen_aw_dual.aw_active_reg_0\ : STD_LOGIC; signal \GEN_AW_DUAL.wr_addr_sm_cs_i_1_n_0\ : STD_LOGIC; signal \GEN_AW_DUAL.wr_addr_sm_cs_i_2_n_0\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[10].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[11].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[12].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[2].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[3].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[4].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[5].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[6].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[7].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[8].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[9].axi_awaddr_pipe_reg\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.axi_awaddr_full_i_1_n_0\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_i_1_n_0\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg_n_0\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\ : STD_LOGIC; signal \GEN_AW_PIPE_DUAL.axi_awlen_pipe_1_or_2_i_2_n_0\ : STD_LOGIC; signal \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2__0_n_0\ : STD_LOGIC; signal \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_6__0_n_0\ : STD_LOGIC; signal \GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_1_n_0\ : STD_LOGIC; signal \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2_n_0\ : STD_LOGIC; signal \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.clr_bram_we_i_2_n_0\ : STD_LOGIC; signal \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_1_n_0\ : STD_LOGIC; signal \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_2_n_0\ : STD_LOGIC; signal \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_3_n_0\ : STD_LOGIC; signal \GEN_WR_NO_ECC.bram_we_int[3]_i_1_n_0\ : STD_LOGIC; signal \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\ : STD_LOGIC; signal \I_RD_CHNL/axi_aresetn_d1\ : STD_LOGIC; signal I_WRAP_BRST_n_0 : STD_LOGIC; signal I_WRAP_BRST_n_10 : STD_LOGIC; signal I_WRAP_BRST_n_11 : STD_LOGIC; signal I_WRAP_BRST_n_12 : STD_LOGIC; signal I_WRAP_BRST_n_14 : STD_LOGIC; signal I_WRAP_BRST_n_16 : STD_LOGIC; signal I_WRAP_BRST_n_17 : STD_LOGIC; signal I_WRAP_BRST_n_18 : STD_LOGIC; signal I_WRAP_BRST_n_19 : STD_LOGIC; signal I_WRAP_BRST_n_2 : STD_LOGIC; signal I_WRAP_BRST_n_20 : STD_LOGIC; signal I_WRAP_BRST_n_3 : STD_LOGIC; signal I_WRAP_BRST_n_4 : STD_LOGIC; signal I_WRAP_BRST_n_5 : STD_LOGIC; signal I_WRAP_BRST_n_6 : STD_LOGIC; signal I_WRAP_BRST_n_7 : STD_LOGIC; signal I_WRAP_BRST_n_8 : STD_LOGIC; signal I_WRAP_BRST_n_9 : STD_LOGIC; signal aw_active : STD_LOGIC; signal \^axi_aresetn_d2\ : STD_LOGIC; signal axi_aresetn_re : STD_LOGIC; signal \^axi_aresetn_re_reg\ : STD_LOGIC; signal axi_awaddr_full : STD_LOGIC; signal axi_awburst_pipe : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_awid_pipe : STD_LOGIC_VECTOR ( 11 downto 0 ); signal axi_awlen_pipe : STD_LOGIC_VECTOR ( 7 downto 0 ); signal axi_awlen_pipe_1_or_2 : STD_LOGIC; signal axi_awsize_pipe : STD_LOGIC_VECTOR ( 1 to 1 ); signal axi_bvalid_int_i_1_n_0 : STD_LOGIC; signal axi_wdata_full_cmb : STD_LOGIC; signal axi_wdata_full_cmb114_out : STD_LOGIC; signal axi_wdata_full_reg : STD_LOGIC; signal axi_wr_burst : STD_LOGIC; signal axi_wr_burst_cmb : STD_LOGIC; signal axi_wr_burst_cmb0 : STD_LOGIC; signal axi_wr_burst_i_1_n_0 : STD_LOGIC; signal axi_wr_burst_i_3_n_0 : STD_LOGIC; signal axi_wready_int_mod_i_1_n_0 : STD_LOGIC; signal axi_wready_int_mod_i_3_n_0 : STD_LOGIC; signal bid_gets_fifo_load : STD_LOGIC; signal bid_gets_fifo_load_d1 : STD_LOGIC; signal bid_gets_fifo_load_d1_i_2_n_0 : STD_LOGIC; signal \^bram_addr_a\ : STD_LOGIC_VECTOR ( 10 downto 0 ); signal bram_addr_inc : STD_LOGIC; signal bram_addr_ld : STD_LOGIC_VECTOR ( 10 to 10 ); signal bram_addr_ld_en : STD_LOGIC; signal bram_addr_ld_en_mod : STD_LOGIC; signal bram_addr_rst_cmb : STD_LOGIC; signal bram_en_cmb : STD_LOGIC; signal bvalid_cnt : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \bvalid_cnt[0]_i_1_n_0\ : STD_LOGIC; signal \bvalid_cnt[1]_i_1_n_0\ : STD_LOGIC; signal \bvalid_cnt[2]_i_1_n_0\ : STD_LOGIC; signal bvalid_cnt_inc : STD_LOGIC; signal bvalid_cnt_inc11_out : STD_LOGIC; signal clr_bram_we : STD_LOGIC; signal clr_bram_we_cmb : STD_LOGIC; signal curr_awlen_reg_1_or_2 : STD_LOGIC; signal curr_awlen_reg_1_or_20 : STD_LOGIC; signal curr_awlen_reg_1_or_2_i_2_n_0 : STD_LOGIC; signal curr_awlen_reg_1_or_2_i_3_n_0 : STD_LOGIC; signal curr_fixed_burst : STD_LOGIC; signal curr_fixed_burst_reg : STD_LOGIC; signal curr_wrap_burst : STD_LOGIC; signal curr_wrap_burst_reg : STD_LOGIC; signal delay_aw_active_clr : STD_LOGIC; signal last_data_ack_mod : STD_LOGIC; signal p_18_out : STD_LOGIC; signal p_9_out : STD_LOGIC; signal \^s_axi_awready\ : STD_LOGIC; signal \^s_axi_bvalid\ : STD_LOGIC; signal \^s_axi_wready\ : STD_LOGIC; signal wr_addr_sm_cs : STD_LOGIC; signal wr_data_sm_cs : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of wr_data_sm_cs : signal is "yes"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_1\ : label is "soft_lutpair63"; attribute SOFT_HLUTNM of \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_3\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_1\ : label is "soft_lutpair63"; attribute KEEP : string; attribute KEEP of \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs_reg[0]\ : label is "yes"; attribute KEEP of \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs_reg[1]\ : label is "yes"; attribute KEEP of \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs_reg[2]\ : label is "yes"; attribute SOFT_HLUTNM of \GEN_AW_DUAL.last_data_ack_mod_i_1\ : label is "soft_lutpair62"; attribute SOFT_HLUTNM of \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.clr_bram_we_i_2\ : label is "soft_lutpair62"; attribute SOFT_HLUTNM of bid_gets_fifo_load_d1_i_2 : label is "soft_lutpair61"; attribute SOFT_HLUTNM of curr_fixed_burst_reg_i_2 : label is "soft_lutpair60"; attribute SOFT_HLUTNM of curr_wrap_burst_reg_i_2 : label is "soft_lutpair60"; begin \GEN_AW_DUAL.aw_active_reg_0\ <= \^gen_aw_dual.aw_active_reg_0\; axi_aresetn_d2 <= \^axi_aresetn_d2\; axi_aresetn_re_reg <= \^axi_aresetn_re_reg\; bram_addr_a(10 downto 0) <= \^bram_addr_a\(10 downto 0); s_axi_awready <= \^s_axi_awready\; s_axi_bvalid <= \^s_axi_bvalid\; s_axi_wready <= \^s_axi_wready\; BID_FIFO: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_SRL_FIFO port map ( D(11) => BID_FIFO_n_4, D(10) => BID_FIFO_n_5, D(9) => BID_FIFO_n_6, D(8) => BID_FIFO_n_7, D(7) => BID_FIFO_n_8, D(6) => BID_FIFO_n_9, D(5) => BID_FIFO_n_10, D(4) => BID_FIFO_n_11, D(3) => BID_FIFO_n_12, D(2) => BID_FIFO_n_13, D(1) => BID_FIFO_n_14, D(0) => BID_FIFO_n_15, E(0) => BID_FIFO_n_0, \GEN_AWREADY.axi_aresetn_d2_reg\ => \^axi_aresetn_d2\, \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg\ => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg_n_0\, Q(11 downto 0) => axi_awid_pipe(11 downto 0), SR(0) => SR(0), aw_active => aw_active, axi_awaddr_full => axi_awaddr_full, axi_awlen_pipe_1_or_2 => axi_awlen_pipe_1_or_2, axi_bvalid_int_reg => \^s_axi_bvalid\, axi_wdata_full_cmb114_out => axi_wdata_full_cmb114_out, axi_wr_burst => axi_wr_burst, bid_gets_fifo_load => bid_gets_fifo_load, bid_gets_fifo_load_d1 => bid_gets_fifo_load_d1, bid_gets_fifo_load_d1_reg => BID_FIFO_n_3, bram_addr_ld_en => bram_addr_ld_en, bvalid_cnt(2 downto 0) => bvalid_cnt(2 downto 0), bvalid_cnt_inc => bvalid_cnt_inc, \bvalid_cnt_reg[1]\ => bid_gets_fifo_load_d1_i_2_n_0, \bvalid_cnt_reg[2]\ => I_WRAP_BRST_n_17, \bvalid_cnt_reg[2]_0\ => I_WRAP_BRST_n_16, curr_awlen_reg_1_or_2 => curr_awlen_reg_1_or_2, last_data_ack_mod => last_data_ack_mod, \out\(2 downto 0) => wr_data_sm_cs(2 downto 0), s_axi_aclk => s_axi_aclk, s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awready => \^s_axi_awready\, s_axi_awvalid => s_axi_awvalid, s_axi_bready => s_axi_bready, s_axi_wlast => s_axi_wlast, s_axi_wvalid => s_axi_wvalid, wr_addr_sm_cs => wr_addr_sm_cs ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_2_n_0\, I1 => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_3_n_0\, I2 => wr_data_sm_cs(0), O => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_1_n_0\ ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"05051F1A" ) port map ( I0 => wr_data_sm_cs(1), I1 => axi_wr_burst_cmb0, I2 => wr_data_sm_cs(0), I3 => axi_wdata_full_cmb114_out, I4 => wr_data_sm_cs(2), O => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_2_n_0\ ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"5515" ) port map ( I0 => I_WRAP_BRST_n_18, I1 => bvalid_cnt(2), I2 => bvalid_cnt(1), I3 => bvalid_cnt(0), O => axi_wr_burst_cmb0 ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_2_n_0\, I1 => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_3_n_0\, I2 => wr_data_sm_cs(1), O => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_1_n_0\ ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000554000555540" ) port map ( I0 => wr_data_sm_cs(1), I1 => s_axi_wlast, I2 => axi_wdata_full_cmb114_out, I3 => wr_data_sm_cs(0), I4 => wr_data_sm_cs(2), I5 => axi_wr_burst, O => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_2_n_0\ ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_2_n_0\, I1 => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_3_n_0\, I2 => wr_data_sm_cs(2), O => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_1_n_0\ ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"44010001" ) port map ( I0 => wr_data_sm_cs(2), I1 => wr_data_sm_cs(1), I2 => axi_wdata_full_cmb114_out, I3 => wr_data_sm_cs(0), I4 => s_axi_wvalid, O => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_2_n_0\ ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"7774777774744444" ) port map ( I0 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2_n_0\, I1 => wr_data_sm_cs(2), I2 => wr_data_sm_cs(1), I3 => s_axi_wlast, I4 => wr_data_sm_cs(0), I5 => s_axi_wvalid, O => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_3_n_0\ ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_1_n_0\, Q => wr_data_sm_cs(0), R => SR(0) ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_1_n_0\, Q => wr_data_sm_cs(1), R => SR(0) ); \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_1_n_0\, Q => wr_data_sm_cs(2), R => SR(0) ); \GEN_AWREADY.axi_aresetn_d1_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_axi_aresetn, Q => \I_RD_CHNL/axi_aresetn_d1\, R => '0' ); \GEN_AWREADY.axi_aresetn_d2_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \I_RD_CHNL/axi_aresetn_d1\, Q => \^axi_aresetn_d2\, R => '0' ); \GEN_AWREADY.axi_aresetn_re_reg_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => s_axi_aresetn, I1 => \I_RD_CHNL/axi_aresetn_d1\, O => axi_aresetn_re ); \GEN_AWREADY.axi_aresetn_re_reg_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_aresetn_re, Q => \^axi_aresetn_re_reg\, R => '0' ); \GEN_AWREADY.axi_awready_int_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFBFBFFFFFAA00" ) port map ( I0 => axi_awaddr_full, I1 => \GEN_AWREADY.axi_awready_int_i_2_n_0\, I2 => \^axi_aresetn_d2\, I3 => bram_addr_ld_en, I4 => \^axi_aresetn_re_reg\, I5 => \^s_axi_awready\, O => \GEN_AWREADY.axi_awready_int_i_1_n_0\ ); \GEN_AWREADY.axi_awready_int_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"5444444400000000" ) port map ( I0 => \GEN_AWREADY.axi_awready_int_i_3_n_0\, I1 => aw_active, I2 => bvalid_cnt(1), I3 => bvalid_cnt(0), I4 => bvalid_cnt(2), I5 => s_axi_awvalid, O => \GEN_AWREADY.axi_awready_int_i_2_n_0\ ); \GEN_AWREADY.axi_awready_int_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"AABABABABABABABA" ) port map ( I0 => wr_addr_sm_cs, I1 => I_WRAP_BRST_n_18, I2 => last_data_ack_mod, I3 => bvalid_cnt(2), I4 => bvalid_cnt(0), I5 => bvalid_cnt(1), O => \GEN_AWREADY.axi_awready_int_i_3_n_0\ ); \GEN_AWREADY.axi_awready_int_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_AWREADY.axi_awready_int_i_1_n_0\, Q => \^s_axi_awready\, R => SR(0) ); \GEN_AW_DUAL.aw_active_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^axi_aresetn_d2\, O => \^gen_aw_dual.aw_active_reg_0\ ); \GEN_AW_DUAL.aw_active_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFF7FFFFFF0000" ) port map ( I0 => wr_data_sm_cs(1), I1 => wr_data_sm_cs(0), I2 => wr_data_sm_cs(2), I3 => delay_aw_active_clr, I4 => bram_addr_ld_en, I5 => aw_active, O => \GEN_AW_DUAL.aw_active_i_2_n_0\ ); \GEN_AW_DUAL.aw_active_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_AW_DUAL.aw_active_i_2_n_0\, Q => aw_active, R => \^gen_aw_dual.aw_active_reg_0\ ); \GEN_AW_DUAL.last_data_ack_mod_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \^s_axi_wready\, I1 => s_axi_wlast, I2 => s_axi_wvalid, O => p_18_out ); \GEN_AW_DUAL.last_data_ack_mod_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => p_18_out, Q => last_data_ack_mod, R => SR(0) ); \GEN_AW_DUAL.wr_addr_sm_cs_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0010001000100000" ) port map ( I0 => \GEN_AW_DUAL.wr_addr_sm_cs_i_2_n_0\, I1 => wr_addr_sm_cs, I2 => s_axi_awvalid, I3 => axi_awaddr_full, I4 => I_WRAP_BRST_n_17, I5 => aw_active, O => \GEN_AW_DUAL.wr_addr_sm_cs_i_1_n_0\ ); \GEN_AW_DUAL.wr_addr_sm_cs_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000040" ) port map ( I0 => I_WRAP_BRST_n_17, I1 => last_data_ack_mod, I2 => axi_awaddr_full, I3 => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg_n_0\, I4 => axi_awlen_pipe_1_or_2, I5 => curr_awlen_reg_1_or_2, O => \GEN_AW_DUAL.wr_addr_sm_cs_i_2_n_0\ ); \GEN_AW_DUAL.wr_addr_sm_cs_reg\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \GEN_AW_DUAL.wr_addr_sm_cs_i_1_n_0\, Q => wr_addr_sm_cs, R => \^gen_aw_dual.aw_active_reg_0\ ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[10].axi_awaddr_pipe_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(8), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[10].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[11].axi_awaddr_pipe_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(9), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[11].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[12].axi_awaddr_pipe_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(10), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[12].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[2].axi_awaddr_pipe_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(0), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[2].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[3].axi_awaddr_pipe_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(1), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[3].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[4].axi_awaddr_pipe_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(2), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[4].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[5].axi_awaddr_pipe_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(3), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[5].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[6].axi_awaddr_pipe_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(4), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[6].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[7].axi_awaddr_pipe_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(5), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[7].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[8].axi_awaddr_pipe_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(6), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[8].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.GEN_AWADDR[9].axi_awaddr_pipe_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awaddr(7), Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[9].axi_awaddr_pipe_reg\, R => '0' ); \GEN_AW_PIPE_DUAL.axi_awaddr_full_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"4000EA00" ) port map ( I0 => axi_awaddr_full, I1 => \GEN_AWREADY.axi_awready_int_i_2_n_0\, I2 => \^axi_aresetn_d2\, I3 => s_axi_aresetn, I4 => bram_addr_ld_en, O => \GEN_AW_PIPE_DUAL.axi_awaddr_full_i_1_n_0\ ); \GEN_AW_PIPE_DUAL.axi_awaddr_full_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_AW_PIPE_DUAL.axi_awaddr_full_i_1_n_0\, Q => axi_awaddr_full, R => '0' ); \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"BF00BF00BF00FF40" ) port map ( I0 => axi_awaddr_full, I1 => \GEN_AWREADY.axi_awready_int_i_2_n_0\, I2 => \^axi_aresetn_d2\, I3 => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg_n_0\, I4 => s_axi_awburst(0), I5 => s_axi_awburst(1), O => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_i_1_n_0\ ); \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_i_1_n_0\, Q => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg_n_0\, R => '0' ); \GEN_AW_PIPE_DUAL.axi_awburst_pipe_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awburst(0), Q => axi_awburst_pipe(0), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awburst_pipe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awburst(1), Q => axi_awburst_pipe(1), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(0), Q => axi_awid_pipe(0), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(10), Q => axi_awid_pipe(10), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(11), Q => axi_awid_pipe(11), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(1), Q => axi_awid_pipe(1), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(2), Q => axi_awid_pipe(2), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(3), Q => axi_awid_pipe(3), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(4), Q => axi_awid_pipe(4), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(5), Q => axi_awid_pipe(5), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(6), Q => axi_awid_pipe(6), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(7), Q => axi_awid_pipe(7), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(8), Q => axi_awid_pipe(8), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awid(9), Q => axi_awid_pipe(9), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"40" ) port map ( I0 => axi_awaddr_full, I1 => \GEN_AWREADY.axi_awready_int_i_2_n_0\, I2 => \^axi_aresetn_d2\, O => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\ ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_1_or_2_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0002" ) port map ( I0 => \GEN_AW_PIPE_DUAL.axi_awlen_pipe_1_or_2_i_2_n_0\, I1 => s_axi_awlen(3), I2 => s_axi_awlen(2), I3 => s_axi_awlen(1), O => p_9_out ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_1_or_2_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => s_axi_awlen(4), I1 => s_axi_awlen(6), I2 => s_axi_awlen(7), I3 => s_axi_awlen(5), O => \GEN_AW_PIPE_DUAL.axi_awlen_pipe_1_or_2_i_2_n_0\ ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_1_or_2_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => p_9_out, Q => axi_awlen_pipe_1_or_2, R => '0' ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awlen(0), Q => axi_awlen_pipe(0), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awlen(1), Q => axi_awlen_pipe(1), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awlen(2), Q => axi_awlen_pipe(2), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awlen(3), Q => axi_awlen_pipe(3), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awlen(4), Q => axi_awlen_pipe(4), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awlen(5), Q => axi_awlen_pipe(5), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awlen(6), Q => axi_awlen_pipe(6), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => s_axi_awlen(7), Q => axi_awlen_pipe(7), R => '0' ); \GEN_AW_PIPE_DUAL.axi_awsize_pipe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\, D => '1', Q => axi_awsize_pipe(1), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFFFFFFFFFF" ) port map ( I0 => \^bram_addr_a\(4), I1 => \^bram_addr_a\(1), I2 => \^bram_addr_a\(0), I3 => \^bram_addr_a\(2), I4 => \^bram_addr_a\(3), I5 => \^bram_addr_a\(5), O => \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2__0_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"1000" ) port map ( I0 => wr_data_sm_cs(1), I1 => wr_data_sm_cs(2), I2 => wr_data_sm_cs(0), I3 => s_axi_wvalid, O => bram_addr_inc ); \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"1000" ) port map ( I0 => s_axi_wvalid, I1 => wr_data_sm_cs(2), I2 => wr_data_sm_cs(0), I3 => wr_data_sm_cs(1), O => bram_addr_rst_cmb ); \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_6__0\: unisim.vcomponents.LUT5 generic map( INIT => X"F7FFFFFF" ) port map ( I0 => \^bram_addr_a\(6), I1 => \^bram_addr_a\(4), I2 => I_WRAP_BRST_n_14, I3 => \^bram_addr_a\(5), I4 => \^bram_addr_a\(7), O => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_6__0_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"00E2" ) port map ( I0 => \^bram_addr_a\(10), I1 => bram_addr_ld_en_mod, I2 => bram_addr_ld(10), I3 => I_WRAP_BRST_n_0, O => \GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_1_n_0\ ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_2, D => I_WRAP_BRST_n_4, Q => \^bram_addr_a\(8), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_2, D => I_WRAP_BRST_n_3, Q => \^bram_addr_a\(9), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_1_n_0\, Q => \^bram_addr_a\(10), R => '0' ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_2, D => I_WRAP_BRST_n_12, Q => \^bram_addr_a\(0), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_2, D => I_WRAP_BRST_n_11, Q => \^bram_addr_a\(1), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_2, D => I_WRAP_BRST_n_10, Q => \^bram_addr_a\(2), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_2, D => I_WRAP_BRST_n_9, Q => \^bram_addr_a\(3), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_2, D => I_WRAP_BRST_n_8, Q => \^bram_addr_a\(4), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_2, D => I_WRAP_BRST_n_7, Q => \^bram_addr_a\(5), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_2, D => I_WRAP_BRST_n_6, Q => \^bram_addr_a\(6), R => I_WRAP_BRST_n_0 ); \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => I_WRAP_BRST_n_2, D => I_WRAP_BRST_n_5, Q => \^bram_addr_a\(7), R => I_WRAP_BRST_n_0 ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.axi_wdata_full_reg_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"15FF1500" ) port map ( I0 => axi_wdata_full_cmb114_out, I1 => axi_awaddr_full, I2 => bram_addr_ld_en, I3 => wr_data_sm_cs(2), I4 => axi_wready_int_mod_i_3_n_0, O => axi_wdata_full_cmb ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.axi_wdata_full_reg_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_wdata_full_cmb, Q => axi_wdata_full_reg, R => SR(0) ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"4777477444444444" ) port map ( I0 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2_n_0\, I1 => wr_data_sm_cs(2), I2 => wr_data_sm_cs(1), I3 => wr_data_sm_cs(0), I4 => axi_wdata_full_cmb114_out, I5 => s_axi_wvalid, O => bram_en_cmb ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"15" ) port map ( I0 => axi_wdata_full_cmb114_out, I1 => axi_awaddr_full, I2 => bram_addr_ld_en, O => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2_n_0\ ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => bram_en_cmb, Q => bram_en_a, R => SR(0) ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.clr_bram_we_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0010001000101110" ) port map ( I0 => wr_data_sm_cs(0), I1 => wr_data_sm_cs(1), I2 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.clr_bram_we_i_2_n_0\, I3 => wr_data_sm_cs(2), I4 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2_n_0\, I5 => axi_wr_burst, O => clr_bram_we_cmb ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.clr_bram_we_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => axi_wdata_full_cmb114_out, I1 => s_axi_wlast, I2 => s_axi_wvalid, O => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.clr_bram_we_i_2_n_0\ ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.clr_bram_we_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => clr_bram_we_cmb, Q => clr_bram_we, R => SR(0) ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FEAAFEFF02AA0200" ) port map ( I0 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_2_n_0\, I1 => axi_wr_burst, I2 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2_n_0\, I3 => wr_data_sm_cs(2), I4 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_3_n_0\, I5 => delay_aw_active_clr, O => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_1_n_0\ ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"0000222E" ) port map ( I0 => s_axi_wlast, I1 => wr_data_sm_cs(2), I2 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2_n_0\, I3 => wr_data_sm_cs(0), I4 => wr_data_sm_cs(1), O => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_2_n_0\ ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"8B338B0088008800" ) port map ( I0 => delay_aw_active_clr, I1 => wr_data_sm_cs(1), I2 => axi_wr_burst_cmb0, I3 => wr_data_sm_cs(0), I4 => axi_wdata_full_cmb114_out, I5 => bvalid_cnt_inc11_out, O => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_3_n_0\ ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => s_axi_wvalid, I1 => s_axi_wlast, O => bvalid_cnt_inc11_out ); \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_1_n_0\, Q => delay_aw_active_clr, R => SR(0) ); \GEN_WRDATA[0].bram_wrdata_int_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(0), Q => bram_wrdata_a(0), R => '0' ); \GEN_WRDATA[10].bram_wrdata_int_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(10), Q => bram_wrdata_a(10), R => '0' ); \GEN_WRDATA[11].bram_wrdata_int_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(11), Q => bram_wrdata_a(11), R => '0' ); \GEN_WRDATA[12].bram_wrdata_int_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(12), Q => bram_wrdata_a(12), R => '0' ); \GEN_WRDATA[13].bram_wrdata_int_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(13), Q => bram_wrdata_a(13), R => '0' ); \GEN_WRDATA[14].bram_wrdata_int_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(14), Q => bram_wrdata_a(14), R => '0' ); \GEN_WRDATA[15].bram_wrdata_int_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(15), Q => bram_wrdata_a(15), R => '0' ); \GEN_WRDATA[16].bram_wrdata_int_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(16), Q => bram_wrdata_a(16), R => '0' ); \GEN_WRDATA[17].bram_wrdata_int_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(17), Q => bram_wrdata_a(17), R => '0' ); \GEN_WRDATA[18].bram_wrdata_int_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(18), Q => bram_wrdata_a(18), R => '0' ); \GEN_WRDATA[19].bram_wrdata_int_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(19), Q => bram_wrdata_a(19), R => '0' ); \GEN_WRDATA[1].bram_wrdata_int_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(1), Q => bram_wrdata_a(1), R => '0' ); \GEN_WRDATA[20].bram_wrdata_int_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(20), Q => bram_wrdata_a(20), R => '0' ); \GEN_WRDATA[21].bram_wrdata_int_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(21), Q => bram_wrdata_a(21), R => '0' ); \GEN_WRDATA[22].bram_wrdata_int_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(22), Q => bram_wrdata_a(22), R => '0' ); \GEN_WRDATA[23].bram_wrdata_int_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(23), Q => bram_wrdata_a(23), R => '0' ); \GEN_WRDATA[24].bram_wrdata_int_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(24), Q => bram_wrdata_a(24), R => '0' ); \GEN_WRDATA[25].bram_wrdata_int_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(25), Q => bram_wrdata_a(25), R => '0' ); \GEN_WRDATA[26].bram_wrdata_int_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(26), Q => bram_wrdata_a(26), R => '0' ); \GEN_WRDATA[27].bram_wrdata_int_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(27), Q => bram_wrdata_a(27), R => '0' ); \GEN_WRDATA[28].bram_wrdata_int_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(28), Q => bram_wrdata_a(28), R => '0' ); \GEN_WRDATA[29].bram_wrdata_int_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(29), Q => bram_wrdata_a(29), R => '0' ); \GEN_WRDATA[2].bram_wrdata_int_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(2), Q => bram_wrdata_a(2), R => '0' ); \GEN_WRDATA[30].bram_wrdata_int_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(30), Q => bram_wrdata_a(30), R => '0' ); \GEN_WRDATA[31].bram_wrdata_int_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(31), Q => bram_wrdata_a(31), R => '0' ); \GEN_WRDATA[3].bram_wrdata_int_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(3), Q => bram_wrdata_a(3), R => '0' ); \GEN_WRDATA[4].bram_wrdata_int_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(4), Q => bram_wrdata_a(4), R => '0' ); \GEN_WRDATA[5].bram_wrdata_int_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(5), Q => bram_wrdata_a(5), R => '0' ); \GEN_WRDATA[6].bram_wrdata_int_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(6), Q => bram_wrdata_a(6), R => '0' ); \GEN_WRDATA[7].bram_wrdata_int_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(7), Q => bram_wrdata_a(7), R => '0' ); \GEN_WRDATA[8].bram_wrdata_int_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(8), Q => bram_wrdata_a(8), R => '0' ); \GEN_WRDATA[9].bram_wrdata_int_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wdata(9), Q => bram_wrdata_a(9), R => '0' ); \GEN_WR_NO_ECC.bram_we_int[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"D0FF" ) port map ( I0 => s_axi_wvalid, I1 => wr_data_sm_cs(2), I2 => clr_bram_we, I3 => s_axi_aresetn, O => \GEN_WR_NO_ECC.bram_we_int[3]_i_1_n_0\ ); \GEN_WR_NO_ECC.bram_we_int[3]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => s_axi_wvalid, I1 => wr_data_sm_cs(2), O => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\ ); \GEN_WR_NO_ECC.bram_we_int_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wstrb(0), Q => bram_we_a(0), R => \GEN_WR_NO_ECC.bram_we_int[3]_i_1_n_0\ ); \GEN_WR_NO_ECC.bram_we_int_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wstrb(1), Q => bram_we_a(1), R => \GEN_WR_NO_ECC.bram_we_int[3]_i_1_n_0\ ); \GEN_WR_NO_ECC.bram_we_int_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wstrb(2), Q => bram_we_a(2), R => \GEN_WR_NO_ECC.bram_we_int[3]_i_1_n_0\ ); \GEN_WR_NO_ECC.bram_we_int_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\, D => s_axi_wstrb(3), Q => bram_we_a(3), R => \GEN_WR_NO_ECC.bram_we_int[3]_i_1_n_0\ ); I_WRAP_BRST: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wrap_brst port map ( D(9) => I_WRAP_BRST_n_3, D(8) => I_WRAP_BRST_n_4, D(7) => I_WRAP_BRST_n_5, D(6) => I_WRAP_BRST_n_6, D(5) => I_WRAP_BRST_n_7, D(4) => I_WRAP_BRST_n_8, D(3) => I_WRAP_BRST_n_9, D(2) => I_WRAP_BRST_n_10, D(1) => I_WRAP_BRST_n_11, D(0) => I_WRAP_BRST_n_12, E(0) => I_WRAP_BRST_n_2, \GEN_AWREADY.axi_aresetn_d2_reg\ => \^axi_aresetn_d2\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[10].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[10].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[11].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[11].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[12].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[12].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[2].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[2].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[3].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[3].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[4].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[4].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[5].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[5].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[6].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[6].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[7].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[7].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[8].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[8].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.GEN_AWADDR[9].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[9].axi_awaddr_pipe_reg\, \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg\ => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg_n_0\, \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]\ => I_WRAP_BRST_n_0, \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\ => \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2__0_n_0\, \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\ => I_WRAP_BRST_n_14, \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]_0\ => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_6__0_n_0\, Q(3 downto 0) => axi_awlen_pipe(3 downto 0), SR(0) => SR(0), aw_active => aw_active, axi_awaddr_full => axi_awaddr_full, axi_awlen_pipe_1_or_2 => axi_awlen_pipe_1_or_2, axi_awsize_pipe(0) => axi_awsize_pipe(1), bram_addr_a(9 downto 0) => \^bram_addr_a\(9 downto 0), bram_addr_inc => bram_addr_inc, bram_addr_ld_en => bram_addr_ld_en, bram_addr_ld_en_mod => bram_addr_ld_en_mod, bram_addr_rst_cmb => bram_addr_rst_cmb, bvalid_cnt(2 downto 0) => bvalid_cnt(2 downto 0), curr_awlen_reg_1_or_2 => curr_awlen_reg_1_or_2, curr_fixed_burst => curr_fixed_burst, curr_fixed_burst_reg => curr_fixed_burst_reg, curr_fixed_burst_reg_reg => I_WRAP_BRST_n_19, curr_wrap_burst => curr_wrap_burst, curr_wrap_burst_reg => curr_wrap_burst_reg, curr_wrap_burst_reg_reg => I_WRAP_BRST_n_20, last_data_ack_mod => last_data_ack_mod, \out\(2 downto 0) => wr_data_sm_cs(2 downto 0), s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awaddr(10 downto 0) => s_axi_awaddr(10 downto 0), s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0), s_axi_awvalid => s_axi_awvalid, s_axi_wvalid => s_axi_wvalid, \save_init_bram_addr_ld_reg[12]_0\(0) => bram_addr_ld(10), \save_init_bram_addr_ld_reg[12]_1\ => I_WRAP_BRST_n_16, \save_init_bram_addr_ld_reg[12]_2\ => I_WRAP_BRST_n_17, \save_init_bram_addr_ld_reg[12]_3\ => I_WRAP_BRST_n_18, wr_addr_sm_cs => wr_addr_sm_cs ); \axi_bid_int_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_15, Q => s_axi_bid(0), R => SR(0) ); \axi_bid_int_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_5, Q => s_axi_bid(10), R => SR(0) ); \axi_bid_int_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_4, Q => s_axi_bid(11), R => SR(0) ); \axi_bid_int_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_14, Q => s_axi_bid(1), R => SR(0) ); \axi_bid_int_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_13, Q => s_axi_bid(2), R => SR(0) ); \axi_bid_int_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_12, Q => s_axi_bid(3), R => SR(0) ); \axi_bid_int_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_11, Q => s_axi_bid(4), R => SR(0) ); \axi_bid_int_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_10, Q => s_axi_bid(5), R => SR(0) ); \axi_bid_int_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_9, Q => s_axi_bid(6), R => SR(0) ); \axi_bid_int_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_8, Q => s_axi_bid(7), R => SR(0) ); \axi_bid_int_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_7, Q => s_axi_bid(8), R => SR(0) ); \axi_bid_int_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => BID_FIFO_n_0, D => BID_FIFO_n_6, Q => s_axi_bid(9), R => SR(0) ); axi_bvalid_int_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAAAAAAA8A88" ) port map ( I0 => s_axi_aresetn, I1 => bvalid_cnt_inc, I2 => BID_FIFO_n_3, I3 => bvalid_cnt(0), I4 => bvalid_cnt(2), I5 => bvalid_cnt(1), O => axi_bvalid_int_i_1_n_0 ); axi_bvalid_int_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_bvalid_int_i_1_n_0, Q => \^s_axi_bvalid\, R => '0' ); axi_wr_burst_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => axi_wr_burst_cmb, I1 => axi_wr_burst_i_3_n_0, I2 => axi_wr_burst, O => axi_wr_burst_i_1_n_0 ); axi_wr_burst_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"3088FCBB" ) port map ( I0 => s_axi_wvalid, I1 => wr_data_sm_cs(1), I2 => axi_wr_burst_cmb0, I3 => wr_data_sm_cs(0), I4 => s_axi_wlast, O => axi_wr_burst_cmb ); axi_wr_burst_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"00000000AAAAA222" ) port map ( I0 => s_axi_wvalid, I1 => wr_data_sm_cs(0), I2 => axi_wr_burst_cmb0, I3 => s_axi_wlast, I4 => wr_data_sm_cs(1), I5 => wr_data_sm_cs(2), O => axi_wr_burst_i_3_n_0 ); axi_wr_burst_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_wr_burst_i_1_n_0, Q => axi_wr_burst, R => SR(0) ); axi_wready_int_mod_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"EA00EAFF00000000" ) port map ( I0 => axi_wdata_full_cmb114_out, I1 => axi_awaddr_full, I2 => bram_addr_ld_en, I3 => wr_data_sm_cs(2), I4 => axi_wready_int_mod_i_3_n_0, I5 => s_axi_aresetn, O => axi_wready_int_mod_i_1_n_0 ); axi_wready_int_mod_i_3: unisim.vcomponents.LUT5 generic map( INIT => X"F8F9F0F0" ) port map ( I0 => wr_data_sm_cs(1), I1 => wr_data_sm_cs(0), I2 => axi_wdata_full_reg, I3 => axi_wdata_full_cmb114_out, I4 => s_axi_wvalid, O => axi_wready_int_mod_i_3_n_0 ); axi_wready_int_mod_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => axi_wready_int_mod_i_1_n_0, Q => \^s_axi_wready\, R => '0' ); bid_gets_fifo_load_d1_i_2: unisim.vcomponents.LUT3 generic map( INIT => X"EF" ) port map ( I0 => bvalid_cnt(1), I1 => bvalid_cnt(2), I2 => bvalid_cnt(0), O => bid_gets_fifo_load_d1_i_2_n_0 ); bid_gets_fifo_load_d1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => bid_gets_fifo_load, Q => bid_gets_fifo_load_d1, R => SR(0) ); \bvalid_cnt[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"95956A6A95956AAA" ) port map ( I0 => bvalid_cnt_inc, I1 => s_axi_bready, I2 => \^s_axi_bvalid\, I3 => bvalid_cnt(2), I4 => bvalid_cnt(0), I5 => bvalid_cnt(1), O => \bvalid_cnt[0]_i_1_n_0\ ); \bvalid_cnt[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"D5D5BFBF2A2A4000" ) port map ( I0 => bvalid_cnt_inc, I1 => s_axi_bready, I2 => \^s_axi_bvalid\, I3 => bvalid_cnt(2), I4 => bvalid_cnt(0), I5 => bvalid_cnt(1), O => \bvalid_cnt[1]_i_1_n_0\ ); \bvalid_cnt[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"D52AFF00FF00BF00" ) port map ( I0 => bvalid_cnt_inc, I1 => s_axi_bready, I2 => \^s_axi_bvalid\, I3 => bvalid_cnt(2), I4 => bvalid_cnt(0), I5 => bvalid_cnt(1), O => \bvalid_cnt[2]_i_1_n_0\ ); \bvalid_cnt_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \bvalid_cnt[0]_i_1_n_0\, Q => bvalid_cnt(0), R => SR(0) ); \bvalid_cnt_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \bvalid_cnt[1]_i_1_n_0\, Q => bvalid_cnt(1), R => SR(0) ); \bvalid_cnt_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \bvalid_cnt[2]_i_1_n_0\, Q => bvalid_cnt(2), R => SR(0) ); curr_awlen_reg_1_or_2_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"5000303050003000" ) port map ( I0 => axi_awlen_pipe(3), I1 => s_axi_awlen(3), I2 => curr_awlen_reg_1_or_2_i_2_n_0, I3 => curr_awlen_reg_1_or_2_i_3_n_0, I4 => axi_awaddr_full, I5 => \GEN_AW_PIPE_DUAL.axi_awlen_pipe_1_or_2_i_2_n_0\, O => curr_awlen_reg_1_or_20 ); curr_awlen_reg_1_or_2_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"00053305" ) port map ( I0 => s_axi_awlen(2), I1 => axi_awlen_pipe(2), I2 => s_axi_awlen(1), I3 => axi_awaddr_full, I4 => axi_awlen_pipe(1), O => curr_awlen_reg_1_or_2_i_2_n_0 ); curr_awlen_reg_1_or_2_i_3: unisim.vcomponents.LUT5 generic map( INIT => X"00000100" ) port map ( I0 => axi_awlen_pipe(4), I1 => axi_awlen_pipe(7), I2 => axi_awlen_pipe(6), I3 => axi_awaddr_full, I4 => axi_awlen_pipe(5), O => curr_awlen_reg_1_or_2_i_3_n_0 ); curr_awlen_reg_1_or_2_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bram_addr_ld_en, D => curr_awlen_reg_1_or_20, Q => curr_awlen_reg_1_or_2, R => SR(0) ); curr_fixed_burst_reg_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"00053305" ) port map ( I0 => s_axi_awburst(1), I1 => axi_awburst_pipe(1), I2 => s_axi_awburst(0), I3 => axi_awaddr_full, I4 => axi_awburst_pipe(0), O => curr_fixed_burst ); curr_fixed_burst_reg_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => I_WRAP_BRST_n_19, Q => curr_fixed_burst_reg, R => '0' ); curr_wrap_burst_reg_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"000ACC0A" ) port map ( I0 => s_axi_awburst(1), I1 => axi_awburst_pipe(1), I2 => s_axi_awburst(0), I3 => axi_awaddr_full, I4 => axi_awburst_pipe(0), O => curr_wrap_burst ); curr_wrap_burst_reg_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => I_WRAP_BRST_n_20, Q => curr_wrap_burst_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_full_axi is port ( s_axi_rvalid : out STD_LOGIC; s_axi_rlast : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; s_axi_awready : out STD_LOGIC; bram_rst_a : out STD_LOGIC; bram_addr_a : out STD_LOGIC_VECTOR ( 10 downto 0 ); s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); bram_en_a : out STD_LOGIC; bram_we_a : out STD_LOGIC_VECTOR ( 3 downto 0 ); bram_wrdata_a : out STD_LOGIC_VECTOR ( 31 downto 0 ); bram_addr_b : out STD_LOGIC_VECTOR ( 10 downto 0 ); s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; bram_en_b : out STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wlast : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_aclk : in STD_LOGIC; s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 10 downto 0 ); s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 10 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); bram_rddata_b : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_full_axi; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_full_axi is signal I_WR_CHNL_n_36 : STD_LOGIC; signal axi_aresetn_d2 : STD_LOGIC; signal axi_aresetn_re_reg : STD_LOGIC; signal \^bram_rst_a\ : STD_LOGIC; begin bram_rst_a <= \^bram_rst_a\; I_RD_CHNL: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_chnl port map ( \GEN_AWREADY.axi_aresetn_d2_reg\ => I_WR_CHNL_n_36, Q(9 downto 0) => bram_addr_b(9 downto 0), axi_aresetn_d2 => axi_aresetn_d2, axi_aresetn_re_reg => axi_aresetn_re_reg, bram_addr_b(0) => bram_addr_b(10), bram_en_b => bram_en_b, bram_rddata_b(31 downto 0) => bram_rddata_b(31 downto 0), bram_rst_a => \^bram_rst_a\, s_axi_aclk => s_axi_aclk, s_axi_araddr(10 downto 0) => s_axi_araddr(10 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid ); I_WR_CHNL: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_chnl port map ( \GEN_AW_DUAL.aw_active_reg_0\ => I_WR_CHNL_n_36, SR(0) => \^bram_rst_a\, axi_aresetn_d2 => axi_aresetn_d2, axi_aresetn_re_reg => axi_aresetn_re_reg, bram_addr_a(10 downto 0) => bram_addr_a(10 downto 0), bram_en_a => bram_en_a, bram_we_a(3 downto 0) => bram_we_a(3 downto 0), bram_wrdata_a(31 downto 0) => bram_wrdata_a(31 downto 0), s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awaddr(10 downto 0) => s_axi_awaddr(10 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid, s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wvalid => s_axi_wvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl_top is port ( s_axi_rvalid : out STD_LOGIC; s_axi_rlast : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; s_axi_awready : out STD_LOGIC; bram_rst_a : out STD_LOGIC; bram_addr_a : out STD_LOGIC_VECTOR ( 10 downto 0 ); s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); bram_en_a : out STD_LOGIC; bram_we_a : out STD_LOGIC_VECTOR ( 3 downto 0 ); bram_wrdata_a : out STD_LOGIC_VECTOR ( 31 downto 0 ); bram_addr_b : out STD_LOGIC_VECTOR ( 10 downto 0 ); s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; bram_en_b : out STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wlast : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_aclk : in STD_LOGIC; s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 10 downto 0 ); s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 10 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); bram_rddata_b : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl_top; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl_top is begin \GEN_AXI4.I_FULL_AXI\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_full_axi port map ( bram_addr_a(10 downto 0) => bram_addr_a(10 downto 0), bram_addr_b(10 downto 0) => bram_addr_b(10 downto 0), bram_en_a => bram_en_a, bram_en_b => bram_en_b, bram_rddata_b(31 downto 0) => bram_rddata_b(31 downto 0), bram_rst_a => bram_rst_a, bram_we_a(3 downto 0) => bram_we_a(3 downto 0), bram_wrdata_a(31 downto 0) => bram_wrdata_a(31 downto 0), s_axi_aclk => s_axi_aclk, s_axi_araddr(10 downto 0) => s_axi_araddr(10 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(10 downto 0) => s_axi_awaddr(10 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid, s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wvalid => s_axi_wvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; ecc_interrupt : out STD_LOGIC; ecc_ue : out STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 12 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC; s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 12 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC; s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_ctrl_awvalid : in STD_LOGIC; s_axi_ctrl_awready : out STD_LOGIC; s_axi_ctrl_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_ctrl_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_ctrl_wvalid : in STD_LOGIC; s_axi_ctrl_wready : out STD_LOGIC; s_axi_ctrl_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_ctrl_bvalid : out STD_LOGIC; s_axi_ctrl_bready : in STD_LOGIC; s_axi_ctrl_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_ctrl_arvalid : in STD_LOGIC; s_axi_ctrl_arready : out STD_LOGIC; s_axi_ctrl_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_ctrl_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_ctrl_rvalid : out STD_LOGIC; s_axi_ctrl_rready : in STD_LOGIC; bram_rst_a : out STD_LOGIC; bram_clk_a : out STD_LOGIC; bram_en_a : out STD_LOGIC; bram_we_a : out STD_LOGIC_VECTOR ( 3 downto 0 ); bram_addr_a : out STD_LOGIC_VECTOR ( 12 downto 0 ); bram_wrdata_a : out STD_LOGIC_VECTOR ( 31 downto 0 ); bram_rddata_a : in STD_LOGIC_VECTOR ( 31 downto 0 ); bram_rst_b : out STD_LOGIC; bram_clk_b : out STD_LOGIC; bram_en_b : out STD_LOGIC; bram_we_b : out STD_LOGIC_VECTOR ( 3 downto 0 ); bram_addr_b : out STD_LOGIC_VECTOR ( 12 downto 0 ); bram_wrdata_b : out STD_LOGIC_VECTOR ( 31 downto 0 ); bram_rddata_b : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute C_BRAM_ADDR_WIDTH : integer; attribute C_BRAM_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 11; attribute C_BRAM_INST_MODE : string; attribute C_BRAM_INST_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is "EXTERNAL"; attribute C_ECC : integer; attribute C_ECC of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 0; attribute C_ECC_ONOFF_RESET_VALUE : integer; attribute C_ECC_ONOFF_RESET_VALUE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 0; attribute C_ECC_TYPE : integer; attribute C_ECC_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 0; attribute C_FAMILY : string; attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is "zynq"; attribute C_FAULT_INJECT : integer; attribute C_FAULT_INJECT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 0; attribute C_MEMORY_DEPTH : integer; attribute C_MEMORY_DEPTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 2048; attribute C_SELECT_XPM : integer; attribute C_SELECT_XPM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 0; attribute C_SINGLE_PORT_BRAM : integer; attribute C_SINGLE_PORT_BRAM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 0; attribute C_S_AXI_ADDR_WIDTH : integer; attribute C_S_AXI_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 13; attribute C_S_AXI_CTRL_ADDR_WIDTH : integer; attribute C_S_AXI_CTRL_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 32; attribute C_S_AXI_CTRL_DATA_WIDTH : integer; attribute C_S_AXI_CTRL_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 32; attribute C_S_AXI_DATA_WIDTH : integer; attribute C_S_AXI_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 32; attribute C_S_AXI_ID_WIDTH : integer; attribute C_S_AXI_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 12; attribute C_S_AXI_PROTOCOL : string; attribute C_S_AXI_PROTOCOL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is "AXI4"; attribute C_S_AXI_SUPPORTS_NARROW_BURST : integer; attribute C_S_AXI_SUPPORTS_NARROW_BURST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is 0; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl : entity is "yes"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl is signal \<const0>\ : STD_LOGIC; signal \^bram_addr_a\ : STD_LOGIC_VECTOR ( 12 downto 2 ); signal \^bram_addr_b\ : STD_LOGIC_VECTOR ( 12 downto 2 ); signal \^bram_rst_a\ : STD_LOGIC; signal \^s_axi_aclk\ : STD_LOGIC; begin \^s_axi_aclk\ <= s_axi_aclk; bram_addr_a(12 downto 2) <= \^bram_addr_a\(12 downto 2); bram_addr_a(1) <= \<const0>\; bram_addr_a(0) <= \<const0>\; bram_addr_b(12 downto 2) <= \^bram_addr_b\(12 downto 2); bram_addr_b(1) <= \<const0>\; bram_addr_b(0) <= \<const0>\; bram_clk_a <= \^s_axi_aclk\; bram_clk_b <= \^s_axi_aclk\; bram_rst_a <= \^bram_rst_a\; bram_rst_b <= \^bram_rst_a\; bram_we_b(3) <= \<const0>\; bram_we_b(2) <= \<const0>\; bram_we_b(1) <= \<const0>\; bram_we_b(0) <= \<const0>\; bram_wrdata_b(31) <= \<const0>\; bram_wrdata_b(30) <= \<const0>\; bram_wrdata_b(29) <= \<const0>\; bram_wrdata_b(28) <= \<const0>\; bram_wrdata_b(27) <= \<const0>\; bram_wrdata_b(26) <= \<const0>\; bram_wrdata_b(25) <= \<const0>\; bram_wrdata_b(24) <= \<const0>\; bram_wrdata_b(23) <= \<const0>\; bram_wrdata_b(22) <= \<const0>\; bram_wrdata_b(21) <= \<const0>\; bram_wrdata_b(20) <= \<const0>\; bram_wrdata_b(19) <= \<const0>\; bram_wrdata_b(18) <= \<const0>\; bram_wrdata_b(17) <= \<const0>\; bram_wrdata_b(16) <= \<const0>\; bram_wrdata_b(15) <= \<const0>\; bram_wrdata_b(14) <= \<const0>\; bram_wrdata_b(13) <= \<const0>\; bram_wrdata_b(12) <= \<const0>\; bram_wrdata_b(11) <= \<const0>\; bram_wrdata_b(10) <= \<const0>\; bram_wrdata_b(9) <= \<const0>\; bram_wrdata_b(8) <= \<const0>\; bram_wrdata_b(7) <= \<const0>\; bram_wrdata_b(6) <= \<const0>\; bram_wrdata_b(5) <= \<const0>\; bram_wrdata_b(4) <= \<const0>\; bram_wrdata_b(3) <= \<const0>\; bram_wrdata_b(2) <= \<const0>\; bram_wrdata_b(1) <= \<const0>\; bram_wrdata_b(0) <= \<const0>\; ecc_interrupt <= \<const0>\; ecc_ue <= \<const0>\; s_axi_bresp(1) <= \<const0>\; s_axi_bresp(0) <= \<const0>\; s_axi_ctrl_arready <= \<const0>\; s_axi_ctrl_awready <= \<const0>\; s_axi_ctrl_bresp(1) <= \<const0>\; s_axi_ctrl_bresp(0) <= \<const0>\; s_axi_ctrl_bvalid <= \<const0>\; s_axi_ctrl_rdata(31) <= \<const0>\; s_axi_ctrl_rdata(30) <= \<const0>\; s_axi_ctrl_rdata(29) <= \<const0>\; s_axi_ctrl_rdata(28) <= \<const0>\; s_axi_ctrl_rdata(27) <= \<const0>\; s_axi_ctrl_rdata(26) <= \<const0>\; s_axi_ctrl_rdata(25) <= \<const0>\; s_axi_ctrl_rdata(24) <= \<const0>\; s_axi_ctrl_rdata(23) <= \<const0>\; s_axi_ctrl_rdata(22) <= \<const0>\; s_axi_ctrl_rdata(21) <= \<const0>\; s_axi_ctrl_rdata(20) <= \<const0>\; s_axi_ctrl_rdata(19) <= \<const0>\; s_axi_ctrl_rdata(18) <= \<const0>\; s_axi_ctrl_rdata(17) <= \<const0>\; s_axi_ctrl_rdata(16) <= \<const0>\; s_axi_ctrl_rdata(15) <= \<const0>\; s_axi_ctrl_rdata(14) <= \<const0>\; s_axi_ctrl_rdata(13) <= \<const0>\; s_axi_ctrl_rdata(12) <= \<const0>\; s_axi_ctrl_rdata(11) <= \<const0>\; s_axi_ctrl_rdata(10) <= \<const0>\; s_axi_ctrl_rdata(9) <= \<const0>\; s_axi_ctrl_rdata(8) <= \<const0>\; s_axi_ctrl_rdata(7) <= \<const0>\; s_axi_ctrl_rdata(6) <= \<const0>\; s_axi_ctrl_rdata(5) <= \<const0>\; s_axi_ctrl_rdata(4) <= \<const0>\; s_axi_ctrl_rdata(3) <= \<const0>\; s_axi_ctrl_rdata(2) <= \<const0>\; s_axi_ctrl_rdata(1) <= \<const0>\; s_axi_ctrl_rdata(0) <= \<const0>\; s_axi_ctrl_rresp(1) <= \<const0>\; s_axi_ctrl_rresp(0) <= \<const0>\; s_axi_ctrl_rvalid <= \<const0>\; s_axi_ctrl_wready <= \<const0>\; s_axi_rresp(1) <= \<const0>\; s_axi_rresp(0) <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); \gext_inst.abcv4_0_ext_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl_top port map ( bram_addr_a(10 downto 0) => \^bram_addr_a\(12 downto 2), bram_addr_b(10 downto 0) => \^bram_addr_b\(12 downto 2), bram_en_a => bram_en_a, bram_en_b => bram_en_b, bram_rddata_b(31 downto 0) => bram_rddata_b(31 downto 0), bram_rst_a => \^bram_rst_a\, bram_we_a(3 downto 0) => bram_we_a(3 downto 0), bram_wrdata_a(31 downto 0) => bram_wrdata_a(31 downto 0), s_axi_aclk => \^s_axi_aclk\, s_axi_araddr(10 downto 0) => s_axi_araddr(12 downto 2), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(10 downto 0) => s_axi_awaddr(12 downto 2), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid, s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wvalid => s_axi_wvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 12 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC; s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 12 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC; s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; bram_rst_a : out STD_LOGIC; bram_clk_a : out STD_LOGIC; bram_en_a : out STD_LOGIC; bram_we_a : out STD_LOGIC_VECTOR ( 3 downto 0 ); bram_addr_a : out STD_LOGIC_VECTOR ( 12 downto 0 ); bram_wrdata_a : out STD_LOGIC_VECTOR ( 31 downto 0 ); bram_rddata_a : in STD_LOGIC_VECTOR ( 31 downto 0 ); bram_rst_b : out STD_LOGIC; bram_clk_b : out STD_LOGIC; bram_en_b : out STD_LOGIC; bram_we_b : out STD_LOGIC_VECTOR ( 3 downto 0 ); bram_addr_b : out STD_LOGIC_VECTOR ( 12 downto 0 ); bram_wrdata_b : out STD_LOGIC_VECTOR ( 31 downto 0 ); bram_rddata_b : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "zqynq_lab_1_design_axi_bram_ctrl_0_0,axi_bram_ctrl,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes"; attribute x_core_info : string; attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "axi_bram_ctrl,Vivado 2017.2.1"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is signal NLW_U0_ecc_interrupt_UNCONNECTED : STD_LOGIC; signal NLW_U0_ecc_ue_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_ctrl_arready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_ctrl_awready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_ctrl_bvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_ctrl_rvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_ctrl_wready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_ctrl_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_ctrl_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_s_axi_ctrl_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_BRAM_ADDR_WIDTH : integer; attribute C_BRAM_ADDR_WIDTH of U0 : label is 11; attribute C_BRAM_INST_MODE : string; attribute C_BRAM_INST_MODE of U0 : label is "EXTERNAL"; attribute C_ECC : integer; attribute C_ECC of U0 : label is 0; attribute C_ECC_ONOFF_RESET_VALUE : integer; attribute C_ECC_ONOFF_RESET_VALUE of U0 : label is 0; attribute C_ECC_TYPE : integer; attribute C_ECC_TYPE of U0 : label is 0; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "zynq"; attribute C_FAULT_INJECT : integer; attribute C_FAULT_INJECT of U0 : label is 0; attribute C_MEMORY_DEPTH : integer; attribute C_MEMORY_DEPTH of U0 : label is 2048; attribute C_SELECT_XPM : integer; attribute C_SELECT_XPM of U0 : label is 0; attribute C_SINGLE_PORT_BRAM : integer; attribute C_SINGLE_PORT_BRAM of U0 : label is 0; attribute C_S_AXI_ADDR_WIDTH : integer; attribute C_S_AXI_ADDR_WIDTH of U0 : label is 13; attribute C_S_AXI_CTRL_ADDR_WIDTH : integer; attribute C_S_AXI_CTRL_ADDR_WIDTH of U0 : label is 32; attribute C_S_AXI_CTRL_DATA_WIDTH : integer; attribute C_S_AXI_CTRL_DATA_WIDTH of U0 : label is 32; attribute C_S_AXI_DATA_WIDTH : integer; attribute C_S_AXI_DATA_WIDTH of U0 : label is 32; attribute C_S_AXI_ID_WIDTH : integer; attribute C_S_AXI_ID_WIDTH of U0 : label is 12; attribute C_S_AXI_PROTOCOL : string; attribute C_S_AXI_PROTOCOL of U0 : label is "AXI4"; attribute C_S_AXI_SUPPORTS_NARROW_BURST : integer; attribute C_S_AXI_SUPPORTS_NARROW_BURST of U0 : label is 0; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; begin U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_bram_ctrl port map ( bram_addr_a(12 downto 0) => bram_addr_a(12 downto 0), bram_addr_b(12 downto 0) => bram_addr_b(12 downto 0), bram_clk_a => bram_clk_a, bram_clk_b => bram_clk_b, bram_en_a => bram_en_a, bram_en_b => bram_en_b, bram_rddata_a(31 downto 0) => bram_rddata_a(31 downto 0), bram_rddata_b(31 downto 0) => bram_rddata_b(31 downto 0), bram_rst_a => bram_rst_a, bram_rst_b => bram_rst_b, bram_we_a(3 downto 0) => bram_we_a(3 downto 0), bram_we_b(3 downto 0) => bram_we_b(3 downto 0), bram_wrdata_a(31 downto 0) => bram_wrdata_a(31 downto 0), bram_wrdata_b(31 downto 0) => bram_wrdata_b(31 downto 0), ecc_interrupt => NLW_U0_ecc_interrupt_UNCONNECTED, ecc_ue => NLW_U0_ecc_ue_UNCONNECTED, s_axi_aclk => s_axi_aclk, s_axi_araddr(12 downto 0) => s_axi_araddr(12 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arlock => s_axi_arlock, s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(12 downto 0) => s_axi_awaddr(12 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awlock => s_axi_awlock, s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awvalid => s_axi_awvalid, s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bvalid => s_axi_bvalid, s_axi_ctrl_araddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_ctrl_arready => NLW_U0_s_axi_ctrl_arready_UNCONNECTED, s_axi_ctrl_arvalid => '0', s_axi_ctrl_awaddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_ctrl_awready => NLW_U0_s_axi_ctrl_awready_UNCONNECTED, s_axi_ctrl_awvalid => '0', s_axi_ctrl_bready => '0', s_axi_ctrl_bresp(1 downto 0) => NLW_U0_s_axi_ctrl_bresp_UNCONNECTED(1 downto 0), s_axi_ctrl_bvalid => NLW_U0_s_axi_ctrl_bvalid_UNCONNECTED, s_axi_ctrl_rdata(31 downto 0) => NLW_U0_s_axi_ctrl_rdata_UNCONNECTED(31 downto 0), s_axi_ctrl_rready => '0', s_axi_ctrl_rresp(1 downto 0) => NLW_U0_s_axi_ctrl_rresp_UNCONNECTED(1 downto 0), s_axi_ctrl_rvalid => NLW_U0_s_axi_ctrl_rvalid_UNCONNECTED, s_axi_ctrl_wdata(31 downto 0) => B"00000000000000000000000000000000", s_axi_ctrl_wready => NLW_U0_s_axi_ctrl_wready_UNCONNECTED, s_axi_ctrl_wvalid => '0', s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wvalid => s_axi_wvalid ); end STRUCTURE;
mit
720d1a4a288535daf006bb0709f01cb1
0.545745
2.564993
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/gaisler/srmmu/mmutw.vhd
1
10,326
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: mmutw -- File: mmutw.vhd -- Author: Konrad Eisele, Jiri Gaisler, Gaisler Research -- Description: MMU table-walk logic ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.config_types.all; use grlib.config.all; use grlib.amba.all; use grlib.stdlib.all; library gaisler; use gaisler.mmuconfig.all; use gaisler.mmuiface.all; use gaisler.libmmu.all; entity mmutw is generic ( mmupgsz : integer range 0 to 5 := 0 ); port ( rst : in std_logic; clk : in std_logic; mmctrl1 : in mmctrl_type1; twi : in mmutw_in_type; two : out mmutw_out_type; mcmmo : in memory_mm_out_type; mcmmi : out memory_mm_in_type ); end mmutw; architecture rtl of mmutw is type write_buffer_type is record -- write buffer addr, data : std_logic_vector(31 downto 0); read : std_logic; end record; constant write_buffer_none : write_buffer_type := ( addr => (others => '0'), data => (others => '0'), read => '0'); type states is (idle, waitm, pte, lv1, lv2, lv3, lv4); type tw_rtype is record state : states; wb : write_buffer_type; req : std_logic; walk_op : std_logic; end record; constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1; constant RRES : tw_rtype := ( state => idle, wb => write_buffer_none, req => '0', walk_op => '0'); signal c,r : tw_rtype; begin p0: process (rst, r, twi, mcmmo, mmctrl1) variable v : tw_rtype; variable finish : std_logic; variable index : std_logic_vector(31-2 downto 0); variable lvl : std_logic_vector(1 downto 0); variable fault_mexc : std_logic; variable fault_trans : std_logic; variable fault_inv : std_logic; variable fault_lvl : std_logic_vector(1 downto 0); variable pte,ptd,inv,rvd : std_logic; variable goon, found : std_logic; variable base : std_logic_vector(31 downto 0); variable pagesize : integer range 0 to 3; begin v := r; --#init finish := '0'; index := (others => '0'); lvl := (others => '0'); fault_mexc := '0'; fault_trans := '0'; fault_inv := '0'; fault_lvl := (others => '0'); pte := '0';ptd := '0';inv := '0';rvd := '0'; goon := '0'; found := '0'; base := (others => '0'); base(PADDR_PTD_U downto PADDR_PTD_D) := mcmmo.data(PTD_PTP32_U downto PTD_PTP32_D); if mcmmo.grant = '1' then v.req := '0'; end if; if mcmmo.retry = '1' then v.req := '1'; end if; -- # pte/ptd if ((mcmmo.ready and not r.req)= '1') then -- context case mcmmo.data(PT_ET_U downto PT_ET_D) is when ET_INV => inv := '1'; when ET_PTD => ptd := '1'; goon := '1'; when ET_PTE => pte := '1'; found := '1'; when ET_RVD => rvd := '1'; null; when others => null; end case; end if; fault_trans := (rvd); fault_inv := inv; pagesize := MMU_getpagesize(mmupgsz,mmctrl1); case pagesize is when 1 => -- 8k tag comparision [ 7 6 6 ] when 2 => -- 16k tag comparision [ 6 6 6 ] when 3 => -- 32k tag comparision [ 4 7 6 ] when others => -- standard 4k tag comparision [ 8 6 6 ] end case; -- # state machine case r.state is when idle => if (twi.walk_op_ur) = '1' then v.walk_op := '1'; index(M_CTX_SZ-1 downto 0) := mmctrl1.ctx; base := (others => '0'); base(PADDR_PTD_U downto PADDR_PTD_D) := mmctrl1.ctxp(MMCTRL_PTP32_U downto MMCTRL_PTP32_D); v.wb.addr := base or (index&"00"); v.wb.read := '1'; v.req := '1'; v.state := lv1; elsif (twi.areq_ur) = '1' then index := (others => '0'); v.wb.addr := twi.aaddr; v.wb.data := twi.adata; v.wb.read := '0'; v.req := '1'; v.state := waitm; end if; when waitm => if ((mcmmo.ready and not r.req)= '1') then -- amba: result ready current cycle fault_mexc := mcmmo.mexc; v.state := idle; finish := '1'; end if; when lv1 => if ((mcmmo.ready and not r.req)= '1') then lvl := LVL_CTX; fault_lvl := FS_L_CTX; case pagesize is when 1 => -- 8k tag comparision [ 7 6 6 ] index(P8K_VA_I1_SZ-1 downto 0) := twi.data(P8K_VA_I1_U downto P8K_VA_I1_D); when 2 => -- 16k tag comparision [ 6 6 6 ] index(P16K_VA_I1_SZ-1 downto 0) := twi.data(P16K_VA_I1_U downto P16K_VA_I1_D); when 3 => -- 32k tag comparision [ 4 7 6 ] index(P32K_VA_I1_SZ-1 downto 0) := twi.data(P32K_VA_I1_U downto P32K_VA_I1_D); when others => -- standard 4k tag comparision [ 8 6 6 ] index(VA_I1_SZ-1 downto 0) := twi.data(VA_I1_U downto VA_I1_D); end case; v.state := lv2; end if; when lv2 => if ((mcmmo.ready and not r.req)= '1') then lvl := LVL_REGION; fault_lvl := FS_L_L1; case pagesize is when 1 => -- 8k tag comparision [ 7 6 6 ] index(P8K_VA_I2_SZ-1 downto 0) := twi.data(P8K_VA_I2_U downto P8K_VA_I2_D); when 2 => -- 16k tag comparision [ 6 6 6 ] index(P16K_VA_I2_SZ-1 downto 0) := twi.data(P16K_VA_I2_U downto P16K_VA_I2_D); when 3 => -- 32k tag comparision [ 4 7 6 ] index(P32K_VA_I2_SZ-1 downto 0) := twi.data(P32K_VA_I2_U downto P32K_VA_I2_D); when others => -- standard 4k tag comparision [ 8 6 6 ] index(VA_I2_SZ-1 downto 0) := twi.data(VA_I2_U downto VA_I2_D); end case; v.state := lv3; end if; when lv3 => if ((mcmmo.ready and not r.req)= '1') then lvl := LVL_SEGMENT; fault_lvl := FS_L_L2; case pagesize is when 1 => -- 8k tag comparision [ 7 6 6 ] index(P8K_VA_I3_SZ-1 downto 0) := twi.data(P8K_VA_I3_U downto P8K_VA_I3_D); when 2 => -- 16k tag comparision [ 6 6 6 ] index(P16K_VA_I3_SZ-1 downto 0) := twi.data(P16K_VA_I3_U downto P16K_VA_I3_D); when 3 => -- 32k tag comparision [ 4 7 6 ] index(P32K_VA_I3_SZ-1 downto 0) := twi.data(P32K_VA_I3_U downto P32K_VA_I3_D); when others => -- standard 4k tag comparision [ 8 6 6 ] index(VA_I3_SZ-1 downto 0) := twi.data(VA_I3_U downto VA_I3_D); end case; v.state := lv4; end if; when lv4 => if ((mcmmo.ready and not r.req)= '1') then lvl := LVL_PAGE; fault_lvl := FS_L_L3; fault_trans := fault_trans or ptd; v.state := idle; finish := '1'; end if; when others => v.state := idle; finish := '0'; end case; base := base or (index&"00"); if r.walk_op = '1' then if (mcmmo.ready and (not r.req)) = '1' then fault_mexc := mcmmo.mexc; if (( ptd and (not fault_mexc ) and (not fault_trans) and (not fault_inv )) = '1') then -- tw : break table walk? v.wb.addr := base; v.req := '1'; else v.walk_op := '0'; finish := '1'; v.state := idle; end if; end if; end if; -- # reset if (not RESET_ALL) and ( rst = '0' ) then v.state := RRES.state; v.req := RRES.req; v.walk_op := RRES.walk_op; v.wb.read := RRES.wb.read; end if; --# drive signals two.finish <= finish; two.data <= mcmmo.data; two.addr <= r.wb.addr(31 downto 0); two.lvl <= lvl; two.fault_mexc <= fault_mexc; two.fault_trans <= fault_trans; two.fault_inv <= fault_inv; two.fault_lvl <= fault_lvl; mcmmi.address <= r.wb.addr; mcmmi.data <= r.wb.data; mcmmi.burst <= '0'; mcmmi.size <= "10"; mcmmi.read <= r.wb.read; mcmmi.lock <= '0'; mcmmi.req <= r.req; c <= v; end process p0; p1: process (clk) begin if rising_edge(clk) then r <= c; if RESET_ALL and (rst = '0') then r <= RRES; end if; end if; end process p1; end rtl;
gpl-2.0
0f52c1c7cfbb549a0ebb36002fddda6f
0.476758
3.490872
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/lab3_project_default.xpr/project_1/project_1.ipdefs/ip_0/tmp.srcs/sources_1/ip/convolve_kernel_ap_fadd_3_full_dsp_32/synth/convolve_kernel_ap_fadd_3_full_dsp_32.vhd
3
12,845
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_4; USE floating_point_v7_1_4.floating_point_v7_1_4; ENTITY convolve_kernel_ap_fadd_3_full_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END convolve_kernel_ap_fadd_3_full_dsp_32; ARCHITECTURE convolve_kernel_ap_fadd_3_full_dsp_32_arch OF convolve_kernel_ap_fadd_3_full_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF convolve_kernel_ap_fadd_3_full_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_4 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_4; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF convolve_kernel_ap_fadd_3_full_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_4,Vivado 2017.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF convolve_kernel_ap_fadd_3_full_dsp_32_arch : ARCHITECTURE IS "convolve_kernel_ap_fadd_3_full_dsp_32,floating_point_v7_1_4,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF convolve_kernel_ap_fadd_3_full_dsp_32_arch: ARCHITECTURE IS "convolve_kernel_ap_fadd_3_full_dsp_32,floating_point_v7_1_4,{x_ipProduct=Vivado 2017.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=4,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=1,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_" & "FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=3,C_OPTIMIZATION=1,C_MULT_USAGE=2,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=" & "0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0" & "}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_4 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 1, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 3, C_OPTIMIZATION => 1, C_MULT_USAGE => 2, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END convolve_kernel_ap_fadd_3_full_dsp_32_arch;
mit
2291a3e9b131f75ebc60de046bbd573c
0.651693
3.007492
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-nuhorizons-3s1500/config.vhd
1
5,963
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := spartan3; constant CFG_MEMTECH : integer := spartan3; constant CFG_PADTECH : integer := spartan3; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := spartan3; constant CFG_CLKMUL : integer := (4); constant CFG_CLKDIV : integer := (5); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 1; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 2 + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 1; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (2); constant CFG_PWD : integer := 1*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 1; constant CFG_ISETSZ : integer := 8; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 0; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 1; constant CFG_DSETSZ : integer := 8; constant CFG_DLINE : integer := 8; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1*2 + 4*0; constant CFG_DFIXED : integer := 16#00f3#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 8; constant CFG_DTLBNUM : integer := 8; constant CFG_TLB_TYPE : integer := 0 + 1*2; constant CFG_TLB_REP : integer := 0; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2; constant CFG_ATBSZ : integer := 2; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 2; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0033#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#00002B#; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 1; constant CFG_MCTRL_RAM16BIT : integer := 1; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 1; constant CFG_MCTRL_SEPBUS : integer := 1; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- AHB ROM constant CFG_AHBROMEN : integer := 0; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#000#; constant CFG_ROMMASK : integer := 16#E00# + 16#000#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 32; -- CAN 2.0 interface constant CFG_CAN : integer := 1; constant CFG_CANIO : integer := 16#C00#; constant CFG_CANIRQ : integer := (13); constant CFG_CANLOOP : integer := 0; constant CFG_CAN_SYNCRST : integer := 0; constant CFG_CANFT : integer := 0; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 8; -- UART 2 constant CFG_UART2_ENABLE : integer := 0; constant CFG_UART2_FIFO : integer := 1; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#fffe#; constant CFG_GRGPIO_WIDTH : integer := (16); -- GRLIB debugging constant CFG_DUART : integer := 0; end;
gpl-2.0
c0f4e8acd81f8b6b8d2351f88bab2836
0.6433
3.61175
false
false
false
false
cesar-avalos3/C8VHDL
sources/vhdl/clock_div.vhd
1
559
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_unsigned.all; entity clock_div is Port ( reset, clk_in : in STD_LOGIC; clk_out : out STD_LOGIC); end clock_div; architecture Behavioral of clock_div is signal count : std_logic_vector( 3 downto 0 ); begin clk_out <= count(3); process( reset, clk_in ) begin if( reset = '1' ) then count <= ( others => '0' ); elsif( rising_edge( clk_in ) ) then count <= count + "0001"; end if; end process; end Behavioral;
mit
ce03351ca93e58eb9db7e92b7674c93d
0.577818
3.408537
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-xilinx-ml605/ahb2mig_ml605.vhd
1
16,737
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: ahb2mig -- File: ahb2mig.vhd -- Author: Jiri Gaisler, Gaisler Research -- Description: AHB wrapper for Xilinx Virtex6 DDR2/3 MIG ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; package ml605 is constant nCS_PER_RANK : integer := 1; constant BANK_WIDTH : integer := 3; constant CK_WIDTH : integer := 1; constant CKE_WIDTH : integer := 1; constant COL_WIDTH : integer := 10; constant CS_WIDTH : integer := 1; constant DM_WIDTH : integer := 8; constant DQ_WIDTH : integer := 64; constant DQS_WIDTH : integer := 8; constant ROW_WIDTH : integer := 13; constant PAYLOAD_WIDTH : integer := 64; constant ADDR_WIDTH : integer := 27; type mig_app_in_type is record app_wdf_wren : std_logic; app_wdf_data : std_logic_vector((4*PAYLOAD_WIDTH)-1 downto 0); app_wdf_mask : std_logic_vector((4*PAYLOAD_WIDTH)/8-1 downto 0); app_wdf_end : std_logic; app_addr : std_logic_vector(ADDR_WIDTH-1 downto 0); app_cmd : std_logic_vector(2 downto 0); app_en : std_logic; end record; type mig_app_out_type is record app_rdy : std_logic; app_wdf_rdy : std_logic; app_rd_data : std_logic_vector((4*PAYLOAD_WIDTH)-1 downto 0); app_rd_data_valid : std_logic; end record; component ahb2mig_ml605 generic ( memtech : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#e00#; MHz : integer := 100; Mbyte : integer := 512; nosync : integer := 0 ); port ( rst : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; migi : out mig_app_in_type; migo : in mig_app_out_type ); end component; end package; library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; library gaisler; use grlib.devices.all; use gaisler.memctrl.all; library techmap; use techmap.gencomp.all; use work.ml605.all; entity ahb2mig_ml605 is generic ( memtech : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#e00#; MHz : integer := 100; Mbyte : integer := 512; nosync : integer := 0 ); port ( rst : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; migi : out mig_app_in_type; migo : in mig_app_out_type ); end; architecture rtl of ahb2mig_ml605 is constant REVISION : integer := 0; constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_MIGDDR2, 0, REVISION, 0), 4 => ahb_membar(haddr, '1', '1', hmask), others => zero32); type ahb_state_type is (midle, rhold, dread, dwrite, whold1, whold2); type ddr_state_type is (midle, rhold, dread, dwrite, whold1, whold2); constant abuf : integer := 6; type access_param is record haddr : std_logic_vector(31 downto 0); size : std_logic_vector(2 downto 0); hwrite : std_ulogic; end record; -- local registers type mem is array(0 to 15) of std_logic_vector(31 downto 0); type wrm is array(0 to 15) of std_logic_vector(3 downto 0); type ahb_reg_type is record hready : std_ulogic; hsel : std_ulogic; startsd : std_ulogic; state : ahb_state_type; haddr : std_logic_vector(31 downto 0); hrdata : std_logic_vector(127 downto 0); hwrite : std_ulogic; htrans : std_logic_vector(1 downto 0); hresp : std_logic_vector(1 downto 0); raddr : std_logic_vector(abuf-1 downto 0); size : std_logic_vector(2 downto 0); acc : access_param; sync : std_ulogic; hwdata : mem; write : wrm; end record; type ddr_reg_type is record startsd : std_ulogic; hrdata : std_logic_vector(511 downto 0); sync : std_ulogic; dstate : ahb_state_type; end record; signal vcc, clk_ahb1, clk_ahb2 : std_ulogic; signal r, ri : ddr_reg_type; signal ra, rai : ahb_reg_type; signal rbdrive, ribdrive : std_logic_vector(31 downto 0); signal hwdata, hwdatab : std_logic_vector(127 downto 0); begin vcc <= '1'; ahb_ctrl : process(rst, ahbsi, r, ra, migo, hwdata) variable va : ahb_reg_type; -- local variables for registers variable startsd : std_ulogic; variable ready : std_logic; variable tmp : std_logic_vector(3 downto 0); variable waddr : integer; variable rdata : std_logic_vector(127 downto 0); begin va := ra; va.hresp := HRESP_OKAY; tmp := (others => '0'); case ra.raddr(3 downto 2) is when "00" => rdata := r.hrdata(127 downto 0); when "01" => rdata := r.hrdata(255 downto 128); when "10" => rdata := r.hrdata(383 downto 256); when others => rdata := r.hrdata(511 downto 384); end case; if AHBDW > 64 and ra.size = HSIZE_4WORD then va.hrdata := rdata(31 downto 0) & rdata(63 downto 32) & rdata(95 downto 64) & rdata(127 downto 96); elsif AHBDW > 32 and ra.size = HSIZE_DWORD then if ra.raddr(1) = '1' then va.hrdata(63 downto 0) := rdata(95 downto 64) & rdata(127 downto 96); else va.hrdata(63 downto 0) := rdata(31 downto 0) & rdata(63 downto 32); end if; va.hrdata(127 downto 64) := va.hrdata(63 downto 0); else case ra.raddr(1 downto 0) is when "00" => va.hrdata(31 downto 0) := rdata(31 downto 0); when "01" => va.hrdata(31 downto 0) := rdata(63 downto 32); when "10" => va.hrdata(31 downto 0) := rdata(95 downto 64); when others => va.hrdata(31 downto 0) := rdata(127 downto 96); end case; va.hrdata(127 downto 32) := va.hrdata(31 downto 0) & va.hrdata(31 downto 0) & va.hrdata(31 downto 0); end if; if nosync = 0 then va.sync := r.startsd; if ra.startsd = ra.sync then ready := '1'; else ready := '0'; end if; else if ra.startsd = r.startsd then ready := '1'; else ready := '0'; end if; end if; if ((ahbsi.hready and ahbsi.hsel(hindex)) = '1') then va.htrans := ahbsi.htrans; va.haddr := ahbsi.haddr; va.size := ahbsi.hsize(2 downto 0); va.hwrite := ahbsi.hwrite; if ahbsi.htrans(1) = '1' then va.hsel := '1'; va.hready := '0'; end if; end if; if ahbsi.hready = '1' then va.hsel := ahbsi.hsel(hindex); end if; case ra.state is when midle => va.write := (others => "0000"); if ((va.hsel and va.htrans(1)) = '1') then if va.hwrite = '0' then va.state := rhold; va.startsd := not ra.startsd; else va.state := dwrite; va.hready := '1'; end if; end if; va.raddr := ra.haddr(7 downto 2); if ((ahbsi.hready and ahbsi.hsel(hindex)) = '1') then va.acc := (va.haddr, va.size, va.hwrite); end if; when rhold => va.raddr := ra.haddr(7 downto 2); if ready = '1' then va.state := dread; va.hready := '1'; if AHBDW > 64 and ra.size(2) = '1' then va.raddr := ra.raddr + 4; elsif AHBDW > 32 and andv(ra.size(1 downto 0)) = '1' then va.raddr := ra.raddr + 2; else va.raddr := ra.raddr + 1; end if; end if; when dread => va.hready := '1'; if AHBDW > 64 and ra.size(2) = '1' then va.raddr := ra.raddr + 4; elsif AHBDW > 32 and andv(ra.size(1 downto 0)) = '1' then va.raddr := ra.raddr + 2; else va.raddr := ra.raddr + 1; end if; if ((va.hsel and va.htrans(1) and va.htrans(0)) = '0') or (ra.raddr(3 downto 0) = "0000") then va.state := midle; va.hready := '0'; end if; va.acc := (va.haddr, va.size, va.hwrite); when dwrite => va.raddr := ra.haddr(7 downto 2); va.hready := '1'; if (((va.hsel and va.htrans(1) and va.htrans(0)) = '0') or (ra.haddr(5 downto 2) = "1111") or (AHBDW > 32 and ra.haddr(5 downto 2) = "1110" and andv(ra.size(1 downto 0)) = '1') or (AHBDW > 64 and ra.haddr(5 downto 2) = "1100" and ra.size(2) = '1')) then va.startsd := not ra.startsd; va.state := whold1; va.hready := '0'; end if; tmp := decode(ra.haddr(1 downto 0)); waddr := conv_integer(ra.haddr(5 downto 2)); va.hwdata(waddr) := hwdata(31 downto 0); case ra.size is when "000" => va.write(waddr) := tmp(0) & tmp(1) & tmp(2) & tmp(3); when "001" => va.write(waddr) := tmp(0) & tmp(0) & tmp(2) & tmp(2); when "010" => va.write(waddr) := "1111"; when "011" => va.write(waddr) := "1111"; va.write(waddr+1) := "1111"; va.hwdata(waddr+1) := hwdata((63 mod AHBDW) downto (32 mod AHBDW)); when others => va.write(waddr) := "1111"; va.write(waddr+1) := "1111"; va.write(waddr+2) := "1111"; va.write(waddr+3) := "1111"; va.hwdata(waddr+1) := hwdata((63 mod AHBDW) downto (32 mod AHBDW)); va.hwdata(waddr+2) := hwdata((95 mod AHBDW) downto (64 mod AHBDW)); va.hwdata(waddr+3) := hwdata((127 mod AHBDW) downto (96 mod AHBDW)); end case; when whold1 => va.state := whold2; when whold2 => if ready = '1' then va.state := midle; va.acc := (va.haddr, va.size, va.hwrite); end if; end case; if (ahbsi.hready and ahbsi.hsel(hindex) ) = '1' then if ahbsi.htrans(1) = '0' then va.hready := '1'; end if; end if; if rst = '0' then va.hsel := '0'; va.hready := '1'; va.state := midle; va.startsd := '0'; va.acc.hwrite := '0'; va.acc.haddr := (others => '0'); end if; rai <= va; end process; ahbso.hready <= ra.hready; ahbso.hresp <= ra.hresp; ahbso.hrdata <= ahbdrivedata(ra.hrdata); migi.app_addr <= '0' & ra.acc.haddr(28 downto 6) & "000"; ddr_ctrl : process(rst, r, ra, migo) variable v : ddr_reg_type; -- local variables for registers variable startsd : std_ulogic; variable raddr : std_logic_vector(13 downto 0); variable adec : std_ulogic; variable haddr : std_logic_vector(31 downto 0); variable hsize : std_logic_vector(1 downto 0); variable hwrite : std_ulogic; variable htrans : std_logic_vector(1 downto 0); variable hready : std_ulogic; variable app_en : std_ulogic; variable app_cmd : std_logic_vector(2 downto 0); variable app_wdf_mask : std_logic_vector(31 downto 0); variable app_wdf_wren : std_ulogic; variable app_wdf_end : std_ulogic; variable app_wdf_data : std_logic_vector(255 downto 0); begin -- Variable default settings to avoid latches v := r; app_en := '0'; app_cmd := "000"; app_wdf_wren := '0'; app_wdf_mask := ra.write(7) & ra.write(6) & ra.write(5) & ra.write(4) & ra.write(3) & ra.write(2) & ra.write(1) & ra.write(0); app_wdf_data := ra.hwdata(7) & ra.hwdata(6) & ra.hwdata(5) & ra.hwdata(4) & ra.hwdata(3) & ra.hwdata(2) & ra.hwdata(1) & ra.hwdata(0); if ra.acc.hwrite = '0' then app_cmd(0) := '1'; else app_cmd(0) := '0'; end if; app_wdf_end := '0'; v.sync := ra.startsd; if nosync = 0 then if r.startsd /= r.sync then startsd := '1'; else startsd := '0'; end if; else if ra.startsd /= r.startsd then startsd := '1'; else startsd := '0'; end if; end if; case r.dstate is when midle => if startsd = '1' then app_en := '1'; end if; if (migo.app_rdy and app_en) = '1' then if ra.acc.hwrite = '0' then v.dstate := dread; else v.dstate := dwrite; end if; end if; when dread => if migo.app_rd_data_valid = '1' then v.hrdata(255 downto 0) := migo.app_rd_data; v.dstate := rhold; end if; when rhold => v.hrdata(511 downto 256) := migo.app_rd_data; v.dstate := midle; v.startsd := not r.startsd; when dwrite => app_wdf_wren := '1'; if migo.app_wdf_rdy = '1' then v.dstate := whold1; end if; when whold1 => app_wdf_wren := '1'; app_wdf_end := '1'; app_wdf_mask := ra.write(15) & ra.write(14) & ra.write(13) & ra.write(12) & ra.write(11) & ra.write(10) & ra.write(9) & ra.write(8); app_wdf_data := ra.hwdata(15) & ra.hwdata(14) & ra.hwdata(13) & ra.hwdata(12) & ra.hwdata(11) & ra.hwdata(10) & ra.hwdata(9) & ra.hwdata(8); if migo.app_wdf_rdy = '1' then v.startsd := not r.startsd; v.dstate := midle; end if; when others => end case; -- reset if rst = '0' then v.startsd := '0'; end if; ri <= v; migi.app_cmd <= app_cmd; migi.app_en <= app_en; migi.app_wdf_wren <= app_wdf_wren; migi.app_wdf_end <= app_wdf_end; migi.app_wdf_mask <= not app_wdf_mask; migi.app_wdf_data <= app_wdf_data; end process; ahbso.hconfig <= hconfig; ahbso.hirq <= (others => '0'); ahbso.hindex <= hindex; ahbso.hsplit <= (others => '0'); clk_ahb1 <= clk_ahb; clk_ahb2 <= clk_ahb1; -- sync clock deltas ahbregs : process(clk_ahb2) begin if rising_edge(clk_ahb2) then ra <= rai; end if; end process; ddrregs : process(clk_ddr, rst) begin if rising_edge(clk_ddr) then r <= ri; end if; end process; -- Write data selection. AHB32: if AHBDW = 32 generate hwdata <= ahbsi.hwdata(31 downto 0) & ahbsi.hwdata(31 downto 0) & ahbsi.hwdata(31 downto 0) & ahbsi.hwdata(31 downto 0); end generate AHB32; AHB64: if AHBDW = 64 generate -- With CORE_ACDM set to 0 hwdata will always be ahbsi.hwdata(63 downto 0) -- otherwise the valid data slice will be selected, and possibly uplicated, -- from ahbsi.hwdata. hwdatab(63 downto 0) <= ahbreaddword(ahbsi.hwdata, ra.haddr(4 downto 2)) when (CORE_ACDM = 0 or ra.size(1 downto 0) = "11") else (ahbreadword(ahbsi.hwdata, ra.haddr(4 downto 2)) & ahbreadword(ahbsi.hwdata, ra.haddr(4 downto 2))); hwdata <= hwdatab(31 downto 0) & hwdatab(63 downto 32) & hwdatab(31 downto 0) & hwdatab(63 downto 32); end generate AHB64; AHBWIDE: if AHBDW > 64 generate -- With CORE_ACDM set to 0 hwdata will always be ahbsi.hwdata(127 downto 0) -- otherwise the valid data slice will be selected, and possibly uplicated, -- from ahbsi.hwdata. hwdatab <= ahbread4word(ahbsi.hwdata, ra.haddr(4 downto 2)) when (CORE_ACDM = 0 or ra.size(2) = '1') else (ahbreaddword(ahbsi.hwdata, ra.haddr(4 downto 2)) & ahbreaddword(ahbsi.hwdata, ra.haddr(4 downto 2))) when (ra.size = "011") else (ahbreadword(ahbsi.hwdata, ra.haddr(4 downto 2)) & ahbreadword(ahbsi.hwdata, ra.haddr(4 downto 2)) & ahbreadword(ahbsi.hwdata, ra.haddr(4 downto 2)) & ahbreadword(ahbsi.hwdata, ra.haddr(4 downto 2))); hwdata <= hwdatab(31 downto 0) & hwdatab(63 downto 32) & hwdatab(95 downto 64) & hwdatab(127 downto 96); end generate AHBWIDE; -- pragma translate_off bootmsg : report_version generic map ( msg1 => "mig2ahb" & tost(hindex) & ": 64-bit DDR2/3 controller rev " & tost(REVISION) & ", " & tost(Mbyte) & " Mbyte, " & tost(MHz) & " MHz DDR clock"); -- pragma translate_on end;
gpl-2.0
236d19fd7873c1ea975d1ae0693d921d
0.570174
3.279193
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-xilinx-kc705/config.vhd
1
7,402
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := kintex7; constant CFG_MEMTECH : integer := kintex7; constant CFG_PADTECH : integer := kintex7; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := kintex7; constant CFG_CLKMUL : integer := (4); constant CFG_CLKDIV : integer := (8); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 1 + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 1; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (4); constant CFG_PWD : integer := 1*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 4; constant CFG_ILINE : integer := 4; constant CFG_IREPL : integer := 0; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 2; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 4; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1*2 + 4*1; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 16; constant CFG_DTLBNUM : integer := 16; constant CFG_TLB_TYPE : integer := 0 + 1*2; constant CFG_TLB_REP : integer := 0; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 4; constant CFG_ATBSZ : integer := 4; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 1 + 0; constant CFG_PCLOW : integer := 0; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 1; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 2; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0033#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000000#; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 1; constant CFG_MCTRL_RAM16BIT : integer := 1; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 0; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- Xilinx MIG constant CFG_MIG_DDR2 : integer := 0; constant CFG_MIG_RANKS : integer := 1; constant CFG_MIG_COLBITS : integer := 10; constant CFG_MIG_ROWBITS : integer := 13; constant CFG_MIG_BANKBITS: integer := 2; constant CFG_MIG_HMASK : integer := 16#F00#; -- Xilinx MIG Series 7 constant CFG_MIG_SERIES7 : integer := 1; constant CFG_MIG_SERIES7_MODEL : integer := 0; -- AHB status register constant CFG_AHBSTAT : integer := 0; constant CFG_AHBSTATN : integer := 1; -- AHB ROM constant CFG_AHBROMEN : integer := 0; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#000#; constant CFG_ROMMASK : integer := 16#E00# + 16#000#; -- AHB RAM constant CFG_AHBRAMEN : integer := 1; constant CFG_AHBRSZ : integer := 4; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 8; constant CFG_GRETH_FT : integer := 0; constant CFG_GRETH_EDCLFT : integer := 0; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 32; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#0000#; constant CFG_GRGPIO_WIDTH : integer := (7); -- I2C master constant CFG_I2C_ENABLE : integer := 1; -- VGA and PS2/ interface constant CFG_KBD_ENABLE : integer := 0; constant CFG_VGA_ENABLE : integer := 0; constant CFG_SVGA_ENABLE : integer := 0; -- SPI memory controller constant CFG_SPIMCTRL : integer := 0; constant CFG_SPIMCTRL_SDCARD : integer := 0; constant CFG_SPIMCTRL_READCMD : integer := 16#0#; constant CFG_SPIMCTRL_DUMMYBYTE : integer := 0; constant CFG_SPIMCTRL_DUALOUTPUT : integer := 0; constant CFG_SPIMCTRL_SCALER : integer := 1; constant CFG_SPIMCTRL_ASCALER : integer := 1; constant CFG_SPIMCTRL_PWRUPCNT : integer := 0; constant CFG_SPIMCTRL_OFFSET : integer := 16#0#; -- SPI controller constant CFG_SPICTRL_ENABLE : integer := 0; constant CFG_SPICTRL_NUM : integer := 1; constant CFG_SPICTRL_SLVS : integer := 1; constant CFG_SPICTRL_FIFO : integer := 1; constant CFG_SPICTRL_SLVREG : integer := 0; constant CFG_SPICTRL_ODMODE : integer := 0; constant CFG_SPICTRL_AM : integer := 0; constant CFG_SPICTRL_ASEL : integer := 0; constant CFG_SPICTRL_TWEN : integer := 0; constant CFG_SPICTRL_MAXWLEN : integer := 0; constant CFG_SPICTRL_SYNCRAM : integer := 0; constant CFG_SPICTRL_FT : integer := 0; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
gpl-2.0
8a4960f9e174ce150de31e0800965771
0.652661
3.631992
false
false
false
false
kloboves/sicxe
vhdl/vga.vhd
1
5,261
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity vga is Port ( clock_i : in std_logic; reset_i : in std_logic; -- framebuffer access data_i : in std_logic_vector(7 downto 0); write_row_i : in std_logic; write_column_i : in std_logic; write_color_i : in std_logic; -- VGA output hsync_o : out std_logic; vsync_o : out std_logic; red_o : out std_logic_vector(2 downto 0); green_o : out std_logic_vector(2 downto 0); blue_o : out std_logic_vector(1 downto 0) ); end vga; architecture behavioral of vga is -- output signal color : std_logic_vector(7 downto 0); -- delay signal hsync_delay1 : std_logic; signal vsync_delay1 : std_logic; signal column_enable_delay1 : std_logic; signal row_enable_delay1 : std_logic; signal hsync_delay2 : std_logic; signal vsync_delay2 : std_logic; signal color_delay2 : std_logic_vector(7 downto 0); -- registers signal reg_row : std_logic_vector(4 downto 0); signal reg_column : std_logic_vector(5 downto 0); -- hsync signal hcount : std_logic_vector(10 downto 0); signal row_clock : std_logic; signal hsync : std_logic; signal column_enable : std_logic; -- vsync signal vcount : std_logic_vector(9 downto 0); signal vsync : std_logic; signal row_enable : std_logic; -- framebuffer type frame_type is array(0 to 2047) of std_logic_vector(7 downto 0); signal frame : frame_type := (others => (others => '0')); signal frame_addr_out : std_logic_vector(10 downto 0); signal frame_data_out : std_logic_vector(7 downto 0); signal frame_addr_in : std_logic_vector(10 downto 0); begin hsync_o <= hsync_delay2; vsync_o <= vsync_delay2; red_o <= color_delay2(7 downto 5); green_o <= color_delay2(4 downto 2); blue_o <= color_delay2(1 downto 0); -- delay process(clock_i) begin if (rising_edge(clock_i)) then if (reset_i = '1') then hsync_delay1 <= '0'; vsync_delay1 <= '0'; column_enable_delay1 <= '0'; row_enable_delay1 <= '0'; vsync_delay1 <= '0'; hsync_delay2 <= '0'; vsync_delay2 <= '0'; color_delay2 <= (others => '0'); else hsync_delay1 <= hsync; vsync_delay1 <= vsync; column_enable_delay1 <= column_enable; row_enable_delay1 <= row_enable; hsync_delay2 <= hsync_delay1; vsync_delay2 <= vsync_delay1; color_delay2 <= color; end if; end if; end process; -- registers process(clock_i) begin if (rising_edge(clock_i)) then if (reset_i = '1') then reg_row <= (others => '0'); else if (write_row_i ='1') then reg_row <= data_i(4 downto 0); else reg_row <= reg_row; end if; end if; end if; end process; process(clock_i) begin if (rising_edge(clock_i)) then if (reset_i = '1') then reg_column <= (others => '0'); else if (write_column_i = '1') then reg_column <= data_i(5 downto 0); else reg_column <= reg_column; end if; end if; end if; end process; -- hsync process(clock_i) begin if (rising_edge(clock_i)) then if (reset_i = '1') then hcount <= (others => '0'); else if (hcount = 1600 - 1) then hcount <= (others => '0'); else hcount <= hcount + 1; end if; end if; end if; end process; process(hcount) begin if (hcount = 1600 - 1) then row_clock <= '1'; else row_clock <= '0'; end if; if (hcount < 1280) then column_enable <= '1'; else column_enable <= '0'; end if; if (hcount >= (1280 + 96) and hcount < (1280 + 96 + 192)) then hsync <= '0'; else hsync <= '1'; end if; end process; -- vsync process(clock_i) begin if (rising_edge(clock_i)) then if (reset_i = '1') then vcount <= (others => '0'); elsif (row_clock = '1') then if (vcount = 521 - 1) then vcount <= (others => '0'); else vcount <= vcount + 1; end if; else vcount <= vcount; end if; end if; end process; process(vcount) begin if (vcount < 480) then row_enable <= '1'; else row_enable <= '0'; end if; if (vcount >= (480 + 29) and vcount < (480 + 29 + 2)) then vsync <= '0'; else vsync <= '1'; end if; end process; -- framebuffer frame_addr_out <= vcount(8 downto 4) & hcount(10 downto 5); frame_addr_in <= reg_row & reg_column; process(clock_i) begin if (rising_edge(clock_i)) then frame_data_out <= frame(conv_integer(frame_addr_out)); end if; end process; process (clock_i) begin if (rising_edge(clock_i)) then if (write_color_i = '1') then frame(conv_integer(frame_addr_in)) <= data_i; end if; end if; end process; -- output process(column_enable_delay1, row_enable_delay1, frame_data_out) begin if (column_enable_delay1 = '1' and row_enable_delay1 ='1') then color <= frame_data_out; else color <= (others => '0'); end if; end process; end behavioral;
mit
57142c5671e084a192997a8d76280a72
0.56206
3.286071
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/my_lab_1/my_lab_1.srcs/sources_1/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_axi_gpio_0_1/sim/zqynq_lab_1_design_axi_gpio_0_1.vhd
1
8,878
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_gpio:2.0 -- IP Revision: 15 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_gpio_v2_0_15; USE axi_gpio_v2_0_15.axi_gpio; ENTITY zqynq_lab_1_design_axi_gpio_0_1 IS PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; gpio_io_o : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END zqynq_lab_1_design_axi_gpio_0_1; ARCHITECTURE zqynq_lab_1_design_axi_gpio_0_1_arch OF zqynq_lab_1_design_axi_gpio_0_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF zqynq_lab_1_design_axi_gpio_0_1_arch: ARCHITECTURE IS "yes"; COMPONENT axi_gpio IS GENERIC ( C_FAMILY : STRING; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_GPIO_WIDTH : INTEGER; C_GPIO2_WIDTH : INTEGER; C_ALL_INPUTS : INTEGER; C_ALL_INPUTS_2 : INTEGER; C_ALL_OUTPUTS : INTEGER; C_ALL_OUTPUTS_2 : INTEGER; C_INTERRUPT_PRESENT : INTEGER; C_DOUT_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0); C_TRI_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0); C_IS_DUAL : INTEGER; C_DOUT_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0); C_TRI_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0) ); PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; ip2intc_irpt : OUT STD_LOGIC; gpio_io_i : IN STD_LOGIC_VECTOR(7 DOWNTO 0); gpio_io_o : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); gpio_io_t : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); gpio2_io_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); gpio2_io_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); gpio2_io_t : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT axi_gpio; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF gpio_io_o: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_O"; BEGIN U0 : axi_gpio GENERIC MAP ( C_FAMILY => "zynq", C_S_AXI_ADDR_WIDTH => 9, C_S_AXI_DATA_WIDTH => 32, C_GPIO_WIDTH => 8, C_GPIO2_WIDTH => 32, C_ALL_INPUTS => 0, C_ALL_INPUTS_2 => 0, C_ALL_OUTPUTS => 1, C_ALL_OUTPUTS_2 => 0, C_INTERRUPT_PRESENT => 0, C_DOUT_DEFAULT => X"00000000", C_TRI_DEFAULT => X"FFFFFFFF", C_IS_DUAL => 0, C_DOUT_DEFAULT_2 => X"00000000", C_TRI_DEFAULT_2 => X"FFFFFFFF" ) PORT MAP ( s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, gpio_io_i => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), gpio_io_o => gpio_io_o, gpio2_io_i => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)) ); END zqynq_lab_1_design_axi_gpio_0_1_arch;
mit
508c530bbe1d17125b25e4104fa3a26c
0.679883
3.221335
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/gaisler/pci/grpci1/pcilib.vhd
1
4,718
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: pcilib -- File: pcilib.vhd -- Author: Alf Vaerneus - Gaisler Research -- Description: Package with type declarations for PCI registers & constants ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; package pcilib is constant zero : std_logic_vector(31 downto 0) := (others => '0'); constant addzero : std_logic_vector(31 downto 0) := (others => '0'); subtype word4 is std_logic_vector(3 downto 0); subtype word32 is std_logic_vector(31 downto 0); -- Constants for PCI commands constant pci_memory_read : word4 := "0110"; constant pci_memory_write : word4 := "0111"; constant pci_config_read : word4 := "1010"; constant pci_config_write : word4 := "1011"; constant INT_ACK : word4 := "0000"; constant SPEC_CYCLE : word4 := "0001"; constant IO_READ : word4 := "0010"; constant IO_WRITE : word4 := "0011"; constant MEM_READ : word4 := "0110"; constant MEM_WRITE : word4 := "0111"; constant CONF_READ : word4 := "1010"; constant CONF_WRITE : word4 := "1011"; constant MEM_R_MULT : word4 := "1100"; constant DAC : word4 := "1101"; constant MEM_R_LINE : word4 := "1110"; constant MEM_W_INV : word4 := "1111"; -- Constants for word size constant W_SIZE_8_n : word4 := "1110"; -- word size active low constant W_SIZE_16_n : word4 := "1100"; constant W_SIZE_32_n : word4 := "0000"; type pci_config_command_type is record -- ioen : std_logic; -- I/O access enable men : std_logic; -- Memory access enable msen : std_logic; -- Master enable -- spcen : std_logic; -- Special cycle enable mwie : std_logic; -- Memory write and invalidate enable -- vgaps : std_logic; -- VGA palette snooping enable per : std_logic; -- Parity error response enable ser : std_logic; -- SERR error response enable -- wcc : std_logic; -- Address stepping enable -- serre : std_logic; -- Enable SERR# driver -- fbtbe : std_logic; -- Fast back-to-back enable end record; type pci_config_status_type is record -- c66mhz : std_logic; -- 66MHz capability -- udf : std_logic; -- UDF supported -- fbtbc : std_logic; -- Fast back-to-back capability dped : std_logic; -- Data parity error detected -- dst : std_logic_vector(1 downto 0); -- DEVSEL timing sta : std_logic; -- Signaled target abort rta : std_logic; -- Received target abort rma : std_logic; -- Received master abort sse : std_logic; -- Signaled system error dpe : std_logic; -- Detected parity error end record; --type pci_config_type is record -- conf_en : std_logic; -- bus : std_logic_vector(7 downto 0); -- dev : std_logic_vector(4 downto 0); -- func : std_logic_vector(2 downto 0); -- reg : std_logic_vector(5 downto 0); -- data : std_logic_vector(31 downto 0); --end record; type pci_sigs_type is record ad : std_logic_vector(31 downto 0); cbe : std_logic_vector(3 downto 0); frame : std_logic; -- Master frame devsel : std_logic; -- PCI device select trdy : std_logic; -- Target ready irdy : std_logic; -- Master ready stop : std_logic; -- Target stop request par : std_logic; -- PCI bus parity req : std_logic; -- Master bus request perr : std_logic; -- Parity Error serr : std_logic; oe_par : std_logic; oe_ad : std_logic; oe_ctrl : std_logic; oe_cbe : std_logic; oe_frame : std_logic; oe_irdy : std_logic; oe_req : std_logic; oe_perr : std_logic; oe_serr : std_logic; end record; end ;
gpl-2.0
e33aa5b0417f1aae7c42246e243117f8
0.614879
3.5
false
false
false
false
eamadio/fpgaMSP430
fmsp430/dbg/fmsp_dbg_hwbrk.vhd
1
14,279
------------------------------------------------------------------------------ --! Copyright (C) 2009 , Olivier Girard -- --! Redistribution and use in source and binary forms, with or without --! modification, are permitted provided that the following conditions --! are met: --! * Redistributions of source code must retain the above copyright --! notice, this list of conditions and the following disclaimer. --! * Redistributions in binary form must reproduce the above copyright --! notice, this list of conditions and the following disclaimer in the --! documentation and/or other materials provided with the distribution. --! * Neither the name of the authors nor the names of its contributors --! may be used to endorse or promote products derived from this software --! without specific prior written permission. -- --! THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --! AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE --! IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE --! ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE --! LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, --! OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --! SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --! INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --! CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --! ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF --! THE POSSIBILITY OF SUCH DAMAGE -- ------------------------------------------------------------------------------ -- --! @file fmsp_dbg_hwbrk.vhd --! --! @brief fpgaMSP430 Hardware Breakpoint / Watchpoint module -- --! @author Olivier Girard, [email protected] --! @author Emmanuel Amadio, [email protected] (VHDL Rewrite) -- ------------------------------------------------------------------------------ --! @version 1 --! @date: 2017-04-21 ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; --! standard unresolved logic UX01ZWLH- use ieee.numeric_std.all; --! for the signed, unsigned types and arithmetic ops use work.fmsp_dbg_package.all; entity fmsp_dbg_hwbrk is generic ( DBG_HWBRK_EN : boolean := false -- Include hardware breakpoints unit ); port ( dbg_clk : in std_logic; --! Debug unit clock dbg_rst : in std_logic; --! Debug unit reset --! INPUTs brk_reg_rd : in std_logic_vector(3 downto 0); --! Hardware break/watch-point register read select brk_reg_wr : in std_logic_vector(3 downto 0); --! Hardware break/watch-point register write select dbg_din : in std_logic_vector(15 downto 0); --! Debug register data input decode_noirq : in std_logic; --! Frontend decode instruction eu_mab : in std_logic_vector(15 downto 0); --! Execution-Unit Memory address bus eu_mb_en : in std_logic; --! Execution-Unit Memory bus enable eu_mb_wr : in std_logic_vector(1 downto 0); --! Execution-Unit Memory bus write transfer pc : in std_logic_vector(15 downto 0); --! Program counter --! OUTPUTs brk_halt : out std_logic; --! Hardware breakpoint command brk_pnd : out std_logic; --! Hardware break/watch-point pending brk_dout : out std_logic_vector(15 downto 0) --! Hardware break/watch-point register data input ); end entity fmsp_dbg_hwbrk; architecture RTL of fmsp_dbg_hwbrk is constant C_HWBRK_RANGE : std_logic := '0'; constant BRK_CTL : integer := 0; constant BRK_STAT : integer := 1; constant BRK_ADDR0 : integer := 2; constant BRK_ADDR1 : integer := 3; type fmsp_dbg_hwbrk_in_type is record brk_reg_rd : std_logic_vector(3 downto 0); --! Hardware break/watch-point register read select brk_reg_wr : std_logic_vector(3 downto 0); --! Hardware break/watch-point register write select dbg_din : std_logic_vector(15 downto 0); --! Debug register data input decode_noirq : std_logic; --! Frontend decode instruction eu_mab : std_logic_vector(15 downto 0); --! Execution-Unit Memory address bus eu_mb_en : std_logic; --! Execution-Unit Memory bus enable eu_mb_wr : std_logic_vector(1 downto 0); --! Execution-Unit Memory bus write transfer pc : std_logic_vector(15 downto 0); --! Program counter end record; type reg_type is record brk_ctl : std_logic_vector(4 downto 0); brk_stat : std_logic_vector(5 downto 0); brk_addr0 : std_logic_vector(15 downto 0); brk_addr1 : std_logic_vector(15 downto 0); end record; signal d : fmsp_dbg_hwbrk_in_type; signal r : reg_type := ( brk_ctl => "00000", brk_stat => "000000", brk_addr0 => x"0000", brk_addr1 => x"0000" ); signal rin : reg_type; begin d.brk_reg_rd <= brk_reg_rd; d.brk_reg_wr <= brk_reg_wr; d.dbg_din <= dbg_din; d.decode_noirq <= decode_noirq; d.eu_mab <= eu_mab; d.eu_mb_en <= eu_mb_en; d.eu_mb_wr <= eu_mb_wr; d.pc <= pc; COMB : process (d, r) variable v : reg_type; variable v_brk_ctl_wr : std_logic; variable v_brk_ctl_full : std_logic_vector(7 downto 0); variable v_brk_stat_wr : std_logic; variable v_brk_stat_set : std_logic_vector(5 downto 0); variable v_brk_stat_clr : std_logic_vector(5 downto 0); variable v_brk_stat_full : std_logic_vector(7 downto 0); variable v_brk_pnd : std_logic; variable v_brk_addr0_wr : std_logic; variable v_brk_addr1_wr : std_logic; variable v_brk_ctl_rd : std_logic_vector(15 downto 0); variable v_brk_stat_rd : std_logic_vector(15 downto 0); variable v_brk_addr0_rd : std_logic_vector(15 downto 0); variable v_brk_addr1_rd : std_logic_vector(15 downto 0); variable v_brk_dout : std_logic_vector(15 downto 0); variable v_equ_d_addr0 : std_logic; variable v_equ_d_addr1 : std_logic; variable v_equ_d_range : std_logic; variable v_equ_i_addr0 : std_logic; variable v_equ_i_addr1 : std_logic; variable v_equ_i_range : std_logic; --! Detect Instruction read access variable v_i_addr0_rd : std_logic; variable v_i_addr1_rd : std_logic; variable v_i_range_rd : std_logic; --! Detect Execution-Unit write access variable v_d_addr0_wr : std_logic; variable v_d_addr1_wr : std_logic; variable v_d_range_wr : std_logic; --! Detect DATA read acces variable v_d_addr0_rd : std_logic; variable v_d_addr1_rd : std_logic; variable v_d_range_rd : std_logic; --! Set flags variable v_addr0_rd_set : std_logic; variable v_addr0_wr_set : std_logic; variable v_addr1_rd_set : std_logic; variable v_addr1_wr_set : std_logic; variable v_range_rd_set : std_logic; variable v_range_wr_set : std_logic; --! Break CPU variable v_brk_halt : std_logic; begin --! default assignment v := r; --! overriding assignments --============================================================================ --! 4) BREAKPOINT / WATCHPOINT GENERATION --============================================================================ --! Comparators ----------------------------- --! Note: here the comparison logic is instanciated several times in order --! to improve the timings, at the cost of a bit more area. v_equ_d_addr0 := '0'; v_equ_d_addr1 := '0'; v_equ_d_range := '0'; if ( UNSIGNED(d.eu_mab) = UNSIGNED(r.brk_addr0) ) then v_equ_d_addr0 := d.eu_mb_en and not(r.brk_ctl(C_BRK_RANGE)); end if; if ( UNSIGNED(d.eu_mab) = UNSIGNED(r.brk_addr1) ) then v_equ_d_addr1 := d.eu_mb_en and not(r.brk_ctl(C_BRK_RANGE)); end if; if ( ( UNSIGNED(d.eu_mab) >= UNSIGNED(r.brk_addr0) ) or ( UNSIGNED(d.eu_mab) <= UNSIGNED(r.brk_addr1) ) ) then v_equ_d_range := d.eu_mb_en and not(r.brk_ctl(C_BRK_RANGE)) and C_HWBRK_RANGE; end if; v_equ_i_addr0 := '0'; v_equ_i_addr1 := '0'; v_equ_i_range := '0'; if ( UNSIGNED(d.pc) = UNSIGNED(r.brk_addr0) ) then v_equ_i_addr0 := d.decode_noirq and not(r.brk_ctl(C_BRK_RANGE)); end if; if ( UNSIGNED(d.pc) = UNSIGNED(r.brk_addr1) ) then v_equ_i_addr1 := d.decode_noirq and not(r.brk_ctl(C_BRK_RANGE)); end if; if ( ( UNSIGNED(d.pc) >= UNSIGNED(r.brk_addr0) ) or ( UNSIGNED(d.pc) <= UNSIGNED(r.brk_addr1) ) ) then v_equ_i_range := d.decode_noirq and not(r.brk_ctl(C_BRK_RANGE)) and C_HWBRK_RANGE; end if; --! Detect accesses ----------------------------- --! Detect Instruction read access v_i_addr0_rd := v_equ_i_addr0 and r.brk_ctl(C_BRK_I_EN); v_i_addr1_rd := v_equ_i_addr1 and r.brk_ctl(C_BRK_I_EN); v_i_range_rd := v_equ_i_range and r.brk_ctl(C_BRK_I_EN); --! Detect Execution-Unit write access v_d_addr0_wr := v_equ_d_addr0 and not(r.brk_ctl(C_BRK_I_EN)) and (d.eu_mb_wr(0) or d.eu_mb_wr(1)); v_d_addr1_wr := v_equ_d_addr1 and not(r.brk_ctl(C_BRK_I_EN)) and (d.eu_mb_wr(0) or d.eu_mb_wr(1)); v_d_range_wr := v_equ_d_range and not(r.brk_ctl(C_BRK_I_EN)) and (d.eu_mb_wr(0) or d.eu_mb_wr(1)); --! Detect DATA read access v_d_addr0_rd := v_equ_d_addr0 and not(r.brk_ctl(C_BRK_I_EN)) and not(d.eu_mb_wr(0) or d.eu_mb_wr(1)); v_d_addr1_rd := v_equ_d_addr1 and not(r.brk_ctl(C_BRK_I_EN)) and not(d.eu_mb_wr(0) or d.eu_mb_wr(1)); v_d_range_rd := v_equ_d_range and not(r.brk_ctl(C_BRK_I_EN)) and not(d.eu_mb_wr(0) or d.eu_mb_wr(1)); --! Set flags v_addr0_rd_set := r.brk_ctl(C_BRK_MODE_RD) and (v_d_addr0_rd or v_i_addr0_rd); v_addr0_wr_set := r.brk_ctl(C_BRK_MODE_WR) and v_d_addr0_wr; v_addr1_rd_set := r.brk_ctl(C_BRK_MODE_RD) and (v_d_addr1_rd or v_i_addr1_rd); v_addr1_wr_set := r.brk_ctl(C_BRK_MODE_WR) and v_d_addr1_wr; v_range_rd_set := r.brk_ctl(C_BRK_MODE_RD) and (v_d_range_rd or v_i_range_rd); v_range_wr_set := r.brk_ctl(C_BRK_MODE_WR) and v_d_range_wr; --============================================================================= --! 2) CONFIGURATION REGISTERS --============================================================================= --! BRK_CTL Register ------------------------------------------------------------------------------- --! 7 6 5 4 3 2 1 0 --! Reserved RANGE_MODE INST_EN BREAK_EN ACCESS_MODE -- --! ACCESS_MODE: - 00 : Disabled --! - 01 : Detect read access --! - 10 : Detect write access --! - 11 : Detect read/write access --! NOTE: '10' & '11' modes are not supported on the instruction flow -- --! BREAK_EN: - 0 : Watchmode enable --! - 1 : Break enable -- --! INST_EN: - 0 : Checks are done on the execution unit (data flow) --! - 1 : Checks are done on the frontend (instruction flow) -- --! RANGE_MODE: - 0 : Address match on BRK_ADDR0 or BRK_ADDR1 --! - 1 : Address match on BRK_ADDR0->BRK_ADDR1 range -- ------------------------------------------------------------------------------- v_brk_ctl_wr := d.brk_reg_wr(BRK_CTL); if (v_brk_ctl_wr) then v.brk_ctl := (C_HWBRK_RANGE and d.dbg_din(4)) & d.dbg_din(3 downto 0); end if; v_brk_ctl_full := "000" & r.brk_ctl; --! BRK_STAT Register ------------------------------------------------------------------------------- --! 7 6 5 4 3 2 1 0 --! Reserved RANGE_WR RANGE_RD ADDR1_WR ADDR1_RD ADDR0_WR ADDR0_RD ------------------------------------------------------------------------------- v_brk_stat_wr := d.brk_reg_wr(BRK_STAT); v_brk_stat_set := (v_range_wr_set and C_HWBRK_RANGE) & (v_range_rd_set and C_HWBRK_RANGE) & v_addr1_wr_set & v_addr1_rd_set & v_addr0_wr_set & v_addr0_rd_set; v_brk_stat_clr := not(d.dbg_din(5 downto 0)); if (v_brk_stat_wr) then v.brk_stat := v_brk_stat_set or (r.brk_stat and v_brk_stat_clr); else v.brk_stat := v_brk_stat_set or r.brk_stat; end if; v_brk_stat_full := "00" & r.brk_stat; v_brk_pnd := r.brk_stat(0) or r.brk_stat(1) or r.brk_stat(2) or r.brk_stat(3) or r.brk_stat(4) or r.brk_stat(5); --! BRK_ADDR0 Register ------------------------------------------------------------------------------- v_brk_addr0_wr := d.brk_reg_wr(BRK_ADDR0); if (v_brk_addr0_wr) then v.brk_addr0 := d.dbg_din; end if; --! BRK_ADDR1/DATA0 Register ------------------------------------------------------------------------------- v_brk_addr1_wr := d.brk_reg_wr(BRK_ADDR1); if (v_brk_addr1_wr) then v.brk_addr1 := d.dbg_din; end if; --============================================================================ --! 3) DATA OUTPUT GENERATION --============================================================================ v_brk_ctl_rd := x"0000"; v_brk_stat_rd := x"0000"; v_brk_addr0_rd := x"0000"; v_brk_addr1_rd := x"0000"; if ( d.brk_reg_rd(BRK_CTL) = '1' ) then v_brk_ctl_rd := x"00" & v_brk_ctl_full; end if; if ( d.brk_reg_rd(BRK_STAT) = '1' ) then v_brk_stat_rd := x"00" & v_brk_stat_full; end if; if ( d.brk_reg_rd(BRK_CTL) = '1' ) then v_brk_addr0_rd := r.brk_addr0; end if; if ( d.brk_reg_rd(BRK_STAT) = '1' ) then v_brk_addr1_rd := r.brk_addr1; end if; v_brk_dout := v_brk_ctl_rd or v_brk_stat_rd or v_brk_addr0_rd or v_brk_addr1_rd; --! Break CPU v_brk_halt := '0'; if ( v_brk_stat_set /= "000000" ) then v_brk_halt := r.brk_ctl(C_BRK_EN); end if; if (DBG_HWBRK_EN = false) then v_brk_halt := '0'; v_brk_pnd := '0'; v_brk_dout := x"0000"; end if; --! drive register inputs rin <= v; --! drive module outputs brk_halt <= v_brk_halt; --! Hardware breakpoint command brk_pnd <= v_brk_pnd; --! Hardware break/watch-point pending brk_dout <= v_brk_dout; --! Hardware break/watch-point register data input end process COMB; REGS : process (dbg_clk,dbg_rst) begin if (dbg_rst = '1') then r <= ( brk_ctl => "00000", brk_stat => "000000", brk_addr0 => x"0000", brk_addr1 => x"0000" ); elsif rising_edge(dbg_clk) then r <= rin; end if; end process REGS; end RTL;
bsd-3-clause
850594c7df78f0850d4e0ba391ae4008
0.566356
2.74227
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-gr-cpci-xc2v6000/config.vhd
1
7,978
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := virtex2; constant CFG_MEMTECH : integer := virtex2; constant CFG_PADTECH : integer := virtex2; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := virtex2; constant CFG_CLKMUL : integer := (4); constant CFG_CLKDIV : integer := (4); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (2); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 16#32# + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 0; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (4); constant CFG_PWD : integer := 1*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 4; constant CFG_ISETSZ : integer := 4; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 0; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 4; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 4; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1*2 + 4*1; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 8; constant CFG_DTLBNUM : integer := 8; constant CFG_TLB_TYPE : integer := 0 + 1*2; constant CFG_TLB_REP : integer := 0; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2; constant CFG_ATBSZ : integer := 2; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 0; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 2; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0033#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000004#; -- PROM/SRAM controller constant CFG_SRCTRL : integer := 0; constant CFG_SRCTRL_PROMWS : integer := 0; constant CFG_SRCTRL_RAMWS : integer := 0; constant CFG_SRCTRL_IOWS : integer := 0; constant CFG_SRCTRL_RMW : integer := 0; constant CFG_SRCTRL_8BIT : integer := 0; constant CFG_SRCTRL_SRBANKS : integer := 1; constant CFG_SRCTRL_BANKSZ : integer := 0; constant CFG_SRCTRL_ROMASEL : integer := 0; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 0; constant CFG_MCTRL_RAM16BIT : integer := 0; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 1; constant CFG_MCTRL_SEPBUS : integer := 1; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 1; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- SDRAM controller constant CFG_SDCTRL : integer := 0; constant CFG_SDCTRL_INVCLK : integer := 0; constant CFG_SDCTRL_SD64 : integer := 0; constant CFG_SDCTRL_PAGE : integer := 0 + 0; -- AHB ROM constant CFG_AHBROMEN : integer := 0; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#000#; constant CFG_ROMMASK : integer := 16#E00# + 16#000#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 32; -- CAN 2.0 interface constant CFG_CAN : integer := 0; constant CFG_CANIO : integer := 16#0#; constant CFG_CANIRQ : integer := 0; constant CFG_CANLOOP : integer := 0; constant CFG_CAN_SYNCRST : integer := 0; constant CFG_CANFT : integer := 0; -- Spacewire interface constant CFG_SPW_EN : integer := 0; constant CFG_SPW_NUM : integer := 1; constant CFG_SPW_AHBFIFO : integer := 4; constant CFG_SPW_RXFIFO : integer := 16; constant CFG_SPW_RMAP : integer := 0; constant CFG_SPW_RMAPBUF : integer := 4; constant CFG_SPW_RMAPCRC : integer := 0; constant CFG_SPW_NETLIST : integer := 0; constant CFG_SPW_FT : integer := 0; constant CFG_SPW_GRSPW : integer := 2; constant CFG_SPW_RXUNAL : integer := 0; constant CFG_SPW_DMACHAN : integer := 1; constant CFG_SPW_PORTS : integer := 1; constant CFG_SPW_INPUT : integer := 2; constant CFG_SPW_OUTPUT : integer := 0; constant CFG_SPW_RTSAME : integer := 0; -- PCI interface constant CFG_PCI : integer := 0; constant CFG_PCIVID : integer := 16#0#; constant CFG_PCIDID : integer := 16#0#; constant CFG_PCIDEPTH : integer := 8; constant CFG_PCI_MTF : integer := 1; -- PCI arbiter constant CFG_PCI_ARB : integer := 0; constant CFG_PCI_ARBAPB : integer := 0; constant CFG_PCI_ARB_NGNT : integer := 4; -- PCI trace buffer constant CFG_PCITBUFEN: integer := 0; constant CFG_PCITBUF : integer := 256; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 4; -- UART 2 constant CFG_UART2_ENABLE : integer := 0; constant CFG_UART2_FIFO : integer := 1; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#0000#; constant CFG_GRGPIO_WIDTH : integer := (8); -- GRLIB debugging constant CFG_DUART : integer := 0; constant CFG_SDEN : integer := CFG_MCTRL_SDEN + CFG_SDCTRL; constant CFG_INVCLK : integer := CFG_MCTRL_INVCLK + CFG_SDCTRL_INVCLK; constant CFG_SEPBUS : integer := CFG_MCTRL_SEPBUS + CFG_SDCTRL; constant CFG_SD64 : integer := CFG_MCTRL_SD64 + CFG_SDCTRL_SD64; end;
gpl-2.0
5828257ffb475139db2df2d34134afb2
0.650664
3.588844
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/gaisler/misc/ahb_mst_iface.vhd
1
5,006
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: ahb_mst_iface -- File: ahb_mst_iface.vhd -- Author: Marko Isomaki - Aeroflex Gaisler -- Description: General AHB master interface for DMA ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; use grlib.amba.all; library gaisler; use gaisler.misc.all; entity ahb_mst_iface is generic( hindex : integer; vendor : integer; device : integer; revision : integer); port( rst : in std_ulogic; clk : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; msti : in ahb_mst_iface_in_type; msto : out ahb_mst_iface_out_type ); end entity; architecture rtl of ahb_mst_iface is constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( vendor, device, 0, revision, 0), others => zero32); type reg_type is record bg : std_ulogic; --bus granted ba : std_ulogic; --bus active bb : std_ulogic; --1kB burst boundary detected retry : std_ulogic; end record; signal r, rin : reg_type; begin comb : process(rst, r, msti, ahbmi) is variable v : reg_type; variable htrans : std_logic_vector(1 downto 0); variable hbusreq : std_ulogic; variable hwrite : std_ulogic; variable haddr : std_logic_vector(31 downto 0); variable hwdata : std_logic_vector(31 downto 0); variable vretry : std_ulogic; variable vready : std_ulogic; variable verror : std_ulogic; variable vgrant : std_ulogic; variable hsize : std_logic_vector(2 downto 0); begin v := r; htrans := HTRANS_IDLE; vready := '0'; vretry := '0'; verror := '0'; vgrant := '0'; hsize := HSIZE_WORD; hwdata := msti.data; hbusreq := msti.req; if hbusreq = '1' then htrans := HTRANS_NONSEQ; end if; haddr := msti.addr; hwrite := msti.write; if (msti.req and r.ba and not r.retry) = '1' then htrans := HTRANS_SEQ; end if; if (msti.req and r.bg and ahbmi.hready and not r.retry) = '1' then vgrant := '1'; end if; --1 kB burst boundary if ahbmi.hready = '1' then if haddr(9 downto 2) = "11111111" then v.bb := '1'; else v.bb := '0'; end if; end if; if (r.bb = '1') and (htrans /= HTRANS_IDLE) then htrans := HTRANS_NONSEQ; end if; if r.ba = '1' then if ahbmi.hready = '1' then case ahbmi.hresp is when HRESP_OKAY => vready := '1'; when HRESP_SPLIT | HRESP_RETRY => vretry := '1'; when HRESP_ERROR => verror := '1'; when others => null; end case; end if; end if; if (r.ba = '1') and ((ahbmi.hresp = HRESP_RETRY) or (ahbmi.hresp = HRESP_SPLIT)) then v.retry := not ahbmi.hready; else v.retry := '0'; end if; if r.retry = '1' then htrans := HTRANS_IDLE; end if; if ahbmi.hready = '1' then v.bg := ahbmi.hgrant(hindex); if (htrans = HTRANS_NONSEQ) or (htrans = HTRANS_SEQ) then v.ba := r.bg; else v.ba := '0'; end if; end if; if rst = '0' then v.bg := '0'; v.ba := '0'; v.bb := '0'; end if; rin <= v; msto.data <= ahbreadword(ahbmi.hrdata); msto.error <= verror; msto.retry <= vretry; msto.ready <= vready; msto.grant <= vgrant; ahbmo.htrans <= htrans; ahbmo.hsize <= hsize; ahbmo.hbusreq <= hbusreq; ahbmo.haddr <= haddr; ahbmo.hwrite <= hwrite; ahbmo.hwdata <= ahbdrivedata(hwdata); end process; regs : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process; ahbmo.hlock <= '0'; ahbmo.hburst <= HBURST_INCR; ahbmo.hprot <= "0011"; ahbmo.hconfig <= hconfig; ahbmo.hindex <= hindex; ahbmo.hirq <= (others => '0'); end architecture;
gpl-2.0
44ef8fe85048fab0d023a3e20a90df73
0.565322
3.630167
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-xilinx-sp601/ahb2mig_sp601.vhd
1
17,541
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: ahb2mig_sp601 -- File: ahb2mig_sp601.vhd -- Author: Jiri Gaisler - Aeroflex Gaisler AB -- -- This is a AHB-2.0 interface for the Xilinx Spartan-6 MIG. -- One bidir 32-bit port is used for the main AHB bus. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; entity ahb2mig_sp601 is generic( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff# ); port( mcb3_dram_dq : inout std_logic_vector(15 downto 0); mcb3_dram_a : out std_logic_vector(12 downto 0); mcb3_dram_ba : out std_logic_vector(2 downto 0); mcb3_dram_ras_n : out std_logic; mcb3_dram_cas_n : out std_logic; mcb3_dram_we_n : out std_logic; mcb3_dram_odt : out std_logic; mcb3_dram_cke : out std_logic; mcb3_dram_dm : out std_logic; mcb3_dram_udqs : inout std_logic; mcb3_dram_udqs_n : inout std_logic; mcb3_rzq : inout std_logic; mcb3_zio : inout std_logic; mcb3_dram_udm : out std_logic; mcb3_dram_dqs : inout std_logic; mcb3_dram_dqs_n : inout std_logic; mcb3_dram_ck : out std_logic; mcb3_dram_ck_n : out std_logic; ahbso : out ahb_slv_out_type; ahbsi : in ahb_slv_in_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; calib_done : out std_logic; test_error : out std_logic; rst_n_syn : in std_logic; rst_n_async : in std_logic; clk_amba : in std_logic; clk_mem_n : in std_logic; clk_mem_p : in std_logic ); end ; architecture rtl of ahb2mig_sp601 is component mig_37 generic ( C3_P0_MASK_SIZE : integer := 4; C3_P0_DATA_PORT_SIZE : integer := 32; C3_P1_MASK_SIZE : integer := 4; C3_P1_DATA_PORT_SIZE : integer := 32; C3_MEMCLK_PERIOD : integer := 5000; -- Memory data transfer clock period. C3_RST_ACT_LOW : integer := 0; -- # = 1 for active low reset, -- # = 0 for active high reset. C3_INPUT_CLK_TYPE : string := "DIFFERENTIAL"; -- input clock type DIFFERENTIAL or SINGLE_ENDED. C3_CALIB_SOFT_IP : string := "TRUE"; -- # = TRUE, Enables the soft calibration logic, -- # = FALSE, Disables the soft calibration logic. C3_SIMULATION : string := "FALSE"; -- # = TRUE, Simulating the design. Useful to reduce the simulation time, -- # = FALSE, Implementing the design. DEBUG_EN : integer := 0; -- # = 1, Enable debug signals/controls, -- = 0, Disable debug signals/controls. C3_MEM_ADDR_ORDER : string := "ROW_BANK_COLUMN"; -- The order in which user address is provided to the memory controller, -- ROW_BANK_COLUMN or BANK_ROW_COLUMN. C3_NUM_DQ_PINS : integer := 16; -- External memory data width. C3_MEM_ADDR_WIDTH : integer := 13; -- External memory address width. C3_MEM_BANKADDR_WIDTH : integer := 3 -- External memory bank address width. ); port ( mcb3_dram_dq : inout std_logic_vector(C3_NUM_DQ_PINS-1 downto 0); mcb3_dram_a : out std_logic_vector(C3_MEM_ADDR_WIDTH-1 downto 0); mcb3_dram_ba : out std_logic_vector(C3_MEM_BANKADDR_WIDTH-1 downto 0); mcb3_dram_ras_n : out std_logic; mcb3_dram_cas_n : out std_logic; mcb3_dram_we_n : out std_logic; mcb3_dram_odt : out std_logic; mcb3_dram_cke : out std_logic; mcb3_dram_dm : out std_logic; mcb3_dram_udqs : inout std_logic; mcb3_dram_udqs_n : inout std_logic; mcb3_rzq : inout std_logic; mcb3_zio : inout std_logic; mcb3_dram_udm : out std_logic; c3_sys_clk_p : in std_logic; c3_sys_clk_n : in std_logic; c3_sys_rst_n : in std_logic; c3_calib_done : out std_logic; c3_clk0 : out std_logic; c3_rst0 : out std_logic; mcb3_dram_dqs : inout std_logic; mcb3_dram_dqs_n : inout std_logic; mcb3_dram_ck : out std_logic; mcb3_dram_ck_n : out std_logic; c3_p0_cmd_clk : in std_logic; c3_p0_cmd_en : in std_logic; c3_p0_cmd_instr : in std_logic_vector(2 downto 0); c3_p0_cmd_bl : in std_logic_vector(5 downto 0); c3_p0_cmd_byte_addr : in std_logic_vector(29 downto 0); c3_p0_cmd_empty : out std_logic; c3_p0_cmd_full : out std_logic; c3_p0_wr_clk : in std_logic; c3_p0_wr_en : in std_logic; c3_p0_wr_mask : in std_logic_vector(C3_P0_MASK_SIZE - 1 downto 0); c3_p0_wr_data : in std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0); c3_p0_wr_full : out std_logic; c3_p0_wr_empty : out std_logic; c3_p0_wr_count : out std_logic_vector(6 downto 0); c3_p0_wr_underrun : out std_logic; c3_p0_wr_error : out std_logic; c3_p0_rd_clk : in std_logic; c3_p0_rd_en : in std_logic; c3_p0_rd_data : out std_logic_vector(C3_P0_DATA_PORT_SIZE - 1 downto 0); c3_p0_rd_full : out std_logic; c3_p0_rd_empty : out std_logic; c3_p0_rd_count : out std_logic_vector(6 downto 0); c3_p0_rd_overflow : out std_logic; c3_p0_rd_error : out std_logic ); end component; type bstate_type is (idle, start, read1); constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_MIGDDR2, 0, 0, 0), 4 => ahb_membar(haddr, '1', '1', hmask), -- 5 => ahb_iobar(ioaddr, iomask), others => zero32); constant pconfig : apb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_MIGDDR2, 0, 0, 0), 1 => apb_iobar(paddr, pmask)); type reg_type is record bstate : bstate_type; cmd_bl : std_logic_vector(5 downto 0); wr_count : std_logic_vector(6 downto 0); rd_cnt : std_logic_vector(5 downto 0); hready : std_logic; hsel : std_logic; hwrite : std_logic; htrans : std_logic_vector(1 downto 0); hburst : std_logic_vector(2 downto 0); hsize : std_logic_vector(2 downto 0); hrdata : std_logic_vector(31 downto 0); haddr : std_logic_vector(31 downto 0); hmaster : std_logic_vector(3 downto 0); end record; type mcb_type is record cmd_en : std_logic; cmd_instr : std_logic_vector(2 downto 0); cmd_empty : std_logic; cmd_full : std_logic; cmd_bl : std_logic_vector(5 downto 0); cmd_byte_addr : std_logic_vector(29 downto 0); wr_full : std_logic; wr_empty : std_logic; wr_underrun : std_logic; wr_error : std_logic; wr_mask : std_logic_vector(3 downto 0); wr_en : std_logic; wr_data : std_logic_vector(31 downto 0); wr_count : std_logic_vector(6 downto 0); rd_data : std_logic_vector(31 downto 0); rd_full : std_logic; rd_empty : std_logic; rd_count : std_logic_vector(6 downto 0); rd_overflow : std_logic; rd_error : std_logic; rd_en : std_logic; end record; signal r, rin : reg_type; signal i : mcb_type; begin comb: process( rst_n_syn, r, ahbsi, i ) variable v : reg_type; variable wmask : std_logic_vector(3 downto 0); variable wr_en : std_logic; variable cmd_en : std_logic; variable cmd_instr : std_logic_vector(2 downto 0); variable rd_en : std_logic; variable cmd_bl : std_logic_vector(5 downto 0); variable hwdata : std_logic_vector(31 downto 0); variable readdata : std_logic_vector(31 downto 0); begin v := r; wr_en := '0'; cmd_en := '0'; cmd_instr := "000"; rd_en := '0'; if (ahbsi.hready = '1') then if (ahbsi.hsel(hindex) and ahbsi.htrans(1)) = '1' then v.hsel := '1'; v.hburst := ahbsi.hburst; v.hwrite := ahbsi.hwrite; v.hsize := ahbsi.hsize; v.hmaster := ahbsi.hmaster; v.hready := '0'; if ahbsi.htrans(0) = '0' then v.haddr := ahbsi.haddr; end if; else v.hsel := '0'; v.hready := '1'; end if; v.htrans := ahbsi.htrans; end if; hwdata := ahbsi.hwdata(15 downto 0) & ahbsi.hwdata(31 downto 16); case r.hsize(1 downto 0) is when "00" => wmask := not decode(r.haddr(1 downto 0)); case r.haddr(1 downto 0) is when "00" => wmask := "1101"; when "01" => wmask := "1110"; when "10" => wmask := "0111"; when others => wmask := "1011"; end case; when "01" => wmask := not decode(r.haddr(1 downto 0)); wmask(3) := wmask(2); wmask(1) := wmask(0); when others => wmask := "0000"; end case; i.wr_mask <= wmask; cmd_bl := r.cmd_bl; case r.bstate is when idle => if v.hsel = '1' then v.bstate := start; v.hready := ahbsi.hwrite and not i.cmd_full and not i.wr_full; v.haddr := ahbsi.haddr; end if; v.cmd_bl := (others => '0'); when start => if r.hwrite = '1' then v.haddr := r.haddr; if r.hready = '1' then v.cmd_bl := r.cmd_bl + 1; v.hready := '1'; wr_en := '1'; if (ahbsi.htrans /= "11") then if v.hsel = '1' then if (ahbsi.hwrite = '0') or (i.wr_count >= "0000100") then v.hready := '0'; else v.hready := '1'; end if; else v.bstate := idle; end if; v.cmd_bl := (others => '0'); v.haddr := ahbsi.haddr; cmd_en := '1'; elsif (i.cmd_full = '1') then v.hready := '0'; elsif (i.wr_count >= "0101111") then v.hready := '0'; cmd_en := '1'; v.cmd_bl := (others => '0'); v.haddr := ahbsi.haddr; end if; else if (i.cmd_full = '0') and (i.wr_count <= "0001111") then v.hready := '1'; end if; end if; else if i.cmd_full = '0' then cmd_en := '1'; cmd_instr(0) := '1'; v.cmd_bl := "000" & not r.haddr(4 downto 2); cmd_bl := v.cmd_bl; v.bstate := read1; end if; end if; when read1 => v.hready := '0'; if (r.rd_cnt = "000000") then -- flush data from previous line if (i.rd_empty = '0') or ((r.hready = '1') and (ahbsi.htrans /= "11")) then v.hrdata(31 downto 0) := i.rd_data(15 downto 0) & i.rd_data(31 downto 16); v.hready := '1'; if (i.rd_empty = '0') then v.cmd_bl := r.cmd_bl - 1; rd_en := '1'; end if; if (r.cmd_bl = "000000") or (ahbsi.htrans /= "11") then if (ahbsi.hsel(hindex) = '1') and (ahbsi.htrans = "10") and (r.hready = '1') then v.bstate := start; v.hready := ahbsi.hwrite and not i.cmd_full and not i.wr_full; v.cmd_bl := (others => '0'); else v.bstate := idle; end if; if (i.rd_empty = '1') then v.rd_cnt := r.cmd_bl + 1; else v.rd_cnt := r.cmd_bl; end if; end if; end if; end if; when others => end case; readdata := (others => '0'); -- case apbi.paddr(5 downto 2) is -- when "0000" => readdata(nbits-1 downto 0) := r.din2; -- when "0001" => readdata(nbits-1 downto 0) := r.dout; -- when others => -- end case; readdata(20 downto 0) := i.rd_error & i.rd_overflow & i.wr_error & i.wr_underrun & i.cmd_full & i.rd_full & i.rd_empty & i.wr_full & i.wr_empty & r.rd_cnt & r.cmd_bl; if (r.rd_cnt /= "000000") and (i.rd_empty = '0') then rd_en := '1'; v.rd_cnt := r.rd_cnt - 1; end if; if rst_n_syn = '0' then v.rd_cnt := "000000"; v.bstate := idle; v.hready := '1'; end if; rin <= v; apbo.prdata <= readdata; i.rd_en <= rd_en; i.wr_en <= wr_en; i.cmd_bl <= cmd_bl; i.cmd_en <= cmd_en; i.cmd_instr <= cmd_instr; i.wr_data <= hwdata; end process; i.cmd_byte_addr <= r.haddr(29 downto 2) & "00"; ahbso.hready <= r.hready; ahbso.hresp <= "00"; --r.hresp; ahbso.hrdata <= r.hrdata; ahbso.hconfig <= hconfig; ahbso.hirq <= (others => '0'); ahbso.hindex <= hindex; ahbso.hsplit <= (others => '0'); apbo.pindex <= pindex; apbo.pconfig <= pconfig; regs : process(clk_amba) begin if rising_edge(clk_amba) then r <= rin; end if; end process; MCB_inst : entity work.mig_37 generic map( C3_P0_MASK_SIZE => 4, C3_P0_DATA_PORT_SIZE => 32, C3_P1_MASK_SIZE => 4, C3_P1_DATA_PORT_SIZE => 32, C3_MEMCLK_PERIOD => 5000, C3_RST_ACT_LOW => 1, -- C3_INPUT_CLK_TYPE => "DIFFERENTIAL", C3_CALIB_SOFT_IP => "TRUE", -- pragma translate_off C3_SIMULATION => "TRUE", -- pragma translate_on C3_MEM_ADDR_ORDER => "BANK_ROW_COLUMN", C3_NUM_DQ_PINS => 16, C3_MEM_ADDR_WIDTH => 13, C3_MEM_BANKADDR_WIDTH => 3 -- C3_MC_CALIB_BYPASS => "YES" ) port map ( mcb3_dram_dq => mcb3_dram_dq, mcb3_dram_a => mcb3_dram_a, mcb3_dram_ba => mcb3_dram_ba, mcb3_dram_ras_n => mcb3_dram_ras_n, mcb3_dram_cas_n => mcb3_dram_cas_n, mcb3_dram_we_n => mcb3_dram_we_n, mcb3_dram_odt => mcb3_dram_odt, mcb3_dram_cke => mcb3_dram_cke, mcb3_dram_dm => mcb3_dram_dm, mcb3_dram_udqs => mcb3_dram_udqs, mcb3_dram_udqs_n => mcb3_dram_udqs_n, mcb3_rzq => mcb3_rzq, mcb3_zio => mcb3_zio, mcb3_dram_udm => mcb3_dram_udm, c3_sys_clk_p => clk_mem_p, c3_sys_clk_n => clk_mem_n, c3_sys_rst_n => rst_n_async, c3_calib_done => calib_done, c3_clk0 => open, c3_rst0 => open, mcb3_dram_dqs => mcb3_dram_dqs, mcb3_dram_dqs_n => mcb3_dram_dqs_n, mcb3_dram_ck => mcb3_dram_ck, mcb3_dram_ck_n => mcb3_dram_ck_n, c3_p0_cmd_clk => clk_amba, c3_p0_cmd_en => i.cmd_en, c3_p0_cmd_instr => i.cmd_instr, c3_p0_cmd_bl => i.cmd_bl, c3_p0_cmd_byte_addr => i.cmd_byte_addr, c3_p0_cmd_empty => i.cmd_empty, c3_p0_cmd_full => i.cmd_full, c3_p0_wr_clk => clk_amba, c3_p0_wr_en => i.wr_en, c3_p0_wr_mask => i.wr_mask, c3_p0_wr_data => i.wr_data, c3_p0_wr_full => i.wr_full, c3_p0_wr_empty => i.wr_empty, c3_p0_wr_count => i.wr_count, c3_p0_wr_underrun => i.wr_underrun, c3_p0_wr_error => i.wr_error, c3_p0_rd_clk => clk_amba, c3_p0_rd_en => i.rd_en, c3_p0_rd_data => i.rd_data, c3_p0_rd_full => i.rd_full, c3_p0_rd_empty => i.rd_empty, c3_p0_rd_count => i.rd_count, c3_p0_rd_overflow => i.rd_overflow, c3_p0_rd_error => i.rd_error ); end;
gpl-2.0
ea295eb40847dc1e1a9f8d37b2b70068
0.494784
3.164532
false
false
false
false
luizesramos/project-utils
decoder-py/testpack/dec-02-1-cplx/decoder.vhdl
1
60,926
------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------ entity DECODER is port(OP1,OP2,OP3,OP4: in integer range 0 to 255; CLOCK: std_logic; OUTS: out integer range 0 to 1024); end DECODER; ------------------------------------------ architecture behv of DECODER is signal NEXT_OUTS: integer; begin process(CLOCK) begin if(rising_edge(CLOCK)) then OUTS <= NEXT_OUTS; end if; end process; process(OP1,OP2,OP3,OP4) begin case OP1 is when 0 => NEXT_OUTS <= 503; -- addb_Eb_Gb SANITY when 1 => case OP2 is when 0 => NEXT_OUTS <= 12; -- addl_Ed_Gd SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 2 => NEXT_OUTS <= 313; -- addb_Gb_Eb SANITY when 3 => NEXT_OUTS <= 336; -- addl_Gd_Ed SANITY when 4 => NEXT_OUTS <= 457; -- addb_AL_Ib SANITY when 5 => NEXT_OUTS <= 558; -- addl_EAX_Id SANITY when 6 => NEXT_OUTS <= 201; -- pushl_ES SANITY when 7 => NEXT_OUTS <= 238; -- popl_ES SANITY when 8 => NEXT_OUTS <= 489; -- orb_Eb_Gb SANITY when 9 => NEXT_OUTS <= 618; -- orl_Ed_Gd SANITY when 10 => NEXT_OUTS <= 624; -- orb_Gb_Eb SANITY when 11 => NEXT_OUTS <= 733; -- orl_Gd_Ed SANITY when 12 => NEXT_OUTS <= 139; -- orb_AL_Ib SANITY when 13 => NEXT_OUTS <= 163; -- orl_EAX_Id SANITY when 14 => NEXT_OUTS <= 178; -- pushl_CS SANITY when 15 => case OP2 is when 0 => case OP3 is when 0 => NEXT_OUTS <= 225; -- sldt SANITY when 8 => NEXT_OUTS <= 147; -- str SANITY when 16 => NEXT_OUTS <= 729; -- lldt SANITY when 24 => NEXT_OUTS <= 415; -- ltr SANITY when 32 => NEXT_OUTS <= 182; -- verr SANITY when 40 => NEXT_OUTS <= 220; -- verw SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 1 => case OP3 is when 0 => NEXT_OUTS <= 318; -- sgdt SANITY when 8 => NEXT_OUTS <= 207; -- sidt SANITY when 16 => NEXT_OUTS <= 477; -- lgdt SANITY when 24 => NEXT_OUTS <= 389; -- lidt SANITY when 32 => NEXT_OUTS <= 356; -- smsw_Ew SANITY when 48 => NEXT_OUTS <= 404; -- lmsw_Ew SANITY when 56 => NEXT_OUTS <= 573; -- invlpg SANITY when 248 => NEXT_OUTS <= 456; -- swapgs SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 2 => case OP3 is when 0 => NEXT_OUTS <= 365; -- larl_Gd_Ew SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 3 => case OP3 is when 0 => NEXT_OUTS <= 156; -- lsll_Gd_Ew SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 8 => NEXT_OUTS <= 21; -- invd SANITY when 9 => NEXT_OUTS <= 448; -- wbinvd SANITY when 11 => NEXT_OUTS <= 41; -- ud2a SANITY when 32 => case OP3 is when 0 => NEXT_OUTS <= 295; -- movl_Rd_Cd SANITY when 1 => NEXT_OUTS <= 583; -- movq_Rq_Cq SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 33 => case OP3 is when 0 => NEXT_OUTS <= 452; -- movl_Rd_Dd SANITY when 1 => NEXT_OUTS <= 513; -- movq_Rq_Dq SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 34 => case OP3 is when 0 => NEXT_OUTS <= 743; -- movl_Cd_Rd SANITY when 1 => NEXT_OUTS <= 433; -- movq_Cq_Rq SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 35 => case OP3 is when 0 => NEXT_OUTS <= 168; -- movl_Dd_Rd SANITY when 1 => NEXT_OUTS <= 561; -- movq_Dq_Rq SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 36 => case OP3 is when 0 => NEXT_OUTS <= 283; -- movl_Rd_Td SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 38 => NEXT_OUTS <= 143; -- movl_Td_Rd SANITY when 110 => NEXT_OUTS <= 568; -- movd_Pq_Ed SANITY when 128 => NEXT_OUTS <= 202; -- jo_Jd SANITY when 129 => NEXT_OUTS <= 159; -- jno_Jd SANITY when 130 => NEXT_OUTS <= 782; -- jb_Jd SANITY when 131 => NEXT_OUTS <= 312; -- jnb_Jd SANITY when 132 => NEXT_OUTS <= 3; -- jz_Jd SANITY when 133 => NEXT_OUTS <= 161; -- jnz_Jd SANITY when 134 => NEXT_OUTS <= 284; -- jbe_Jd SANITY when 135 => NEXT_OUTS <= 154; -- jnbe_Jd SANITY when 136 => NEXT_OUTS <= 20; -- js_Jd SANITY when 137 => NEXT_OUTS <= 108; -- jns_Jd SANITY when 138 => NEXT_OUTS <= 184; -- jp_Jd SANITY when 139 => NEXT_OUTS <= 74; -- jnp_Jd SANITY when 140 => NEXT_OUTS <= 409; -- jl_Jd SANITY when 141 => NEXT_OUTS <= 100; -- jnl_Jd SANITY when 142 => NEXT_OUTS <= 497; -- jle_Jd SANITY when 143 => NEXT_OUTS <= 322; -- jnle_Jd SANITY when 144 => NEXT_OUTS <= 14; -- seto_Eb SANITY when 145 => NEXT_OUTS <= 62; -- setno_Eb SANITY when 146 => NEXT_OUTS <= 227; -- setb_Eb SANITY when 147 => NEXT_OUTS <= 67; -- setnb_Eb SANITY when 148 => NEXT_OUTS <= 237; -- setz_Eb SANITY when 149 => NEXT_OUTS <= 374; -- setnz_Eb SANITY when 150 => NEXT_OUTS <= 39; -- setbe_Eb SANITY when 151 => NEXT_OUTS <= 212; -- setnbe_Eb SANITY when 152 => NEXT_OUTS <= 459; -- sets_Eb SANITY when 153 => NEXT_OUTS <= 424; -- setns_Eb SANITY when 154 => NEXT_OUTS <= 304; -- setp_Eb SANITY when 155 => NEXT_OUTS <= 718; -- setnp_Eb SANITY when 156 => NEXT_OUTS <= 24; -- setl_Eb SANITY when 157 => NEXT_OUTS <= 385; -- setnl_Eb SANITY when 158 => NEXT_OUTS <= 429; -- setle_Eb SANITY when 159 => NEXT_OUTS <= 590; -- setnle_Eb SANITY when 160 => case OP3 is when 0 => NEXT_OUTS <= 103; -- pushl_FS SANITY when 1 => NEXT_OUTS <= 770; -- pushq_FS SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 161 => case OP3 is when 0 => NEXT_OUTS <= 607; -- popl_FS SANITY when 1 => NEXT_OUTS <= 571; -- popq_FS SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 162 => NEXT_OUTS <= 616; -- cpuid SANITY when 163 => NEXT_OUTS <= 382; -- btl_Ed_Gd SANITY when 164 => NEXT_OUTS <= 556; -- shldl_Ed_Gd_Ib SANITY when 165 => NEXT_OUTS <= 617; -- shldl_Ed_Gd_CL SANITY when 168 => case OP3 is when 0 => NEXT_OUTS <= 442; -- pushl_GS SANITY when 1 => NEXT_OUTS <= 160; -- pushq_GS SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 169 => case OP3 is when 0 => NEXT_OUTS <= 543; -- popl_GS SANITY when 1 => NEXT_OUTS <= 737; -- popq_GS SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 170 => NEXT_OUTS <= 65; -- rsm SANITY when 171 => NEXT_OUTS <= 328; -- btsl_Ed_Gd SANITY when 172 => NEXT_OUTS <= 341; -- shrdl_Ed_Gd_Ib SANITY when 173 => NEXT_OUTS <= 253; -- shrdl_Ed_Gd_CL SANITY when 175 => NEXT_OUTS <= 453; -- imull_Gd_Ed SANITY when 176 => NEXT_OUTS <= 311; -- cmpxchgb_Eb_Gb SANITY when 177 => NEXT_OUTS <= 769; -- cmpxchgl_Ed_Gd SANITY when 178 => NEXT_OUTS <= 366; -- lssl_Gd_Mp SANITY when 179 => NEXT_OUTS <= 189; -- btrl_Ed_Gd SANITY when 180 => NEXT_OUTS <= 130; -- lfsl_Gd_Mp SANITY when 181 => NEXT_OUTS <= 544; -- lgsl_Gd_Mp SANITY when 182 => NEXT_OUTS <= 331; -- movzbl_Gd_Eb SANITY when 183 => NEXT_OUTS <= 753; -- movzwl_Gd_Ew SANITY when 185 => case OP3 is when 0 => NEXT_OUTS <= 247; -- ud2b SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 186 => case OP3 is when 32 => NEXT_OUTS <= 581; -- btl_Ed_Ib SANITY when 40 => NEXT_OUTS <= 661; -- btsl_Ed_Ib SANITY when 48 => NEXT_OUTS <= 761; -- btrl_Ed_Ib SANITY when 56 => NEXT_OUTS <= 194; -- btcl_Ed_Ib SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 187 => NEXT_OUTS <= 745; -- btcl_Ed_Gd SANITY when 190 => NEXT_OUTS <= 471; -- movsbl_Gd_Eb SANITY when 191 => NEXT_OUTS <= 257; -- movswl_Gd_Ew SANITY when 192 => NEXT_OUTS <= 303; -- xaddb_Eb_Gb SANITY when 193 => NEXT_OUTS <= 727; -- xaddl_Ed_Gd SANITY when 199 => case OP3 is when 48 => NEXT_OUTS <= 344; -- vmptrld_Mq SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 200 => NEXT_OUTS <= 142; -- bswapl_ERX SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 16 => NEXT_OUTS <= 174; -- adcb_Eb_Gb SANITY when 17 => NEXT_OUTS <= 111; -- adcl_Ed_Gd SANITY when 18 => NEXT_OUTS <= 13; -- adcb_Gb_Eb SANITY when 19 => NEXT_OUTS <= 711; -- adcl_Gd_Ed SANITY when 20 => NEXT_OUTS <= 292; -- adcb_AL_Ib SANITY when 21 => NEXT_OUTS <= 512; -- adcl_EAX_Id SANITY when 22 => NEXT_OUTS <= 70; -- pushl_SS SANITY when 23 => NEXT_OUTS <= 504; -- popl_SS SANITY when 24 => NEXT_OUTS <= 766; -- sbbb_Eb_Gb SANITY when 25 => NEXT_OUTS <= 191; -- sbbl_Ed_Gd SANITY when 26 => NEXT_OUTS <= 83; -- sbbb_Gb_Eb SANITY when 27 => NEXT_OUTS <= 596; -- sbbl_Gd_Ed SANITY when 28 => NEXT_OUTS <= 514; -- sbbb_AL_Ib SANITY when 29 => NEXT_OUTS <= 95; -- sbbl_EAX_Id SANITY when 30 => NEXT_OUTS <= 343; -- pushl_DS SANITY when 31 => NEXT_OUTS <= 234; -- popl_DS SANITY when 32 => NEXT_OUTS <= 261; -- andb_Eb_Gb SANITY when 33 => NEXT_OUTS <= 340; -- andl_Ed_Gd SANITY when 34 => NEXT_OUTS <= 251; -- andb_Gb_Eb SANITY when 35 => NEXT_OUTS <= 699; -- andl_Gd_Ed SANITY when 36 => NEXT_OUTS <= 300; -- andb_AL_Ib SANITY when 37 => NEXT_OUTS <= 144; -- andl_EAX_Id SANITY when 39 => NEXT_OUTS <= 491; -- daa SANITY when 40 => NEXT_OUTS <= 176; -- subb_Eb_Gb SANITY when 41 => NEXT_OUTS <= 478; -- subl_Ed_Gd SANITY when 42 => NEXT_OUTS <= 428; -- subb_Gb_Eb SANITY when 43 => NEXT_OUTS <= 204; -- subl_Gd_Ed SANITY when 44 => NEXT_OUTS <= 738; -- subb_AL_Ib SANITY when 45 => NEXT_OUTS <= 192; -- subl_EAX_Id SANITY when 47 => NEXT_OUTS <= 115; -- das SANITY when 48 => NEXT_OUTS <= 315; -- xorb_Eb_Gb SANITY when 49 => NEXT_OUTS <= 511; -- xorl_Ed_Gd SANITY when 50 => NEXT_OUTS <= 755; -- xorb_Gb_Eb SANITY when 51 => NEXT_OUTS <= 488; -- xorl_Gd_Ed SANITY when 52 => NEXT_OUTS <= 364; -- xorb_AL_Ib SANITY when 53 => NEXT_OUTS <= 468; -- xorl_EAX_Id SANITY when 55 => NEXT_OUTS <= 670; -- aaa SANITY when 56 => NEXT_OUTS <= 725; -- cmpb_Eb_Gb SANITY when 57 => NEXT_OUTS <= 410; -- cmpl_Ed_Gd SANITY when 58 => NEXT_OUTS <= 23; -- cmpb_Gb_Eb SANITY when 59 => NEXT_OUTS <= 461; -- cmpl_Gd_Ed SANITY when 60 => NEXT_OUTS <= 262; -- cmpb_AL_Ib SANITY when 61 => NEXT_OUTS <= 399; -- cmpl_EAX_Id SANITY when 63 => NEXT_OUTS <= 615; -- aas SANITY when 64 => NEXT_OUTS <= 499; -- incl_ERX SANITY when 72 => case OP2 is when 1 => NEXT_OUTS <= 730; -- addq_Eq_Gq SANITY when 3 => NEXT_OUTS <= 258; -- addq_Gq_Eq SANITY when 5 => NEXT_OUTS <= 179; -- addq_RAX_sId SANITY when 9 => NEXT_OUTS <= 564; -- orq_Eq_Gq SANITY when 11 => NEXT_OUTS <= 391; -- orq_Gq_Eq SANITY when 13 => NEXT_OUTS <= 26; -- orq_RAX_sId SANITY when 15 => case OP3 is when 2 => case OP4 is when 0 => NEXT_OUTS <= 712; -- larq_Gq_Ew SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 3 => case OP4 is when 0 => NEXT_OUTS <= 362; -- lslq_Gq_Ew SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 110 => NEXT_OUTS <= 672; -- movq_Pq_Eq SANITY when 163 => NEXT_OUTS <= 450; -- btq_Eq_Gq SANITY when 164 => NEXT_OUTS <= 639; -- shldq_Eq_Gq_Ib SANITY when 165 => NEXT_OUTS <= 609; -- shldq_Eq_Gq_CL SANITY when 171 => NEXT_OUTS <= 305; -- btsq_Eq_Gq SANITY when 172 => NEXT_OUTS <= 660; -- shrdq_Eq_Gq_Ib SANITY when 173 => NEXT_OUTS <= 229; -- shrdq_Eq_Gq_CL SANITY when 175 => NEXT_OUTS <= 69; -- imulq_Gq_Eq SANITY when 177 => NEXT_OUTS <= 706; -- cmpxchgq_Eq_Gq SANITY when 178 => NEXT_OUTS <= 625; -- lssq_Gq_Mp SANITY when 179 => NEXT_OUTS <= 565; -- btrq_Eq_Gq SANITY when 180 => NEXT_OUTS <= 688; -- lfsq_Gq_Mp SANITY when 181 => NEXT_OUTS <= 197; -- lgsq_Gq_Mp SANITY when 182 => NEXT_OUTS <= 689; -- movzbq_Gq_Eb SANITY when 183 => NEXT_OUTS <= 566; -- movzwq_Gq_Ew SANITY when 186 => case OP4 is when 32 => NEXT_OUTS <= 259; -- btq_Eq_Ib SANITY when 40 => NEXT_OUTS <= 697; -- btsq_Eq_Ib SANITY when 48 => NEXT_OUTS <= 647; -- btrq_Eq_Ib SANITY when 56 => NEXT_OUTS <= 190; -- btcq_Eq_Ib SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 187 => NEXT_OUTS <= 441; -- btcq_Eq_Gq SANITY when 190 => NEXT_OUTS <= 104; -- movsbq_Gq_Eb SANITY when 191 => NEXT_OUTS <= 383; -- movswq_Gq_Ew SANITY when 193 => NEXT_OUTS <= 9; -- xaddq_Eq_Gq SANITY when 200 => NEXT_OUTS <= 120; -- bswapq_RRX SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 17 => NEXT_OUTS <= 551; -- adcq_Eq_Gq SANITY when 19 => NEXT_OUTS <= 446; -- adcq_Gq_Eq SANITY when 21 => NEXT_OUTS <= 535; -- adcq_RAX_sId SANITY when 25 => NEXT_OUTS <= 506; -- sbbq_Eq_Gq SANITY when 27 => NEXT_OUTS <= 765; -- sbbq_Gq_Eq SANITY when 29 => NEXT_OUTS <= 181; -- sbbq_RAX_sId SANITY when 33 => NEXT_OUTS <= 92; -- andq_Eq_Gq SANITY when 35 => NEXT_OUTS <= 498; -- andq_Gq_Eq SANITY when 37 => NEXT_OUTS <= 633; -- andq_RAX_sId SANITY when 41 => NEXT_OUTS <= 323; -- subq_Eq_Gq SANITY when 43 => NEXT_OUTS <= 734; -- subq_Gq_Eq SANITY when 45 => NEXT_OUTS <= 696; -- subq_RAX_sId SANITY when 49 => NEXT_OUTS <= 321; -- xorq_Eq_Gq SANITY when 51 => NEXT_OUTS <= 508; -- xorq_Gq_Eq SANITY when 53 => NEXT_OUTS <= 346; -- xorq_RAX_sId SANITY when 57 => NEXT_OUTS <= 540; -- cmpq_Eq_Gq SANITY when 59 => NEXT_OUTS <= 634; -- cmpq_Gq_Eq SANITY when 61 => NEXT_OUTS <= 210; -- cmpq_RAX_sId SANITY when 99 => NEXT_OUTS <= 7; -- movslq_Gq_Ed SANITY when 105 => NEXT_OUTS <= 620; -- imulq_Gq_Eq_sId SANITY when 107 => NEXT_OUTS <= 279; -- imulq_Gq_Eq_sIb SANITY when 129 => case OP3 is when 0 => NEXT_OUTS <= 49; -- addq_Eq_sId SANITY when 8 => NEXT_OUTS <= 567; -- orq_Eq_sId SANITY when 16 => NEXT_OUTS <= 357; -- adcq_Eq_sId SANITY when 24 => NEXT_OUTS <= 173; -- sbbq_Eq_sId SANITY when 32 => NEXT_OUTS <= 167; -- andq_Eq_sId SANITY when 40 => NEXT_OUTS <= 427; -- subq_Eq_sId SANITY when 48 => NEXT_OUTS <= 501; -- xorq_Eq_sId SANITY when 56 => NEXT_OUTS <= 604; -- cmpq_Eq_sId SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 131 => case OP3 is when 0 => NEXT_OUTS <= 99; -- addq_Eq_sIb SANITY when 8 => NEXT_OUTS <= 22; -- orq_Eq_sIb SANITY when 16 => NEXT_OUTS <= 637; -- adcq_Eq_sIb SANITY when 24 => NEXT_OUTS <= 137; -- sbbq_Eq_sIb SANITY when 32 => NEXT_OUTS <= 695; -- andq_Eq_sIb SANITY when 40 => NEXT_OUTS <= 273; -- subq_Eq_sIb SANITY when 48 => NEXT_OUTS <= 744; -- xorq_Eq_sIb SANITY when 56 => NEXT_OUTS <= 339; -- cmpq_Eq_sIb SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 133 => NEXT_OUTS <= 153; -- testq_Eq_Gq SANITY when 135 => NEXT_OUTS <= 559; -- xchgq_Eq_Gq SANITY when 137 => NEXT_OUTS <= 208; -- movq_Eq_Gq SANITY when 139 => NEXT_OUTS <= 81; -- movq_Gq_Eq SANITY when 141 => NEXT_OUTS <= 610; -- leaq_Gq_Mq SANITY when 145 => NEXT_OUTS <= 702; -- xchgq_RRX_RAX SANITY when 152 => NEXT_OUTS <= 240; -- cdqe SANITY when 153 => NEXT_OUTS <= 278; -- cqo SANITY when 161 => NEXT_OUTS <= 175; -- movq_RAX_Oq SANITY when 163 => NEXT_OUTS <= 437; -- movq_Oq_RAX SANITY when 165 => NEXT_OUTS <= 55; -- movsq_Yq_Xq SANITY when 167 => NEXT_OUTS <= 28; -- cmpsq_Yq_Xq SANITY when 169 => NEXT_OUTS <= 578; -- testq_RAX_sId SANITY when 171 => NEXT_OUTS <= 612; -- stosq_Yq_RAX SANITY when 173 => NEXT_OUTS <= 282; -- lodsq_RAX_Xq SANITY when 175 => NEXT_OUTS <= 576; -- scasq_Yq_RAX SANITY when 184 => NEXT_OUTS <= 416; -- movq_RRX_Iq SANITY when 193 => case OP3 is when 0 => NEXT_OUTS <= 146; -- rolq_Eq_Ib SANITY when 8 => NEXT_OUTS <= 613; -- rorq_Eq_Ib SANITY when 16 => NEXT_OUTS <= 117; -- rclq_Eq_Ib SANITY when 24 => NEXT_OUTS <= 611; -- rcrq_Eq_Ib SANITY when 32 => NEXT_OUTS <= 631; -- shlq_Eq_Ib SANITY when 40 => NEXT_OUTS <= 722; -- shrq_Eq_Ib SANITY when 56 => NEXT_OUTS <= 242; -- sarq_Eq_Ib SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 199 => case OP3 is when 0 => NEXT_OUTS <= 548; -- movq_Eq_sId SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 207 => NEXT_OUTS <= 557; -- iretq SANITY when 209 => case OP3 is when 0 => NEXT_OUTS <= 379; -- rolq_Eq_I1 SANITY when 8 => NEXT_OUTS <= 538; -- rorq_Eq_I1 SANITY when 16 => NEXT_OUTS <= 75; -- rclq_Eq_I1 SANITY when 24 => NEXT_OUTS <= 763; -- rcrq_Eq_I1 SANITY when 32 => NEXT_OUTS <= 721; -- shlq_Eq_I1 SANITY when 40 => NEXT_OUTS <= 188; -- shrq_Eq_I1 SANITY when 56 => NEXT_OUTS <= 58; -- sarq_Eq_I1 SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 211 => case OP3 is when 0 => NEXT_OUTS <= 395; -- rolq_Eq_CL SANITY when 8 => NEXT_OUTS <= 307; -- rorq_Eq_CL SANITY when 16 => NEXT_OUTS <= 493; -- rclq_Eq_CL SANITY when 24 => NEXT_OUTS <= 35; -- rcrq_Eq_CL SANITY when 32 => NEXT_OUTS <= 11; -- shlq_Eq_CL SANITY when 40 => NEXT_OUTS <= 363; -- shrq_Eq_CL SANITY when 56 => NEXT_OUTS <= 627; -- sarq_Eq_CL SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 247 => case OP3 is when 0 => NEXT_OUTS <= 32; -- testq_Eq_sId SANITY when 16 => NEXT_OUTS <= 472; -- notq_Eq SANITY when 24 => NEXT_OUTS <= 186; -- negq_Eq SANITY when 32 => NEXT_OUTS <= 297; -- mulq_RAX_Eq SANITY when 40 => NEXT_OUTS <= 196; -- imulq_RAX_Eq SANITY when 48 => NEXT_OUTS <= 677; -- divq_RAX_Eq SANITY when 56 => NEXT_OUTS <= 371; -- idivq_RAX_Eq SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 255 => case OP3 is when 0 => NEXT_OUTS <= 350; -- incq_Eq SANITY when 8 => NEXT_OUTS <= 48; -- decq_Eq SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when others => NEXT_OUTS <= 0; -- invalid end case; when 80 => case OP2 is when 0 => NEXT_OUTS <= 445; -- pushl_ERX SANITY when 1 => NEXT_OUTS <= 520; -- pushq_RRX SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 88 => case OP2 is when 0 => NEXT_OUTS <= 47; -- popl_ERX SANITY when 1 => NEXT_OUTS <= 529; -- popq_RRX SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 96 => NEXT_OUTS <= 271; -- pushal SANITY when 97 => NEXT_OUTS <= 479; -- popal SANITY when 98 => NEXT_OUTS <= 569; -- boundl_Gd_Ma SANITY when 99 => NEXT_OUTS <= 89; -- arpl_Ew_Gw SANITY when 102 => case OP2 is when 1 => case OP3 is when 0 => NEXT_OUTS <= 57; -- addw_Ew_Gw SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 3 => NEXT_OUTS <= 325; -- addw_Gw_Ew SANITY when 5 => NEXT_OUTS <= 361; -- addw_AX_Iw SANITY when 6 => NEXT_OUTS <= 30; -- pushw_ES SANITY when 7 => NEXT_OUTS <= 330; -- popw_ES SANITY when 9 => NEXT_OUTS <= 8; -- orw_Ew_Gw SANITY when 11 => NEXT_OUTS <= 349; -- orw_Gw_Ew SANITY when 13 => NEXT_OUTS <= 494; -- orw_AX_Iw SANITY when 14 => NEXT_OUTS <= 484; -- pushw_CS SANITY when 15 => case OP3 is when 2 => case OP4 is when 0 => NEXT_OUTS <= 674; -- larw_Gw_Ew SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 3 => case OP4 is when 0 => NEXT_OUTS <= 380; -- lslw_Gw_Ew SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 110 => NEXT_OUTS <= 61; -- movd_Vdq_Ed SANITY when 128 => NEXT_OUTS <= 648; -- jo_Jw SANITY when 129 => NEXT_OUTS <= 754; -- jno_Jw SANITY when 130 => NEXT_OUTS <= 79; -- jb_Jw SANITY when 131 => NEXT_OUTS <= 155; -- jnb_Jw SANITY when 132 => NEXT_OUTS <= 77; -- jz_Jw SANITY when 133 => NEXT_OUTS <= 345; -- jnz_Jw SANITY when 134 => NEXT_OUTS <= 768; -- jbe_Jw SANITY when 135 => NEXT_OUTS <= 96; -- jnbe_Jw SANITY when 136 => NEXT_OUTS <= 263; -- js_Jw SANITY when 137 => NEXT_OUTS <= 642; -- jns_Jw SANITY when 138 => NEXT_OUTS <= 2; -- jp_Jw SANITY when 139 => NEXT_OUTS <= 662; -- jnp_Jw SANITY when 140 => NEXT_OUTS <= 394; -- jl_Jw SANITY when 141 => NEXT_OUTS <= 274; -- jnl_Jw SANITY when 142 => NEXT_OUTS <= 653; -- jle_Jw SANITY when 143 => NEXT_OUTS <= 38; -- jnle_Jw SANITY when 160 => NEXT_OUTS <= 470; -- pushw_FS SANITY when 161 => NEXT_OUTS <= 751; -- popw_FS SANITY when 163 => NEXT_OUTS <= 421; -- btw_Ew_Gw SANITY when 164 => NEXT_OUTS <= 46; -- shldw_Ew_Gw_Ib SANITY when 165 => NEXT_OUTS <= 778; -- shldw_Ew_Gw_CL SANITY when 168 => NEXT_OUTS <= 693; -- pushw_GS SANITY when 169 => NEXT_OUTS <= 570; -- popw_GS SANITY when 171 => NEXT_OUTS <= 657; -- btsw_Ew_Gw SANITY when 172 => NEXT_OUTS <= 458; -- shrdw_Ew_Gw_Ib SANITY when 173 => NEXT_OUTS <= 376; -- shrdw_Ew_Gw_CL SANITY when 175 => NEXT_OUTS <= 684; -- imulw_Gw_Ew SANITY when 177 => NEXT_OUTS <= 54; -- cmpxchgw_Ew_Gw SANITY when 178 => NEXT_OUTS <= 64; -- lssw_Gw_Mp SANITY when 179 => NEXT_OUTS <= 332; -- btrw_Ew_Gw SANITY when 180 => NEXT_OUTS <= 17; -- lfsw_Gw_Mp SANITY when 181 => NEXT_OUTS <= 308; -- lgsw_Gw_Mp SANITY when 182 => NEXT_OUTS <= 589; -- movzbw_Gw_Eb SANITY when 186 => case OP4 is when 32 => NEXT_OUTS <= 418; -- btw_Ew_Ib SANITY when 40 => NEXT_OUTS <= 533; -- btsw_Ew_Ib SANITY when 48 => NEXT_OUTS <= 269; -- btrw_Ew_Ib SANITY when 56 => NEXT_OUTS <= 438; -- btcw_Ew_Ib SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 187 => NEXT_OUTS <= 80; -- btcw_Ew_Gw SANITY when 190 => NEXT_OUTS <= 106; -- movsbw_Gw_Eb SANITY when 193 => NEXT_OUTS <= 526; -- xaddw_Ew_Gw SANITY when 199 => case OP4 is when 48 => NEXT_OUTS <= 420; -- vmclear_Mq SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when others => NEXT_OUTS <= 0; -- invalid end case; when 17 => NEXT_OUTS <= 43; -- adcw_Ew_Gw SANITY when 19 => NEXT_OUTS <= 469; -- adcw_Gw_Ew SANITY when 21 => NEXT_OUTS <= 593; -- adcw_AX_Iw SANITY when 22 => NEXT_OUTS <= 370; -- pushw_SS SANITY when 23 => NEXT_OUTS <= 294; -- popw_SS SANITY when 25 => NEXT_OUTS <= 715; -- sbbw_Ew_Gw SANITY when 27 => NEXT_OUTS <= 217; -- sbbw_Gw_Ew SANITY when 29 => NEXT_OUTS <= 655; -- sbbw_AX_Iw SANITY when 30 => NEXT_OUTS <= 646; -- pushw_DS SANITY when 31 => NEXT_OUTS <= 123; -- popw_DS SANITY when 33 => NEXT_OUTS <= 496; -- andw_Ew_Gw SANITY when 35 => NEXT_OUTS <= 203; -- andw_Gw_Ew SANITY when 37 => NEXT_OUTS <= 523; -- andw_AX_Iw SANITY when 41 => NEXT_OUTS <= 118; -- subw_Ew_Gw SANITY when 43 => NEXT_OUTS <= 760; -- subw_Gw_Ew SANITY when 45 => NEXT_OUTS <= 87; -- subw_AX_Iw SANITY when 49 => NEXT_OUTS <= 280; -- xorw_Ew_Gw SANITY when 51 => NEXT_OUTS <= 337; -- xorw_Gw_Ew SANITY when 53 => NEXT_OUTS <= 654; -- xorw_AX_Iw SANITY when 57 => NEXT_OUTS <= 705; -- cmpw_Ew_Gw SANITY when 59 => NEXT_OUTS <= 31; -- cmpw_Gw_Ew SANITY when 61 => NEXT_OUTS <= 86; -- cmpw_AX_Iw SANITY when 64 => NEXT_OUTS <= 460; -- incw_RX SANITY when 72 => case OP3 is when 15 => case OP4 is when 110 => NEXT_OUTS <= 177; -- movq_Vdq_Eq SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when others => NEXT_OUTS <= 0; -- invalid end case; when 80 => NEXT_OUTS <= 172; -- pushw_RX SANITY when 88 => NEXT_OUTS <= 510; -- popw_RX SANITY when 96 => NEXT_OUTS <= 390; -- pushaw SANITY when 97 => NEXT_OUTS <= 632; -- popaw SANITY when 98 => NEXT_OUTS <= 386; -- boundw_Gw_Ma SANITY when 104 => NEXT_OUTS <= 162; -- pushw_Iw SANITY when 105 => NEXT_OUTS <= 541; -- imulw_Gw_Ew_Iw SANITY when 106 => NEXT_OUTS <= 481; -- pushw_sIb SANITY when 107 => NEXT_OUTS <= 411; -- imulw_Gw_Ew_sIb SANITY when 109 => NEXT_OUTS <= 597; -- insw_Yw_DX SANITY when 111 => NEXT_OUTS <= 214; -- outsw_DX_Xw SANITY when 129 => case OP3 is when 0 => NEXT_OUTS <= 550; -- addw_Ew_Iw SANITY when 8 => NEXT_OUTS <= 641; -- orw_Ew_Iw SANITY when 16 => NEXT_OUTS <= 246; -- adcw_Ew_Iw SANITY when 24 => NEXT_OUTS <= 101; -- sbbw_Ew_Iw SANITY when 32 => NEXT_OUTS <= 110; -- andw_Ew_Iw SANITY when 40 => NEXT_OUTS <= 423; -- subw_Ew_Iw SANITY when 48 => NEXT_OUTS <= 663; -- xorw_Ew_Iw SANITY when 56 => NEXT_OUTS <= 772; -- cmpw_Ew_Iw SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 131 => case OP3 is when 0 => NEXT_OUTS <= 327; -- addw_Ew_sIb SANITY when 8 => NEXT_OUTS <= 476; -- orw_Ew_sIb SANITY when 16 => NEXT_OUTS <= 93; -- adcw_Ew_sIb SANITY when 24 => NEXT_OUTS <= 129; -- sbbw_Ew_sIb SANITY when 32 => NEXT_OUTS <= 694; -- andw_Ew_sIb SANITY when 40 => NEXT_OUTS <= 127; -- subw_Ew_sIb SANITY when 48 => NEXT_OUTS <= 717; -- xorw_Ew_sIb SANITY when 56 => NEXT_OUTS <= 141; -- cmpw_Ew_sIb SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 133 => NEXT_OUTS <= 206; -- testw_Ew_Gw SANITY when 135 => NEXT_OUTS <= 726; -- xchgw_Ew_Gw SANITY when 137 => NEXT_OUTS <= 773; -- movw_Ew_Gw SANITY when 139 => NEXT_OUTS <= 582; -- movw_Gw_Ew SANITY when 141 => NEXT_OUTS <= 723; -- leaw_Gw_Mw SANITY when 143 => case OP3 is when 0 => NEXT_OUTS <= 762; -- popw_Ew SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 145 => NEXT_OUTS <= 682; -- xchgw_RX_AX SANITY when 152 => NEXT_OUTS <= 298; -- cbw SANITY when 153 => NEXT_OUTS <= 482; -- cwd SANITY when 154 => NEXT_OUTS <= 434; -- lcall_Apw SANITY when 156 => NEXT_OUTS <= 329; -- pushfw SANITY when 157 => NEXT_OUTS <= 758; -- popfw SANITY when 161 => NEXT_OUTS <= 125; -- movw_AX_Ow SANITY when 163 => NEXT_OUTS <= 309; -- movw_Ow_AX SANITY when 165 => NEXT_OUTS <= 352; -- movsw_Yw_Xw SANITY when 167 => NEXT_OUTS <= 629; -- cmpsw_Yw_Xw SANITY when 169 => NEXT_OUTS <= 749; -- testw_AX_Iw SANITY when 171 => NEXT_OUTS <= 287; -- stosw_Yw_AX SANITY when 173 => NEXT_OUTS <= 320; -- lodsw_AX_Xw SANITY when 175 => NEXT_OUTS <= 739; -- scasw_Yw_AX SANITY when 184 => NEXT_OUTS <= 690; -- movw_RX_Iw SANITY when 193 => case OP3 is when 0 => NEXT_OUTS <= 105; -- rolw_Ew_Ib SANITY when 8 => NEXT_OUTS <= 741; -- rorw_Ew_Ib SANITY when 16 => NEXT_OUTS <= 248; -- rclw_Ew_Ib SANITY when 24 => NEXT_OUTS <= 603; -- rcrw_Ew_Ib SANITY when 32 => NEXT_OUTS <= 626; -- shlw_Ew_Ib SANITY when 40 => NEXT_OUTS <= 554; -- shrw_Ew_Ib SANITY when 56 => NEXT_OUTS <= 668; -- sarw_Ew_Ib SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 196 => NEXT_OUTS <= 467; -- lesw_Gw_Mp SANITY when 199 => case OP3 is when 0 => NEXT_OUTS <= 353; -- movw_Ew_Iw SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 207 => NEXT_OUTS <= 720; -- iretw SANITY when 209 => case OP3 is when 0 => NEXT_OUTS <= 584; -- rolw_Ew_I1 SANITY when 8 => NEXT_OUTS <= 740; -- rorw_Ew_I1 SANITY when 16 => NEXT_OUTS <= 360; -- rclw_Ew_I1 SANITY when 24 => NEXT_OUTS <= 628; -- rcrw_Ew_I1 SANITY when 32 => NEXT_OUTS <= 195; -- shlw_Ew_I1 SANITY when 40 => NEXT_OUTS <= 169; -- shrw_Ew_I1 SANITY when 56 => NEXT_OUTS <= 226; -- sarw_Ew_I1 SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 211 => case OP3 is when 0 => NEXT_OUTS <= 579; -- rolw_Ew_CL SANITY when 8 => NEXT_OUTS <= 709; -- rorw_Ew_CL SANITY when 16 => NEXT_OUTS <= 387; -- rclw_Ew_CL SANITY when 24 => NEXT_OUTS <= 396; -- rcrw_Ew_CL SANITY when 32 => NEXT_OUTS <= 97; -- shlw_Ew_CL SANITY when 40 => NEXT_OUTS <= 686; -- shrw_Ew_CL SANITY when 56 => NEXT_OUTS <= 29; -- sarw_Ew_CL SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 229 => NEXT_OUTS <= 466; -- inw_AX_Ib SANITY when 231 => NEXT_OUTS <= 780; -- outw_Ib_AX SANITY when 232 => NEXT_OUTS <= 473; -- call_Jw SANITY when 233 => NEXT_OUTS <= 746; -- jmp_Jw SANITY when 234 => NEXT_OUTS <= 384; -- ljmp_Apw SANITY when 237 => NEXT_OUTS <= 724; -- inw_AX_DX SANITY when 239 => NEXT_OUTS <= 200; -- outw_DX_AX SANITY when 247 => case OP3 is when 0 => NEXT_OUTS <= 542; -- testw_Ew_Iw SANITY when 16 => NEXT_OUTS <= 265; -- notw_Ew SANITY when 24 => NEXT_OUTS <= 122; -- negw_Ew SANITY when 32 => NEXT_OUTS <= 651; -- mulw_AX_Ew SANITY when 40 => NEXT_OUTS <= 767; -- imulw_AX_Ew SANITY when 48 => NEXT_OUTS <= 281; -- divw_AX_Ew SANITY when 56 => NEXT_OUTS <= 245; -- idivw_AX_Ew SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 255 => case OP3 is when 0 => NEXT_OUTS <= 776; -- incw_Ew SANITY when 8 => NEXT_OUTS <= 656; -- decw_Ew SANITY when 16 => NEXT_OUTS <= 398; -- call_Ew SANITY when 32 => NEXT_OUTS <= 193; -- jmp_Ew SANITY when 48 => NEXT_OUTS <= 52; -- pushw_Ew SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when others => NEXT_OUTS <= 0; -- invalid end case; when 103 => case OP2 is when 227 => NEXT_OUTS <= 748; -- jcxz_Jb SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 104 => case OP2 is when 0 => NEXT_OUTS <= 464; -- pushl_Id SANITY when 1 => NEXT_OUTS <= 277; -- pushq_sId SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 105 => NEXT_OUTS <= 701; -- imull_Gd_Ed_Id SANITY when 106 => case OP2 is when 0 => NEXT_OUTS <= 536; -- pushl_sIb SANITY when 1 => NEXT_OUTS <= 562; -- pushq_sIb SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 107 => NEXT_OUTS <= 719; -- imull_Gd_Ed_sIb SANITY when 108 => NEXT_OUTS <= 310; -- insb_Yb_DX SANITY when 109 => NEXT_OUTS <= 205; -- insl_Yd_DX SANITY when 110 => NEXT_OUTS <= 6; -- outsb_DX_Xb SANITY when 111 => NEXT_OUTS <= 594; -- outsl_DX_Xd SANITY when 112 => NEXT_OUTS <= 665; -- jo_Jb SANITY when 113 => NEXT_OUTS <= 354; -- jno_Jb SANITY when 114 => NEXT_OUTS <= 185; -- jb_Jb SANITY when 115 => NEXT_OUTS <= 643; -- jnb_Jb SANITY when 116 => NEXT_OUTS <= 157; -- jz_Jb SANITY when 117 => NEXT_OUTS <= 591; -- jnz_Jb SANITY when 118 => NEXT_OUTS <= 165; -- jbe_Jb SANITY when 119 => NEXT_OUTS <= 314; -- jnbe_Jb SANITY when 120 => NEXT_OUTS <= 232; -- js_Jb SANITY when 121 => NEXT_OUTS <= 102; -- jns_Jb SANITY when 122 => NEXT_OUTS <= 462; -- jp_Jb SANITY when 123 => NEXT_OUTS <= 88; -- jnp_Jb SANITY when 124 => NEXT_OUTS <= 517; -- jl_Jb SANITY when 125 => NEXT_OUTS <= 490; -- jnl_Jb SANITY when 126 => NEXT_OUTS <= 522; -- jle_Jb SANITY when 127 => NEXT_OUTS <= 72; -- jnle_Jb SANITY when 128 => case OP2 is when 0 => NEXT_OUTS <= 351; -- addb_Eb_Ib SANITY when 8 => NEXT_OUTS <= 116; -- orb_Eb_Ib SANITY when 16 => NEXT_OUTS <= 691; -- adcb_Eb_Ib SANITY when 24 => NEXT_OUTS <= 507; -- sbbb_Eb_Ib SANITY when 32 => NEXT_OUTS <= 704; -- andb_Eb_Ib SANITY when 40 => NEXT_OUTS <= 150; -- subb_Eb_Ib SANITY when 48 => NEXT_OUTS <= 521; -- xorb_Eb_Ib SANITY when 56 => NEXT_OUTS <= 219; -- cmpb_Eb_Ib SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 129 => case OP2 is when 0 => NEXT_OUTS <= 454; -- addl_Ed_Id SANITY when 8 => NEXT_OUTS <= 243; -- orl_Ed_Id SANITY when 16 => NEXT_OUTS <= 728; -- adcl_Ed_Id SANITY when 24 => NEXT_OUTS <= 199; -- sbbl_Ed_Id SANITY when 32 => NEXT_OUTS <= 223; -- andl_Ed_Id SANITY when 40 => NEXT_OUTS <= 714; -- subl_Ed_Id SANITY when 48 => NEXT_OUTS <= 42; -- xorl_Ed_Id SANITY when 56 => NEXT_OUTS <= 285; -- cmpl_Ed_Id SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 131 => case OP2 is when 0 => NEXT_OUTS <= 45; -- addl_Ed_sIb SANITY when 8 => NEXT_OUTS <= 266; -- orl_Ed_sIb SANITY when 16 => NEXT_OUTS <= 334; -- adcl_Ed_sIb SANITY when 24 => NEXT_OUTS <= 401; -- sbbl_Ed_sIb SANITY when 32 => NEXT_OUTS <= 59; -- andl_Ed_sIb SANITY when 40 => NEXT_OUTS <= 549; -- subl_Ed_sIb SANITY when 48 => NEXT_OUTS <= 422; -- xorl_Ed_sIb SANITY when 56 => NEXT_OUTS <= 109; -- cmpl_Ed_sIb SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 132 => NEXT_OUTS <= 614; -- testb_Eb_Gb SANITY when 133 => NEXT_OUTS <= 700; -- testl_Ed_Gd SANITY when 134 => NEXT_OUTS <= 623; -- xchgb_Eb_Gb SANITY when 135 => NEXT_OUTS <= 553; -- xchgl_Ed_Gd SANITY when 136 => NEXT_OUTS <= 255; -- movb_Eb_Gb SANITY when 137 => NEXT_OUTS <= 166; -- movl_Ed_Gd SANITY when 138 => NEXT_OUTS <= 598; -- movb_Gb_Eb SANITY when 139 => NEXT_OUTS <= 752; -- movl_Gd_Ed SANITY when 140 => NEXT_OUTS <= 348; -- movw_Ew_Sw SANITY when 141 => NEXT_OUTS <= 326; -- leal_Gd_Md SANITY when 142 => NEXT_OUTS <= 231; -- movw_Sw_Ew SANITY when 143 => case OP2 is when 0 => case OP3 is when 0 => NEXT_OUTS <= 707; -- popl_Ed SANITY when 1 => NEXT_OUTS <= 635; -- popq_Eq SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when others => NEXT_OUTS <= 0; -- invalid end case; when 144 => NEXT_OUTS <= 601; -- nop SANITY when 145 => NEXT_OUTS <= 640; -- xchgl_ERX_EAX SANITY when 152 => NEXT_OUTS <= 750; -- cwde SANITY when 153 => NEXT_OUTS <= 666; -- cdq SANITY when 154 => NEXT_OUTS <= 413; -- lcall_Apd SANITY when 155 => NEXT_OUTS <= 85; -- fwait SANITY when 156 => case OP2 is when 0 => NEXT_OUTS <= 685; -- pushfl SANITY when 1 => NEXT_OUTS <= 392; -- pushfq SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 157 => case OP2 is when 0 => NEXT_OUTS <= 44; -- popfl SANITY when 1 => NEXT_OUTS <= 393; -- popfq SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 158 => NEXT_OUTS <= 183; -- sahf SANITY when 159 => NEXT_OUTS <= 151; -- lahf SANITY when 160 => NEXT_OUTS <= 244; -- movb_AL_Ob SANITY when 161 => NEXT_OUTS <= 537; -- movl_EAX_Od SANITY when 162 => NEXT_OUTS <= 531; -- movb_Ob_AL SANITY when 163 => NEXT_OUTS <= 764; -- movl_Od_EAX SANITY when 164 => NEXT_OUTS <= 149; -- movsb_Yb_Xb SANITY when 165 => NEXT_OUTS <= 5; -- movsl_Yd_Xd SANITY when 166 => NEXT_OUTS <= 703; -- cmpsb_Yb_Xb SANITY when 167 => NEXT_OUTS <= 126; -- cmpsl_Yd_Xd SANITY when 168 => NEXT_OUTS <= 187; -- testb_AL_Ib SANITY when 169 => NEXT_OUTS <= 534; -- testl_EAX_Id SANITY when 170 => NEXT_OUTS <= 687; -- stosb_Yb_AL SANITY when 171 => NEXT_OUTS <= 249; -- stosl_Yd_EAX SANITY when 172 => NEXT_OUTS <= 291; -- lodsb_AL_Xb SANITY when 173 => NEXT_OUTS <= 622; -- lodsl_EAX_Xd SANITY when 174 => NEXT_OUTS <= 546; -- scasb_Yb_AL SANITY when 175 => NEXT_OUTS <= 775; -- scasl_Yd_EAX SANITY when 176 => NEXT_OUTS <= 213; -- movb_R8_Ib SANITY when 184 => NEXT_OUTS <= 107; -- movl_ERX_Id SANITY when 192 => case OP2 is when 0 => NEXT_OUTS <= 736; -- rolb_Eb_Ib SANITY when 8 => NEXT_OUTS <= 756; -- rorb_Eb_Ib SANITY when 16 => NEXT_OUTS <= 216; -- rclb_Eb_Ib SANITY when 24 => NEXT_OUTS <= 302; -- rcrb_Eb_Ib SANITY when 32 => NEXT_OUTS <= 532; -- shlb_Eb_Ib SANITY when 40 => NEXT_OUTS <= 286; -- shrb_Eb_Ib SANITY when 56 => NEXT_OUTS <= 73; -- sarb_Eb_Ib SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 193 => case OP2 is when 0 => NEXT_OUTS <= 164; -- roll_Ed_Ib SANITY when 8 => NEXT_OUTS <= 527; -- rorl_Ed_Ib SANITY when 16 => NEXT_OUTS <= 449; -- rcll_Ed_Ib SANITY when 24 => NEXT_OUTS <= 742; -- rcrl_Ed_Ib SANITY when 32 => NEXT_OUTS <= 435; -- shll_Ed_Ib SANITY when 40 => NEXT_OUTS <= 680; -- shrl_Ed_Ib SANITY when 56 => NEXT_OUTS <= 36; -- sarl_Ed_Ib SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 194 => NEXT_OUTS <= 563; -- ret_Iw SANITY when 195 => NEXT_OUTS <= 552; -- ret SANITY when 196 => case OP2 is when 0 => NEXT_OUTS <= 228; -- lesl_Gd_Mp SANITY when 225 => case OP3 is when 249 => case OP4 is when 110 => NEXT_OUTS <= 338; -- vmovq_Vdq_Eq SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when others => NEXT_OUTS <= 0; -- invalid end case; when 226 => case OP3 is when 121 => case OP4 is when 19 => NEXT_OUTS <= 595; -- vcvtph2ps_Vps_Wq SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when others => NEXT_OUTS <= 0; -- invalid end case; when 227 => case OP3 is when 121 => case OP4 is when 29 => NEXT_OUTS <= 94; -- vcvtps2ph_Wq_Vps_Ib SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when others => NEXT_OUTS <= 0; -- invalid end case; when others => NEXT_OUTS <= 0; -- invalid end case; when 197 => case OP2 is when 249 => case OP3 is when 110 => NEXT_OUTS <= 367; -- vmovd_Vdq_Ed SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when others => NEXT_OUTS <= 0; -- invalid end case; when 198 => case OP2 is when 0 => NEXT_OUTS <= 140; -- movb_Eb_Ib SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 199 => case OP2 is when 0 => NEXT_OUTS <= 649; -- movl_Ed_Id SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 200 => NEXT_OUTS <= 66; -- enter SANITY when 201 => NEXT_OUTS <= 779; -- leave SANITY when 202 => NEXT_OUTS <= 136; -- lret_Iw SANITY when 203 => NEXT_OUTS <= 252; -- lret SANITY when 204 => NEXT_OUTS <= 447; -- int3 SANITY when 205 => NEXT_OUTS <= 56; -- int_Ib SANITY when 206 => NEXT_OUTS <= 128; -- into SANITY when 207 => NEXT_OUTS <= 268; -- iretl SANITY when 208 => case OP2 is when 0 => NEXT_OUTS <= 19; -- rolb_Eb_I1 SANITY when 8 => NEXT_OUTS <= 152; -- rorb_Eb_I1 SANITY when 16 => NEXT_OUTS <= 90; -- rclb_Eb_I1 SANITY when 24 => NEXT_OUTS <= 605; -- rcrb_Eb_I1 SANITY when 32 => NEXT_OUTS <= 774; -- shlb_Eb_I1 SANITY when 40 => NEXT_OUTS <= 606; -- shrb_Eb_I1 SANITY when 56 => NEXT_OUTS <= 516; -- sarb_Eb_I1 SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 209 => case OP2 is when 0 => NEXT_OUTS <= 290; -- roll_Ed_I1 SANITY when 8 => NEXT_OUTS <= 209; -- rorl_Ed_I1 SANITY when 16 => NEXT_OUTS <= 414; -- rcll_Ed_I1 SANITY when 24 => NEXT_OUTS <= 524; -- rcrl_Ed_I1 SANITY when 32 => NEXT_OUTS <= 530; -- shll_Ed_I1 SANITY when 40 => NEXT_OUTS <= 436; -- shrl_Ed_I1 SANITY when 56 => NEXT_OUTS <= 440; -- sarl_Ed_I1 SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 210 => case OP2 is when 0 => NEXT_OUTS <= 236; -- rolb_Eb_CL SANITY when 8 => NEXT_OUTS <= 221; -- rorb_Eb_CL SANITY when 16 => NEXT_OUTS <= 4; -- rclb_Eb_CL SANITY when 24 => NEXT_OUTS <= 132; -- rcrb_Eb_CL SANITY when 32 => NEXT_OUTS <= 406; -- shlb_Eb_CL SANITY when 40 => NEXT_OUTS <= 198; -- shrb_Eb_CL SANITY when 56 => NEXT_OUTS <= 519; -- sarb_Eb_CL SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 211 => case OP2 is when 0 => NEXT_OUTS <= 51; -- roll_Ed_CL SANITY when 8 => NEXT_OUTS <= 509; -- rorl_Ed_CL SANITY when 16 => NEXT_OUTS <= 76; -- rcll_Ed_CL SANITY when 24 => NEXT_OUTS <= 608; -- rcrl_Ed_CL SANITY when 32 => NEXT_OUTS <= 785; -- shll_Ed_CL SANITY when 40 => NEXT_OUTS <= 18; -- shrl_Ed_CL SANITY when 56 => NEXT_OUTS <= 33; -- sarl_Ed_CL SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 212 => NEXT_OUTS <= 135; -- aam SANITY when 213 => NEXT_OUTS <= 572; -- aad SANITY when 214 => NEXT_OUTS <= 777; -- salc SANITY when 215 => NEXT_OUTS <= 368; -- xlat SANITY when 216 => case OP2 is when 0 => NEXT_OUTS <= 372; -- fadds_Md SANITY when 8 => NEXT_OUTS <= 645; -- fmuls_Md SANITY when 16 => NEXT_OUTS <= 515; -- fcoms_Md SANITY when 24 => NEXT_OUTS <= 716; -- fcomps_Md SANITY when 32 => NEXT_OUTS <= 342; -- fsubs_Md SANITY when 40 => NEXT_OUTS <= 430; -- fsubrs_Md SANITY when 48 => NEXT_OUTS <= 419; -- fdivs_Md SANITY when 56 => NEXT_OUTS <= 335; -- fdivrs_Md SANITY when 192 => NEXT_OUTS <= 483; -- fadd_ST0_STi SANITY when 200 => NEXT_OUTS <= 264; -- fmul_ST0_STi SANITY when 208 => NEXT_OUTS <= 698; -- fcom_STi SANITY when 216 => NEXT_OUTS <= 784; -- fcomp_STi SANITY when 224 => NEXT_OUTS <= 316; -- fsub_ST0_STi SANITY when 232 => NEXT_OUTS <= 375; -- fsubr_ST0_STi SANITY when 240 => NEXT_OUTS <= 131; -- fdiv_ST0_STi SANITY when 248 => NEXT_OUTS <= 60; -- fdivr_ST0_STi SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 217 => case OP2 is when 0 => NEXT_OUTS <= 735; -- flds_Md SANITY when 16 => NEXT_OUTS <= 658; -- fsts_Md SANITY when 24 => NEXT_OUTS <= 588; -- fstps_Md SANITY when 32 => NEXT_OUTS <= 417; -- fldenv SANITY when 40 => NEXT_OUTS <= 545; -- fldcw SANITY when 48 => NEXT_OUTS <= 602; -- fnstenv SANITY when 56 => NEXT_OUTS <= 254; -- fnstcw SANITY when 192 => NEXT_OUTS <= 91; -- fld_STi SANITY when 200 => NEXT_OUTS <= 27; -- fxch SANITY when 208 => NEXT_OUTS <= 53; -- fnop SANITY when 224 => NEXT_OUTS <= 134; -- fchs SANITY when 225 => NEXT_OUTS <= 783; -- fabs SANITY when 228 => NEXT_OUTS <= 539; -- ftst SANITY when 229 => NEXT_OUTS <= 426; -- fxam SANITY when 232 => NEXT_OUTS <= 299; -- fld1 SANITY when 233 => NEXT_OUTS <= 16; -- fldl2t SANITY when 234 => NEXT_OUTS <= 678; -- fldl2e SANITY when 235 => NEXT_OUTS <= 650; -- fldpi SANITY when 236 => NEXT_OUTS <= 431; -- fldlg2 SANITY when 237 => NEXT_OUTS <= 585; -- fldln2 SANITY when 238 => NEXT_OUTS <= 25; -- fldz SANITY when 240 => NEXT_OUTS <= 170; -- f2xm1 SANITY when 241 => NEXT_OUTS <= 211; -- fyl2x SANITY when 242 => NEXT_OUTS <= 397; -- fptan SANITY when 243 => NEXT_OUTS <= 288; -- fpatan SANITY when 244 => NEXT_OUTS <= 621; -- fxtract SANITY when 245 => NEXT_OUTS <= 306; -- fprem1 SANITY when 246 => NEXT_OUTS <= 486; -- fdecstp SANITY when 247 => NEXT_OUTS <= 525; -- fincstp SANITY when 248 => NEXT_OUTS <= 586; -- fprem SANITY when 249 => NEXT_OUTS <= 68; -- fyl2xp1 SANITY when 250 => NEXT_OUTS <= 71; -- fsqrt SANITY when 251 => NEXT_OUTS <= 402; -- fsincos SANITY when 252 => NEXT_OUTS <= 224; -- frndint SANITY when 253 => NEXT_OUTS <= 373; -- fscale SANITY when 254 => NEXT_OUTS <= 439; -- fsin SANITY when 255 => NEXT_OUTS <= 272; -- fcos SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 218 => case OP2 is when 0 => NEXT_OUTS <= 276; -- fiaddl_Md SANITY when 8 => NEXT_OUTS <= 444; -- fimull_Md SANITY when 16 => NEXT_OUTS <= 673; -- ficoml_Md SANITY when 24 => NEXT_OUTS <= 82; -- ficompl_Md SANITY when 32 => NEXT_OUTS <= 747; -- fisubl_Md SANITY when 40 => NEXT_OUTS <= 270; -- fisubrl_Md SANITY when 48 => NEXT_OUTS <= 577; -- fidivl_Md SANITY when 56 => NEXT_OUTS <= 359; -- fidivrl_Md SANITY when 233 => NEXT_OUTS <= 34; -- fucompp SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 219 => case OP2 is when 0 => NEXT_OUTS <= 492; -- fildl_Md SANITY when 16 => NEXT_OUTS <= 112; -- fistl_Md SANITY when 24 => NEXT_OUTS <= 40; -- fistpl_Md SANITY when 40 => NEXT_OUTS <= 400; -- fldt_Mt SANITY when 56 => NEXT_OUTS <= 407; -- fstpt_Mt SANITY when 226 => NEXT_OUTS <= 388; -- fnclex SANITY when 227 => NEXT_OUTS <= 681; -- fninit SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 220 => case OP2 is when 0 => NEXT_OUTS <= 138; -- faddl_Mq SANITY when 8 => NEXT_OUTS <= 369; -- fmull_Mq SANITY when 16 => NEXT_OUTS <= 463; -- fcoml_Mq SANITY when 24 => NEXT_OUTS <= 600; -- fcompl_Mq SANITY when 32 => NEXT_OUTS <= 574; -- fsubl_Mq SANITY when 40 => NEXT_OUTS <= 256; -- fsubrl_Mq SANITY when 48 => NEXT_OUTS <= 587; -- fdivl_Mq SANITY when 56 => NEXT_OUTS <= 241; -- fdivrl_Mq SANITY when 192 => NEXT_OUTS <= 487; -- fadd_STi_ST0 SANITY when 200 => NEXT_OUTS <= 355; -- fmul_STi_ST0 SANITY when 224 => NEXT_OUTS <= 636; -- fsubr_STi_ST0 SANITY when 232 => NEXT_OUTS <= 78; -- fsub_STi_ST0 SANITY when 240 => NEXT_OUTS <= 669; -- fdivr_STi_ST0 SANITY when 248 => NEXT_OUTS <= 580; -- fdiv_STi_ST0 SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 221 => case OP2 is when 0 => NEXT_OUTS <= 500; -- fldl_Mq SANITY when 16 => NEXT_OUTS <= 505; -- fstl_Mq SANITY when 24 => NEXT_OUTS <= 63; -- fstpl_Mq SANITY when 32 => NEXT_OUTS <= 771; -- frstor SANITY when 48 => NEXT_OUTS <= 121; -- fnsave SANITY when 56 => NEXT_OUTS <= 759; -- fnstsw SANITY when 192 => NEXT_OUTS <= 713; -- ffree_STi SANITY when 208 => NEXT_OUTS <= 235; -- fst_STi SANITY when 216 => NEXT_OUTS <= 50; -- fstp_STi SANITY when 224 => NEXT_OUTS <= 289; -- fucom_STi SANITY when 232 => NEXT_OUTS <= 451; -- fucomp_STi SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 222 => case OP2 is when 0 => NEXT_OUTS <= 502; -- fiadds_Mw SANITY when 8 => NEXT_OUTS <= 485; -- fimuls_Mw SANITY when 16 => NEXT_OUTS <= 781; -- ficoms_Mw SANITY when 24 => NEXT_OUTS <= 324; -- ficomps_Mw SANITY when 32 => NEXT_OUTS <= 333; -- fisubs_Mw SANITY when 40 => NEXT_OUTS <= 233; -- fisubrs_Mw SANITY when 48 => NEXT_OUTS <= 659; -- fidivs_Mw SANITY when 56 => NEXT_OUTS <= 98; -- fidivrs_Mw SANITY when 192 => NEXT_OUTS <= 84; -- faddp_STi_ST0 SANITY when 200 => NEXT_OUTS <= 171; -- fmulp_STi_ST0 SANITY when 217 => NEXT_OUTS <= 15; -- fcompp SANITY when 224 => NEXT_OUTS <= 644; -- fsubrp_STi_ST0 SANITY when 232 => NEXT_OUTS <= 403; -- fsubp_STi_ST0 SANITY when 240 => NEXT_OUTS <= 443; -- fdivrp_STi_ST0 SANITY when 248 => NEXT_OUTS <= 215; -- fdivp_STi_ST0 SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 223 => case OP2 is when 0 => NEXT_OUTS <= 377; -- filds_Mw SANITY when 16 => NEXT_OUTS <= 619; -- fists_Mw SANITY when 24 => NEXT_OUTS <= 37; -- fistps_Mw SANITY when 32 => NEXT_OUTS <= 425; -- fbldt_Mt SANITY when 40 => NEXT_OUTS <= 528; -- fildq_Mq SANITY when 48 => NEXT_OUTS <= 495; -- fbstpt_Mt SANITY when 56 => NEXT_OUTS <= 675; -- fistpq_Mq SANITY when 224 => NEXT_OUTS <= 260; -- fnstsw_AX SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 224 => NEXT_OUTS <= 679; -- loopne_Jb SANITY when 225 => NEXT_OUTS <= 381; -- loope_Jb SANITY when 226 => NEXT_OUTS <= 692; -- loop_Jb SANITY when 227 => case OP2 is when 0 => NEXT_OUTS <= 432; -- jecxz_Jb SANITY when 1 => NEXT_OUTS <= 408; -- jrcxz_Jb SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 228 => NEXT_OUTS <= 358; -- inb_AL_Ib SANITY when 229 => NEXT_OUTS <= 412; -- inl_EAX_Ib SANITY when 230 => NEXT_OUTS <= 10; -- outb_Ib_AL SANITY when 231 => NEXT_OUTS <= 671; -- outl_Ib_EAX SANITY when 232 => NEXT_OUTS <= 148; -- call_Jd SANITY when 233 => NEXT_OUTS <= 592; -- jmp_Jd SANITY when 234 => NEXT_OUTS <= 158; -- ljmp_Apd SANITY when 235 => NEXT_OUTS <= 124; -- jmp_Jb SANITY when 236 => NEXT_OUTS <= 455; -- inb_AL_DX SANITY when 237 => NEXT_OUTS <= 480; -- inl_EAX_DX SANITY when 238 => NEXT_OUTS <= 301; -- outb_DX_AL SANITY when 239 => NEXT_OUTS <= 317; -- outl_DX_EAX SANITY when 241 => NEXT_OUTS <= 296; -- int1 SANITY when 243 => case OP2 is when 15 => case OP3 is when 174 => case OP4 is when 192 => NEXT_OUTS <= 708; -- rdfsbase_Ry SANITY when 200 => NEXT_OUTS <= 664; -- rdgsbase_Ry SANITY when 208 => NEXT_OUTS <= 547; -- wrfsbase_Ry SANITY when 216 => NEXT_OUTS <= 683; -- wrgsbase_Ry SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when others => NEXT_OUTS <= 0; -- invalid end case; when 144 => NEXT_OUTS <= 114; -- pause SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 244 => NEXT_OUTS <= 676; -- hlt SANITY when 245 => NEXT_OUTS <= 145; -- cmc SANITY when 246 => case OP2 is when 0 => NEXT_OUTS <= 475; -- testb_Eb_Ib SANITY when 16 => NEXT_OUTS <= 133; -- notb_Eb SANITY when 24 => NEXT_OUTS <= 560; -- negb_Eb SANITY when 32 => NEXT_OUTS <= 638; -- mulb_AL_Eb SANITY when 40 => NEXT_OUTS <= 465; -- imulb_AL_Eb SANITY when 48 => NEXT_OUTS <= 230; -- divb_AL_Eb SANITY when 56 => NEXT_OUTS <= 555; -- idivb_AL_Eb SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 247 => case OP2 is when 0 => NEXT_OUTS <= 518; -- testl_Ed_Id SANITY when 16 => NEXT_OUTS <= 474; -- notl_Ed SANITY when 24 => NEXT_OUTS <= 275; -- negl_Ed SANITY when 32 => NEXT_OUTS <= 347; -- mull_EAX_Ed SANITY when 40 => NEXT_OUTS <= 667; -- imull_EAX_Ed SANITY when 48 => NEXT_OUTS <= 319; -- divl_EAX_Ed SANITY when 56 => NEXT_OUTS <= 731; -- idivl_EAX_Ed SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 248 => NEXT_OUTS <= 710; -- clc SANITY when 249 => NEXT_OUTS <= 630; -- stc SANITY when 250 => NEXT_OUTS <= 599; -- cli SANITY when 251 => NEXT_OUTS <= 222; -- sti SANITY when 252 => NEXT_OUTS <= 652; -- cld SANITY when 253 => NEXT_OUTS <= 405; -- std SANITY when 254 => case OP2 is when 0 => NEXT_OUTS <= 218; -- incb_Eb SANITY when 8 => NEXT_OUTS <= 119; -- decb_Eb SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 255 => case OP2 is when 0 => NEXT_OUTS <= 267; -- incl_Ed SANITY when 8 => NEXT_OUTS <= 378; -- decl_Ed SANITY when 16 => case OP3 is when 0 => NEXT_OUTS <= 239; -- call_Ed SANITY when 1 => NEXT_OUTS <= 113; -- call_Eq SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 24 => NEXT_OUTS <= 732; -- lcall_Mp SANITY when 32 => case OP3 is when 0 => NEXT_OUTS <= 250; -- jmp_Ed SANITY when 1 => NEXT_OUTS <= 575; -- jmp_Eq SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when 40 => NEXT_OUTS <= 293; -- ljmp_Mp SANITY when 48 => case OP3 is when 0 => NEXT_OUTS <= 757; -- pushl_Ed SANITY when 1 => NEXT_OUTS <= 180; -- pushq_Eq SANITY when others => NEXT_OUTS <= 0; -- invalid end case; when others => NEXT_OUTS <= 0; -- invalid end case; when others => NEXT_OUTS <= 0; -- invalid end case; end process; end behv;
mit
8a37567574e9d1e4549d182acd7e5baf
0.476939
3.302759
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-gr-pci-xc5v/testbench.vhd
1
17,854
------------------------------------------------------------------------------ -- LEON3 Demonstration design test bench -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; use work.debug.all; library techmap; use techmap.gencomp.all; library micron; use micron.components.all; library grlib; use grlib.stdlib.all; use work.config.all; -- configuration entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; clkperiod : integer := 20; -- system clock period romwidth : integer := 32; -- rom data width (8/32) romdepth : integer := 16; -- rom address depth sramwidth : integer := 32; -- ram data width (8/16/32) sramdepth : integer := 20; -- ram address depth srambanks : integer := 2 -- number of ram banks ); port ( pci_rst : inout std_logic; -- PCI bus pci_clk : in std_logic; pci_gnt : in std_logic; pci_idsel : in std_logic; pci_lock : inout std_logic; pci_ad : inout std_logic_vector(31 downto 0); pci_cbe : inout std_logic_vector(3 downto 0); pci_frame : inout std_logic; pci_irdy : inout std_logic; pci_trdy : inout std_logic; pci_devsel : inout std_logic; pci_stop : inout std_logic; pci_perr : inout std_logic; pci_par : inout std_logic; pci_req : inout std_logic; pci_serr : inout std_logic; pci_host : in std_logic := '1'; pci_66 : in std_logic := '0' ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sramfile : string := "ram.srec"; -- ram contents constant sdramfile : string := "ram.srec"; -- sdram contents signal clk : std_logic := '0'; signal Rst : std_logic := '0'; -- Reset constant ct : integer := clkperiod/2; signal address : std_logic_vector(27 downto 0); signal data : std_logic_vector(31 downto 0); signal ramsn : std_logic_vector(4 downto 0); signal ramoen : std_logic_vector(4 downto 0); signal rwen : std_logic_vector(3 downto 0); signal rwenx : std_logic_vector(3 downto 0); signal romsn : std_logic_vector(1 downto 0); signal iosn : std_logic; signal oen : std_logic; signal read : std_logic; signal writen : std_logic; signal brdyn : std_logic; signal bexcn : std_logic; signal wdogn : std_logic; signal dsuen, dsutx, dsurx, dsubre, dsuact : std_logic; signal dsurst : std_logic; signal test : std_logic; signal error : std_logic; signal gpio : std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); signal GND : std_logic := '0'; signal VCC : std_logic := '1'; signal NC : std_logic := 'Z'; signal clk2 : std_logic := '1'; signal sdcke : std_logic_vector ( 1 downto 0); -- clk en signal sdcsn : std_logic_vector ( 1 downto 0); -- chip sel signal sdwen : std_logic; -- write en signal sdrasn : std_logic; -- row addr stb signal sdcasn : std_logic; -- col addr stb signal sddqm : std_logic_vector ( 7 downto 0); -- data i/o mask signal sdclk : std_logic; signal plllock : std_logic; signal txd1, rxd1 : std_logic; signal txd2, rxd2 : std_logic; signal etx_clk, erx_clk, erx_dv, erx_er, erx_col : std_logic := '0'; signal eth_gtxclk, erx_crs, etx_en, etx_er : std_logic :='0'; signal eth_macclk : std_logic := '0'; signal erxd, etxd : std_logic_vector(7 downto 0) := (others => '0'); signal emdc, emdio : std_logic; --dummy signal for the mdc,mdio in the phy which is not used signal emdintn : std_logic; signal emddis : std_logic; signal epwrdwn : std_logic; signal ereset : std_logic; signal esleep : std_logic; signal epause : std_logic; constant lresp : boolean := false; signal sa : std_logic_vector(14 downto 0); signal sd : std_logic_vector(63 downto 0); signal pci_arb_req, pci_arb_gnt : std_logic_vector(0 to 3); signal can_txd : std_logic_vector(0 to CFG_CAN_NUM-1); signal can_rxd : std_logic_vector(0 to CFG_CAN_NUM-1); signal can_stb : std_logic; signal spw_clk : std_logic := '0'; signal spw_rxdp : std_logic_vector(0 to CFG_SPW_NUM-1) := (others => '0'); signal spw_rxdn : std_logic_vector(0 to CFG_SPW_NUM-1) := (others => '0'); signal spw_rxsp : std_logic_vector(0 to CFG_SPW_NUM-1) := (others => '0'); signal spw_rxsn : std_logic_vector(0 to CFG_SPW_NUM-1) := (others => '0'); signal spw_txdp : std_logic_vector(0 to CFG_SPW_NUM-1); signal spw_txdn : std_logic_vector(0 to CFG_SPW_NUM-1); signal spw_txsp : std_logic_vector(0 to CFG_SPW_NUM-1); signal spw_txsn : std_logic_vector(0 to CFG_SPW_NUM-1); signal usb_clkout : std_logic := '0'; signal usb_d : std_logic_vector(7 downto 0); signal usb_resetn : std_ulogic; signal usb_nxt : std_ulogic; signal usb_stp : std_ulogic; signal usb_dir : std_ulogic; -- GRUSB_DCL test signals signal ddelay : std_ulogic := '0'; signal dstart : std_ulogic := '0'; signal drw : std_ulogic; signal daddr : std_logic_vector(31 downto 0); signal dlen : std_logic_vector(14 downto 0); signal ddi : grusb_dcl_debug_data; signal ddone : std_ulogic; signal ddo : grusb_dcl_debug_data; begin -- clock and reset clk <= not clk after ct * 1 ns; spw_clk <= not spw_clk after 10 ns; rst <= dsurst; dsuen <= '1'; dsubre <= '0'; rxd1 <= '1'; can_rxd <= (others => 'H'); bexcn <= '1'; wdogn <= 'H'; gpio(2 downto 0) <= "LHL"; gpio(CFG_GRGPIO_WIDTH-1 downto 3) <= (others => 'H'); pci_arb_req <= "HHHH"; eth_macclk <= not eth_macclk after 4 ns; -- spacewire loop-back spw_rxdp <= spw_txdp; spw_rxdn <= spw_txdn; spw_rxsp <= spw_txsp; spw_rxsn <= spw_txsn; d3 : entity work.leon3mp generic map ( fabtech, memtech, padtech, clktech, disas, dbguart, pclow ) port map (rst, clk, sdclk, error, wdogn, address(27 downto 0), data, sa, sd, sdclk, sdcke, sdcsn, sdwen, sdrasn, sdcasn, sddqm, dsutx, dsurx, dsuen, dsubre, dsuact, txd1, rxd1, txd2, rxd2, ramsn, ramoen, rwen, oen, writen, read, iosn, romsn, brdyn, bexcn, gpio, emdio, eth_macclk, etx_clk, erx_clk, erxd, erx_dv, erx_er, erx_col, erx_crs, emdintn, etxd, etx_en, etx_er, emdc, pci_rst, pci_clk, pci_gnt, pci_idsel, pci_lock, pci_ad, pci_cbe, pci_frame, pci_irdy, pci_trdy, pci_devsel, pci_stop, pci_perr, pci_par, pci_req, pci_serr, pci_host, pci_66, pci_arb_req, pci_arb_gnt, can_txd, can_rxd, spw_clk, spw_rxdp, spw_rxdn, spw_rxsp, spw_rxsn, spw_txdp, spw_txdn, spw_txsp, spw_txsn, usb_clkout, usb_d, usb_nxt, usb_stp, usb_dir, usb_resetn ); -- optional sdram sd0 : if (CFG_MCTRL_SDEN = 1) and (CFG_MCTRL_SEPBUS = 0) generate u0: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => data(31 downto 16), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u1: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => data(15 downto 0), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); u2: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => data(31 downto 16), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u3: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => data(15 downto 0), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); end generate; sd1 : if ((CFG_MCTRL_SDEN = 1) and (CFG_MCTRL_SEPBUS = 1)) generate u0: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(31 downto 16), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u1: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(15 downto 0), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); u2: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(31 downto 16), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(1), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u3: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(15 downto 0), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(1), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); sd64 : if (CFG_MCTRL_SD64 = 1) generate u4: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(63 downto 48), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(7 downto 6)); u5: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(47 downto 32), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(5 downto 4)); u6: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(63 downto 48), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(7 downto 6)); u7: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(47 downto 32), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(5 downto 4)); end generate; end generate; prom0 : for i in 0 to (romwidth/8)-1 generate sr0 : sram generic map (index => i, abits => romdepth, fname => promfile) port map (address(romdepth+1 downto 2), data(31-i*8 downto 24-i*8), romsn(0), rwen(i), oen); end generate; sram0 : for i in 0 to (sramwidth/8)-1 generate sr0 : sram generic map (index => i, abits => sramdepth, fname => sramfile) port map (address(sramdepth+1 downto 2), data(31-i*8 downto 24-i*8), ramsn(0), rwen(0), ramoen(0)); end generate; phy0 : if (CFG_GRETH = 1) generate emdio <= 'H'; p0: phy generic map(address => 1) port map(rst, emdio, etx_clk, erx_clk, erxd, erx_dv, erx_er, erx_col, erx_crs, etxd, etx_en, etx_er, emdc, eth_macclk); end generate; usbtr: if (CFG_GRUSBHC = 1) generate u0: ulpi port map (usb_clkout, usb_d, usb_nxt, usb_stp, usb_dir, usb_resetn); end generate usbtr; usbdevsim: if (CFG_GRUSBDC = 1) generate u0: grusbdcsim generic map (functm => 0, keepclk => 1) port map (usb_resetn, usb_clkout, usb_d, usb_nxt, usb_stp, usb_dir); end generate usbdevsim; usb_dclsim: if (CFG_GRUSB_DCL = 1) generate u0: grusb_dclsim generic map (functm => 0, keepclk => 1) port map (usb_resetn, usb_clkout, usb_d, usb_nxt, usb_stp, usb_dir, ddelay, dstart, drw, daddr, dlen, ddi, ddone, ddo); usb_dcl_proc : process begin wait for 10 ns; Print("GRUSB_DCL test started"); wait until rising_edge(ddone); -- Write 128 bytes to memory daddr <= X"40000000"; dlen <= conv_std_logic_vector(32,15); for i in 0 to 127 loop ddi(i) <= conv_std_logic_vector(i+8,8); end loop; -- i grusb_dcl_write(usb_clkout, drw, dstart, ddone); -- Read back written data grusb_dcl_read(usb_clkout, drw, dstart, ddone); -- Compare data for i in 0 to 127 loop if ddo(i) /= ddi(i) then Print("ERROR: Data mismatch using GRUSB_DCL"); end if; end loop; Print("GRUSB_DCL test finished"); wait; end process; end generate usb_dclsim; error <= 'H'; -- ERROR pull-up iuerr : process begin wait for 2500 ns; if to_x01(error) = '1' then wait on error; end if; assert (to_x01(error) = '1') report "*** IU in error mode, simulation halted ***" severity failure ; end process; test0 : grtestmod port map ( rst, clk, error, address(21 downto 2), data, iosn, oen, writen, brdyn); -- data <= buskeep(data), (others => 'H') after 250 ns; data <= buskeep(data) after 5 ns; -- sd <= buskeep(sd), (others => 'H') after 250 ns; sd <= buskeep(sd) after 5 ns; dsucom : process procedure dsucfg(signal dsurx : in std_logic; signal dsutx : out std_logic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 160 * 1 ns; begin dsutx <= '1'; dsurst <= '0'; wait for 500 ns; dsurst <= '1'; wait; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#02#, 16#ae#, txp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#ae#, txp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#24#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#03#, txp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#fc#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#6f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#11#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#04#, txp); txa(dsutx, 16#00#, 16#02#, 16#20#, 16#01#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#02#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#43#, 16#10#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#40#, 16#00#, 16#24#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#24#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#70#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#03#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp); txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); end; begin dsucfg(dsutx, dsurx); wait; end process; end ;
gpl-2.0
9b5ce4054e055118acd9c1210c6d1ddd
0.576565
3.076684
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/my_lab_1/my_lab_1.srcs/sources_1/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_auto_pc_0/zqynq_lab_1_design_auto_pc_0_sim_netlist.vhdl
1
452,796
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Sat Sep 23 13:26:01 2017 -- Host : DarkCube running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top zqynq_lab_1_design_auto_pc_0 -prefix -- zqynq_lab_1_design_auto_pc_0_ zqynq_lab_1_design_auto_pc_1_sim_netlist.vhdl -- Design : zqynq_lab_1_design_auto_pc_1 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_incr_cmd is port ( next_pending_r_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); axaddr_incr_reg : out STD_LOGIC_VECTOR ( 7 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 0 to 0 ); \axlen_cnt_reg[3]_0\ : out STD_LOGIC; \m_axi_awaddr[1]\ : out STD_LOGIC; S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_0 : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); CO : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 12 downto 0 ); \next\ : in STD_LOGIC; \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_incr_cmd; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_incr_cmd is signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \axaddr_incr[0]_i_1_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_2_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_3_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_4_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_5_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_2_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_3_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_4_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_5_n_0\ : STD_LOGIC; signal \^axaddr_incr_reg\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC; signal \^axaddr_incr_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg[4]_i_1_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_7\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_7\ : STD_LOGIC; signal \axlen_cnt[3]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[4]_i_2_n_0\ : STD_LOGIC; signal \axlen_cnt[4]_i_3_n_0\ : STD_LOGIC; signal \axlen_cnt[4]_i_4_n_0\ : STD_LOGIC; signal \axlen_cnt[5]_i_2_n_0\ : STD_LOGIC; signal \axlen_cnt[7]_i_3_n_0\ : STD_LOGIC; signal \^axlen_cnt_reg[3]_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC; signal next_pending_r_i_5_n_0 : STD_LOGIC; signal p_1_in : STD_LOGIC_VECTOR ( 7 downto 1 ); signal \NLW_axaddr_incr_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axlen_cnt[4]_i_3\ : label is "soft_lutpair88"; attribute SOFT_HLUTNM of \axlen_cnt[4]_i_4\ : label is "soft_lutpair89"; attribute SOFT_HLUTNM of \axlen_cnt[5]_i_2\ : label is "soft_lutpair88"; attribute SOFT_HLUTNM of next_pending_r_i_5 : label is "soft_lutpair89"; begin Q(0) <= \^q\(0); axaddr_incr_reg(7 downto 0) <= \^axaddr_incr_reg\(7 downto 0); \axaddr_incr_reg[11]_0\ <= \^axaddr_incr_reg[11]_0\; \axaddr_incr_reg[3]_0\(3 downto 0) <= \^axaddr_incr_reg[3]_0\(3 downto 0); \axlen_cnt_reg[3]_0\ <= \^axlen_cnt_reg[3]_0\; \axaddr_incr[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \^axaddr_incr_reg[11]_0\, I1 => \next\, O => \axaddr_incr[0]_i_1_n_0\ ); \axaddr_incr[0]_i_15\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => \m_payload_i_reg[51]\(3), I1 => \next\, I2 => \m_payload_i_reg[51]\(5), I3 => \m_payload_i_reg[51]\(4), O => S(3) ); \axaddr_incr[0]_i_16\: unisim.vcomponents.LUT4 generic map( INIT => X"0A6A" ) port map ( I0 => \m_payload_i_reg[51]\(2), I1 => \next\, I2 => \m_payload_i_reg[51]\(5), I3 => \m_payload_i_reg[51]\(4), O => S(2) ); \axaddr_incr[0]_i_17\: unisim.vcomponents.LUT4 generic map( INIT => X"006A" ) port map ( I0 => \m_payload_i_reg[51]\(1), I1 => \next\, I2 => \m_payload_i_reg[51]\(4), I3 => \m_payload_i_reg[51]\(5), O => S(1) ); \axaddr_incr[0]_i_18\: unisim.vcomponents.LUT4 generic map( INIT => X"0006" ) port map ( I0 => \m_payload_i_reg[51]\(0), I1 => \next\, I2 => \m_payload_i_reg[51]\(5), I3 => \m_payload_i_reg[51]\(4), O => S(0) ); \axaddr_incr[4]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(3), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(3), O => \axaddr_incr[4]_i_2_n_0\ ); \axaddr_incr[4]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(2), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(2), O => \axaddr_incr[4]_i_3_n_0\ ); \axaddr_incr[4]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(1), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(1), O => \axaddr_incr[4]_i_4_n_0\ ); \axaddr_incr[4]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(0), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(0), O => \axaddr_incr[4]_i_5_n_0\ ); \axaddr_incr[8]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(7), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(7), O => \axaddr_incr[8]_i_2_n_0\ ); \axaddr_incr[8]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(6), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(6), O => \axaddr_incr[8]_i_3_n_0\ ); \axaddr_incr[8]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(5), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(5), O => \axaddr_incr[8]_i_4_n_0\ ); \axaddr_incr[8]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(4), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(4), O => \axaddr_incr[8]_i_5_n_0\ ); \axaddr_incr_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => O(0), Q => \^axaddr_incr_reg[3]_0\(0), R => '0' ); \axaddr_incr_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => \axaddr_incr_reg[8]_i_1_n_5\, Q => \^axaddr_incr_reg\(6), R => '0' ); \axaddr_incr_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => \axaddr_incr_reg[8]_i_1_n_4\, Q => \^axaddr_incr_reg\(7), R => '0' ); \axaddr_incr_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => O(1), Q => \^axaddr_incr_reg[3]_0\(1), R => '0' ); \axaddr_incr_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => O(2), Q => \^axaddr_incr_reg[3]_0\(2), R => '0' ); \axaddr_incr_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => O(3), Q => \^axaddr_incr_reg[3]_0\(3), R => '0' ); \axaddr_incr_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => \axaddr_incr_reg[4]_i_1_n_7\, Q => \^axaddr_incr_reg\(0), R => '0' ); \axaddr_incr_reg[4]_i_1\: unisim.vcomponents.CARRY4 port map ( CI => CO(0), CO(3) => \axaddr_incr_reg[4]_i_1_n_0\, CO(2) => \axaddr_incr_reg[4]_i_1_n_1\, CO(1) => \axaddr_incr_reg[4]_i_1_n_2\, CO(0) => \axaddr_incr_reg[4]_i_1_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[4]_i_1_n_4\, O(2) => \axaddr_incr_reg[4]_i_1_n_5\, O(1) => \axaddr_incr_reg[4]_i_1_n_6\, O(0) => \axaddr_incr_reg[4]_i_1_n_7\, S(3) => \axaddr_incr[4]_i_2_n_0\, S(2) => \axaddr_incr[4]_i_3_n_0\, S(1) => \axaddr_incr[4]_i_4_n_0\, S(0) => \axaddr_incr[4]_i_5_n_0\ ); \axaddr_incr_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => \axaddr_incr_reg[4]_i_1_n_6\, Q => \^axaddr_incr_reg\(1), R => '0' ); \axaddr_incr_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => \axaddr_incr_reg[4]_i_1_n_5\, Q => \^axaddr_incr_reg\(2), R => '0' ); \axaddr_incr_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => \axaddr_incr_reg[4]_i_1_n_4\, Q => \^axaddr_incr_reg\(3), R => '0' ); \axaddr_incr_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => \axaddr_incr_reg[8]_i_1_n_7\, Q => \^axaddr_incr_reg\(4), R => '0' ); \axaddr_incr_reg[8]_i_1\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_1_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_1_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_1_n_1\, CO(1) => \axaddr_incr_reg[8]_i_1_n_2\, CO(0) => \axaddr_incr_reg[8]_i_1_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[8]_i_1_n_4\, O(2) => \axaddr_incr_reg[8]_i_1_n_5\, O(1) => \axaddr_incr_reg[8]_i_1_n_6\, O(0) => \axaddr_incr_reg[8]_i_1_n_7\, S(3) => \axaddr_incr[8]_i_2_n_0\, S(2) => \axaddr_incr[8]_i_3_n_0\, S(1) => \axaddr_incr[8]_i_4_n_0\, S(0) => \axaddr_incr[8]_i_5_n_0\ ); \axaddr_incr_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \axaddr_incr[0]_i_1_n_0\, D => \axaddr_incr_reg[8]_i_1_n_6\, Q => \^axaddr_incr_reg\(5), R => '0' ); \axlen_cnt[1]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(7), I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \^q\(0), I4 => \^axlen_cnt_reg[3]_0\, O => p_1_in(1) ); \axlen_cnt[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"F8F8F88F88888888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(8), I2 => \axlen_cnt_reg_n_0_[2]\, I3 => \^q\(0), I4 => \axlen_cnt_reg_n_0_[1]\, I5 => \^axlen_cnt_reg[3]_0\, O => p_1_in(2) ); \axlen_cnt[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAA90000FFFFFFFF" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[1]\, I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[2]\, I4 => \^axlen_cnt_reg[3]_0\, I5 => \m_payload_i_reg[47]\, O => \axlen_cnt[3]_i_1__0_n_0\ ); \axlen_cnt[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"8B88888B" ) port map ( I0 => \m_payload_i_reg[51]\(9), I1 => E(0), I2 => \axlen_cnt[4]_i_2_n_0\, I3 => \axlen_cnt[4]_i_3_n_0\, I4 => \axlen_cnt_reg_n_0_[4]\, O => p_1_in(4) ); \axlen_cnt[4]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000001" ) port map ( I0 => \axlen_cnt_reg_n_0_[5]\, I1 => \axlen_cnt_reg_n_0_[1]\, I2 => \axlen_cnt_reg_n_0_[4]\, I3 => \axlen_cnt_reg_n_0_[7]\, I4 => \axlen_cnt_reg_n_0_[6]\, I5 => \axlen_cnt[4]_i_4_n_0\, O => \axlen_cnt[4]_i_2_n_0\ ); \axlen_cnt[4]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[3]\, I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[1]\, O => \axlen_cnt[4]_i_3_n_0\ ); \axlen_cnt[4]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt[4]_i_4_n_0\ ); \axlen_cnt[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"8FF88888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(10), I2 => \axlen_cnt_reg_n_0_[5]\, I3 => \axlen_cnt[5]_i_2_n_0\, I4 => \^axlen_cnt_reg[3]_0\, O => p_1_in(5) ); \axlen_cnt[5]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"00000001" ) port map ( I0 => \axlen_cnt_reg_n_0_[4]\, I1 => \axlen_cnt_reg_n_0_[1]\, I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[3]\, I4 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt[5]_i_2_n_0\ ); \axlen_cnt[6]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FF282828" ) port map ( I0 => \^axlen_cnt_reg[3]_0\, I1 => \axlen_cnt_reg_n_0_[6]\, I2 => \axlen_cnt[7]_i_3_n_0\, I3 => E(0), I4 => \m_payload_i_reg[51]\(11), O => p_1_in(6) ); \axlen_cnt[7]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF828882888288" ) port map ( I0 => \^axlen_cnt_reg[3]_0\, I1 => \axlen_cnt_reg_n_0_[7]\, I2 => \axlen_cnt_reg_n_0_[6]\, I3 => \axlen_cnt[7]_i_3_n_0\, I4 => E(0), I5 => \m_payload_i_reg[51]\(12), O => p_1_in(7) ); \axlen_cnt[7]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000001" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[3]\, I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[1]\, I4 => \axlen_cnt_reg_n_0_[4]\, I5 => \axlen_cnt_reg_n_0_[5]\, O => \axlen_cnt[7]_i_3_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => D(0), Q => \^q\(0), R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => p_1_in(1), Q => \axlen_cnt_reg_n_0_[1]\, R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => p_1_in(2), Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axlen_cnt[3]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \axlen_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => p_1_in(4), Q => \axlen_cnt_reg_n_0_[4]\, R => '0' ); \axlen_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => p_1_in(5), Q => \axlen_cnt_reg_n_0_[5]\, R => '0' ); \axlen_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => p_1_in(6), Q => \axlen_cnt_reg_n_0_[6]\, R => '0' ); \axlen_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => p_1_in(7), Q => \axlen_cnt_reg_n_0_[7]\, R => '0' ); \m_axi_awaddr[1]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"EF40" ) port map ( I0 => \^axaddr_incr_reg[11]_0\, I1 => \^axaddr_incr_reg[3]_0\(1), I2 => \m_payload_i_reg[51]\(6), I3 => \m_payload_i_reg[51]\(1), O => \m_axi_awaddr[1]\ ); \next_pending_r_i_3__1\: unisim.vcomponents.LUT5 generic map( INIT => X"55555554" ) port map ( I0 => E(0), I1 => next_pending_r_i_5_n_0, I2 => \axlen_cnt_reg_n_0_[4]\, I3 => \axlen_cnt_reg_n_0_[1]\, I4 => \axlen_cnt_reg_n_0_[5]\, O => \^axlen_cnt_reg[3]_0\ ); next_pending_r_i_5: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[3]\, I2 => \axlen_cnt_reg_n_0_[6]\, I3 => \axlen_cnt_reg_n_0_[7]\, O => next_pending_r_i_5_n_0 ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => incr_next_pending, Q => next_pending_r_reg_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_0, Q => \^axaddr_incr_reg[11]_0\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 is port ( incr_next_pending : out STD_LOGIC; \axaddr_incr_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_incr_reg[11]_1\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[7]_0\ : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; \axlen_cnt_reg[5]_0\ : out STD_LOGIC; \m_axi_araddr[6]\ : out STD_LOGIC; S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; sel_first_reg_0 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_1 : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 10 downto 0 ); \m_payload_i_reg[48]\ : in STD_LOGIC; \m_payload_i_reg[47]_0\ : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 : entity is "axi_protocol_converter_v2_1_13_b2s_incr_cmd"; end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr[4]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_5__0_n_0\ : STD_LOGIC; signal axaddr_incr_reg : STD_LOGIC_VECTOR ( 6 to 6 ); signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 6 downto 0 ); signal \^axaddr_incr_reg[11]_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_7\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_7\ : STD_LOGIC; signal \axlen_cnt[2]_i_1__1_n_0\ : STD_LOGIC; signal \axlen_cnt[3]_i_1__1_n_0\ : STD_LOGIC; signal \axlen_cnt[4]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[4]_i_2__0_n_0\ : STD_LOGIC; signal \axlen_cnt[7]_i_2__0_n_0\ : STD_LOGIC; signal \^axlen_cnt_reg[7]_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC; signal \^incr_next_pending\ : STD_LOGIC; signal \next_pending_r_i_5__0_n_0\ : STD_LOGIC; signal \^next_pending_r_reg_0\ : STD_LOGIC; signal next_pending_r_reg_n_0 : STD_LOGIC; signal \NLW_axaddr_incr_reg[8]_i_1__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axlen_cnt[4]_i_2__0\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \axlen_cnt[5]_i_2__0\ : label is "soft_lutpair3"; begin Q(3 downto 0) <= \^q\(3 downto 0); \axaddr_incr_reg[11]_0\(6 downto 0) <= \^axaddr_incr_reg[11]_0\(6 downto 0); \axaddr_incr_reg[11]_1\ <= \^axaddr_incr_reg[11]_1\; \axlen_cnt_reg[7]_0\ <= \^axlen_cnt_reg[7]_0\; incr_next_pending <= \^incr_next_pending\; next_pending_r_reg_0 <= \^next_pending_r_reg_0\; \axaddr_incr[0]_i_15\: unisim.vcomponents.LUT6 generic map( INIT => X"AA6AAAAAAAAAAAAA" ) port map ( I0 => \m_payload_i_reg[51]\(3), I1 => \m_payload_i_reg[51]\(6), I2 => \m_payload_i_reg[51]\(5), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(3) ); \axaddr_incr[0]_i_16\: unisim.vcomponents.LUT6 generic map( INIT => X"2A262A2A2A2A2A2A" ) port map ( I0 => \m_payload_i_reg[51]\(2), I1 => \m_payload_i_reg[51]\(6), I2 => \m_payload_i_reg[51]\(5), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(2) ); \axaddr_incr[0]_i_17\: unisim.vcomponents.LUT6 generic map( INIT => X"0A060A0A0A0A0A0A" ) port map ( I0 => \m_payload_i_reg[51]\(1), I1 => \m_payload_i_reg[51]\(5), I2 => \m_payload_i_reg[51]\(6), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(1) ); \axaddr_incr[0]_i_18\: unisim.vcomponents.LUT6 generic map( INIT => X"0201020202020202" ) port map ( I0 => \m_payload_i_reg[51]\(0), I1 => \m_payload_i_reg[51]\(6), I2 => \m_payload_i_reg[51]\(5), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(0) ); \axaddr_incr[4]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(3), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(2), O => \axaddr_incr[4]_i_2__0_n_0\ ); \axaddr_incr[4]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(2), I1 => \^axaddr_incr_reg[11]_1\, I2 => axaddr_incr_reg(6), O => \axaddr_incr[4]_i_3__0_n_0\ ); \axaddr_incr[4]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(1), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(1), O => \axaddr_incr[4]_i_4__0_n_0\ ); \axaddr_incr[4]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(0), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(0), O => \axaddr_incr[4]_i_5__0_n_0\ ); \axaddr_incr[8]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(3), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(6), O => \axaddr_incr[8]_i_2__0_n_0\ ); \axaddr_incr[8]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(2), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(5), O => \axaddr_incr[8]_i_3__0_n_0\ ); \axaddr_incr[8]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(1), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(4), O => \axaddr_incr[8]_i_4__0_n_0\ ); \axaddr_incr[8]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(0), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(3), O => \axaddr_incr[8]_i_5__0_n_0\ ); \axaddr_incr_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(0), Q => \axaddr_incr_reg[3]_0\(0), R => '0' ); \axaddr_incr_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_5\, Q => \^axaddr_incr_reg[11]_0\(5), R => '0' ); \axaddr_incr_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_4\, Q => \^axaddr_incr_reg[11]_0\(6), R => '0' ); \axaddr_incr_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(1), Q => \axaddr_incr_reg[3]_0\(1), R => '0' ); \axaddr_incr_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(2), Q => \axaddr_incr_reg[3]_0\(2), R => '0' ); \axaddr_incr_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(3), Q => \axaddr_incr_reg[3]_0\(3), R => '0' ); \axaddr_incr_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_7\, Q => \^axaddr_incr_reg[11]_0\(0), R => '0' ); \axaddr_incr_reg[4]_i_1__0\: unisim.vcomponents.CARRY4 port map ( CI => CO(0), CO(3) => \axaddr_incr_reg[4]_i_1__0_n_0\, CO(2) => \axaddr_incr_reg[4]_i_1__0_n_1\, CO(1) => \axaddr_incr_reg[4]_i_1__0_n_2\, CO(0) => \axaddr_incr_reg[4]_i_1__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[4]_i_1__0_n_4\, O(2) => \axaddr_incr_reg[4]_i_1__0_n_5\, O(1) => \axaddr_incr_reg[4]_i_1__0_n_6\, O(0) => \axaddr_incr_reg[4]_i_1__0_n_7\, S(3) => \axaddr_incr[4]_i_2__0_n_0\, S(2) => \axaddr_incr[4]_i_3__0_n_0\, S(1) => \axaddr_incr[4]_i_4__0_n_0\, S(0) => \axaddr_incr[4]_i_5__0_n_0\ ); \axaddr_incr_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_6\, Q => \^axaddr_incr_reg[11]_0\(1), R => '0' ); \axaddr_incr_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_5\, Q => axaddr_incr_reg(6), R => '0' ); \axaddr_incr_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_4\, Q => \^axaddr_incr_reg[11]_0\(2), R => '0' ); \axaddr_incr_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_7\, Q => \^axaddr_incr_reg[11]_0\(3), R => '0' ); \axaddr_incr_reg[8]_i_1__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_1__0_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_1__0_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_1__0_n_1\, CO(1) => \axaddr_incr_reg[8]_i_1__0_n_2\, CO(0) => \axaddr_incr_reg[8]_i_1__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[8]_i_1__0_n_4\, O(2) => \axaddr_incr_reg[8]_i_1__0_n_5\, O(1) => \axaddr_incr_reg[8]_i_1__0_n_6\, O(0) => \axaddr_incr_reg[8]_i_1__0_n_7\, S(3) => \axaddr_incr[8]_i_2__0_n_0\, S(2) => \axaddr_incr[8]_i_3__0_n_0\, S(1) => \axaddr_incr[8]_i_4__0_n_0\, S(0) => \axaddr_incr[8]_i_5__0_n_0\ ); \axaddr_incr_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_6\, Q => \^axaddr_incr_reg[11]_0\(4), R => '0' ); \axlen_cnt[2]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"F8F8F88F88888888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(8), I2 => \axlen_cnt_reg_n_0_[2]\, I3 => \^q\(0), I4 => \^q\(1), I5 => \state_reg[0]\, O => \axlen_cnt[2]_i_1__1_n_0\ ); \axlen_cnt[3]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAA90000FFFFFFFF" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \^q\(1), I3 => \^q\(0), I4 => \state_reg[0]\, I5 => \m_payload_i_reg[47]\, O => \axlen_cnt[3]_i_1__1_n_0\ ); \axlen_cnt[4]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF909090" ) port map ( I0 => \axlen_cnt_reg_n_0_[4]\, I1 => \axlen_cnt[4]_i_2__0_n_0\, I2 => \state_reg[0]\, I3 => E(0), I4 => \m_payload_i_reg[51]\(9), O => \axlen_cnt[4]_i_1__0_n_0\ ); \axlen_cnt[4]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \^q\(1), I3 => \^q\(0), O => \axlen_cnt[4]_i_2__0_n_0\ ); \axlen_cnt[5]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"00000001" ) port map ( I0 => \axlen_cnt_reg_n_0_[4]\, I1 => \^q\(0), I2 => \^q\(1), I3 => \axlen_cnt_reg_n_0_[2]\, I4 => \axlen_cnt_reg_n_0_[3]\, O => \axlen_cnt_reg[5]_0\ ); \axlen_cnt[7]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"F88888F8F888F888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(10), I2 => \state_reg[0]\, I3 => \axlen_cnt_reg_n_0_[7]\, I4 => \^q\(3), I5 => \^axlen_cnt_reg[7]_0\, O => \axlen_cnt[7]_i_2__0_n_0\ ); \axlen_cnt[7]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000001" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \^q\(1), I3 => \^q\(0), I4 => \axlen_cnt_reg_n_0_[4]\, I5 => \^q\(2), O => \^axlen_cnt_reg[7]_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(0), Q => \^q\(0), R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(1), Q => \^q\(1), R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[2]_i_1__1_n_0\, Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[3]_i_1__1_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \axlen_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[4]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[4]\, R => '0' ); \axlen_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(2), Q => \^q\(2), R => '0' ); \axlen_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(3), Q => \^q\(3), R => '0' ); \axlen_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[7]_i_2__0_n_0\, Q => \axlen_cnt_reg_n_0_[7]\, R => '0' ); \m_axi_araddr[6]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"EF40" ) port map ( I0 => \^axaddr_incr_reg[11]_1\, I1 => axaddr_incr_reg(6), I2 => \m_payload_i_reg[51]\(7), I3 => \m_payload_i_reg[51]\(4), O => \m_axi_araddr[6]\ ); \next_pending_r_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"DDDDCCFCFFDDFFFC" ) port map ( I0 => \m_payload_i_reg[48]\, I1 => \m_payload_i_reg[47]_0\, I2 => next_pending_r_reg_n_0, I3 => \state_reg[1]_rep\, I4 => E(0), I5 => \^next_pending_r_reg_0\, O => \^incr_next_pending\ ); \next_pending_r_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"0002" ) port map ( I0 => \next_pending_r_i_5__0_n_0\, I1 => \axlen_cnt_reg_n_0_[7]\, I2 => \^q\(3), I3 => \axlen_cnt_reg_n_0_[4]\, O => \^next_pending_r_reg_0\ ); \next_pending_r_i_5__0\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => \^q\(1), I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[3]\, I3 => \^q\(2), O => \next_pending_r_i_5__0_n_0\ ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \^incr_next_pending\, Q => next_pending_r_reg_n_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^axaddr_incr_reg[11]_1\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm is port ( \axlen_cnt_reg[5]\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); r_push_r_reg : out STD_LOGIC; \m_payload_i_reg[0]\ : out STD_LOGIC; \m_payload_i_reg[0]_0\ : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_cnt_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_cnt_r_reg[0]\ : out STD_LOGIC; axaddr_offset : out STD_LOGIC_VECTOR ( 1 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_i : out STD_LOGIC; \axaddr_wrap_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; \m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); sel_first_reg : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; si_rs_arvalid : in STD_LOGIC; \axlen_cnt_reg[7]\ : in STD_LOGIC; m_axi_arready : in STD_LOGIC; s_axburst_eq1_reg : in STD_LOGIC; \cnt_read_reg[2]\ : in STD_LOGIC; \axlen_cnt_reg[6]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[4]\ : in STD_LOGIC; \m_payload_i_reg[50]\ : in STD_LOGIC_VECTOR ( 4 downto 0 ); \axlen_cnt_reg[3]\ : in STD_LOGIC; \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[35]\ : in STD_LOGIC; \m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \m_payload_i_reg[35]_0\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC; areset_d1 : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; \m_payload_i_reg[6]\ : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; sel_first_reg_3 : in STD_LOGIC; aclk : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^axaddr_offset\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^axlen_cnt_reg[5]\ : STD_LOGIC; signal \^m_payload_i_reg[0]\ : STD_LOGIC; signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal next_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \wrap_cnt_r[3]_i_2__0_n_0\ : STD_LOGIC; signal \^wrap_cnt_r_reg[0]\ : STD_LOGIC; signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1__0\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1__0\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__0\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of r_push_r_i_1 : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \state[1]_i_1__0\ : label is "soft_lutpair0"; attribute KEEP : string; attribute KEEP of \state_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1; attribute KEEP of \state_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]"; attribute KEEP of \state_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1; attribute KEEP of \state_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1__0\ : label is "soft_lutpair2"; begin E(0) <= \^e\(0); Q(1 downto 0) <= \^q\(1 downto 0); axaddr_offset(1 downto 0) <= \^axaddr_offset\(1 downto 0); \axlen_cnt_reg[5]\ <= \^axlen_cnt_reg[5]\; \m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\; \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; \wrap_cnt_r_reg[0]\ <= \^wrap_cnt_r_reg[0]\; \wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0); \axaddr_incr[0]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"AAEA" ) port map ( I0 => sel_first_reg_2, I1 => m_axi_arready, I2 => \^m_payload_i_reg[0]_0\, I3 => \^m_payload_i_reg[0]\, O => \axaddr_incr_reg[11]\ ); \axaddr_offset_r[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAAAAAC0AAAA" ) port map ( I0 => \axaddr_offset_r_reg[3]\(0), I1 => \m_payload_i_reg[3]\, I2 => \m_payload_i_reg[50]\(0), I3 => \^q\(0), I4 => si_rs_arvalid, I5 => \^q\(1), O => \^axaddr_offset\(0) ); \axaddr_offset_r[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAACAAAAAAA0AA" ) port map ( I0 => \axaddr_offset_r_reg[3]\(1), I1 => \m_payload_i_reg[50]\(2), I2 => \^m_payload_i_reg[0]_0\, I3 => si_rs_arvalid, I4 => \^m_payload_i_reg[0]\, I5 => \m_payload_i_reg[6]\, O => \^axaddr_offset\(1) ); \axlen_cnt[0]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0400FFFF04000400" ) port map ( I0 => \^q\(1), I1 => si_rs_arvalid, I2 => \^q\(0), I3 => \m_payload_i_reg[50]\(0), I4 => \axlen_cnt_reg[6]\(0), I5 => \^axlen_cnt_reg[5]\, O => D(0) ); \axlen_cnt[1]_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => \^e\(0), I1 => \m_payload_i_reg[50]\(1), I2 => \axlen_cnt_reg[6]\(1), I3 => \axlen_cnt_reg[6]\(0), I4 => \^axlen_cnt_reg[5]\, O => D(1) ); \axlen_cnt[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF282828" ) port map ( I0 => \^axlen_cnt_reg[5]\, I1 => \axlen_cnt_reg[6]\(2), I2 => \axlen_cnt_reg[4]\, I3 => \^e\(0), I4 => \m_payload_i_reg[50]\(3), O => D(2) ); \axlen_cnt[6]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF282828" ) port map ( I0 => \^axlen_cnt_reg[5]\, I1 => \axlen_cnt_reg[6]\(3), I2 => \axlen_cnt_reg[3]\, I3 => \^e\(0), I4 => \m_payload_i_reg[50]\(4), O => D(3) ); \axlen_cnt[7]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00CA" ) port map ( I0 => si_rs_arvalid, I1 => m_axi_arready, I2 => \^m_payload_i_reg[0]_0\, I3 => \^m_payload_i_reg[0]\, O => \axaddr_wrap_reg[11]\(0) ); \axlen_cnt[7]_i_3__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00FB" ) port map ( I0 => \^q\(0), I1 => si_rs_arvalid, I2 => \^q\(1), I3 => \axlen_cnt_reg[7]\, O => \^axlen_cnt_reg[5]\ ); m_axi_arvalid_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^m_payload_i_reg[0]_0\, I1 => \^m_payload_i_reg[0]\, O => m_axi_arvalid ); \m_payload_i[31]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"D5" ) port map ( I0 => si_rs_arvalid, I1 => \^m_payload_i_reg[0]\, I2 => \^m_payload_i_reg[0]_0\, O => \m_payload_i_reg[0]_1\(0) ); r_push_r_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"40" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => \^m_payload_i_reg[0]_0\, I2 => m_axi_arready, O => r_push_r_reg ); \sel_first_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FCFFFFFFCCCECCCE" ) port map ( I0 => si_rs_arvalid, I1 => areset_d1, I2 => \^m_payload_i_reg[0]\, I3 => \^m_payload_i_reg[0]_0\, I4 => m_axi_arready, I5 => sel_first_reg_1, O => sel_first_i ); \sel_first_i_1__3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFC4C4CFCC" ) port map ( I0 => m_axi_arready, I1 => sel_first_reg_2, I2 => \^q\(1), I3 => si_rs_arvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg ); \sel_first_i_1__4\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFC4C4CFCC" ) port map ( I0 => m_axi_arready, I1 => sel_first_reg_3, I2 => \^q\(1), I3 => si_rs_arvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg_0 ); \state[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"003030303E3E3E3E" ) port map ( I0 => si_rs_arvalid, I1 => \^q\(1), I2 => \^q\(0), I3 => m_axi_arready, I4 => s_axburst_eq1_reg, I5 => \cnt_read_reg[2]\, O => next_state(0) ); \state[1]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"00AAB000" ) port map ( I0 => \cnt_read_reg[2]\, I1 => s_axburst_eq1_reg, I2 => m_axi_arready, I3 => \^m_payload_i_reg[0]_0\, I4 => \^m_payload_i_reg[0]\, O => next_state(1) ); \state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^q\(0), R => areset_d1 ); \state_reg[0]_rep\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^m_payload_i_reg[0]_0\, R => areset_d1 ); \state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(1), Q => \^q\(1), R => areset_d1 ); \state_reg[1]_rep\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(1), Q => \^m_payload_i_reg[0]\, R => areset_d1 ); \wrap_boundary_axaddr_r[11]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => si_rs_arvalid, I2 => \^m_payload_i_reg[0]_0\, O => \^e\(0) ); \wrap_cnt_r[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AA8A5575AA8A5545" ) port map ( I0 => \wrap_second_len_r_reg[3]_0\(0), I1 => \^q\(0), I2 => si_rs_arvalid, I3 => \^q\(1), I4 => \^wrap_cnt_r_reg[0]\, I5 => \^axaddr_offset\(0), O => \wrap_cnt_r_reg[3]\(0) ); \wrap_cnt_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAA6AA56AAAAAAAA" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(2), I1 => \wrap_second_len_r_reg[3]_0\(0), I2 => \^e\(0), I3 => \^wrap_cnt_r_reg[0]\, I4 => \^axaddr_offset\(0), I5 => \^wrap_second_len_r_reg[3]\(1), O => \wrap_cnt_r_reg[3]\(1) ); \wrap_cnt_r[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"A6AA" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(3), I1 => \^wrap_second_len_r_reg[3]\(1), I2 => \wrap_cnt_r[3]_i_2__0_n_0\, I3 => \^wrap_second_len_r_reg[3]\(2), O => \wrap_cnt_r_reg[3]\(2) ); \wrap_cnt_r[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"D1D1D1D1D1D1DFD1" ) port map ( I0 => \wrap_second_len_r_reg[3]_0\(0), I1 => \^e\(0), I2 => \^axaddr_offset\(0), I3 => \m_payload_i_reg[35]\, I4 => \m_payload_i_reg[46]\(0), I5 => \^axaddr_offset\(1), O => \wrap_cnt_r[3]_i_2__0_n_0\ ); \wrap_second_len_r[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AA8AAA8AAA8AAABA" ) port map ( I0 => \wrap_second_len_r_reg[3]_0\(0), I1 => \^q\(0), I2 => si_rs_arvalid, I3 => \^q\(1), I4 => \^wrap_cnt_r_reg[0]\, I5 => \^axaddr_offset\(0), O => \^wrap_second_len_r_reg[3]\(0) ); \wrap_second_len_r[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000004000404" ) port map ( I0 => \^axaddr_offset\(0), I1 => \m_payload_i_reg[35]\, I2 => \m_payload_i_reg[46]\(0), I3 => \^e\(0), I4 => \axaddr_offset_r_reg[3]\(1), I5 => \m_payload_i_reg[35]_0\, O => \^wrap_cnt_r_reg[0]\ ); \wrap_second_len_r[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0FE0FFFF0FE00000" ) port map ( I0 => \^axaddr_offset\(1), I1 => \m_payload_i_reg[46]\(0), I2 => \m_payload_i_reg[35]\, I3 => \^axaddr_offset\(0), I4 => \^e\(0), I5 => \wrap_second_len_r_reg[3]_0\(1), O => \^wrap_second_len_r_reg[3]\(1) ); \wrap_second_len_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"CC2CFFFFCC2C0000" ) port map ( I0 => \^axaddr_offset\(1), I1 => \m_payload_i_reg[46]\(0), I2 => \m_payload_i_reg[35]\, I3 => \^axaddr_offset\(0), I4 => \^e\(0), I5 => \wrap_second_len_r_reg[3]_0\(2), O => \^wrap_second_len_r_reg[3]\(2) ); \wrap_second_len_r[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFF4FF44444444" ) port map ( I0 => \^e\(0), I1 => \wrap_second_len_r_reg[3]_0\(3), I2 => \^axaddr_offset\(0), I3 => \m_payload_i_reg[35]\, I4 => \m_payload_i_reg[46]\(0), I5 => \m_payload_i_reg[35]_0\, O => \^wrap_second_len_r_reg[3]\(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo is port ( \cnt_read_reg[0]_rep_0\ : out STD_LOGIC; \cnt_read_reg[1]_rep__0_0\ : out STD_LOGIC; SR : out STD_LOGIC_VECTOR ( 0 to 0 ); bresp_push : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 0 to 0 ); bvalid_i_reg : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 0 to 0 ); shandshake_r : in STD_LOGIC; b_push : in STD_LOGIC; areset_d1 : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); \bresp_cnt_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); mhandshake_r : in STD_LOGIC; bvalid_i_reg_0 : in STD_LOGIC; si_rs_bready : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); aclk : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo is signal \^bresp_push\ : STD_LOGIC; signal bvalid_i_i_2_n_0 : STD_LOGIC; signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \cnt_read[0]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[1]_i_1_n_0\ : STD_LOGIC; signal \^cnt_read_reg[0]_rep_0\ : STD_LOGIC; signal \^cnt_read_reg[1]_rep__0_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_2__0_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_3_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_4_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_5_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_6_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_7_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][1]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][2]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][3]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][4]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][5]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][6]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][7]_srl4_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \bresp_cnt[7]_i_1\ : label is "soft_lutpair92"; attribute SOFT_HLUTNM of bvalid_i_i_1 : label is "soft_lutpair92"; attribute SOFT_HLUTNM of \cnt_read[0]_i_1\ : label is "soft_lutpair91"; attribute SOFT_HLUTNM of \cnt_read[1]_i_1\ : label is "soft_lutpair91"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name : string; attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][0]_srl4 "; attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][1]_srl4 "; attribute srl_bus_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][2]_srl4 "; attribute srl_bus_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][3]_srl4 "; attribute srl_bus_name of \memory_reg[3][4]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][4]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][4]_srl4 "; attribute srl_bus_name of \memory_reg[3][5]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][5]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][5]_srl4 "; attribute srl_bus_name of \memory_reg[3][6]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][6]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][6]_srl4 "; attribute srl_bus_name of \memory_reg[3][7]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][7]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][7]_srl4 "; attribute srl_bus_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][8]_srl4 "; begin bresp_push <= \^bresp_push\; \cnt_read_reg[0]_rep_0\ <= \^cnt_read_reg[0]_rep_0\; \cnt_read_reg[1]_rep__0_0\ <= \^cnt_read_reg[1]_rep__0_0\; \bresp_cnt[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => areset_d1, I1 => \^bresp_push\, O => SR(0) ); bvalid_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"002A" ) port map ( I0 => bvalid_i_i_2_n_0, I1 => bvalid_i_reg_0, I2 => si_rs_bready, I3 => areset_d1, O => bvalid_i_reg ); bvalid_i_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00070707" ) port map ( I0 => \^cnt_read_reg[0]_rep_0\, I1 => \^cnt_read_reg[1]_rep__0_0\, I2 => shandshake_r, I3 => Q(1), I4 => Q(0), I5 => bvalid_i_reg_0, O => bvalid_i_i_2_n_0 ); \cnt_read[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^cnt_read_reg[0]_rep_0\, I1 => b_push, I2 => shandshake_r, O => \cnt_read[0]_i_1_n_0\ ); \cnt_read[0]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^bresp_push\, I1 => shandshake_r, I2 => Q(0), O => D(0) ); \cnt_read[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"DB24" ) port map ( I0 => \^cnt_read_reg[0]_rep_0\, I1 => shandshake_r, I2 => b_push, I3 => \^cnt_read_reg[1]_rep__0_0\, O => \cnt_read[1]_i_1_n_0\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1_n_0\, Q => cnt_read(0), S => areset_d1 ); \cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1_n_0\, Q => \^cnt_read_reg[0]_rep_0\, S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => cnt_read(1), S => areset_d1 ); \cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => \cnt_read_reg[1]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => \^cnt_read_reg[1]_rep__0_0\, S => areset_d1 ); \memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(0), Q => \memory_reg[3][0]_srl4_n_0\ ); \memory_reg[3][0]_srl4_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"0002" ) port map ( I0 => \memory_reg[3][0]_srl4_i_2__0_n_0\, I1 => \memory_reg[3][0]_srl4_i_3_n_0\, I2 => \memory_reg[3][0]_srl4_i_4_n_0\, I3 => \memory_reg[3][0]_srl4_i_5_n_0\, O => \^bresp_push\ ); \memory_reg[3][0]_srl4_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \bresp_cnt_reg[7]\(7), I1 => \memory_reg[3][7]_srl4_n_0\, I2 => \memory_reg[3][1]_srl4_n_0\, I3 => \bresp_cnt_reg[7]\(1), I4 => \memory_reg[3][0]_srl4_n_0\, I5 => \bresp_cnt_reg[7]\(0), O => \memory_reg[3][0]_srl4_i_2__0_n_0\ ); \memory_reg[3][0]_srl4_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFF22F2" ) port map ( I0 => \bresp_cnt_reg[7]\(3), I1 => \memory_reg[3][3]_srl4_n_0\, I2 => \memory_reg[3][6]_srl4_n_0\, I3 => \bresp_cnt_reg[7]\(6), I4 => \memory_reg[3][0]_srl4_i_6_n_0\, O => \memory_reg[3][0]_srl4_i_3_n_0\ ); \memory_reg[3][0]_srl4_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF4F4FFF4F" ) port map ( I0 => \memory_reg[3][6]_srl4_n_0\, I1 => \bresp_cnt_reg[7]\(6), I2 => mhandshake_r, I3 => \memory_reg[3][3]_srl4_n_0\, I4 => \bresp_cnt_reg[7]\(3), I5 => \memory_reg[3][0]_srl4_i_7_n_0\, O => \memory_reg[3][0]_srl4_i_4_n_0\ ); \memory_reg[3][0]_srl4_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"66F666F6FFFF66F6" ) port map ( I0 => \bresp_cnt_reg[7]\(2), I1 => \memory_reg[3][2]_srl4_n_0\, I2 => \bresp_cnt_reg[7]\(4), I3 => \memory_reg[3][4]_srl4_n_0\, I4 => \memory_reg[3][5]_srl4_n_0\, I5 => \bresp_cnt_reg[7]\(5), O => \memory_reg[3][0]_srl4_i_5_n_0\ ); \memory_reg[3][0]_srl4_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"4F44" ) port map ( I0 => \memory_reg[3][5]_srl4_n_0\, I1 => \bresp_cnt_reg[7]\(5), I2 => \bresp_cnt_reg[7]\(4), I3 => \memory_reg[3][4]_srl4_n_0\, O => \memory_reg[3][0]_srl4_i_6_n_0\ ); \memory_reg[3][0]_srl4_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^cnt_read_reg[0]_rep_0\, I1 => \^cnt_read_reg[1]_rep__0_0\, O => \memory_reg[3][0]_srl4_i_7_n_0\ ); \memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(1), Q => \memory_reg[3][1]_srl4_n_0\ ); \memory_reg[3][2]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(2), Q => \memory_reg[3][2]_srl4_n_0\ ); \memory_reg[3][3]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(3), Q => \memory_reg[3][3]_srl4_n_0\ ); \memory_reg[3][4]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(4), Q => \memory_reg[3][4]_srl4_n_0\ ); \memory_reg[3][5]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(5), Q => \memory_reg[3][5]_srl4_n_0\ ); \memory_reg[3][6]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(6), Q => \memory_reg[3][6]_srl4_n_0\ ); \memory_reg[3][7]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(7), Q => \memory_reg[3][7]_srl4_n_0\ ); \memory_reg[3][8]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(8), Q => \out\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ is port ( s_bresp_acc : out STD_LOGIC; mhandshake : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bready : out STD_LOGIC; \skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \in\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; mhandshake_r : in STD_LOGIC; shandshake_r : in STD_LOGIC; bresp_push : in STD_LOGIC; aclk : in STD_LOGIC; areset_d1 : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ : entity is "axi_protocol_converter_v2_1_13_b2s_simple_fifo"; end \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\; architecture STRUCTURE of \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ is signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \cnt_read[1]_i_1__0_n_0\ : STD_LOGIC; signal \^mhandshake\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[1]_i_1__0\ : label is "soft_lutpair93"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute SOFT_HLUTNM of m_axi_bready_INST_0 : label is "soft_lutpair93"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] "; attribute srl_name : string; attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][0]_srl4 "; attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][1]_srl4 "; begin Q(1 downto 0) <= \^q\(1 downto 0); mhandshake <= \^mhandshake\; \cnt_read[1]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"A69A" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => shandshake_r, I3 => bresp_push, O => \cnt_read[1]_i_1__0_n_0\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => D(0), Q => \^q\(0), S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__0_n_0\, Q => \^q\(1), S => areset_d1 ); m_axi_bready_INST_0: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => mhandshake_r, O => m_axi_bready ); \memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \^q\(0), A1 => \^q\(1), A2 => '0', A3 => '0', CE => bresp_push, CLK => aclk, D => \in\(0), Q => \skid_buffer_reg[1]\(0) ); \memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \^q\(0), A1 => \^q\(1), A2 => '0', A3 => '0', CE => bresp_push, CLK => aclk, D => \in\(1), Q => \skid_buffer_reg[1]\(1) ); mhandshake_r_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"2000" ) port map ( I0 => m_axi_bvalid, I1 => mhandshake_r, I2 => \^q\(0), I3 => \^q\(1), O => \^mhandshake\ ); \s_bresp_acc[1]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"2020A220" ) port map ( I0 => \^mhandshake\, I1 => \in\(1), I2 => m_axi_bresp(1), I3 => m_axi_bresp(0), I4 => \in\(0), O => s_bresp_acc ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ is port ( \cnt_read_reg[3]_rep__2_0\ : out STD_LOGIC; wr_en0 : out STD_LOGIC; \cnt_read_reg[4]_rep__2_0\ : out STD_LOGIC; \cnt_read_reg[4]_rep__2_1\ : out STD_LOGIC; m_axi_rready : out STD_LOGIC; \state_reg[1]_rep\ : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 33 downto 0 ); s_ready_i_reg : in STD_LOGIC; s_ready_i_reg_0 : in STD_LOGIC; si_rs_rready : in STD_LOGIC; \cnt_read_reg[4]_0\ : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); aclk : in STD_LOGIC; areset_d1 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ : entity is "axi_protocol_converter_v2_1_13_b2s_simple_fifo"; end \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\; architecture STRUCTURE of \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ is signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \cnt_read[0]_i_1__1_n_0\ : STD_LOGIC; signal \cnt_read[1]_i_1__1_n_0\ : STD_LOGIC; signal \cnt_read[2]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[3]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_2_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_3_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__2_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__1_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__2_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__1_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__2_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep__1_n_0\ : STD_LOGIC; signal \^cnt_read_reg[3]_rep__2_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[4]_rep__1_n_0\ : STD_LOGIC; signal \^cnt_read_reg[4]_rep__2_0\ : STD_LOGIC; signal \^cnt_read_reg[4]_rep__2_1\ : STD_LOGIC; signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC; signal \^wr_en0\ : STD_LOGIC; signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[0]_i_1__1\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \cnt_read[1]_i_1__1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \cnt_read[2]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \cnt_read[4]_i_2\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \cnt_read[4]_i_3\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \cnt_read[4]_i_5\ : label is "soft_lutpair9"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__2\ : label is "cnt_read_reg[0]"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__2\ : label is "cnt_read_reg[1]"; attribute KEEP of \cnt_read_reg[2]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__1\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__2\ : label is "cnt_read_reg[2]"; attribute KEEP of \cnt_read_reg[3]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__1\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__2\ : label is "cnt_read_reg[3]"; attribute KEEP of \cnt_read_reg[4]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__1\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__2\ : label is "cnt_read_reg[4]"; attribute SOFT_HLUTNM of m_axi_rready_INST_0 : label is "soft_lutpair7"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name : string; attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][0]_srl32 "; attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][10]_srl32 "; attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][11]_srl32 "; attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][12]_srl32 "; attribute srl_bus_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][13]_srl32 "; attribute srl_bus_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][14]_srl32 "; attribute srl_bus_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][15]_srl32 "; attribute srl_bus_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][16]_srl32 "; attribute srl_bus_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][17]_srl32 "; attribute srl_bus_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][18]_srl32 "; attribute srl_bus_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][19]_srl32 "; attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][1]_srl32 "; attribute srl_bus_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][20]_srl32 "; attribute srl_bus_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][21]_srl32 "; attribute srl_bus_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][22]_srl32 "; attribute srl_bus_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][23]_srl32 "; attribute srl_bus_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][24]_srl32 "; attribute srl_bus_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][25]_srl32 "; attribute srl_bus_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][26]_srl32 "; attribute srl_bus_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][27]_srl32 "; attribute srl_bus_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][28]_srl32 "; attribute srl_bus_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][29]_srl32 "; attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][2]_srl32 "; attribute srl_bus_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][30]_srl32 "; attribute srl_bus_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][31]_srl32 "; attribute srl_bus_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][32]_srl32 "; attribute srl_bus_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][33]_srl32 "; attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][3]_srl32 "; attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][4]_srl32 "; attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][5]_srl32 "; attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][6]_srl32 "; attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][7]_srl32 "; attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][8]_srl32 "; attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][9]_srl32 "; attribute SOFT_HLUTNM of \state[1]_i_4\ : label is "soft_lutpair7"; begin \cnt_read_reg[3]_rep__2_0\ <= \^cnt_read_reg[3]_rep__2_0\; \cnt_read_reg[4]_rep__2_0\ <= \^cnt_read_reg[4]_rep__2_0\; \cnt_read_reg[4]_rep__2_1\ <= \^cnt_read_reg[4]_rep__2_1\; wr_en0 <= \^wr_en0\; \cnt_read[0]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => s_ready_i_reg, I2 => \^wr_en0\, O => \cnt_read[0]_i_1__1_n_0\ ); \cnt_read[1]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"A69A" ) port map ( I0 => \cnt_read_reg[1]_rep__2_n_0\, I1 => \^wr_en0\, I2 => s_ready_i_reg, I3 => \cnt_read_reg[0]_rep__2_n_0\, O => \cnt_read[1]_i_1__1_n_0\ ); \cnt_read[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AA6AA9AA" ) port map ( I0 => \cnt_read_reg[2]_rep__2_n_0\, I1 => \cnt_read_reg[1]_rep__2_n_0\, I2 => \^wr_en0\, I3 => s_ready_i_reg, I4 => \cnt_read_reg[0]_rep__2_n_0\, O => \cnt_read[2]_i_1_n_0\ ); \cnt_read[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAA96AAAAAAA" ) port map ( I0 => \^cnt_read_reg[3]_rep__2_0\, I1 => \cnt_read_reg[2]_rep__2_n_0\, I2 => \cnt_read_reg[1]_rep__2_n_0\, I3 => \cnt_read_reg[0]_rep__2_n_0\, I4 => \^wr_en0\, I5 => s_ready_i_reg, O => \cnt_read[3]_i_1_n_0\ ); \cnt_read[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AA55AAA6A6AAA6AA" ) port map ( I0 => \^cnt_read_reg[4]_rep__2_0\, I1 => \cnt_read[4]_i_2_n_0\, I2 => \cnt_read[4]_i_3_n_0\, I3 => s_ready_i_reg_0, I4 => \^cnt_read_reg[4]_rep__2_1\, I5 => \^cnt_read_reg[3]_rep__2_0\, O => \cnt_read[4]_i_1_n_0\ ); \cnt_read[4]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \cnt_read_reg[2]_rep__2_n_0\, I1 => \cnt_read_reg[1]_rep__2_n_0\, O => \cnt_read[4]_i_2_n_0\ ); \cnt_read[4]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFB" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => si_rs_rready, I2 => \cnt_read_reg[4]_0\, I3 => \^wr_en0\, O => \cnt_read[4]_i_3_n_0\ ); \cnt_read[4]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => \cnt_read_reg[1]_rep__2_n_0\, I2 => \cnt_read_reg[2]_rep__2_n_0\, O => \^cnt_read_reg[4]_rep__2_1\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => cnt_read(0), S => areset_d1 ); \cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => \cnt_read_reg[0]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => \cnt_read_reg[0]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => \cnt_read_reg[0]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => \cnt_read_reg[0]_rep__2_n_0\, S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => cnt_read(1), S => areset_d1 ); \cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => \cnt_read_reg[1]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => \cnt_read_reg[1]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => \cnt_read_reg[1]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => \cnt_read_reg[1]_rep__2_n_0\, S => areset_d1 ); \cnt_read_reg[2]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => cnt_read(2), S => areset_d1 ); \cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep__2_n_0\, S => areset_d1 ); \cnt_read_reg[3]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => cnt_read(3), S => areset_d1 ); \cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \cnt_read_reg[3]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \cnt_read_reg[3]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \cnt_read_reg[3]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \^cnt_read_reg[3]_rep__2_0\, S => areset_d1 ); \cnt_read_reg[4]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => cnt_read(4), S => areset_d1 ); \cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \cnt_read_reg[4]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \cnt_read_reg[4]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \cnt_read_reg[4]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \^cnt_read_reg[4]_rep__2_0\, S => areset_d1 ); m_axi_rready_INST_0: unisim.vcomponents.LUT5 generic map( INIT => X"F77F777F" ) port map ( I0 => \^cnt_read_reg[3]_rep__2_0\, I1 => \^cnt_read_reg[4]_rep__2_0\, I2 => \cnt_read_reg[1]_rep__2_n_0\, I3 => \cnt_read_reg[2]_rep__2_n_0\, I4 => \cnt_read_reg[0]_rep__2_n_0\, O => m_axi_rready ); \memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(0), Q => \out\(0), Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][0]_srl32_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AA2A2AAA2A2A2AAA" ) port map ( I0 => m_axi_rvalid, I1 => \^cnt_read_reg[3]_rep__2_0\, I2 => \^cnt_read_reg[4]_rep__2_0\, I3 => \cnt_read_reg[1]_rep__2_n_0\, I4 => \cnt_read_reg[2]_rep__2_n_0\, I5 => \cnt_read_reg[0]_rep__2_n_0\, O => \^wr_en0\ ); \memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(10), Q => \out\(10), Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(11), Q => \out\(11), Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(12), Q => \out\(12), Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][13]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(13), Q => \out\(13), Q31 => \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][14]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(14), Q => \out\(14), Q31 => \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][15]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(15), Q => \out\(15), Q31 => \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][16]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(16), Q => \out\(16), Q31 => \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][17]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(17), Q => \out\(17), Q31 => \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][18]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(18), Q => \out\(18), Q31 => \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][19]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(19), Q => \out\(19), Q31 => \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(1), Q => \out\(1), Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][20]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(20), Q => \out\(20), Q31 => \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][21]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(21), Q => \out\(21), Q31 => \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][22]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(22), Q => \out\(22), Q31 => \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][23]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(23), Q => \out\(23), Q31 => \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][24]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(24), Q => \out\(24), Q31 => \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][25]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(25), Q => \out\(25), Q31 => \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][26]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(26), Q => \out\(26), Q31 => \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][27]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(27), Q => \out\(27), Q31 => \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][28]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(28), Q => \out\(28), Q31 => \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][29]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(29), Q => \out\(29), Q31 => \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(2), Q => \out\(2), Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][30]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(30), Q => \out\(30), Q31 => \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][31]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(31), Q => \out\(31), Q31 => \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][32]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(32), Q => \out\(32), Q31 => \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][33]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(33), Q => \out\(33), Q31 => \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(3), Q => \out\(3), Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(4), Q => \out\(4), Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(5), Q => \out\(5), Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(6), Q => \out\(6), Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(7), Q => \out\(7), Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(8), Q => \out\(8), Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(9), Q => \out\(9), Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ ); \state[1]_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"7C000000" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => \cnt_read_reg[2]_rep__2_n_0\, I2 => \cnt_read_reg[1]_rep__2_n_0\, I3 => \^cnt_read_reg[4]_rep__2_0\, I4 => \^cnt_read_reg[3]_rep__2_0\, O => \state_reg[1]_rep\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ is port ( m_valid_i_reg : out STD_LOGIC; \state_reg[1]_rep\ : out STD_LOGIC; \cnt_read_reg[4]_rep__2\ : out STD_LOGIC; \skid_buffer_reg[35]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_ready_i_reg : in STD_LOGIC; r_push_r : in STD_LOGIC; si_rs_rready : in STD_LOGIC; \cnt_read_reg[0]_rep__2\ : in STD_LOGIC; wr_en0 : in STD_LOGIC; \cnt_read_reg[4]_rep__2_0\ : in STD_LOGIC; \cnt_read_reg[3]_rep__2\ : in STD_LOGIC; \cnt_read_reg[0]_rep__2_0\ : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); aclk : in STD_LOGIC; areset_d1 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ : entity is "axi_protocol_converter_v2_1_13_b2s_simple_fifo"; end \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\; architecture STRUCTURE of \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ is signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \cnt_read[0]_i_1__2_n_0\ : STD_LOGIC; signal \cnt_read[1]_i_1__2_n_0\ : STD_LOGIC; signal \cnt_read[2]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[3]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_2__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_3__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_4__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_5__0_n_0\ : STD_LOGIC; signal \^m_valid_i_reg\ : STD_LOGIC; signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[0]_i_1__2\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \cnt_read[1]_i_1__2\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \cnt_read[2]_i_1__0\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \cnt_read[4]_i_3__0\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \cnt_read[4]_i_4__0\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \cnt_read[4]_i_5__0\ : label is "soft_lutpair12"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute KEEP of \cnt_read_reg[2]\ : label is "yes"; attribute KEEP of \cnt_read_reg[3]\ : label is "yes"; attribute KEEP of \cnt_read_reg[4]\ : label is "yes"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name : string; attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][0]_srl32 "; attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][1]_srl32 "; begin m_valid_i_reg <= \^m_valid_i_reg\; \cnt_read[0]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => cnt_read(0), I1 => s_ready_i_reg, I2 => r_push_r, O => \cnt_read[0]_i_1__2_n_0\ ); \cnt_read[1]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"9AA6" ) port map ( I0 => cnt_read(1), I1 => s_ready_i_reg, I2 => r_push_r, I3 => cnt_read(0), O => \cnt_read[1]_i_1__2_n_0\ ); \cnt_read[2]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AAA96AAA" ) port map ( I0 => cnt_read(2), I1 => cnt_read(1), I2 => cnt_read(0), I3 => r_push_r, I4 => s_ready_i_reg, O => \cnt_read[2]_i_1__0_n_0\ ); \cnt_read[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAA96AAAAAAA" ) port map ( I0 => cnt_read(3), I1 => cnt_read(0), I2 => cnt_read(1), I3 => cnt_read(2), I4 => r_push_r, I5 => s_ready_i_reg, O => \cnt_read[3]_i_1__0_n_0\ ); \cnt_read[4]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AA55AAA6A6AAA6AA" ) port map ( I0 => cnt_read(4), I1 => \cnt_read[4]_i_2__0_n_0\, I2 => \cnt_read[4]_i_3__0_n_0\, I3 => \cnt_read[4]_i_4__0_n_0\, I4 => \cnt_read[4]_i_5__0_n_0\, I5 => cnt_read(3), O => \cnt_read[4]_i_1__0_n_0\ ); \cnt_read[4]_i_2__0\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => cnt_read(1), I1 => cnt_read(2), O => \cnt_read[4]_i_2__0_n_0\ ); \cnt_read[4]_i_3__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFB" ) port map ( I0 => cnt_read(0), I1 => si_rs_rready, I2 => \^m_valid_i_reg\, I3 => r_push_r, O => \cnt_read[4]_i_3__0_n_0\ ); \cnt_read[4]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"4F" ) port map ( I0 => \^m_valid_i_reg\, I1 => si_rs_rready, I2 => wr_en0, O => \cnt_read_reg[4]_rep__2\ ); \cnt_read[4]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"4F" ) port map ( I0 => \^m_valid_i_reg\, I1 => si_rs_rready, I2 => r_push_r, O => \cnt_read[4]_i_4__0_n_0\ ); \cnt_read[4]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => cnt_read(2), I1 => cnt_read(1), I2 => cnt_read(0), O => \cnt_read[4]_i_5__0_n_0\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__2_n_0\, Q => cnt_read(0), S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => cnt_read(1), S => areset_d1 ); \cnt_read_reg[2]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1__0_n_0\, Q => cnt_read(2), S => areset_d1 ); \cnt_read_reg[3]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1__0_n_0\, Q => cnt_read(3), S => areset_d1 ); \cnt_read_reg[4]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1__0_n_0\, Q => cnt_read(4), S => areset_d1 ); m_valid_i_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"FF80808080808080" ) port map ( I0 => cnt_read(4), I1 => cnt_read(3), I2 => \cnt_read[4]_i_5__0_n_0\, I3 => \cnt_read_reg[4]_rep__2_0\, I4 => \cnt_read_reg[3]_rep__2\, I5 => \cnt_read_reg[0]_rep__2_0\, O => \^m_valid_i_reg\ ); \memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(0), Q => \skid_buffer_reg[35]\(0), Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(1), Q => \skid_buffer_reg[35]\(1), Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ ); \state[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"BEFEAAAAAAAAAAAA" ) port map ( I0 => \cnt_read_reg[0]_rep__2\, I1 => cnt_read(2), I2 => cnt_read(1), I3 => cnt_read(0), I4 => cnt_read(3), I5 => cnt_read(4), O => \state_reg[1]_rep\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm is port ( Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_cnt_r_reg[0]\ : out STD_LOGIC; axaddr_offset : out STD_LOGIC_VECTOR ( 1 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \axlen_cnt_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axburst_eq0_reg : out STD_LOGIC; wrap_next_pending : out STD_LOGIC; sel_first_i : out STD_LOGIC; incr_next_pending : out STD_LOGIC; s_axburst_eq1_reg : out STD_LOGIC; \next\ : out STD_LOGIC; \axaddr_wrap_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); b_push : out STD_LOGIC; m_axi_awvalid : out STD_LOGIC; sel_first_reg : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; si_rs_awvalid : in STD_LOGIC; \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[35]\ : in STD_LOGIC; \m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \m_payload_i_reg[35]_0\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \axlen_cnt_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \axlen_cnt_reg[4]\ : in STD_LOGIC; \m_payload_i_reg[48]\ : in STD_LOGIC; next_pending_r_reg : in STD_LOGIC; areset_d1 : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; \m_payload_i_reg[46]_0\ : in STD_LOGIC; \axlen_cnt_reg[2]\ : in STD_LOGIC; next_pending_r_reg_0 : in STD_LOGIC; \m_payload_i_reg[6]\ : in STD_LOGIC; \cnt_read_reg[0]_rep\ : in STD_LOGIC; \cnt_read_reg[1]_rep__0\ : in STD_LOGIC; m_axi_awready : in STD_LOGIC; s_axburst_eq1_reg_0 : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; \sel_first__0\ : in STD_LOGIC; aclk : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^axaddr_offset\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^b_push\ : STD_LOGIC; signal \^incr_next_pending\ : STD_LOGIC; signal \^next\ : STD_LOGIC; signal next_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^sel_first_i\ : STD_LOGIC; signal \state[0]_i_2_n_0\ : STD_LOGIC; signal \wrap_cnt_r[3]_i_2_n_0\ : STD_LOGIC; signal \^wrap_cnt_r_reg[0]\ : STD_LOGIC; signal \^wrap_next_pending\ : STD_LOGIC; signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1\ : label is "soft_lutpair86"; attribute SOFT_HLUTNM of m_axi_awvalid_INST_0 : label is "soft_lutpair87"; attribute SOFT_HLUTNM of s_axburst_eq0_i_1 : label is "soft_lutpair85"; attribute SOFT_HLUTNM of s_axburst_eq1_i_1 : label is "soft_lutpair85"; attribute SOFT_HLUTNM of \state[0]_i_1\ : label is "soft_lutpair87"; attribute KEEP : string; attribute KEEP of \state_reg[0]\ : label is "yes"; attribute KEEP of \state_reg[1]\ : label is "yes"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1\ : label is "soft_lutpair86"; begin E(0) <= \^e\(0); Q(1 downto 0) <= \^q\(1 downto 0); axaddr_offset(1 downto 0) <= \^axaddr_offset\(1 downto 0); b_push <= \^b_push\; incr_next_pending <= \^incr_next_pending\; \next\ <= \^next\; sel_first_i <= \^sel_first_i\; \wrap_cnt_r_reg[0]\ <= \^wrap_cnt_r_reg[0]\; wrap_next_pending <= \^wrap_next_pending\; \wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0); \axaddr_offset_r[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAAAAAC0AAAA" ) port map ( I0 => \axaddr_offset_r_reg[3]\(0), I1 => \m_payload_i_reg[3]\, I2 => \m_payload_i_reg[47]\(1), I3 => \^q\(0), I4 => si_rs_awvalid, I5 => \^q\(1), O => \^axaddr_offset\(0) ); \axaddr_offset_r[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAACAAAAAAA0AA" ) port map ( I0 => \axaddr_offset_r_reg[3]\(1), I1 => \m_payload_i_reg[47]\(2), I2 => \^q\(0), I3 => si_rs_awvalid, I4 => \^q\(1), I5 => \m_payload_i_reg[6]\, O => \^axaddr_offset\(1) ); \axlen_cnt[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0400FFFF04000400" ) port map ( I0 => \^q\(1), I1 => si_rs_awvalid, I2 => \^q\(0), I3 => \m_payload_i_reg[47]\(1), I4 => \axlen_cnt_reg[0]_0\(0), I5 => \axlen_cnt_reg[4]\, O => \axlen_cnt_reg[0]\(0) ); \axlen_cnt[7]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FF04" ) port map ( I0 => \^q\(0), I1 => si_rs_awvalid, I2 => \^q\(1), I3 => \^next\, O => \axaddr_wrap_reg[0]\(0) ); m_axi_awvalid_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => m_axi_awvalid ); \m_payload_i[31]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \^b_push\, I1 => si_rs_awvalid, O => \m_payload_i_reg[0]\(0) ); \memory_reg[3][0]_srl4_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AA20AA200000AA20" ) port map ( I0 => \^q\(0), I1 => s_axburst_eq1_reg_0, I2 => m_axi_awready, I3 => \^q\(1), I4 => \cnt_read_reg[1]_rep__0\, I5 => \cnt_read_reg[0]_rep\, O => \^b_push\ ); next_pending_r_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \m_payload_i_reg[48]\, I1 => \^e\(0), I2 => \axlen_cnt_reg[4]\, I3 => \^next\, I4 => next_pending_r_reg, O => \^incr_next_pending\ ); \next_pending_r_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"8BBB8B88" ) port map ( I0 => \m_payload_i_reg[46]_0\, I1 => \^e\(0), I2 => \axlen_cnt_reg[2]\, I3 => \^next\, I4 => next_pending_r_reg_0, O => \^wrap_next_pending\ ); next_pending_r_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"BBBBFFFF00B00000" ) port map ( I0 => \cnt_read_reg[0]_rep\, I1 => \cnt_read_reg[1]_rep__0\, I2 => m_axi_awready, I3 => s_axburst_eq1_reg_0, I4 => \^q\(0), I5 => \^q\(1), O => \^next\ ); s_axburst_eq0_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => \^wrap_next_pending\, I1 => \m_payload_i_reg[47]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq0_reg ); s_axburst_eq1_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"ABA8" ) port map ( I0 => \^wrap_next_pending\, I1 => \m_payload_i_reg[47]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq1_reg ); sel_first_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FF04FFFFFF04FF04" ) port map ( I0 => \^q\(1), I1 => si_rs_awvalid, I2 => \^q\(0), I3 => areset_d1, I4 => \^next\, I5 => sel_first_reg_1, O => \^sel_first_i\ ); \sel_first_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF44444F44" ) port map ( I0 => \^next\, I1 => sel_first_reg_2, I2 => \^q\(1), I3 => si_rs_awvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg ); \sel_first_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF44444F44" ) port map ( I0 => \^next\, I1 => \sel_first__0\, I2 => \^q\(1), I3 => si_rs_awvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg_0 ); \state[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"BBBA" ) port map ( I0 => \state[0]_i_2_n_0\, I1 => \^q\(0), I2 => si_rs_awvalid, I3 => \^q\(1), O => next_state(0) ); \state[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"00F000F055750000" ) port map ( I0 => m_axi_awready, I1 => s_axburst_eq1_reg_0, I2 => \cnt_read_reg[1]_rep__0\, I3 => \cnt_read_reg[0]_rep\, I4 => \^q\(0), I5 => \^q\(1), O => \state[0]_i_2_n_0\ ); \state[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"08000800FC000800" ) port map ( I0 => s_axburst_eq1_reg_0, I1 => m_axi_awready, I2 => \^q\(1), I3 => \^q\(0), I4 => \cnt_read_reg[1]_rep__0\, I5 => \cnt_read_reg[0]_rep\, O => next_state(1) ); \state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^q\(0), R => areset_d1 ); \state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(1), Q => \^q\(1), R => areset_d1 ); \wrap_boundary_axaddr_r[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(1), I1 => si_rs_awvalid, I2 => \^q\(0), O => \^e\(0) ); \wrap_cnt_r[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AA8A5575AA8A5545" ) port map ( I0 => \wrap_second_len_r_reg[3]_0\(0), I1 => \^q\(0), I2 => si_rs_awvalid, I3 => \^q\(1), I4 => \^wrap_cnt_r_reg[0]\, I5 => \^axaddr_offset\(0), O => D(0) ); \wrap_cnt_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAA6AA56AAAAAAAA" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(2), I1 => \wrap_second_len_r_reg[3]_0\(0), I2 => \^e\(0), I3 => \^wrap_cnt_r_reg[0]\, I4 => \^axaddr_offset\(0), I5 => \^wrap_second_len_r_reg[3]\(1), O => D(1) ); \wrap_cnt_r[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"A6AA" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(3), I1 => \^wrap_second_len_r_reg[3]\(1), I2 => \wrap_cnt_r[3]_i_2_n_0\, I3 => \^wrap_second_len_r_reg[3]\(2), O => D(2) ); \wrap_cnt_r[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"D1D1D1D1D1D1DFD1" ) port map ( I0 => \wrap_second_len_r_reg[3]_0\(0), I1 => \^e\(0), I2 => \^axaddr_offset\(0), I3 => \m_payload_i_reg[35]\, I4 => \m_payload_i_reg[46]\(0), I5 => \^axaddr_offset\(1), O => \wrap_cnt_r[3]_i_2_n_0\ ); \wrap_second_len_r[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AA8AAA8AAA8AAABA" ) port map ( I0 => \wrap_second_len_r_reg[3]_0\(0), I1 => \^q\(0), I2 => si_rs_awvalid, I3 => \^q\(1), I4 => \^wrap_cnt_r_reg[0]\, I5 => \^axaddr_offset\(0), O => \^wrap_second_len_r_reg[3]\(0) ); \wrap_second_len_r[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000004000404" ) port map ( I0 => \^axaddr_offset\(0), I1 => \m_payload_i_reg[35]\, I2 => \m_payload_i_reg[46]\(0), I3 => \^e\(0), I4 => \axaddr_offset_r_reg[3]\(1), I5 => \m_payload_i_reg[35]_0\, O => \^wrap_cnt_r_reg[0]\ ); \wrap_second_len_r[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0FE0FFFF0FE00000" ) port map ( I0 => \^axaddr_offset\(1), I1 => \m_payload_i_reg[46]\(0), I2 => \m_payload_i_reg[35]\, I3 => \^axaddr_offset\(0), I4 => \^e\(0), I5 => \wrap_second_len_r_reg[3]_0\(1), O => \^wrap_second_len_r_reg[3]\(1) ); \wrap_second_len_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"CC2CFFFFCC2C0000" ) port map ( I0 => \^axaddr_offset\(1), I1 => \m_payload_i_reg[46]\(0), I2 => \m_payload_i_reg[35]\, I3 => \^axaddr_offset\(0), I4 => \^e\(0), I5 => \wrap_second_len_r_reg[3]_0\(2), O => \^wrap_second_len_r_reg[3]\(2) ); \wrap_second_len_r[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFF4FF44444444" ) port map ( I0 => \^e\(0), I1 => \wrap_second_len_r_reg[3]_0\(3), I2 => \^axaddr_offset\(0), I3 => \m_payload_i_reg[35]\, I4 => \m_payload_i_reg[46]\(0), I5 => \m_payload_i_reg[35]_0\, O => \^wrap_second_len_r_reg[3]\(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wrap_cmd is port ( next_pending_r_reg_0 : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); wrap_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 18 downto 0 ); \next\ : in STD_LOGIC; axaddr_incr_reg : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \axaddr_incr_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); sel_first_reg_2 : in STD_LOGIC; \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC; \m_payload_i_reg[35]\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wrap_cmd; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wrap_cmd is signal axaddr_wrap : STD_LOGIC_VECTOR ( 11 downto 0 ); signal axaddr_wrap0 : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \axaddr_wrap[0]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[10]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_2_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_7_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_8_n_0\ : STD_LOGIC; signal \axaddr_wrap[1]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[2]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[4]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[5]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[6]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_3_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[8]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[9]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_3\ : STD_LOGIC; signal \axlen_cnt[0]_i_1_n_0\ : STD_LOGIC; signal \axlen_cnt[1]_i_1_n_0\ : STD_LOGIC; signal \axlen_cnt[2]_i_1_n_0\ : STD_LOGIC; signal \axlen_cnt[3]_i_1_n_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \^sel_first_reg_0\ : STD_LOGIC; signal wrap_boundary_axaddr_r : STD_LOGIC_VECTOR ( 11 downto 0 ); signal wrap_cnt : STD_LOGIC_VECTOR ( 1 to 1 ); signal wrap_cnt_r : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_wrap[11]_i_2\ : label is "soft_lutpair90"; attribute SOFT_HLUTNM of next_pending_r_i_3 : label is "soft_lutpair90"; begin sel_first_reg_0 <= \^sel_first_reg_0\; \wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0); \axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_2\(0), Q => \axaddr_offset_r_reg[3]_0\(0), R => '0' ); \axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_2\(1), Q => \axaddr_offset_r_reg[3]_0\(1), R => '0' ); \axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_2\(2), Q => \axaddr_offset_r_reg[3]_0\(2), R => '0' ); \axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_2\(3), Q => \axaddr_offset_r_reg[3]_0\(3), R => '0' ); \axaddr_wrap[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(0), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(0), I3 => \next\, I4 => Q(0), O => \axaddr_wrap[0]_i_1_n_0\ ); \axaddr_wrap[10]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(10), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(10), I3 => \next\, I4 => Q(10), O => \axaddr_wrap[10]_i_1_n_0\ ); \axaddr_wrap[11]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(11), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(11), I3 => \next\, I4 => Q(11), O => \axaddr_wrap[11]_i_1_n_0\ ); \axaddr_wrap[11]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"41" ) port map ( I0 => \axaddr_wrap[11]_i_4_n_0\, I1 => wrap_cnt_r(3), I2 => \axlen_cnt_reg_n_0_[3]\, O => \axaddr_wrap[11]_i_2_n_0\ ); \axaddr_wrap[11]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"6FF6FFFFFFFF6FF6" ) port map ( I0 => wrap_cnt_r(0), I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[2]\, I3 => wrap_cnt_r(2), I4 => \axlen_cnt_reg_n_0_[1]\, I5 => wrap_cnt_r(1), O => \axaddr_wrap[11]_i_4_n_0\ ); \axaddr_wrap[11]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(11), O => \axaddr_wrap[11]_i_5_n_0\ ); \axaddr_wrap[11]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(10), O => \axaddr_wrap[11]_i_6_n_0\ ); \axaddr_wrap[11]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(9), O => \axaddr_wrap[11]_i_7_n_0\ ); \axaddr_wrap[11]_i_8\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(8), O => \axaddr_wrap[11]_i_8_n_0\ ); \axaddr_wrap[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(1), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(1), I3 => \next\, I4 => Q(1), O => \axaddr_wrap[1]_i_1_n_0\ ); \axaddr_wrap[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(2), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(2), I3 => \next\, I4 => Q(2), O => \axaddr_wrap[2]_i_1_n_0\ ); \axaddr_wrap[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(3), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(3), I3 => \next\, I4 => Q(3), O => \axaddr_wrap[3]_i_1_n_0\ ); \axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => axaddr_wrap(3), I1 => Q(12), I2 => Q(13), O => \axaddr_wrap[3]_i_3_n_0\ ); \axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => axaddr_wrap(2), I1 => Q(12), I2 => Q(13), O => \axaddr_wrap[3]_i_4_n_0\ ); \axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => axaddr_wrap(1), I1 => Q(13), I2 => Q(12), O => \axaddr_wrap[3]_i_5_n_0\ ); \axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"A9" ) port map ( I0 => axaddr_wrap(0), I1 => Q(12), I2 => Q(13), O => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(4), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(4), I3 => \next\, I4 => Q(4), O => \axaddr_wrap[4]_i_1_n_0\ ); \axaddr_wrap[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(5), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(5), I3 => \next\, I4 => Q(5), O => \axaddr_wrap[5]_i_1_n_0\ ); \axaddr_wrap[6]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(6), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(6), I3 => \next\, I4 => Q(6), O => \axaddr_wrap[6]_i_1_n_0\ ); \axaddr_wrap[7]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(7), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(7), I3 => \next\, I4 => Q(7), O => \axaddr_wrap[7]_i_1_n_0\ ); \axaddr_wrap[7]_i_3\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(7), O => \axaddr_wrap[7]_i_3_n_0\ ); \axaddr_wrap[7]_i_4\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(6), O => \axaddr_wrap[7]_i_4_n_0\ ); \axaddr_wrap[7]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(5), O => \axaddr_wrap[7]_i_5_n_0\ ); \axaddr_wrap[7]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(4), O => \axaddr_wrap[7]_i_6_n_0\ ); \axaddr_wrap[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(8), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(8), I3 => \next\, I4 => Q(8), O => \axaddr_wrap[8]_i_1_n_0\ ); \axaddr_wrap[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(9), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(9), I3 => \next\, I4 => Q(9), O => \axaddr_wrap[9]_i_1_n_0\ ); \axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[0]_i_1_n_0\, Q => axaddr_wrap(0), R => '0' ); \axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[10]_i_1_n_0\, Q => axaddr_wrap(10), R => '0' ); \axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[11]_i_1_n_0\, Q => axaddr_wrap(11), R => '0' ); \axaddr_wrap_reg[11]_i_3\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[7]_i_2_n_0\, CO(3) => \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\(3), CO(2) => \axaddr_wrap_reg[11]_i_3_n_1\, CO(1) => \axaddr_wrap_reg[11]_i_3_n_2\, CO(0) => \axaddr_wrap_reg[11]_i_3_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => axaddr_wrap0(11 downto 8), S(3) => \axaddr_wrap[11]_i_5_n_0\, S(2) => \axaddr_wrap[11]_i_6_n_0\, S(1) => \axaddr_wrap[11]_i_7_n_0\, S(0) => \axaddr_wrap[11]_i_8_n_0\ ); \axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[1]_i_1_n_0\, Q => axaddr_wrap(1), R => '0' ); \axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[2]_i_1_n_0\, Q => axaddr_wrap(2), R => '0' ); \axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[3]_i_1_n_0\, Q => axaddr_wrap(3), R => '0' ); \axaddr_wrap_reg[3]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_wrap_reg[3]_i_2_n_0\, CO(2) => \axaddr_wrap_reg[3]_i_2_n_1\, CO(1) => \axaddr_wrap_reg[3]_i_2_n_2\, CO(0) => \axaddr_wrap_reg[3]_i_2_n_3\, CYINIT => '0', DI(3 downto 0) => axaddr_wrap(3 downto 0), O(3 downto 0) => axaddr_wrap0(3 downto 0), S(3) => \axaddr_wrap[3]_i_3_n_0\, S(2) => \axaddr_wrap[3]_i_4_n_0\, S(1) => \axaddr_wrap[3]_i_5_n_0\, S(0) => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[4]_i_1_n_0\, Q => axaddr_wrap(4), R => '0' ); \axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[5]_i_1_n_0\, Q => axaddr_wrap(5), R => '0' ); \axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[6]_i_1_n_0\, Q => axaddr_wrap(6), R => '0' ); \axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[7]_i_1_n_0\, Q => axaddr_wrap(7), R => '0' ); \axaddr_wrap_reg[7]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[3]_i_2_n_0\, CO(3) => \axaddr_wrap_reg[7]_i_2_n_0\, CO(2) => \axaddr_wrap_reg[7]_i_2_n_1\, CO(1) => \axaddr_wrap_reg[7]_i_2_n_2\, CO(0) => \axaddr_wrap_reg[7]_i_2_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => axaddr_wrap0(7 downto 4), S(3) => \axaddr_wrap[7]_i_3_n_0\, S(2) => \axaddr_wrap[7]_i_4_n_0\, S(1) => \axaddr_wrap[7]_i_5_n_0\, S(0) => \axaddr_wrap[7]_i_6_n_0\ ); \axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[8]_i_1_n_0\, Q => axaddr_wrap(8), R => '0' ); \axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axaddr_wrap[9]_i_1_n_0\, Q => axaddr_wrap(9), R => '0' ); \axlen_cnt[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"A3A3A3A3A3A3A3A0" ) port map ( I0 => Q(15), I1 => \axlen_cnt_reg_n_0_[0]\, I2 => E(0), I3 => \axlen_cnt_reg_n_0_[3]\, I4 => \axlen_cnt_reg_n_0_[1]\, I5 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt[0]_i_1_n_0\ ); \axlen_cnt[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF999800009998" ) port map ( I0 => \axlen_cnt_reg_n_0_[1]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[3]\, I3 => \axlen_cnt_reg_n_0_[2]\, I4 => E(0), I5 => Q(16), O => \axlen_cnt[1]_i_1_n_0\ ); \axlen_cnt[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFA9A80000A9A8" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[3]\, I4 => E(0), I5 => Q(17), O => \axlen_cnt[2]_i_1_n_0\ ); \axlen_cnt[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFAAA80000AAA8" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[0]\, I4 => E(0), I5 => Q(18), O => \axlen_cnt[3]_i_1_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axlen_cnt[0]_i_1_n_0\, Q => \axlen_cnt_reg_n_0_[0]\, R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axlen_cnt[1]_i_1_n_0\, Q => \axlen_cnt_reg_n_0_[1]\, R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axlen_cnt[2]_i_1_n_0\, Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \state_reg[0]\(0), D => \axlen_cnt[3]_i_1_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \m_axi_awaddr[0]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(0), I2 => Q(14), I3 => \axaddr_incr_reg[3]\(0), I4 => \m_payload_i_reg[38]\, I5 => Q(0), O => m_axi_awaddr(0) ); \m_axi_awaddr[10]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(10), I2 => Q(14), I3 => axaddr_incr_reg(6), I4 => \m_payload_i_reg[38]\, I5 => Q(10), O => m_axi_awaddr(10) ); \m_axi_awaddr[11]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(11), I2 => Q(14), I3 => axaddr_incr_reg(7), I4 => \m_payload_i_reg[38]\, I5 => Q(11), O => m_axi_awaddr(11) ); \m_axi_awaddr[1]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => Q(1), I1 => \^sel_first_reg_0\, I2 => axaddr_wrap(1), I3 => Q(14), I4 => sel_first_reg_2, O => m_axi_awaddr(1) ); \m_axi_awaddr[2]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(2), I2 => Q(14), I3 => \axaddr_incr_reg[3]\(1), I4 => \m_payload_i_reg[38]\, I5 => Q(2), O => m_axi_awaddr(2) ); \m_axi_awaddr[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(3), I2 => Q(14), I3 => \axaddr_incr_reg[3]\(2), I4 => \m_payload_i_reg[38]\, I5 => Q(3), O => m_axi_awaddr(3) ); \m_axi_awaddr[4]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(4), I2 => Q(14), I3 => axaddr_incr_reg(0), I4 => \m_payload_i_reg[38]\, I5 => Q(4), O => m_axi_awaddr(4) ); \m_axi_awaddr[5]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(5), I2 => Q(14), I3 => axaddr_incr_reg(1), I4 => \m_payload_i_reg[38]\, I5 => Q(5), O => m_axi_awaddr(5) ); \m_axi_awaddr[6]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(6), I2 => Q(14), I3 => axaddr_incr_reg(2), I4 => \m_payload_i_reg[38]\, I5 => Q(6), O => m_axi_awaddr(6) ); \m_axi_awaddr[7]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(7), I2 => Q(14), I3 => axaddr_incr_reg(3), I4 => \m_payload_i_reg[38]\, I5 => Q(7), O => m_axi_awaddr(7) ); \m_axi_awaddr[8]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(8), I2 => Q(14), I3 => axaddr_incr_reg(4), I4 => \m_payload_i_reg[38]\, I5 => Q(8), O => m_axi_awaddr(8) ); \m_axi_awaddr[9]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(9), I2 => Q(14), I3 => axaddr_incr_reg(5), I4 => \m_payload_i_reg[38]\, I5 => Q(9), O => m_axi_awaddr(9) ); next_pending_r_i_3: unisim.vcomponents.LUT3 generic map( INIT => X"01" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[1]\, I2 => \axlen_cnt_reg_n_0_[3]\, O => next_pending_r_reg_1 ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => wrap_next_pending, Q => next_pending_r_reg_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^sel_first_reg_0\, R => '0' ); \wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(0), Q => wrap_boundary_axaddr_r(0), R => '0' ); \wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => Q(10), Q => wrap_boundary_axaddr_r(10), R => '0' ); \wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => Q(11), Q => wrap_boundary_axaddr_r(11), R => '0' ); \wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(1), Q => wrap_boundary_axaddr_r(1), R => '0' ); \wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(2), Q => wrap_boundary_axaddr_r(2), R => '0' ); \wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(3), Q => wrap_boundary_axaddr_r(3), R => '0' ); \wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(4), Q => wrap_boundary_axaddr_r(4), R => '0' ); \wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(5), Q => wrap_boundary_axaddr_r(5), R => '0' ); \wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(6), Q => wrap_boundary_axaddr_r(6), R => '0' ); \wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => Q(7), Q => wrap_boundary_axaddr_r(7), R => '0' ); \wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => Q(8), Q => wrap_boundary_axaddr_r(8), R => '0' ); \wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => Q(9), Q => wrap_boundary_axaddr_r(9), R => '0' ); \wrap_cnt_r[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"313D020E" ) port map ( I0 => \^wrap_second_len_r_reg[3]_0\(0), I1 => E(0), I2 => \axaddr_offset_r_reg[3]_1\, I3 => \m_payload_i_reg[35]\, I4 => \^wrap_second_len_r_reg[3]_0\(1), O => wrap_cnt(1) ); \wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(0), Q => wrap_cnt_r(0), R => '0' ); \wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => wrap_cnt(1), Q => wrap_cnt_r(1), R => '0' ); \wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(1), Q => wrap_cnt_r(2), R => '0' ); \wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(2), Q => wrap_cnt_r(3), R => '0' ); \wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(0), Q => \^wrap_second_len_r_reg[3]_0\(0), R => '0' ); \wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(1), Q => \^wrap_second_len_r_reg[3]_0\(1), R => '0' ); \wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(2), Q => \^wrap_second_len_r_reg[3]_0\(2), R => '0' ); \wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(3), Q => \^wrap_second_len_r_reg[3]_0\(3), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 is port ( sel_first_reg_0 : out STD_LOGIC; s_axburst_eq0_reg : out STD_LOGIC; s_axburst_eq1_reg : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); si_rs_arvalid : in STD_LOGIC; sel_first_i : in STD_LOGIC; incr_next_pending : in STD_LOGIC; \m_payload_i_reg[47]_0\ : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; \axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \axaddr_incr_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_2 : in STD_LOGIC; \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC; \m_payload_i_reg[35]\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 : entity is "axi_protocol_converter_v2_1_13_b2s_wrap_cmd"; end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 is signal \axaddr_wrap[0]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[10]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[1]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[2]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[4]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[5]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[6]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[8]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[9]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_4\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_5\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_6\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_7\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_4\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_5\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_6\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_7\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_4\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_5\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_6\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_7\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[0]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[10]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[11]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[1]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[2]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[3]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[4]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[5]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[6]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[7]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[8]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[9]\ : STD_LOGIC; signal \axlen_cnt[0]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt[1]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt[2]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt[3]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \next_pending_r_i_2__2_n_0\ : STD_LOGIC; signal next_pending_r_reg_n_0 : STD_LOGIC; signal \^sel_first_reg_0\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[0]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[10]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[11]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[1]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[2]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[3]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[4]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[5]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[6]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[7]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[8]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_cnt_r[1]_i_1__0_n_0\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[0]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[1]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[2]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[3]\ : STD_LOGIC; signal wrap_next_pending : STD_LOGIC; signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \s_axburst_eq0_i_1__0\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \s_axburst_eq1_i_1__0\ : label is "soft_lutpair4"; begin sel_first_reg_0 <= \^sel_first_reg_0\; \wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0); \axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_2\(0), Q => \axaddr_offset_r_reg[3]_0\(0), R => '0' ); \axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_2\(1), Q => \axaddr_offset_r_reg[3]_0\(1), R => '0' ); \axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_2\(2), Q => \axaddr_offset_r_reg[3]_0\(2), R => '0' ); \axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_2\(3), Q => \axaddr_offset_r_reg[3]_0\(3), R => '0' ); \axaddr_wrap[0]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[0]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_7\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(0), O => \axaddr_wrap[0]_i_1__0_n_0\ ); \axaddr_wrap[10]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[10]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_5\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(10), O => \axaddr_wrap[10]_i_1__0_n_0\ ); \axaddr_wrap[11]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[11]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_4\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(11), O => \axaddr_wrap[11]_i_1__0_n_0\ ); \axaddr_wrap[11]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"41" ) port map ( I0 => \axaddr_wrap[11]_i_4__0_n_0\, I1 => \wrap_cnt_r_reg_n_0_[3]\, I2 => \axlen_cnt_reg_n_0_[3]\, O => \axaddr_wrap[11]_i_2__0_n_0\ ); \axaddr_wrap[11]_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"6FF6FFFFFFFF6FF6" ) port map ( I0 => \wrap_cnt_r_reg_n_0_[0]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[2]\, I3 => \wrap_cnt_r_reg_n_0_[2]\, I4 => \axlen_cnt_reg_n_0_[1]\, I5 => \wrap_cnt_r_reg_n_0_[1]\, O => \axaddr_wrap[11]_i_4__0_n_0\ ); \axaddr_wrap[11]_i_5__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[11]\, O => \axaddr_wrap[11]_i_5__0_n_0\ ); \axaddr_wrap[11]_i_6__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[10]\, O => \axaddr_wrap[11]_i_6__0_n_0\ ); \axaddr_wrap[11]_i_7__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[9]\, O => \axaddr_wrap[11]_i_7__0_n_0\ ); \axaddr_wrap[11]_i_8__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[8]\, O => \axaddr_wrap[11]_i_8__0_n_0\ ); \axaddr_wrap[1]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[1]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_6\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(1), O => \axaddr_wrap[1]_i_1__0_n_0\ ); \axaddr_wrap[2]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[2]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_5\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(2), O => \axaddr_wrap[2]_i_1__0_n_0\ ); \axaddr_wrap[3]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[3]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_4\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(3), O => \axaddr_wrap[3]_i_1__0_n_0\ ); \axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \axaddr_wrap_reg_n_0_[3]\, I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_3_n_0\ ); \axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \axaddr_wrap_reg_n_0_[2]\, I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_4_n_0\ ); \axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \axaddr_wrap_reg_n_0_[1]\, I1 => \m_payload_i_reg[47]\(13), I2 => \m_payload_i_reg[47]\(12), O => \axaddr_wrap[3]_i_5_n_0\ ); \axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"A9" ) port map ( I0 => \axaddr_wrap_reg_n_0_[0]\, I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap[4]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[4]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_7\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(4), O => \axaddr_wrap[4]_i_1__0_n_0\ ); \axaddr_wrap[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[5]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_6\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(5), O => \axaddr_wrap[5]_i_1__0_n_0\ ); \axaddr_wrap[6]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[6]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_5\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(6), O => \axaddr_wrap[6]_i_1__0_n_0\ ); \axaddr_wrap[7]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[7]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_4\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(7), O => \axaddr_wrap[7]_i_1__0_n_0\ ); \axaddr_wrap[7]_i_3__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[7]\, O => \axaddr_wrap[7]_i_3__0_n_0\ ); \axaddr_wrap[7]_i_4__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[6]\, O => \axaddr_wrap[7]_i_4__0_n_0\ ); \axaddr_wrap[7]_i_5__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[5]\, O => \axaddr_wrap[7]_i_5__0_n_0\ ); \axaddr_wrap[7]_i_6__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[4]\, O => \axaddr_wrap[7]_i_6__0_n_0\ ); \axaddr_wrap[8]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[8]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_7\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(8), O => \axaddr_wrap[8]_i_1__0_n_0\ ); \axaddr_wrap[9]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[9]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_6\, I3 => \state_reg[1]_rep\, I4 => \m_payload_i_reg[47]\(9), O => \axaddr_wrap[9]_i_1__0_n_0\ ); \axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[0]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[0]\, R => '0' ); \axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[10]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[10]\, R => '0' ); \axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[11]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[11]\, R => '0' ); \axaddr_wrap_reg[11]_i_3__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[7]_i_2__0_n_0\, CO(3) => \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\(3), CO(2) => \axaddr_wrap_reg[11]_i_3__0_n_1\, CO(1) => \axaddr_wrap_reg[11]_i_3__0_n_2\, CO(0) => \axaddr_wrap_reg[11]_i_3__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_wrap_reg[11]_i_3__0_n_4\, O(2) => \axaddr_wrap_reg[11]_i_3__0_n_5\, O(1) => \axaddr_wrap_reg[11]_i_3__0_n_6\, O(0) => \axaddr_wrap_reg[11]_i_3__0_n_7\, S(3) => \axaddr_wrap[11]_i_5__0_n_0\, S(2) => \axaddr_wrap[11]_i_6__0_n_0\, S(1) => \axaddr_wrap[11]_i_7__0_n_0\, S(0) => \axaddr_wrap[11]_i_8__0_n_0\ ); \axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[1]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[1]\, R => '0' ); \axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[2]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[2]\, R => '0' ); \axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[3]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[3]\, R => '0' ); \axaddr_wrap_reg[3]_i_2__0\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_wrap_reg[3]_i_2__0_n_0\, CO(2) => \axaddr_wrap_reg[3]_i_2__0_n_1\, CO(1) => \axaddr_wrap_reg[3]_i_2__0_n_2\, CO(0) => \axaddr_wrap_reg[3]_i_2__0_n_3\, CYINIT => '0', DI(3) => \axaddr_wrap_reg_n_0_[3]\, DI(2) => \axaddr_wrap_reg_n_0_[2]\, DI(1) => \axaddr_wrap_reg_n_0_[1]\, DI(0) => \axaddr_wrap_reg_n_0_[0]\, O(3) => \axaddr_wrap_reg[3]_i_2__0_n_4\, O(2) => \axaddr_wrap_reg[3]_i_2__0_n_5\, O(1) => \axaddr_wrap_reg[3]_i_2__0_n_6\, O(0) => \axaddr_wrap_reg[3]_i_2__0_n_7\, S(3) => \axaddr_wrap[3]_i_3_n_0\, S(2) => \axaddr_wrap[3]_i_4_n_0\, S(1) => \axaddr_wrap[3]_i_5_n_0\, S(0) => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[4]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[4]\, R => '0' ); \axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[5]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[5]\, R => '0' ); \axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[6]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[6]\, R => '0' ); \axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[7]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[7]\, R => '0' ); \axaddr_wrap_reg[7]_i_2__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[3]_i_2__0_n_0\, CO(3) => \axaddr_wrap_reg[7]_i_2__0_n_0\, CO(2) => \axaddr_wrap_reg[7]_i_2__0_n_1\, CO(1) => \axaddr_wrap_reg[7]_i_2__0_n_2\, CO(0) => \axaddr_wrap_reg[7]_i_2__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_wrap_reg[7]_i_2__0_n_4\, O(2) => \axaddr_wrap_reg[7]_i_2__0_n_5\, O(1) => \axaddr_wrap_reg[7]_i_2__0_n_6\, O(0) => \axaddr_wrap_reg[7]_i_2__0_n_7\, S(3) => \axaddr_wrap[7]_i_3__0_n_0\, S(2) => \axaddr_wrap[7]_i_4__0_n_0\, S(1) => \axaddr_wrap[7]_i_5__0_n_0\, S(0) => \axaddr_wrap[7]_i_6__0_n_0\ ); \axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[8]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[8]\, R => '0' ); \axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[9]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[9]\, R => '0' ); \axlen_cnt[0]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"A3A3A3A3A3A3A3A0" ) port map ( I0 => \m_payload_i_reg[47]\(15), I1 => \axlen_cnt_reg_n_0_[0]\, I2 => E(0), I3 => \axlen_cnt_reg_n_0_[3]\, I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \axlen_cnt_reg_n_0_[1]\, O => \axlen_cnt[0]_i_1__2_n_0\ ); \axlen_cnt[1]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF999800009998" ) port map ( I0 => \axlen_cnt_reg_n_0_[1]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[3]\, I3 => \axlen_cnt_reg_n_0_[2]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(16), O => \axlen_cnt[1]_i_1__2_n_0\ ); \axlen_cnt[2]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFA9A80000A9A8" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[3]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(17), O => \axlen_cnt[2]_i_1__2_n_0\ ); \axlen_cnt[3]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFAAA80000AAA8" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[0]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(18), O => \axlen_cnt[3]_i_1__2_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[0]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[0]\, R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[1]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[1]\, R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[2]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[3]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \m_axi_araddr[0]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[0]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(0), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(0), O => m_axi_araddr(0) ); \m_axi_araddr[10]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[10]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(5), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(10), O => m_axi_araddr(10) ); \m_axi_araddr[11]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[11]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(6), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(11), O => m_axi_araddr(11) ); \m_axi_araddr[1]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[1]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(1), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(1), O => m_axi_araddr(1) ); \m_axi_araddr[2]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[2]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(2), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(2), O => m_axi_araddr(2) ); \m_axi_araddr[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[3]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(3), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(3), O => m_axi_araddr(3) ); \m_axi_araddr[4]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[4]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(0), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(4), O => m_axi_araddr(4) ); \m_axi_araddr[5]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[5]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(1), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(5), O => m_axi_araddr(5) ); \m_axi_araddr[6]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \m_payload_i_reg[47]\(6), I1 => \^sel_first_reg_0\, I2 => \axaddr_wrap_reg_n_0_[6]\, I3 => \m_payload_i_reg[47]\(14), I4 => sel_first_reg_2, O => m_axi_araddr(6) ); \m_axi_araddr[7]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[7]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(2), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(7), O => m_axi_araddr(7) ); \m_axi_araddr[8]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[8]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(3), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(8), O => m_axi_araddr(8) ); \m_axi_araddr[9]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[9]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(4), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(9), O => m_axi_araddr(9) ); \next_pending_r_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"FEAAFEAE" ) port map ( I0 => \m_payload_i_reg[47]_0\, I1 => next_pending_r_reg_n_0, I2 => \state_reg[1]_rep\, I3 => \next_pending_r_i_2__2_n_0\, I4 => E(0), O => wrap_next_pending ); \next_pending_r_i_2__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FBFBFBFBFBFBFB00" ) port map ( I0 => \state_reg[1]\(0), I1 => si_rs_arvalid, I2 => \state_reg[1]\(1), I3 => \axlen_cnt_reg_n_0_[3]\, I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \axlen_cnt_reg_n_0_[1]\, O => \next_pending_r_i_2__2_n_0\ ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => wrap_next_pending, Q => next_pending_r_reg_n_0, R => '0' ); \s_axburst_eq0_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => wrap_next_pending, I1 => \m_payload_i_reg[47]\(14), I2 => sel_first_i, I3 => incr_next_pending, O => s_axburst_eq0_reg ); \s_axburst_eq1_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"ABA8" ) port map ( I0 => wrap_next_pending, I1 => \m_payload_i_reg[47]\(14), I2 => sel_first_i, I3 => incr_next_pending, O => s_axburst_eq1_reg ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^sel_first_reg_0\, R => '0' ); \wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(0), Q => \wrap_boundary_axaddr_r_reg_n_0_[0]\, R => '0' ); \wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(10), Q => \wrap_boundary_axaddr_r_reg_n_0_[10]\, R => '0' ); \wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(11), Q => \wrap_boundary_axaddr_r_reg_n_0_[11]\, R => '0' ); \wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(1), Q => \wrap_boundary_axaddr_r_reg_n_0_[1]\, R => '0' ); \wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(2), Q => \wrap_boundary_axaddr_r_reg_n_0_[2]\, R => '0' ); \wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(3), Q => \wrap_boundary_axaddr_r_reg_n_0_[3]\, R => '0' ); \wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(4), Q => \wrap_boundary_axaddr_r_reg_n_0_[4]\, R => '0' ); \wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(5), Q => \wrap_boundary_axaddr_r_reg_n_0_[5]\, R => '0' ); \wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(6), Q => \wrap_boundary_axaddr_r_reg_n_0_[6]\, R => '0' ); \wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(7), Q => \wrap_boundary_axaddr_r_reg_n_0_[7]\, R => '0' ); \wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(8), Q => \wrap_boundary_axaddr_r_reg_n_0_[8]\, R => '0' ); \wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(9), Q => \wrap_boundary_axaddr_r_reg_n_0_[9]\, R => '0' ); \wrap_cnt_r[1]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"313D020E" ) port map ( I0 => \^wrap_second_len_r_reg[3]_0\(0), I1 => E(0), I2 => \axaddr_offset_r_reg[3]_1\, I3 => \m_payload_i_reg[35]\, I4 => \^wrap_second_len_r_reg[3]_0\(1), O => \wrap_cnt_r[1]_i_1__0_n_0\ ); \wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(0), Q => \wrap_cnt_r_reg_n_0_[0]\, R => '0' ); \wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_cnt_r[1]_i_1__0_n_0\, Q => \wrap_cnt_r_reg_n_0_[1]\, R => '0' ); \wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(1), Q => \wrap_cnt_r_reg_n_0_[2]\, R => '0' ); \wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(2), Q => \wrap_cnt_r_reg_n_0_[3]\, R => '0' ); \wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(0), Q => \^wrap_second_len_r_reg[3]_0\(0), R => '0' ); \wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(1), Q => \^wrap_second_len_r_reg[3]_0\(1), R => '0' ); \wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(2), Q => \^wrap_second_len_r_reg[3]_0\(2), R => '0' ); \wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(3), Q => \^wrap_second_len_r_reg[3]_0\(3), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice is port ( s_axi_arready : out STD_LOGIC; s_ready_i_reg_0 : out STD_LOGIC; m_valid_i_reg_0 : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 47 downto 0 ); \axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \axaddr_offset_r_reg[1]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; \wrap_second_len_r_reg[3]\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; \axaddr_offset_r_reg[3]\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \m_axi_araddr[10]\ : out STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[0]_0\ : in STD_LOGIC; \m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[1]_rep\ : in STD_LOGIC; \axaddr_offset_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC; \state_reg[1]_rep_0\ : in STD_LOGIC; sel_first_0 : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); \axaddr_incr_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice is signal \^q\ : STD_LOGIC_VECTOR ( 47 downto 0 ); signal \axaddr_incr[0]_i_10__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_12__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_13__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_14__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_9__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_10__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_9__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_10__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_9__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_7\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_3\ : STD_LOGIC; signal \axaddr_offset_r[1]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_3__0_n_0\ : STD_LOGIC; signal \^axaddr_offset_r_reg[1]\ : STD_LOGIC; signal \^axlen_cnt_reg[3]\ : STD_LOGIC; signal \m_payload_i[0]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[10]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[11]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[12]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[13]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[14]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[15]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[16]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[17]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[18]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[19]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[1]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[20]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[21]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[22]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[23]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[24]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[25]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[26]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[27]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[28]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[29]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[2]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[30]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[31]_i_2__0_n_0\ : STD_LOGIC; signal \m_payload_i[32]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[33]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[34]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[35]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[36]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[38]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[39]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[3]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[44]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[45]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[46]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[47]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[48]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[49]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[4]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[50]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[51]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[53]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[5]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[6]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[7]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[8]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[9]_i_1__0_n_0\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^s_axi_arready\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal \^s_ready_i_reg_0\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[48]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[49]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ : STD_LOGIC; signal \NLW_axaddr_incr_reg[8]_i_6__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_offset_r[1]_i_3__0\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_2__0\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__0\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__0\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__0\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_2__0\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \m_payload_i[47]_i_1__0\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \m_payload_i[48]_i_1__0\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \m_payload_i[49]_i_1__0\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \m_payload_i[50]_i_1__0\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \m_payload_i[51]_i_1__0\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \m_payload_i[53]_i_1__0\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2__0\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1__0\ : label is "soft_lutpair13"; begin Q(47 downto 0) <= \^q\(47 downto 0); \axaddr_offset_r_reg[1]\ <= \^axaddr_offset_r_reg[1]\; \axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; s_axi_arready <= \^s_axi_arready\; s_ready_i_reg_0 <= \^s_ready_i_reg_0\; \aresetn_d_reg[1]_inv\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \aresetn_d_reg[0]_0\, Q => \^m_valid_i_reg_0\, R => '0' ); \axaddr_incr[0]_i_10__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFE100E1" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => \axaddr_incr_reg[3]_0\(0), I3 => sel_first_0, I4 => \axaddr_incr_reg[0]_i_11__0_n_7\, O => \axaddr_incr[0]_i_10__0_n_0\ ); \axaddr_incr[0]_i_12__0\: unisim.vcomponents.LUT3 generic map( INIT => X"2A" ) port map ( I0 => \^q\(2), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_12__0_n_0\ ); \axaddr_incr[0]_i_13__0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(1), I1 => \^q\(36), O => \axaddr_incr[0]_i_13__0_n_0\ ); \axaddr_incr[0]_i_14__0\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => \^q\(0), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_14__0_n_0\ ); \axaddr_incr[0]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first_0, O => \axaddr_incr[0]_i_3__0_n_0\ ); \axaddr_incr[0]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first_0, O => \axaddr_incr[0]_i_4__0_n_0\ ); \axaddr_incr[0]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => sel_first_0, O => \axaddr_incr[0]_i_5__0_n_0\ ); \axaddr_incr[0]_i_6__0\: unisim.vcomponents.LUT3 generic map( INIT => X"01" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first_0, O => \axaddr_incr[0]_i_6__0_n_0\ ); \axaddr_incr[0]_i_7__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF780078" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => \axaddr_incr_reg[3]_0\(3), I3 => sel_first_0, I4 => \axaddr_incr_reg[0]_i_11__0_n_4\, O => \axaddr_incr[0]_i_7__0_n_0\ ); \axaddr_incr[0]_i_8__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => \axaddr_incr_reg[3]_0\(2), I3 => sel_first_0, I4 => \axaddr_incr_reg[0]_i_11__0_n_5\, O => \axaddr_incr[0]_i_8__0_n_0\ ); \axaddr_incr[0]_i_9__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => \axaddr_incr_reg[3]_0\(1), I3 => sel_first_0, I4 => \axaddr_incr_reg[0]_i_11__0_n_6\, O => \axaddr_incr[0]_i_9__0_n_0\ ); \axaddr_incr[4]_i_10__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(4), O => \axaddr_incr[4]_i_10__0_n_0\ ); \axaddr_incr[4]_i_7__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(7), O => \axaddr_incr[4]_i_7__0_n_0\ ); \axaddr_incr[4]_i_8__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(6), O => \axaddr_incr[4]_i_8__0_n_0\ ); \axaddr_incr[4]_i_9__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(5), O => \axaddr_incr[4]_i_9__0_n_0\ ); \axaddr_incr[8]_i_10__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(8), O => \axaddr_incr[8]_i_10__0_n_0\ ); \axaddr_incr[8]_i_7__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(11), O => \axaddr_incr[8]_i_7__0_n_0\ ); \axaddr_incr[8]_i_8__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(10), O => \axaddr_incr[8]_i_8__0_n_0\ ); \axaddr_incr[8]_i_9__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(9), O => \axaddr_incr[8]_i_9__0_n_0\ ); \axaddr_incr_reg[0]_i_11__0\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_incr_reg[0]_i_11__0_n_0\, CO(2) => \axaddr_incr_reg[0]_i_11__0_n_1\, CO(1) => \axaddr_incr_reg[0]_i_11__0_n_2\, CO(0) => \axaddr_incr_reg[0]_i_11__0_n_3\, CYINIT => '0', DI(3) => \^q\(3), DI(2) => \axaddr_incr[0]_i_12__0_n_0\, DI(1) => \axaddr_incr[0]_i_13__0_n_0\, DI(0) => \axaddr_incr[0]_i_14__0_n_0\, O(3) => \axaddr_incr_reg[0]_i_11__0_n_4\, O(2) => \axaddr_incr_reg[0]_i_11__0_n_5\, O(1) => \axaddr_incr_reg[0]_i_11__0_n_6\, O(0) => \axaddr_incr_reg[0]_i_11__0_n_7\, S(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0) ); \axaddr_incr_reg[0]_i_2__0\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_incr_reg[7]_0\(0), CO(2) => \axaddr_incr_reg[0]_i_2__0_n_1\, CO(1) => \axaddr_incr_reg[0]_i_2__0_n_2\, CO(0) => \axaddr_incr_reg[0]_i_2__0_n_3\, CYINIT => '0', DI(3) => \axaddr_incr[0]_i_3__0_n_0\, DI(2) => \axaddr_incr[0]_i_4__0_n_0\, DI(1) => \axaddr_incr[0]_i_5__0_n_0\, DI(0) => \axaddr_incr[0]_i_6__0_n_0\, O(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), S(3) => \axaddr_incr[0]_i_7__0_n_0\, S(2) => \axaddr_incr[0]_i_8__0_n_0\, S(1) => \axaddr_incr[0]_i_9__0_n_0\, S(0) => \axaddr_incr[0]_i_10__0_n_0\ ); \axaddr_incr_reg[4]_i_6__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[0]_i_11__0_n_0\, CO(3) => \axaddr_incr_reg[4]_i_6__0_n_0\, CO(2) => \axaddr_incr_reg[4]_i_6__0_n_1\, CO(1) => \axaddr_incr_reg[4]_i_6__0_n_2\, CO(0) => \axaddr_incr_reg[4]_i_6__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0), S(3) => \axaddr_incr[4]_i_7__0_n_0\, S(2) => \axaddr_incr[4]_i_8__0_n_0\, S(1) => \axaddr_incr[4]_i_9__0_n_0\, S(0) => \axaddr_incr[4]_i_10__0_n_0\ ); \axaddr_incr_reg[8]_i_6__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_6__0_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_6__0_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_6__0_n_1\, CO(1) => \axaddr_incr_reg[8]_i_6__0_n_2\, CO(0) => \axaddr_incr_reg[8]_i_6__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[11]\(3 downto 0), S(3) => \axaddr_incr[8]_i_7__0_n_0\, S(2) => \axaddr_incr[8]_i_8__0_n_0\, S(1) => \axaddr_incr[8]_i_9__0_n_0\, S(0) => \axaddr_incr[8]_i_10__0_n_0\ ); \axaddr_offset_r[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => \^q\(35), I3 => \^q\(2), I4 => \^q\(36), I5 => \^q\(0), O => \axaddr_offset_r_reg[0]\ ); \axaddr_offset_r[1]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^axaddr_offset_r_reg[1]\, O => \axaddr_offset_r_reg[2]\(0) ); \axaddr_offset_r[1]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"4F7F00004F7FFFFF" ) port map ( I0 => \axaddr_offset_r[2]_i_2__0_n_0\, I1 => \^q\(35), I2 => \^q\(40), I3 => \axaddr_offset_r[1]_i_3__0_n_0\, I4 => \state_reg[1]_rep\, I5 => \axaddr_offset_r_reg[2]_0\(0), O => \^axaddr_offset_r_reg[1]\ ); \axaddr_offset_r[1]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(3), I1 => \^q\(36), I2 => \^q\(1), O => \axaddr_offset_r[1]_i_3__0_n_0\ ); \axaddr_offset_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"C808FFFFC8080000" ) port map ( I0 => \axaddr_offset_r[2]_i_2__0_n_0\, I1 => \^q\(41), I2 => \^q\(35), I3 => \axaddr_offset_r[2]_i_3__0_n_0\, I4 => \state_reg[1]_rep\, I5 => \axaddr_offset_r_reg[2]_0\(1), O => \axaddr_offset_r_reg[2]\(1) ); \axaddr_offset_r[2]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(4), I1 => \^q\(36), I2 => \^q\(2), O => \axaddr_offset_r[2]_i_2__0_n_0\ ); \axaddr_offset_r[2]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(3), O => \axaddr_offset_r[2]_i_3__0_n_0\ ); \axaddr_offset_r[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \^q\(35), I3 => \^q\(5), I4 => \^q\(36), I5 => \^q\(3), O => \axaddr_offset_r_reg[3]\ ); \axlen_cnt[3]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFDF" ) port map ( I0 => \^q\(42), I1 => \state_reg[0]_rep\, I2 => \^s_ready_i_reg_0\, I3 => \state_reg[1]_rep_0\, O => \^axlen_cnt_reg[3]\ ); \m_axi_araddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(37), I1 => sel_first_0, O => \m_axi_araddr[10]\ ); \m_payload_i[0]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[0]\, O => \m_payload_i[0]_i_1__0_n_0\ ); \m_payload_i[10]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(10), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[10]\, O => \m_payload_i[10]_i_1__0_n_0\ ); \m_payload_i[11]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(11), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[11]\, O => \m_payload_i[11]_i_1__0_n_0\ ); \m_payload_i[12]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(12), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[12]\, O => \m_payload_i[12]_i_1__0_n_0\ ); \m_payload_i[13]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(13), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[13]\, O => \m_payload_i[13]_i_1__0_n_0\ ); \m_payload_i[14]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(14), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[14]\, O => \m_payload_i[14]_i_1__0_n_0\ ); \m_payload_i[15]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(15), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[15]\, O => \m_payload_i[15]_i_1__0_n_0\ ); \m_payload_i[16]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(16), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[16]\, O => \m_payload_i[16]_i_1__0_n_0\ ); \m_payload_i[17]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(17), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[17]\, O => \m_payload_i[17]_i_1__0_n_0\ ); \m_payload_i[18]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(18), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[18]\, O => \m_payload_i[18]_i_1__0_n_0\ ); \m_payload_i[19]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(19), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[19]\, O => \m_payload_i[19]_i_1__0_n_0\ ); \m_payload_i[1]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[1]\, O => \m_payload_i[1]_i_1__0_n_0\ ); \m_payload_i[20]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(20), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[20]\, O => \m_payload_i[20]_i_1__0_n_0\ ); \m_payload_i[21]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(21), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[21]\, O => \m_payload_i[21]_i_1__0_n_0\ ); \m_payload_i[22]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(22), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[22]\, O => \m_payload_i[22]_i_1__0_n_0\ ); \m_payload_i[23]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(23), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[23]\, O => \m_payload_i[23]_i_1__0_n_0\ ); \m_payload_i[24]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(24), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[24]\, O => \m_payload_i[24]_i_1__0_n_0\ ); \m_payload_i[25]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(25), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[25]\, O => \m_payload_i[25]_i_1__0_n_0\ ); \m_payload_i[26]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(26), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[26]\, O => \m_payload_i[26]_i_1__0_n_0\ ); \m_payload_i[27]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(27), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[27]\, O => \m_payload_i[27]_i_1__0_n_0\ ); \m_payload_i[28]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(28), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[28]\, O => \m_payload_i[28]_i_1__0_n_0\ ); \m_payload_i[29]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(29), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[29]\, O => \m_payload_i[29]_i_1__0_n_0\ ); \m_payload_i[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[2]\, O => \m_payload_i[2]_i_1__0_n_0\ ); \m_payload_i[30]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(30), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[30]\, O => \m_payload_i[30]_i_1__0_n_0\ ); \m_payload_i[31]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(31), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[31]\, O => \m_payload_i[31]_i_2__0_n_0\ ); \m_payload_i[32]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arprot(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[32]\, O => \m_payload_i[32]_i_1__0_n_0\ ); \m_payload_i[33]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arprot(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[33]\, O => \m_payload_i[33]_i_1__0_n_0\ ); \m_payload_i[34]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arprot(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[34]\, O => \m_payload_i[34]_i_1__0_n_0\ ); \m_payload_i[35]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arsize(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[35]\, O => \m_payload_i[35]_i_1__1_n_0\ ); \m_payload_i[36]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arsize(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[36]\, O => \m_payload_i[36]_i_1__0_n_0\ ); \m_payload_i[38]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arburst(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[38]\, O => \m_payload_i[38]_i_1__0_n_0\ ); \m_payload_i[39]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arburst(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[39]\, O => \m_payload_i[39]_i_1__0_n_0\ ); \m_payload_i[3]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(3), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[3]\, O => \m_payload_i[3]_i_1__0_n_0\ ); \m_payload_i[44]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[44]\, O => \m_payload_i[44]_i_1__0_n_0\ ); \m_payload_i[45]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[45]\, O => \m_payload_i[45]_i_1__0_n_0\ ); \m_payload_i[46]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[46]\, O => \m_payload_i[46]_i_1__0_n_0\ ); \m_payload_i[47]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(3), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[47]\, O => \m_payload_i[47]_i_1__0_n_0\ ); \m_payload_i[48]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(4), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[48]\, O => \m_payload_i[48]_i_1__0_n_0\ ); \m_payload_i[49]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(5), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[49]\, O => \m_payload_i[49]_i_1__0_n_0\ ); \m_payload_i[4]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(4), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[4]\, O => \m_payload_i[4]_i_1__0_n_0\ ); \m_payload_i[50]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(6), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[50]\, O => \m_payload_i[50]_i_1__0_n_0\ ); \m_payload_i[51]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(7), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[51]\, O => \m_payload_i[51]_i_1__0_n_0\ ); \m_payload_i[53]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[53]\, O => \m_payload_i[53]_i_1__0_n_0\ ); \m_payload_i[5]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(5), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[5]\, O => \m_payload_i[5]_i_1__0_n_0\ ); \m_payload_i[6]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(6), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[6]\, O => \m_payload_i[6]_i_1__0_n_0\ ); \m_payload_i[7]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(7), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[7]\, O => \m_payload_i[7]_i_1__0_n_0\ ); \m_payload_i[8]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(8), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[8]\, O => \m_payload_i[8]_i_1__0_n_0\ ); \m_payload_i[9]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(9), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[9]\, O => \m_payload_i[9]_i_1__0_n_0\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[0]_i_1__0_n_0\, Q => \^q\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[10]_i_1__0_n_0\, Q => \^q\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[11]_i_1__0_n_0\, Q => \^q\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[12]_i_1__0_n_0\, Q => \^q\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[13]_i_1__0_n_0\, Q => \^q\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[14]_i_1__0_n_0\, Q => \^q\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[15]_i_1__0_n_0\, Q => \^q\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[16]_i_1__0_n_0\, Q => \^q\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[17]_i_1__0_n_0\, Q => \^q\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[18]_i_1__0_n_0\, Q => \^q\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[19]_i_1__0_n_0\, Q => \^q\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[1]_i_1__0_n_0\, Q => \^q\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[20]_i_1__0_n_0\, Q => \^q\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[21]_i_1__0_n_0\, Q => \^q\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[22]_i_1__0_n_0\, Q => \^q\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[23]_i_1__0_n_0\, Q => \^q\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[24]_i_1__0_n_0\, Q => \^q\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[25]_i_1__0_n_0\, Q => \^q\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[26]_i_1__0_n_0\, Q => \^q\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[27]_i_1__0_n_0\, Q => \^q\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[28]_i_1__0_n_0\, Q => \^q\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[29]_i_1__0_n_0\, Q => \^q\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[2]_i_1__0_n_0\, Q => \^q\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[30]_i_1__0_n_0\, Q => \^q\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[31]_i_2__0_n_0\, Q => \^q\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[32]_i_1__0_n_0\, Q => \^q\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[33]_i_1__0_n_0\, Q => \^q\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[34]_i_1__0_n_0\, Q => \^q\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[35]_i_1__1_n_0\, Q => \^q\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[36]_i_1__0_n_0\, Q => \^q\(36), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[38]_i_1__0_n_0\, Q => \^q\(37), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[39]_i_1__0_n_0\, Q => \^q\(38), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[3]_i_1__0_n_0\, Q => \^q\(3), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[44]_i_1__0_n_0\, Q => \^q\(39), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[45]_i_1__0_n_0\, Q => \^q\(40), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[46]_i_1__0_n_0\, Q => \^q\(41), R => '0' ); \m_payload_i_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[47]_i_1__0_n_0\, Q => \^q\(42), R => '0' ); \m_payload_i_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[48]_i_1__0_n_0\, Q => \^q\(43), R => '0' ); \m_payload_i_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[49]_i_1__0_n_0\, Q => \^q\(44), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[4]_i_1__0_n_0\, Q => \^q\(4), R => '0' ); \m_payload_i_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[50]_i_1__0_n_0\, Q => \^q\(45), R => '0' ); \m_payload_i_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[51]_i_1__0_n_0\, Q => \^q\(46), R => '0' ); \m_payload_i_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[53]_i_1__0_n_0\, Q => \^q\(47), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[5]_i_1__0_n_0\, Q => \^q\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[6]_i_1__0_n_0\, Q => \^q\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[7]_i_1__0_n_0\, Q => \^q\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[8]_i_1__0_n_0\, Q => \^q\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[9]_i_1__0_n_0\, Q => \^q\(9), R => '0' ); \m_valid_i_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"BFFFBBBB" ) port map ( I0 => s_axi_arvalid, I1 => \^s_axi_arready\, I2 => \state_reg[0]_rep\, I3 => \state_reg[1]_rep_0\, I4 => \^s_ready_i_reg_0\, O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^s_ready_i_reg_0\, R => \^m_valid_i_reg_0\ ); \next_pending_r_i_2__1\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => \^q\(43), I1 => \^q\(45), I2 => \^q\(44), I3 => \^q\(46), O => next_pending_r_reg_0 ); \next_pending_r_i_3__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA8" ) port map ( I0 => \state_reg[1]_rep\, I1 => \^q\(42), I2 => \^q\(40), I3 => \^q\(39), I4 => \^q\(41), O => next_pending_r_reg ); \s_ready_i_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"F444FFFF" ) port map ( I0 => s_axi_arvalid, I1 => \^s_axi_arready\, I2 => \state_reg[0]_rep\, I3 => \state_reg[1]_rep_0\, I4 => \^s_ready_i_reg_0\, O => s_ready_i0 ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => s_ready_i0, Q => \^s_axi_arready\, R => \aresetn_d_reg[0]\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arprot(0), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arprot(1), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arprot(2), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arsize(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arsize(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arburst(0), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arburst(1), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(0), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(1), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(2), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(3), Q => \skid_buffer_reg_n_0_[47]\, R => '0' ); \skid_buffer_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(4), Q => \skid_buffer_reg_n_0_[48]\, R => '0' ); \skid_buffer_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(5), Q => \skid_buffer_reg_n_0_[49]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(6), Q => \skid_buffer_reg_n_0_[50]\, R => '0' ); \skid_buffer_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(7), Q => \skid_buffer_reg_n_0_[51]\, R => '0' ); \skid_buffer_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(0), Q => \skid_buffer_reg_n_0_[53]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); \wrap_boundary_axaddr_r[0]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"AA8A" ) port map ( I0 => \^q\(0), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(0) ); \wrap_boundary_axaddr_r[1]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"8A888AAA" ) port map ( I0 => \^q\(1), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), I4 => \^q\(40), O => \wrap_boundary_axaddr_r_reg[6]\(1) ); \wrap_boundary_axaddr_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"8888028AAAAA028A" ) port map ( I0 => \^q\(2), I1 => \^q\(35), I2 => \^q\(41), I3 => \^q\(40), I4 => \^q\(36), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(2) ); \wrap_boundary_axaddr_r[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"020202A2A2A202A2" ) port map ( I0 => \^q\(3), I1 => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\, I2 => \^q\(36), I3 => \^q\(40), I4 => \^q\(35), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(3) ); \wrap_boundary_axaddr_r[3]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(41), I1 => \^q\(35), I2 => \^q\(42), O => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ ); \wrap_boundary_axaddr_r[4]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"002A222A882AAA2A" ) port map ( I0 => \^q\(4), I1 => \^q\(35), I2 => \^q\(42), I3 => \^q\(36), I4 => \^q\(41), I5 => \^q\(40), O => \wrap_boundary_axaddr_r_reg[6]\(4) ); \wrap_boundary_axaddr_r[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"2A222AAA" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(41), I3 => \^q\(35), I4 => \^q\(42), O => \wrap_boundary_axaddr_r_reg[6]\(5) ); \wrap_boundary_axaddr_r[6]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"2AAA" ) port map ( I0 => \^q\(6), I1 => \^q\(36), I2 => \^q\(42), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(6) ); \wrap_second_len_r[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EEE222E2" ) port map ( I0 => \axaddr_offset_r[2]_i_3__0_n_0\, I1 => \^q\(35), I2 => \^q\(4), I3 => \^q\(36), I4 => \^q\(6), I5 => \^axlen_cnt_reg[3]\, O => \wrap_second_len_r_reg[3]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice_0 is port ( s_axi_awready : out STD_LOGIC; s_ready_i_reg_0 : out STD_LOGIC; m_valid_i_reg_0 : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 47 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); CO : out STD_LOGIC_VECTOR ( 0 to 0 ); O : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 1 downto 0 ); \axaddr_offset_r_reg[1]\ : out STD_LOGIC; \wrap_second_len_r_reg[3]\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; \axaddr_offset_r_reg[3]\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \m_axi_awaddr[10]\ : out STD_LOGIC; \aresetn_d_reg[1]_inv\ : out STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[1]_inv_0\ : in STD_LOGIC; aresetn : in STD_LOGIC; S : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[1]\ : in STD_LOGIC; \axaddr_offset_r_reg[2]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awvalid : in STD_LOGIC; b_push : in STD_LOGIC; sel_first : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); axaddr_incr_reg : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice_0 : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice_0; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice_0 is signal C : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 47 downto 0 ); signal \aresetn_d_reg_n_0_[0]\ : STD_LOGIC; signal \axaddr_incr[0]_i_10_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_12_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_13_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_14_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_3_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_4_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_5_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_6_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_7_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_8_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_9_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_10_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_7_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_8_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_9_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_10_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_7_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_8_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_9_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_3\ : STD_LOGIC; signal \axaddr_offset_r[1]_i_3_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_2_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_3_n_0\ : STD_LOGIC; signal \^axaddr_offset_r_reg[1]\ : STD_LOGIC; signal \^axlen_cnt_reg[3]\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^next_pending_r_reg_0\ : STD_LOGIC; signal \^s_axi_awready\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal \^s_ready_i_reg_0\ : STD_LOGIC; signal skid_buffer : STD_LOGIC_VECTOR ( 53 downto 0 ); signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[48]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[49]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r[3]_i_2_n_0\ : STD_LOGIC; signal \NLW_axaddr_incr_reg[8]_i_6_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_offset_r[1]_i_3\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_2\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \m_payload_i[0]_i_1\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair60"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair57"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair54"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair57"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair56"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair56"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair55"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair55"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair54"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair49"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair52"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair52"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair50"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair63"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair50"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_2\ : label is "soft_lutpair49"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair48"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair48"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair46"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair46"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair62"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair45"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair45"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_1\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of \m_payload_i[47]_i_1\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of \m_payload_i[48]_i_1\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \m_payload_i[49]_i_1\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair63"; attribute SOFT_HLUTNM of \m_payload_i[50]_i_1\ : label is "soft_lutpair42"; attribute SOFT_HLUTNM of \m_payload_i[51]_i_1\ : label is "soft_lutpair42"; attribute SOFT_HLUTNM of \m_payload_i[53]_i_1\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair62"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair60"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1\ : label is "soft_lutpair39"; begin Q(47 downto 0) <= \^q\(47 downto 0); \axaddr_offset_r_reg[1]\ <= \^axaddr_offset_r_reg[1]\; \axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; next_pending_r_reg_0 <= \^next_pending_r_reg_0\; s_axi_awready <= \^s_axi_awready\; s_ready_i_reg_0 <= \^s_ready_i_reg_0\; \aresetn_d[1]_inv_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"7" ) port map ( I0 => \aresetn_d_reg_n_0_[0]\, I1 => aresetn, O => \aresetn_d_reg[1]_inv\ ); \aresetn_d_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => aresetn, Q => \aresetn_d_reg_n_0_[0]\, R => '0' ); \axaddr_incr[0]_i_10\: unisim.vcomponents.LUT5 generic map( INIT => X"FFE100E1" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => axaddr_incr_reg(0), I3 => sel_first, I4 => C(0), O => \axaddr_incr[0]_i_10_n_0\ ); \axaddr_incr[0]_i_12\: unisim.vcomponents.LUT3 generic map( INIT => X"2A" ) port map ( I0 => \^q\(2), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_12_n_0\ ); \axaddr_incr[0]_i_13\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(1), I1 => \^q\(36), O => \axaddr_incr[0]_i_13_n_0\ ); \axaddr_incr[0]_i_14\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => \^q\(0), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_14_n_0\ ); \axaddr_incr[0]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first, O => \axaddr_incr[0]_i_3_n_0\ ); \axaddr_incr[0]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first, O => \axaddr_incr[0]_i_4_n_0\ ); \axaddr_incr[0]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => sel_first, O => \axaddr_incr[0]_i_5_n_0\ ); \axaddr_incr[0]_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"01" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first, O => \axaddr_incr[0]_i_6_n_0\ ); \axaddr_incr[0]_i_7\: unisim.vcomponents.LUT5 generic map( INIT => X"FF780078" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => axaddr_incr_reg(3), I3 => sel_first, I4 => C(3), O => \axaddr_incr[0]_i_7_n_0\ ); \axaddr_incr[0]_i_8\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => axaddr_incr_reg(2), I3 => sel_first, I4 => C(2), O => \axaddr_incr[0]_i_8_n_0\ ); \axaddr_incr[0]_i_9\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => axaddr_incr_reg(1), I3 => sel_first, I4 => C(1), O => \axaddr_incr[0]_i_9_n_0\ ); \axaddr_incr[4]_i_10\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(4), O => \axaddr_incr[4]_i_10_n_0\ ); \axaddr_incr[4]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(7), O => \axaddr_incr[4]_i_7_n_0\ ); \axaddr_incr[4]_i_8\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(6), O => \axaddr_incr[4]_i_8_n_0\ ); \axaddr_incr[4]_i_9\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(5), O => \axaddr_incr[4]_i_9_n_0\ ); \axaddr_incr[8]_i_10\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(8), O => \axaddr_incr[8]_i_10_n_0\ ); \axaddr_incr[8]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(11), O => \axaddr_incr[8]_i_7_n_0\ ); \axaddr_incr[8]_i_8\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(10), O => \axaddr_incr[8]_i_8_n_0\ ); \axaddr_incr[8]_i_9\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(9), O => \axaddr_incr[8]_i_9_n_0\ ); \axaddr_incr_reg[0]_i_11\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_incr_reg[0]_i_11_n_0\, CO(2) => \axaddr_incr_reg[0]_i_11_n_1\, CO(1) => \axaddr_incr_reg[0]_i_11_n_2\, CO(0) => \axaddr_incr_reg[0]_i_11_n_3\, CYINIT => '0', DI(3) => \^q\(3), DI(2) => \axaddr_incr[0]_i_12_n_0\, DI(1) => \axaddr_incr[0]_i_13_n_0\, DI(0) => \axaddr_incr[0]_i_14_n_0\, O(3 downto 0) => C(3 downto 0), S(3 downto 0) => S(3 downto 0) ); \axaddr_incr_reg[0]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => CO(0), CO(2) => \axaddr_incr_reg[0]_i_2_n_1\, CO(1) => \axaddr_incr_reg[0]_i_2_n_2\, CO(0) => \axaddr_incr_reg[0]_i_2_n_3\, CYINIT => '0', DI(3) => \axaddr_incr[0]_i_3_n_0\, DI(2) => \axaddr_incr[0]_i_4_n_0\, DI(1) => \axaddr_incr[0]_i_5_n_0\, DI(0) => \axaddr_incr[0]_i_6_n_0\, O(3 downto 0) => O(3 downto 0), S(3) => \axaddr_incr[0]_i_7_n_0\, S(2) => \axaddr_incr[0]_i_8_n_0\, S(1) => \axaddr_incr[0]_i_9_n_0\, S(0) => \axaddr_incr[0]_i_10_n_0\ ); \axaddr_incr_reg[4]_i_6\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[0]_i_11_n_0\, CO(3) => \axaddr_incr_reg[4]_i_6_n_0\, CO(2) => \axaddr_incr_reg[4]_i_6_n_1\, CO(1) => \axaddr_incr_reg[4]_i_6_n_2\, CO(0) => \axaddr_incr_reg[4]_i_6_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[11]\(3 downto 0), S(3) => \axaddr_incr[4]_i_7_n_0\, S(2) => \axaddr_incr[4]_i_8_n_0\, S(1) => \axaddr_incr[4]_i_9_n_0\, S(0) => \axaddr_incr[4]_i_10_n_0\ ); \axaddr_incr_reg[8]_i_6\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_6_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_6_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_6_n_1\, CO(1) => \axaddr_incr_reg[8]_i_6_n_2\, CO(0) => \axaddr_incr_reg[8]_i_6_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[11]\(7 downto 4), S(3) => \axaddr_incr[8]_i_7_n_0\, S(2) => \axaddr_incr[8]_i_8_n_0\, S(1) => \axaddr_incr[8]_i_9_n_0\, S(0) => \axaddr_incr[8]_i_10_n_0\ ); \axaddr_offset_r[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => \^q\(35), I3 => \^q\(2), I4 => \^q\(36), I5 => \^q\(0), O => \axaddr_offset_r_reg[0]\ ); \axaddr_offset_r[1]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^axaddr_offset_r_reg[1]\, O => D(0) ); \axaddr_offset_r[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"4F7F00004F7FFFFF" ) port map ( I0 => \axaddr_offset_r[2]_i_2_n_0\, I1 => \^q\(35), I2 => \^q\(40), I3 => \axaddr_offset_r[1]_i_3_n_0\, I4 => \state_reg[1]\, I5 => \axaddr_offset_r_reg[2]\(0), O => \^axaddr_offset_r_reg[1]\ ); \axaddr_offset_r[1]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(3), I1 => \^q\(36), I2 => \^q\(1), O => \axaddr_offset_r[1]_i_3_n_0\ ); \axaddr_offset_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"C808FFFFC8080000" ) port map ( I0 => \axaddr_offset_r[2]_i_2_n_0\, I1 => \^q\(41), I2 => \^q\(35), I3 => \axaddr_offset_r[2]_i_3_n_0\, I4 => \state_reg[1]\, I5 => \axaddr_offset_r_reg[2]\(1), O => D(1) ); \axaddr_offset_r[2]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(4), I1 => \^q\(36), I2 => \^q\(2), O => \axaddr_offset_r[2]_i_2_n_0\ ); \axaddr_offset_r[2]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(3), O => \axaddr_offset_r[2]_i_3_n_0\ ); \axaddr_offset_r[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \^q\(35), I3 => \^q\(5), I4 => \^q\(36), I5 => \^q\(3), O => \axaddr_offset_r_reg[3]\ ); \axlen_cnt[3]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FFDF" ) port map ( I0 => \^q\(42), I1 => \state_reg[1]_0\(0), I2 => \^m_valid_i_reg_0\, I3 => \state_reg[1]_0\(1), O => \^axlen_cnt_reg[3]\ ); \m_axi_awaddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(37), I1 => sel_first, O => \m_axi_awaddr[10]\ ); \m_payload_i[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[0]\, O => skid_buffer(0) ); \m_payload_i[10]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(10), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[10]\, O => skid_buffer(10) ); \m_payload_i[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(11), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[11]\, O => skid_buffer(11) ); \m_payload_i[12]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(12), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[12]\, O => skid_buffer(12) ); \m_payload_i[13]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(13), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[13]\, O => skid_buffer(13) ); \m_payload_i[14]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(14), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[14]\, O => skid_buffer(14) ); \m_payload_i[15]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(15), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[15]\, O => skid_buffer(15) ); \m_payload_i[16]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(16), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[16]\, O => skid_buffer(16) ); \m_payload_i[17]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(17), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[17]\, O => skid_buffer(17) ); \m_payload_i[18]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(18), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[18]\, O => skid_buffer(18) ); \m_payload_i[19]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(19), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[19]\, O => skid_buffer(19) ); \m_payload_i[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[1]\, O => skid_buffer(1) ); \m_payload_i[20]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(20), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[20]\, O => skid_buffer(20) ); \m_payload_i[21]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(21), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[21]\, O => skid_buffer(21) ); \m_payload_i[22]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(22), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[22]\, O => skid_buffer(22) ); \m_payload_i[23]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(23), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[23]\, O => skid_buffer(23) ); \m_payload_i[24]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(24), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[24]\, O => skid_buffer(24) ); \m_payload_i[25]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(25), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[25]\, O => skid_buffer(25) ); \m_payload_i[26]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(26), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[26]\, O => skid_buffer(26) ); \m_payload_i[27]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(27), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[27]\, O => skid_buffer(27) ); \m_payload_i[28]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(28), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[28]\, O => skid_buffer(28) ); \m_payload_i[29]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(29), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[29]\, O => skid_buffer(29) ); \m_payload_i[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[2]\, O => skid_buffer(2) ); \m_payload_i[30]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(30), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[30]\, O => skid_buffer(30) ); \m_payload_i[31]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(31), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[31]\, O => skid_buffer(31) ); \m_payload_i[32]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awprot(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[32]\, O => skid_buffer(32) ); \m_payload_i[33]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awprot(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[33]\, O => skid_buffer(33) ); \m_payload_i[34]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awprot(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[34]\, O => skid_buffer(34) ); \m_payload_i[35]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awsize(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[35]\, O => skid_buffer(35) ); \m_payload_i[36]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awsize(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[36]\, O => skid_buffer(36) ); \m_payload_i[38]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awburst(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[38]\, O => skid_buffer(38) ); \m_payload_i[39]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awburst(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[39]\, O => skid_buffer(39) ); \m_payload_i[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(3), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[3]\, O => skid_buffer(3) ); \m_payload_i[44]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[44]\, O => skid_buffer(44) ); \m_payload_i[45]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[45]\, O => skid_buffer(45) ); \m_payload_i[46]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[46]\, O => skid_buffer(46) ); \m_payload_i[47]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(3), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[47]\, O => skid_buffer(47) ); \m_payload_i[48]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(4), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[48]\, O => skid_buffer(48) ); \m_payload_i[49]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(5), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[49]\, O => skid_buffer(49) ); \m_payload_i[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(4), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[4]\, O => skid_buffer(4) ); \m_payload_i[50]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(6), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[50]\, O => skid_buffer(50) ); \m_payload_i[51]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(7), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[51]\, O => skid_buffer(51) ); \m_payload_i[53]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[53]\, O => skid_buffer(53) ); \m_payload_i[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(5), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[5]\, O => skid_buffer(5) ); \m_payload_i[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(6), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[6]\, O => skid_buffer(6) ); \m_payload_i[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(7), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[7]\, O => skid_buffer(7) ); \m_payload_i[8]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(8), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[8]\, O => skid_buffer(8) ); \m_payload_i[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(9), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[9]\, O => skid_buffer(9) ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(0), Q => \^q\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(10), Q => \^q\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(11), Q => \^q\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(12), Q => \^q\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(13), Q => \^q\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(14), Q => \^q\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(15), Q => \^q\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(16), Q => \^q\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(17), Q => \^q\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(18), Q => \^q\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(19), Q => \^q\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(1), Q => \^q\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(20), Q => \^q\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(21), Q => \^q\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(22), Q => \^q\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(23), Q => \^q\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(24), Q => \^q\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(25), Q => \^q\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(26), Q => \^q\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(27), Q => \^q\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(28), Q => \^q\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(29), Q => \^q\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(2), Q => \^q\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(30), Q => \^q\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(31), Q => \^q\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(32), Q => \^q\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(33), Q => \^q\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(34), Q => \^q\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(35), Q => \^q\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(36), Q => \^q\(36), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(38), Q => \^q\(37), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(39), Q => \^q\(38), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(3), Q => \^q\(3), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(44), Q => \^q\(39), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(45), Q => \^q\(40), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(46), Q => \^q\(41), R => '0' ); \m_payload_i_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(47), Q => \^q\(42), R => '0' ); \m_payload_i_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(48), Q => \^q\(43), R => '0' ); \m_payload_i_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(49), Q => \^q\(44), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(4), Q => \^q\(4), R => '0' ); \m_payload_i_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(50), Q => \^q\(45), R => '0' ); \m_payload_i_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(51), Q => \^q\(46), R => '0' ); \m_payload_i_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(53), Q => \^q\(47), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(5), Q => \^q\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(6), Q => \^q\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(7), Q => \^q\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(8), Q => \^q\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(9), Q => \^q\(9), R => '0' ); m_valid_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"F4FF" ) port map ( I0 => b_push, I1 => \^m_valid_i_reg_0\, I2 => s_axi_awvalid, I3 => \^s_axi_awready\, O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^m_valid_i_reg_0\, R => \aresetn_d_reg[1]_inv_0\ ); next_pending_r_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \^next_pending_r_reg_0\, I1 => \^q\(43), I2 => \^q\(44), I3 => \^q\(46), I4 => \^q\(45), O => next_pending_r_reg ); \next_pending_r_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \^q\(41), I1 => \^q\(39), I2 => \^q\(40), I3 => \^q\(42), O => \^next_pending_r_reg_0\ ); \s_ready_i_i_1__1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \aresetn_d_reg_n_0_[0]\, O => \^s_ready_i_reg_0\ ); s_ready_i_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"F4FF" ) port map ( I0 => s_axi_awvalid, I1 => \^s_axi_awready\, I2 => b_push, I3 => \^m_valid_i_reg_0\, O => s_ready_i0 ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => s_ready_i0, Q => \^s_axi_awready\, R => \^s_ready_i_reg_0\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awprot(0), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awprot(1), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awprot(2), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awsize(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awsize(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awburst(0), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awburst(1), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(0), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(1), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(2), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(3), Q => \skid_buffer_reg_n_0_[47]\, R => '0' ); \skid_buffer_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(4), Q => \skid_buffer_reg_n_0_[48]\, R => '0' ); \skid_buffer_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(5), Q => \skid_buffer_reg_n_0_[49]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(6), Q => \skid_buffer_reg_n_0_[50]\, R => '0' ); \skid_buffer_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(7), Q => \skid_buffer_reg_n_0_[51]\, R => '0' ); \skid_buffer_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(0), Q => \skid_buffer_reg_n_0_[53]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); \wrap_boundary_axaddr_r[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"AA8A" ) port map ( I0 => \^q\(0), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(0) ); \wrap_boundary_axaddr_r[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"8A888AAA" ) port map ( I0 => \^q\(1), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), I4 => \^q\(40), O => \wrap_boundary_axaddr_r_reg[6]\(1) ); \wrap_boundary_axaddr_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"8888028AAAAA028A" ) port map ( I0 => \^q\(2), I1 => \^q\(35), I2 => \^q\(41), I3 => \^q\(40), I4 => \^q\(36), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(2) ); \wrap_boundary_axaddr_r[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"020202A2A2A202A2" ) port map ( I0 => \^q\(3), I1 => \wrap_boundary_axaddr_r[3]_i_2_n_0\, I2 => \^q\(36), I3 => \^q\(40), I4 => \^q\(35), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(3) ); \wrap_boundary_axaddr_r[3]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(41), I1 => \^q\(35), I2 => \^q\(42), O => \wrap_boundary_axaddr_r[3]_i_2_n_0\ ); \wrap_boundary_axaddr_r[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"002A222A882AAA2A" ) port map ( I0 => \^q\(4), I1 => \^q\(35), I2 => \^q\(42), I3 => \^q\(36), I4 => \^q\(41), I5 => \^q\(40), O => \wrap_boundary_axaddr_r_reg[6]\(4) ); \wrap_boundary_axaddr_r[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"2A222AAA" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(41), I3 => \^q\(35), I4 => \^q\(42), O => \wrap_boundary_axaddr_r_reg[6]\(5) ); \wrap_boundary_axaddr_r[6]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"2AAA" ) port map ( I0 => \^q\(6), I1 => \^q\(36), I2 => \^q\(42), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(6) ); \wrap_second_len_r[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EEE222E2" ) port map ( I0 => \axaddr_offset_r[2]_i_3_n_0\, I1 => \^q\(35), I2 => \^q\(4), I3 => \^q\(36), I4 => \^q\(6), I5 => \^axlen_cnt_reg[3]\, O => \wrap_second_len_r_reg[3]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ is port ( s_axi_bvalid : out STD_LOGIC; m_valid_i_reg_0 : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); \aresetn_d_reg[1]_inv\ : in STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); si_rs_bvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\; architecture STRUCTURE of \zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ is signal \m_payload_i[0]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[1]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[2]_i_1_n_0\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^s_axi_bid\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^s_axi_bresp\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_bvalid\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal skid_buffer : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \skid_buffer[1]_i_1\ : label is "soft_lutpair65"; attribute SOFT_HLUTNM of \skid_buffer[2]_i_1\ : label is "soft_lutpair65"; begin m_valid_i_reg_0 <= \^m_valid_i_reg_0\; s_axi_bid(0) <= \^s_axi_bid\(0); s_axi_bresp(1 downto 0) <= \^s_axi_bresp\(1 downto 0); s_axi_bvalid <= \^s_axi_bvalid\; \m_payload_i[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"B8FFB8B8B800B8B8" ) port map ( I0 => \s_bresp_acc_reg[1]\(0), I1 => \^m_valid_i_reg_0\, I2 => \skid_buffer_reg_n_0_[0]\, I3 => s_axi_bready, I4 => \^s_axi_bvalid\, I5 => \^s_axi_bresp\(0), O => \m_payload_i[0]_i_1_n_0\ ); \m_payload_i[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"B8FFB8B8B800B8B8" ) port map ( I0 => \s_bresp_acc_reg[1]\(1), I1 => \^m_valid_i_reg_0\, I2 => \skid_buffer_reg_n_0_[1]\, I3 => s_axi_bready, I4 => \^s_axi_bvalid\, I5 => \^s_axi_bresp\(1), O => \m_payload_i[1]_i_1_n_0\ ); \m_payload_i[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"B8FFB8B8B800B8B8" ) port map ( I0 => \out\(0), I1 => \^m_valid_i_reg_0\, I2 => \skid_buffer_reg_n_0_[2]\, I3 => s_axi_bready, I4 => \^s_axi_bvalid\, I5 => \^s_axi_bid\(0), O => \m_payload_i[2]_i_1_n_0\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i[0]_i_1_n_0\, Q => \^s_axi_bresp\(0), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i[1]_i_1_n_0\, Q => \^s_axi_bresp\(1), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i[2]_i_1_n_0\, Q => \^s_axi_bid\(0), R => '0' ); \m_valid_i_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"F4FF" ) port map ( I0 => s_axi_bready, I1 => \^s_axi_bvalid\, I2 => si_rs_bvalid, I3 => \^m_valid_i_reg_0\, O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^s_axi_bvalid\, R => \aresetn_d_reg[1]_inv\ ); s_ready_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"F4FF" ) port map ( I0 => si_rs_bvalid, I1 => \^m_valid_i_reg_0\, I2 => s_axi_bready, I3 => \^s_axi_bvalid\, O => s_ready_i0 ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => s_ready_i0, Q => \^m_valid_i_reg_0\, R => \aresetn_d_reg[0]\ ); \skid_buffer[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \s_bresp_acc_reg[1]\(0), I1 => \^m_valid_i_reg_0\, I2 => \skid_buffer_reg_n_0_[0]\, O => skid_buffer(0) ); \skid_buffer[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \s_bresp_acc_reg[1]\(1), I1 => \^m_valid_i_reg_0\, I2 => \skid_buffer_reg_n_0_[1]\, O => skid_buffer(1) ); \skid_buffer[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(0), I1 => \^m_valid_i_reg_0\, I2 => \skid_buffer_reg_n_0_[2]\, O => skid_buffer(2) ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => skid_buffer(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => skid_buffer(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => skid_buffer(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ is port ( s_axi_rvalid : out STD_LOGIC; \skid_buffer_reg[0]_0\ : out STD_LOGIC; \cnt_read_reg[0]\ : out STD_LOGIC; UNCONN_OUT : out STD_LOGIC_VECTOR ( 35 downto 0 ); \aresetn_d_reg[1]_inv\ : in STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; \cnt_read_reg[4]\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC; r_push_r_reg : in STD_LOGIC_VECTOR ( 1 downto 0 ); \cnt_read_reg[4]_0\ : in STD_LOGIC_VECTOR ( 33 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\; architecture STRUCTURE of \zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ is signal \m_payload_i[0]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[10]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[11]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[12]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[13]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[14]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[15]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[16]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[17]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[18]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[19]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[1]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[20]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[21]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[22]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[23]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[24]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[25]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[26]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[27]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[28]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[29]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[2]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[30]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[31]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[32]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[33]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[34]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[35]_i_2_n_0\ : STD_LOGIC; signal \m_payload_i[3]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[4]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[5]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[6]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[7]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[8]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[9]_i_1__1_n_0\ : STD_LOGIC; signal \m_valid_i_i_1__2_n_0\ : STD_LOGIC; signal p_1_in : STD_LOGIC; signal \^s_axi_rvalid\ : STD_LOGIC; signal \s_ready_i_i_1__2_n_0\ : STD_LOGIC; signal \^skid_buffer_reg[0]_0\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[3]_i_2\ : label is "soft_lutpair66"; attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__1\ : label is "soft_lutpair84"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair79"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair79"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair78"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__1\ : label is "soft_lutpair78"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair77"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair77"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair76"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair76"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair75"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair75"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair84"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair74"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair74"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair73"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair73"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair72"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair72"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair71"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair71"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair70"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair70"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair83"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair69"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair69"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair68"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair68"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair67"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_2\ : label is "soft_lutpair67"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair83"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair82"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair82"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair81"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair81"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair80"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair80"; attribute SOFT_HLUTNM of \m_valid_i_i_1__2\ : label is "soft_lutpair66"; begin s_axi_rvalid <= \^s_axi_rvalid\; \skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\; \cnt_read[3]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^skid_buffer_reg[0]_0\, I1 => \cnt_read_reg[4]\, O => \cnt_read_reg[0]\ ); \m_payload_i[0]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(0), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[0]\, O => \m_payload_i[0]_i_1__1_n_0\ ); \m_payload_i[10]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(10), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[10]\, O => \m_payload_i[10]_i_1__1_n_0\ ); \m_payload_i[11]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(11), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[11]\, O => \m_payload_i[11]_i_1__1_n_0\ ); \m_payload_i[12]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(12), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[12]\, O => \m_payload_i[12]_i_1__1_n_0\ ); \m_payload_i[13]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(13), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[13]\, O => \m_payload_i[13]_i_1__1_n_0\ ); \m_payload_i[14]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(14), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[14]\, O => \m_payload_i[14]_i_1__1_n_0\ ); \m_payload_i[15]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(15), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[15]\, O => \m_payload_i[15]_i_1__1_n_0\ ); \m_payload_i[16]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(16), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[16]\, O => \m_payload_i[16]_i_1__1_n_0\ ); \m_payload_i[17]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(17), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[17]\, O => \m_payload_i[17]_i_1__1_n_0\ ); \m_payload_i[18]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(18), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[18]\, O => \m_payload_i[18]_i_1__1_n_0\ ); \m_payload_i[19]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(19), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[19]\, O => \m_payload_i[19]_i_1__1_n_0\ ); \m_payload_i[1]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(1), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[1]\, O => \m_payload_i[1]_i_1__1_n_0\ ); \m_payload_i[20]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(20), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[20]\, O => \m_payload_i[20]_i_1__1_n_0\ ); \m_payload_i[21]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(21), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[21]\, O => \m_payload_i[21]_i_1__1_n_0\ ); \m_payload_i[22]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(22), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[22]\, O => \m_payload_i[22]_i_1__1_n_0\ ); \m_payload_i[23]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(23), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[23]\, O => \m_payload_i[23]_i_1__1_n_0\ ); \m_payload_i[24]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(24), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[24]\, O => \m_payload_i[24]_i_1__1_n_0\ ); \m_payload_i[25]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(25), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[25]\, O => \m_payload_i[25]_i_1__1_n_0\ ); \m_payload_i[26]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(26), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[26]\, O => \m_payload_i[26]_i_1__1_n_0\ ); \m_payload_i[27]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(27), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[27]\, O => \m_payload_i[27]_i_1__1_n_0\ ); \m_payload_i[28]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(28), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[28]\, O => \m_payload_i[28]_i_1__1_n_0\ ); \m_payload_i[29]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(29), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[29]\, O => \m_payload_i[29]_i_1__1_n_0\ ); \m_payload_i[2]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(2), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[2]\, O => \m_payload_i[2]_i_1__1_n_0\ ); \m_payload_i[30]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(30), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[30]\, O => \m_payload_i[30]_i_1__1_n_0\ ); \m_payload_i[31]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(31), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[31]\, O => \m_payload_i[31]_i_1__1_n_0\ ); \m_payload_i[32]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(32), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[32]\, O => \m_payload_i[32]_i_1__1_n_0\ ); \m_payload_i[33]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(33), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[33]\, O => \m_payload_i[33]_i_1__1_n_0\ ); \m_payload_i[34]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(0), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[34]\, O => \m_payload_i[34]_i_1__1_n_0\ ); \m_payload_i[35]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => s_axi_rready, I1 => \^s_axi_rvalid\, O => p_1_in ); \m_payload_i[35]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(1), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[35]\, O => \m_payload_i[35]_i_2_n_0\ ); \m_payload_i[3]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(3), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[3]\, O => \m_payload_i[3]_i_1__1_n_0\ ); \m_payload_i[4]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(4), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[4]\, O => \m_payload_i[4]_i_1__1_n_0\ ); \m_payload_i[5]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(5), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[5]\, O => \m_payload_i[5]_i_1__1_n_0\ ); \m_payload_i[6]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(6), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[6]\, O => \m_payload_i[6]_i_1__1_n_0\ ); \m_payload_i[7]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(7), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[7]\, O => \m_payload_i[7]_i_1__1_n_0\ ); \m_payload_i[8]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(8), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[8]\, O => \m_payload_i[8]_i_1__1_n_0\ ); \m_payload_i[9]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]_0\(9), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[9]\, O => \m_payload_i[9]_i_1__1_n_0\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[0]_i_1__1_n_0\, Q => UNCONN_OUT(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[10]_i_1__1_n_0\, Q => UNCONN_OUT(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[11]_i_1__1_n_0\, Q => UNCONN_OUT(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[12]_i_1__1_n_0\, Q => UNCONN_OUT(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[13]_i_1__1_n_0\, Q => UNCONN_OUT(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[14]_i_1__1_n_0\, Q => UNCONN_OUT(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[15]_i_1__1_n_0\, Q => UNCONN_OUT(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[16]_i_1__1_n_0\, Q => UNCONN_OUT(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[17]_i_1__1_n_0\, Q => UNCONN_OUT(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[18]_i_1__1_n_0\, Q => UNCONN_OUT(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[19]_i_1__1_n_0\, Q => UNCONN_OUT(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[1]_i_1__1_n_0\, Q => UNCONN_OUT(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[20]_i_1__1_n_0\, Q => UNCONN_OUT(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[21]_i_1__1_n_0\, Q => UNCONN_OUT(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[22]_i_1__1_n_0\, Q => UNCONN_OUT(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[23]_i_1__1_n_0\, Q => UNCONN_OUT(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[24]_i_1__1_n_0\, Q => UNCONN_OUT(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[25]_i_1__1_n_0\, Q => UNCONN_OUT(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[26]_i_1__1_n_0\, Q => UNCONN_OUT(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[27]_i_1__1_n_0\, Q => UNCONN_OUT(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[28]_i_1__1_n_0\, Q => UNCONN_OUT(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[29]_i_1__1_n_0\, Q => UNCONN_OUT(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[2]_i_1__1_n_0\, Q => UNCONN_OUT(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[30]_i_1__1_n_0\, Q => UNCONN_OUT(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[31]_i_1__1_n_0\, Q => UNCONN_OUT(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[32]_i_1__1_n_0\, Q => UNCONN_OUT(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[33]_i_1__1_n_0\, Q => UNCONN_OUT(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[34]_i_1__1_n_0\, Q => UNCONN_OUT(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[35]_i_2_n_0\, Q => UNCONN_OUT(35), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[3]_i_1__1_n_0\, Q => UNCONN_OUT(3), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[4]_i_1__1_n_0\, Q => UNCONN_OUT(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[5]_i_1__1_n_0\, Q => UNCONN_OUT(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[6]_i_1__1_n_0\, Q => UNCONN_OUT(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[7]_i_1__1_n_0\, Q => UNCONN_OUT(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[8]_i_1__1_n_0\, Q => UNCONN_OUT(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[9]_i_1__1_n_0\, Q => UNCONN_OUT(9), R => '0' ); \m_valid_i_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"4FFF" ) port map ( I0 => s_axi_rready, I1 => \^s_axi_rvalid\, I2 => \cnt_read_reg[4]\, I3 => \^skid_buffer_reg[0]_0\, O => \m_valid_i_i_1__2_n_0\ ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_valid_i_i_1__2_n_0\, Q => \^s_axi_rvalid\, R => \aresetn_d_reg[1]_inv\ ); \s_ready_i_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"F8FF" ) port map ( I0 => \cnt_read_reg[4]\, I1 => \^skid_buffer_reg[0]_0\, I2 => s_axi_rready, I3 => \^s_axi_rvalid\, O => \s_ready_i_i_1__2_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__2_n_0\, Q => \^skid_buffer_reg[0]_0\, R => \aresetn_d_reg[0]\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(32), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(33), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(0), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(1), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]_0\(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_b_channel is port ( si_rs_bvalid : out STD_LOGIC; \cnt_read_reg[0]_rep\ : out STD_LOGIC; \cnt_read_reg[1]_rep__0\ : out STD_LOGIC; m_axi_bready : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); areset_d1 : in STD_LOGIC; aclk : in STD_LOGIC; b_push : in STD_LOGIC; si_rs_bready : in STD_LOGIC; m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 8 downto 0 ) ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_b_channel; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_b_channel is signal bid_fifo_0_n_4 : STD_LOGIC; signal bid_fifo_0_n_5 : STD_LOGIC; signal \bresp_cnt[7]_i_3_n_0\ : STD_LOGIC; signal \bresp_cnt_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal bresp_push : STD_LOGIC; signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 ); signal mhandshake : STD_LOGIC; signal mhandshake_r : STD_LOGIC; signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 ); signal s_bresp_acc : STD_LOGIC; signal s_bresp_acc0 : STD_LOGIC; signal \s_bresp_acc[0]_i_1_n_0\ : STD_LOGIC; signal \s_bresp_acc[1]_i_1_n_0\ : STD_LOGIC; signal \s_bresp_acc_reg_n_0_[0]\ : STD_LOGIC; signal \s_bresp_acc_reg_n_0_[1]\ : STD_LOGIC; signal shandshake : STD_LOGIC; signal shandshake_r : STD_LOGIC; signal \^si_rs_bvalid\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \bresp_cnt[1]_i_1\ : label is "soft_lutpair96"; attribute SOFT_HLUTNM of \bresp_cnt[2]_i_1\ : label is "soft_lutpair96"; attribute SOFT_HLUTNM of \bresp_cnt[3]_i_1\ : label is "soft_lutpair94"; attribute SOFT_HLUTNM of \bresp_cnt[4]_i_1\ : label is "soft_lutpair94"; attribute SOFT_HLUTNM of \bresp_cnt[6]_i_1\ : label is "soft_lutpair95"; attribute SOFT_HLUTNM of \bresp_cnt[7]_i_2\ : label is "soft_lutpair95"; begin si_rs_bvalid <= \^si_rs_bvalid\; bid_fifo_0: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo port map ( D(0) => bid_fifo_0_n_4, Q(1 downto 0) => cnt_read(1 downto 0), SR(0) => s_bresp_acc0, aclk => aclk, areset_d1 => areset_d1, b_push => b_push, \bresp_cnt_reg[7]\(7 downto 0) => \bresp_cnt_reg__0\(7 downto 0), bresp_push => bresp_push, bvalid_i_reg => bid_fifo_0_n_5, bvalid_i_reg_0 => \^si_rs_bvalid\, \cnt_read_reg[0]_rep_0\ => \cnt_read_reg[0]_rep\, \cnt_read_reg[1]_rep__0_0\ => \cnt_read_reg[1]_rep__0\, \in\(8 downto 0) => \in\(8 downto 0), mhandshake_r => mhandshake_r, \out\(0) => \out\(0), shandshake_r => shandshake_r, si_rs_bready => si_rs_bready ); \bresp_cnt[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \bresp_cnt_reg__0\(0), O => p_0_in(0) ); \bresp_cnt[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \bresp_cnt_reg__0\(1), I1 => \bresp_cnt_reg__0\(0), O => p_0_in(1) ); \bresp_cnt[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \bresp_cnt_reg__0\(2), I1 => \bresp_cnt_reg__0\(0), I2 => \bresp_cnt_reg__0\(1), O => p_0_in(2) ); \bresp_cnt[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => \bresp_cnt_reg__0\(3), I1 => \bresp_cnt_reg__0\(1), I2 => \bresp_cnt_reg__0\(0), I3 => \bresp_cnt_reg__0\(2), O => p_0_in(3) ); \bresp_cnt[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"6AAAAAAA" ) port map ( I0 => \bresp_cnt_reg__0\(4), I1 => \bresp_cnt_reg__0\(2), I2 => \bresp_cnt_reg__0\(0), I3 => \bresp_cnt_reg__0\(1), I4 => \bresp_cnt_reg__0\(3), O => p_0_in(4) ); \bresp_cnt[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAAAAAAAAAAAAA" ) port map ( I0 => \bresp_cnt_reg__0\(5), I1 => \bresp_cnt_reg__0\(3), I2 => \bresp_cnt_reg__0\(1), I3 => \bresp_cnt_reg__0\(0), I4 => \bresp_cnt_reg__0\(2), I5 => \bresp_cnt_reg__0\(4), O => p_0_in(5) ); \bresp_cnt[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \bresp_cnt_reg__0\(6), I1 => \bresp_cnt[7]_i_3_n_0\, O => p_0_in(6) ); \bresp_cnt[7]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \bresp_cnt_reg__0\(7), I1 => \bresp_cnt[7]_i_3_n_0\, I2 => \bresp_cnt_reg__0\(6), O => p_0_in(7) ); \bresp_cnt[7]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \bresp_cnt_reg__0\(5), I1 => \bresp_cnt_reg__0\(3), I2 => \bresp_cnt_reg__0\(1), I3 => \bresp_cnt_reg__0\(0), I4 => \bresp_cnt_reg__0\(2), I5 => \bresp_cnt_reg__0\(4), O => \bresp_cnt[7]_i_3_n_0\ ); \bresp_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(0), Q => \bresp_cnt_reg__0\(0), R => s_bresp_acc0 ); \bresp_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(1), Q => \bresp_cnt_reg__0\(1), R => s_bresp_acc0 ); \bresp_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(2), Q => \bresp_cnt_reg__0\(2), R => s_bresp_acc0 ); \bresp_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(3), Q => \bresp_cnt_reg__0\(3), R => s_bresp_acc0 ); \bresp_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(4), Q => \bresp_cnt_reg__0\(4), R => s_bresp_acc0 ); \bresp_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(5), Q => \bresp_cnt_reg__0\(5), R => s_bresp_acc0 ); \bresp_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(6), Q => \bresp_cnt_reg__0\(6), R => s_bresp_acc0 ); \bresp_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(7), Q => \bresp_cnt_reg__0\(7), R => s_bresp_acc0 ); bresp_fifo_0: entity work.\zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ port map ( D(0) => bid_fifo_0_n_4, Q(1 downto 0) => cnt_read(1 downto 0), aclk => aclk, areset_d1 => areset_d1, bresp_push => bresp_push, \in\(1) => \s_bresp_acc_reg_n_0_[1]\, \in\(0) => \s_bresp_acc_reg_n_0_[0]\, m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, mhandshake => mhandshake, mhandshake_r => mhandshake_r, s_bresp_acc => s_bresp_acc, shandshake_r => shandshake_r, \skid_buffer_reg[1]\(1 downto 0) => \skid_buffer_reg[1]\(1 downto 0) ); bvalid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => bid_fifo_0_n_5, Q => \^si_rs_bvalid\, R => '0' ); mhandshake_r_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => mhandshake, Q => mhandshake_r, R => areset_d1 ); \s_bresp_acc[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"000000E2" ) port map ( I0 => \s_bresp_acc_reg_n_0_[0]\, I1 => s_bresp_acc, I2 => m_axi_bresp(0), I3 => bresp_push, I4 => areset_d1, O => \s_bresp_acc[0]_i_1_n_0\ ); \s_bresp_acc[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"000000E2" ) port map ( I0 => \s_bresp_acc_reg_n_0_[1]\, I1 => s_bresp_acc, I2 => m_axi_bresp(1), I3 => bresp_push, I4 => areset_d1, O => \s_bresp_acc[1]_i_1_n_0\ ); \s_bresp_acc_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \s_bresp_acc[0]_i_1_n_0\, Q => \s_bresp_acc_reg_n_0_[0]\, R => '0' ); \s_bresp_acc_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \s_bresp_acc[1]_i_1_n_0\, Q => \s_bresp_acc_reg_n_0_[1]\, R => '0' ); shandshake_r_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^si_rs_bvalid\, I1 => si_rs_bready, O => shandshake ); shandshake_r_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => shandshake, Q => shandshake_r, R => areset_d1 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_cmd_translator is port ( next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; \sel_first__0\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axlen_cnt_reg[3]_0\ : out STD_LOGIC; \state_reg[1]\ : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; wrap_next_pending : in STD_LOGIC; sel_first_i : in STD_LOGIC; \m_payload_i_reg[39]\ : in STD_LOGIC; \m_payload_i_reg[39]_0\ : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_1 : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 23 downto 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 0 to 0 ); \next\ : in STD_LOGIC; \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC; \m_payload_i_reg[35]\ : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_cmd_translator; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_cmd_translator is signal axaddr_incr_reg : STD_LOGIC_VECTOR ( 11 downto 4 ); signal \^axaddr_incr_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg_11__s_net_1\ : STD_LOGIC; signal incr_cmd_0_n_16 : STD_LOGIC; signal s_axburst_eq0 : STD_LOGIC; signal s_axburst_eq1 : STD_LOGIC; begin \axaddr_incr_reg[11]\ <= \axaddr_incr_reg_11__s_net_1\; \axaddr_incr_reg[3]\(3 downto 0) <= \^axaddr_incr_reg[3]\(3 downto 0); incr_cmd_0: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_incr_cmd port map ( CO(0) => CO(0), D(0) => D(0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), Q(0) => \axlen_cnt_reg[3]\(0), S(3 downto 0) => S(3 downto 0), aclk => aclk, axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4), \axaddr_incr_reg[11]_0\ => \axaddr_incr_reg_11__s_net_1\, \axaddr_incr_reg[3]_0\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), \axlen_cnt_reg[3]_0\ => \axlen_cnt_reg[3]_0\, incr_next_pending => incr_next_pending, \m_axi_awaddr[1]\ => incr_cmd_0_n_16, \m_payload_i_reg[11]\(7 downto 0) => \m_payload_i_reg[11]\(7 downto 0), \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[51]\(12 downto 9) => Q(23 downto 20), \m_payload_i_reg[51]\(8 downto 7) => Q(18 downto 17), \m_payload_i_reg[51]\(6 downto 4) => Q(14 downto 12), \m_payload_i_reg[51]\(3 downto 0) => Q(3 downto 0), \next\ => \next\, next_pending_r_reg_0 => next_pending_r_reg, sel_first_reg_0 => sel_first_reg_1, \state_reg[0]\(0) => \state_reg[0]\(0) ); \memory_reg[3][0]_srl4_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axburst_eq1, I1 => Q(15), I2 => s_axburst_eq0, O => \state_reg[1]\ ); s_axburst_eq0_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[39]\, Q => s_axburst_eq0, R => '0' ); s_axburst_eq1_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[39]_0\, Q => s_axburst_eq1, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_i, Q => sel_first_reg_0, R => '0' ); wrap_cmd_0: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wrap_cmd port map ( E(0) => E(0), Q(18 downto 14) => Q(19 downto 15), Q(13 downto 0) => Q(13 downto 0), aclk => aclk, axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4), \axaddr_incr_reg[3]\(2 downto 1) => \^axaddr_incr_reg[3]\(3 downto 2), \axaddr_incr_reg[3]\(0) => \^axaddr_incr_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\, \axaddr_offset_r_reg[3]_2\(3 downto 0) => \axaddr_offset_r_reg[3]_1\(3 downto 0), m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), \m_payload_i_reg[35]\ => \m_payload_i_reg[35]\, \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0), \next\ => \next\, next_pending_r_reg_0 => next_pending_r_reg_0, next_pending_r_reg_1 => next_pending_r_reg_1, sel_first_reg_0 => \sel_first__0\, sel_first_reg_1 => sel_first_reg_2, sel_first_reg_2 => incr_cmd_0_n_16, \state_reg[0]\(0) => \state_reg[0]\(0), wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0), \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0), \wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 is port ( sel_first_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; sel_first_reg_1 : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[7]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; r_rlast : out STD_LOGIC; \state_reg[0]_rep\ : out STD_LOGIC; \axlen_cnt_reg[5]\ : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; sel_first_i : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_3 : in STD_LOGIC; sel_first_reg_4 : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 21 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); si_rs_arvalid : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[47]_0\ : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; \m_payload_i_reg[48]\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC; \m_payload_i_reg[35]\ : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_arready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 : entity is "axi_protocol_converter_v2_1_13_b2s_cmd_translator"; end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 is signal axaddr_incr_reg : STD_LOGIC_VECTOR ( 11 downto 4 ); signal \^axaddr_incr_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg_11__s_net_1\ : STD_LOGIC; signal incr_cmd_0_n_20 : STD_LOGIC; signal incr_next_pending : STD_LOGIC; signal s_axburst_eq0 : STD_LOGIC; signal s_axburst_eq1 : STD_LOGIC; signal wrap_cmd_0_n_1 : STD_LOGIC; signal wrap_cmd_0_n_2 : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of r_rlast_r_i_1 : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \state[1]_i_3\ : label is "soft_lutpair5"; begin \axaddr_incr_reg[11]\ <= \axaddr_incr_reg_11__s_net_1\; \axaddr_incr_reg[3]\(3 downto 0) <= \^axaddr_incr_reg[3]\(3 downto 0); incr_cmd_0: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 port map ( CO(0) => CO(0), D(3 downto 0) => D(3 downto 0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), Q(3 downto 0) => Q(3 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, \axaddr_incr_reg[11]_0\(6 downto 2) => axaddr_incr_reg(11 downto 7), \axaddr_incr_reg[11]_0\(1 downto 0) => axaddr_incr_reg(5 downto 4), \axaddr_incr_reg[11]_1\ => \axaddr_incr_reg_11__s_net_1\, \axaddr_incr_reg[3]_0\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), \axlen_cnt_reg[5]_0\ => \axlen_cnt_reg[5]\, \axlen_cnt_reg[7]_0\ => \axlen_cnt_reg[7]\, incr_next_pending => incr_next_pending, \m_axi_araddr[6]\ => incr_cmd_0_n_20, m_axi_arready => m_axi_arready, \m_payload_i_reg[11]\(3 downto 0) => \m_payload_i_reg[11]\(3 downto 0), \m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\, \m_payload_i_reg[48]\ => \m_payload_i_reg[48]\, \m_payload_i_reg[51]\(10 downto 9) => \m_payload_i_reg[51]\(21 downto 20), \m_payload_i_reg[51]\(8) => \m_payload_i_reg[51]\(18), \m_payload_i_reg[51]\(7 downto 5) => \m_payload_i_reg[51]\(14 downto 12), \m_payload_i_reg[51]\(4) => \m_payload_i_reg[51]\(6), \m_payload_i_reg[51]\(3 downto 0) => \m_payload_i_reg[51]\(3 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), next_pending_r_reg_0 => next_pending_r_reg, sel_first_reg_0 => sel_first_reg_2, sel_first_reg_1 => sel_first_reg_3, \state_reg[0]\ => \state_reg[0]\, \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0), \state_reg[1]_rep\ => \state_reg[1]_rep\ ); r_rlast_r_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"1D" ) port map ( I0 => s_axburst_eq0, I1 => \m_payload_i_reg[51]\(15), I2 => s_axburst_eq1, O => r_rlast ); s_axburst_eq0_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => wrap_cmd_0_n_1, Q => s_axburst_eq0, R => '0' ); s_axburst_eq1_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => wrap_cmd_0_n_2, Q => s_axburst_eq1, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_i, Q => sel_first_reg_0, R => '0' ); \state[1]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axburst_eq1, I1 => \m_payload_i_reg[51]\(15), I2 => s_axburst_eq0, O => \state_reg[0]_rep\ ); wrap_cmd_0: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 port map ( E(0) => E(0), aclk => aclk, \axaddr_incr_reg[11]\(6 downto 2) => axaddr_incr_reg(11 downto 7), \axaddr_incr_reg[11]\(1 downto 0) => axaddr_incr_reg(5 downto 4), \axaddr_incr_reg[3]\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\, \axaddr_offset_r_reg[3]_2\(3 downto 0) => \axaddr_offset_r_reg[3]_1\(3 downto 0), incr_next_pending => incr_next_pending, m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), \m_payload_i_reg[35]\ => \m_payload_i_reg[35]\, \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[47]\(18 downto 14) => \m_payload_i_reg[51]\(19 downto 15), \m_payload_i_reg[47]\(13 downto 0) => \m_payload_i_reg[51]\(13 downto 0), \m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\, \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), s_axburst_eq0_reg => wrap_cmd_0_n_1, s_axburst_eq1_reg => wrap_cmd_0_n_2, sel_first_i => sel_first_i, sel_first_reg_0 => sel_first_reg_1, sel_first_reg_1 => sel_first_reg_4, sel_first_reg_2 => incr_cmd_0_n_20, si_rs_arvalid => si_rs_arvalid, \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0), \state_reg[1]_rep\ => \state_reg[1]_rep\, \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0), \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0), \wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_1\(2 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_r_channel is port ( m_valid_i_reg : out STD_LOGIC; \state_reg[1]_rep\ : out STD_LOGIC; m_axi_rready : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 33 downto 0 ); \skid_buffer_reg[35]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[1]_rep_0\ : in STD_LOGIC; aclk : in STD_LOGIC; r_rlast : in STD_LOGIC; s_arid_r : in STD_LOGIC; s_ready_i_reg : in STD_LOGIC; si_rs_rready : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); areset_d1 : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_r_channel; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_r_channel is signal \^m_valid_i_reg\ : STD_LOGIC; signal r_push_r : STD_LOGIC; signal rd_data_fifo_0_n_0 : STD_LOGIC; signal rd_data_fifo_0_n_2 : STD_LOGIC; signal rd_data_fifo_0_n_3 : STD_LOGIC; signal rd_data_fifo_0_n_5 : STD_LOGIC; signal trans_in : STD_LOGIC_VECTOR ( 1 downto 0 ); signal transaction_fifo_0_n_2 : STD_LOGIC; signal wr_en0 : STD_LOGIC; begin m_valid_i_reg <= \^m_valid_i_reg\; \r_arid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => s_arid_r, Q => trans_in(1), R => '0' ); r_push_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \state_reg[1]_rep_0\, Q => r_push_r, R => '0' ); r_rlast_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => r_rlast, Q => trans_in(0), R => '0' ); rd_data_fifo_0: entity work.\zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ port map ( aclk => aclk, areset_d1 => areset_d1, \cnt_read_reg[3]_rep__2_0\ => rd_data_fifo_0_n_0, \cnt_read_reg[4]_0\ => \^m_valid_i_reg\, \cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_2, \cnt_read_reg[4]_rep__2_1\ => rd_data_fifo_0_n_3, \in\(33 downto 0) => \in\(33 downto 0), m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, \out\(33 downto 0) => \out\(33 downto 0), s_ready_i_reg => s_ready_i_reg, s_ready_i_reg_0 => transaction_fifo_0_n_2, si_rs_rready => si_rs_rready, \state_reg[1]_rep\ => rd_data_fifo_0_n_5, wr_en0 => wr_en0 ); transaction_fifo_0: entity work.\zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ port map ( aclk => aclk, areset_d1 => areset_d1, \cnt_read_reg[0]_rep__2\ => rd_data_fifo_0_n_5, \cnt_read_reg[0]_rep__2_0\ => rd_data_fifo_0_n_3, \cnt_read_reg[3]_rep__2\ => rd_data_fifo_0_n_0, \cnt_read_reg[4]_rep__2\ => transaction_fifo_0_n_2, \cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_2, \in\(1 downto 0) => trans_in(1 downto 0), m_valid_i_reg => \^m_valid_i_reg\, r_push_r => r_push_r, s_ready_i_reg => s_ready_i_reg, si_rs_rready => si_rs_rready, \skid_buffer_reg[35]\(1 downto 0) => \skid_buffer_reg[35]\(1 downto 0), \state_reg[1]_rep\ => \state_reg[1]_rep\, wr_en0 => wr_en0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axi_register_slice is port ( s_axi_awready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; si_rs_awvalid : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; si_rs_bready : out STD_LOGIC; si_rs_arvalid : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; si_rs_rready : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 47 downto 0 ); \s_arid_r_reg[0]\ : out STD_LOGIC_VECTOR ( 47 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); CO : out STD_LOGIC_VECTOR ( 0 to 0 ); O : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 1 downto 0 ); \axaddr_offset_r_reg[1]\ : out STD_LOGIC; \wrap_second_len_r_reg[3]\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; \axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \axaddr_offset_r_reg[1]_0\ : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC; \axlen_cnt_reg[3]_0\ : out STD_LOGIC; next_pending_r_reg_2 : out STD_LOGIC; \cnt_read_reg[0]\ : out STD_LOGIC; \axaddr_offset_r_reg[3]\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_offset_r_reg[0]_0\ : out STD_LOGIC; \m_axi_awaddr[10]\ : out STD_LOGIC; \m_axi_araddr[10]\ : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); UNCONN_OUT : out STD_LOGIC_VECTOR ( 35 downto 0 ); aclk : in STD_LOGIC; aresetn : in STD_LOGIC; \cnt_read_reg[4]\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC; S : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[1]\ : in STD_LOGIC; \axaddr_offset_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awvalid : in STD_LOGIC; b_push : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; \axaddr_offset_r_reg[2]_1\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC; \state_reg[1]_rep_0\ : in STD_LOGIC; sel_first : in STD_LOGIC; sel_first_0 : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); si_rs_bvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); r_push_r_reg : in STD_LOGIC_VECTOR ( 1 downto 0 ); \cnt_read_reg[4]_0\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); axaddr_incr_reg : in STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axi_register_slice; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axi_register_slice is signal ar_pipe_n_2 : STD_LOGIC; signal aw_pipe_n_1 : STD_LOGIC; signal aw_pipe_n_81 : STD_LOGIC; begin ar_pipe: entity work.zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice port map ( Q(47 downto 0) => \s_arid_r_reg[0]\(47 downto 0), aclk => aclk, \aresetn_d_reg[0]\ => aw_pipe_n_1, \aresetn_d_reg[0]_0\ => aw_pipe_n_81, \axaddr_incr_reg[11]\(3 downto 0) => \axaddr_incr_reg[11]_0\(3 downto 0), \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), \axaddr_incr_reg[3]_0\(3 downto 0) => \axaddr_incr_reg[3]_0\(3 downto 0), \axaddr_incr_reg[7]\(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0), \axaddr_incr_reg[7]_0\(0) => \axaddr_incr_reg[7]_0\(0), \axaddr_offset_r_reg[0]\ => \axaddr_offset_r_reg[0]_0\, \axaddr_offset_r_reg[1]\ => \axaddr_offset_r_reg[1]_0\, \axaddr_offset_r_reg[2]\(1 downto 0) => \axaddr_offset_r_reg[2]\(1 downto 0), \axaddr_offset_r_reg[2]_0\(1 downto 0) => \axaddr_offset_r_reg[2]_1\(1 downto 0), \axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\, \axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]_0\, \m_axi_araddr[10]\ => \m_axi_araddr[10]\, \m_payload_i_reg[3]_0\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), m_valid_i_reg_0 => ar_pipe_n_2, m_valid_i_reg_1(0) => m_valid_i_reg(0), next_pending_r_reg => next_pending_r_reg_1, next_pending_r_reg_0 => next_pending_r_reg_2, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(0) => s_axi_arid(0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), s_axi_arvalid => s_axi_arvalid, s_ready_i_reg_0 => si_rs_arvalid, sel_first_0 => sel_first_0, \state_reg[0]_rep\ => \state_reg[0]_rep\, \state_reg[1]_rep\ => \state_reg[1]_rep\, \state_reg[1]_rep_0\ => \state_reg[1]_rep_0\, \wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]_0\(6 downto 0), \wrap_second_len_r_reg[3]\ => \wrap_second_len_r_reg[3]_0\ ); aw_pipe: entity work.zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice_0 port map ( CO(0) => CO(0), D(1 downto 0) => D(1 downto 0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), Q(47 downto 0) => Q(47 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, aresetn => aresetn, \aresetn_d_reg[1]_inv\ => aw_pipe_n_81, \aresetn_d_reg[1]_inv_0\ => ar_pipe_n_2, axaddr_incr_reg(3 downto 0) => axaddr_incr_reg(3 downto 0), \axaddr_incr_reg[11]\(7 downto 0) => \axaddr_incr_reg[11]\(7 downto 0), \axaddr_offset_r_reg[0]\ => \axaddr_offset_r_reg[0]\, \axaddr_offset_r_reg[1]\ => \axaddr_offset_r_reg[1]\, \axaddr_offset_r_reg[2]\(1 downto 0) => \axaddr_offset_r_reg[2]_0\(1 downto 0), \axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]\, \axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]\, b_push => b_push, \m_axi_awaddr[10]\ => \m_axi_awaddr[10]\, m_valid_i_reg_0 => si_rs_awvalid, next_pending_r_reg => next_pending_r_reg, next_pending_r_reg_0 => next_pending_r_reg_0, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(0) => s_axi_awid(0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), s_axi_awvalid => s_axi_awvalid, s_ready_i_reg_0 => aw_pipe_n_1, sel_first => sel_first, \state_reg[1]\ => \state_reg[1]\, \state_reg[1]_0\(1 downto 0) => \state_reg[1]_0\(1 downto 0), \wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]\(6 downto 0), \wrap_second_len_r_reg[3]\ => \wrap_second_len_r_reg[3]\ ); b_pipe: entity work.\zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ port map ( aclk => aclk, \aresetn_d_reg[0]\ => aw_pipe_n_1, \aresetn_d_reg[1]_inv\ => ar_pipe_n_2, m_valid_i_reg_0 => si_rs_bready, \out\(0) => \out\(0), s_axi_bid(0) => s_axi_bid(0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bvalid => s_axi_bvalid, \s_bresp_acc_reg[1]\(1 downto 0) => \s_bresp_acc_reg[1]\(1 downto 0), si_rs_bvalid => si_rs_bvalid ); r_pipe: entity work.\zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ port map ( UNCONN_OUT(35 downto 0) => UNCONN_OUT(35 downto 0), aclk => aclk, \aresetn_d_reg[0]\ => aw_pipe_n_1, \aresetn_d_reg[1]_inv\ => ar_pipe_n_2, \cnt_read_reg[0]\ => \cnt_read_reg[0]\, \cnt_read_reg[4]\ => \cnt_read_reg[4]\, \cnt_read_reg[4]_0\(33 downto 0) => \cnt_read_reg[4]_0\(33 downto 0), r_push_r_reg(1 downto 0) => r_push_r_reg(1 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, \skid_buffer_reg[0]_0\ => si_rs_rready ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_ar_channel is port ( s_arid_r : out STD_LOGIC; \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC; r_push_r_reg : out STD_LOGIC; \m_payload_i_reg[0]\ : out STD_LOGIC; \m_payload_i_reg[0]_0\ : out STD_LOGIC; \axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arvalid : out STD_LOGIC; r_rlast : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 24 downto 0 ); O : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC; si_rs_arvalid : in STD_LOGIC; m_axi_arready : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); \cnt_read_reg[2]\ : in STD_LOGIC; \m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \m_payload_i_reg[35]\ : in STD_LOGIC; \m_payload_i_reg[35]_0\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC; \m_payload_i_reg[47]_0\ : in STD_LOGIC; areset_d1 : in STD_LOGIC; \m_payload_i_reg[48]\ : in STD_LOGIC; \m_payload_i_reg[6]\ : in STD_LOGIC; \m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_ar_channel; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_ar_channel is signal ar_cmd_fsm_0_n_0 : STD_LOGIC; signal ar_cmd_fsm_0_n_11 : STD_LOGIC; signal ar_cmd_fsm_0_n_12 : STD_LOGIC; signal ar_cmd_fsm_0_n_13 : STD_LOGIC; signal ar_cmd_fsm_0_n_14 : STD_LOGIC; signal ar_cmd_fsm_0_n_22 : STD_LOGIC; signal ar_cmd_fsm_0_n_23 : STD_LOGIC; signal ar_cmd_fsm_0_n_26 : STD_LOGIC; signal ar_cmd_fsm_0_n_27 : STD_LOGIC; signal ar_cmd_fsm_0_n_6 : STD_LOGIC; signal ar_cmd_fsm_0_n_7 : STD_LOGIC; signal ar_cmd_fsm_0_n_8 : STD_LOGIC; signal ar_cmd_fsm_0_n_9 : STD_LOGIC; signal cmd_translator_0_n_0 : STD_LOGIC; signal cmd_translator_0_n_10 : STD_LOGIC; signal cmd_translator_0_n_11 : STD_LOGIC; signal cmd_translator_0_n_12 : STD_LOGIC; signal cmd_translator_0_n_14 : STD_LOGIC; signal cmd_translator_0_n_15 : STD_LOGIC; signal cmd_translator_0_n_6 : STD_LOGIC; signal cmd_translator_0_n_7 : STD_LOGIC; signal cmd_translator_0_n_8 : STD_LOGIC; signal cmd_translator_0_n_9 : STD_LOGIC; signal \^r_push_r_reg\ : STD_LOGIC; signal \^sel_first\ : STD_LOGIC; signal sel_first_i : STD_LOGIC; signal state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC; signal \wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); begin r_push_r_reg <= \^r_push_r_reg\; sel_first <= \^sel_first\; \wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\; ar_cmd_fsm_0: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm port map ( D(3) => ar_cmd_fsm_0_n_6, D(2) => ar_cmd_fsm_0_n_7, D(1) => ar_cmd_fsm_0_n_8, D(0) => ar_cmd_fsm_0_n_9, E(0) => \^wrap_boundary_axaddr_r_reg[11]\, Q(1 downto 0) => state(1 downto 0), aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[11]\ => ar_cmd_fsm_0_n_23, axaddr_offset(1) => \wrap_cmd_0/axaddr_offset\(3), axaddr_offset(0) => \wrap_cmd_0/axaddr_offset\(0), \axaddr_offset_r_reg[3]\(1) => \wrap_cmd_0/axaddr_offset_r\(3), \axaddr_offset_r_reg[3]\(0) => \wrap_cmd_0/axaddr_offset_r\(0), \axaddr_wrap_reg[11]\(0) => ar_cmd_fsm_0_n_22, \axlen_cnt_reg[3]\ => cmd_translator_0_n_11, \axlen_cnt_reg[4]\ => cmd_translator_0_n_15, \axlen_cnt_reg[5]\ => ar_cmd_fsm_0_n_0, \axlen_cnt_reg[6]\(3) => cmd_translator_0_n_7, \axlen_cnt_reg[6]\(2) => cmd_translator_0_n_8, \axlen_cnt_reg[6]\(1) => cmd_translator_0_n_9, \axlen_cnt_reg[6]\(0) => cmd_translator_0_n_10, \axlen_cnt_reg[7]\ => cmd_translator_0_n_12, \cnt_read_reg[2]\ => \cnt_read_reg[2]\, m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, \m_payload_i_reg[0]\ => \m_payload_i_reg[0]\, \m_payload_i_reg[0]_0\ => \m_payload_i_reg[0]_0\, \m_payload_i_reg[0]_1\(0) => E(0), \m_payload_i_reg[35]\ => \m_payload_i_reg[35]\, \m_payload_i_reg[35]_0\ => \m_payload_i_reg[35]_0\, \m_payload_i_reg[3]\ => \m_payload_i_reg[3]\, \m_payload_i_reg[46]\(0) => \m_payload_i_reg[46]\(1), \m_payload_i_reg[50]\(4 downto 3) => Q(22 downto 21), \m_payload_i_reg[50]\(2) => Q(19), \m_payload_i_reg[50]\(1 downto 0) => Q(17 downto 16), \m_payload_i_reg[6]\ => \m_payload_i_reg[6]\, r_push_r_reg => \^r_push_r_reg\, s_axburst_eq1_reg => cmd_translator_0_n_14, sel_first_i => sel_first_i, sel_first_reg => ar_cmd_fsm_0_n_26, sel_first_reg_0 => ar_cmd_fsm_0_n_27, sel_first_reg_1 => cmd_translator_0_n_0, sel_first_reg_2 => \^sel_first\, sel_first_reg_3 => cmd_translator_0_n_6, si_rs_arvalid => si_rs_arvalid, \wrap_cnt_r_reg[0]\ => ar_cmd_fsm_0_n_14, \wrap_cnt_r_reg[3]\(2) => ar_cmd_fsm_0_n_11, \wrap_cnt_r_reg[3]\(1) => ar_cmd_fsm_0_n_12, \wrap_cnt_r_reg[3]\(0) => ar_cmd_fsm_0_n_13, \wrap_second_len_r_reg[3]\(3 downto 0) => \wrap_cmd_0/wrap_second_len\(3 downto 0), \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_cmd_0/wrap_second_len_r\(3 downto 0) ); cmd_translator_0: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 port map ( CO(0) => CO(0), D(3) => ar_cmd_fsm_0_n_6, D(2) => ar_cmd_fsm_0_n_7, D(1) => ar_cmd_fsm_0_n_8, D(0) => ar_cmd_fsm_0_n_9, E(0) => \^wrap_boundary_axaddr_r_reg[11]\, O(3 downto 0) => O(3 downto 0), Q(3) => cmd_translator_0_n_7, Q(2) => cmd_translator_0_n_8, Q(1) => cmd_translator_0_n_9, Q(0) => cmd_translator_0_n_10, S(3 downto 0) => S(3 downto 0), aclk => aclk, \axaddr_incr_reg[11]\ => \^sel_first\, \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]\(3) => \wrap_cmd_0/axaddr_offset_r\(3), \axaddr_offset_r_reg[3]\(2 downto 1) => \axaddr_offset_r_reg[2]\(1 downto 0), \axaddr_offset_r_reg[3]\(0) => \wrap_cmd_0/axaddr_offset_r\(0), \axaddr_offset_r_reg[3]_0\ => ar_cmd_fsm_0_n_14, \axaddr_offset_r_reg[3]_1\(3) => \wrap_cmd_0/axaddr_offset\(3), \axaddr_offset_r_reg[3]_1\(2 downto 1) => \m_payload_i_reg[46]\(1 downto 0), \axaddr_offset_r_reg[3]_1\(0) => \wrap_cmd_0/axaddr_offset\(0), \axlen_cnt_reg[5]\ => cmd_translator_0_n_15, \axlen_cnt_reg[7]\ => cmd_translator_0_n_11, m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), m_axi_arready => m_axi_arready, \m_payload_i_reg[11]\(3 downto 0) => \m_payload_i_reg[11]\(3 downto 0), \m_payload_i_reg[35]\ => \m_payload_i_reg[35]\, \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0), \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\, \m_payload_i_reg[48]\ => \m_payload_i_reg[48]\, \m_payload_i_reg[51]\(21) => Q(23), \m_payload_i_reg[51]\(20 downto 0) => Q(20 downto 0), \m_payload_i_reg[6]\(6 downto 0) => D(6 downto 0), m_valid_i_reg(0) => ar_cmd_fsm_0_n_22, next_pending_r_reg => cmd_translator_0_n_12, r_rlast => r_rlast, sel_first_i => sel_first_i, sel_first_reg_0 => cmd_translator_0_n_0, sel_first_reg_1 => cmd_translator_0_n_6, sel_first_reg_2 => ar_cmd_fsm_0_n_23, sel_first_reg_3 => ar_cmd_fsm_0_n_26, sel_first_reg_4 => ar_cmd_fsm_0_n_27, si_rs_arvalid => si_rs_arvalid, \state_reg[0]\ => ar_cmd_fsm_0_n_0, \state_reg[0]_rep\ => cmd_translator_0_n_14, \state_reg[1]\(1 downto 0) => state(1 downto 0), \state_reg[1]_rep\ => \^r_push_r_reg\, \wrap_second_len_r_reg[3]\(3 downto 0) => \wrap_cmd_0/wrap_second_len_r\(3 downto 0), \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_cmd_0/wrap_second_len\(3 downto 0), \wrap_second_len_r_reg[3]_1\(2) => ar_cmd_fsm_0_n_11, \wrap_second_len_r_reg[3]_1\(1) => ar_cmd_fsm_0_n_12, \wrap_second_len_r_reg[3]_1\(0) => ar_cmd_fsm_0_n_13 ); \s_arid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => Q(24), Q => s_arid_r, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_aw_channel is port ( \in\ : out STD_LOGIC_VECTOR ( 8 downto 0 ); \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC; sel_first_reg : out STD_LOGIC_VECTOR ( 1 downto 0 ); \axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); b_push : out STD_LOGIC; m_axi_awvalid : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 24 downto 0 ); O : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); si_rs_awvalid : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 1 downto 0 ); \m_payload_i_reg[35]\ : in STD_LOGIC; \m_payload_i_reg[35]_0\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC; \m_payload_i_reg[48]\ : in STD_LOGIC; areset_d1 : in STD_LOGIC; \m_payload_i_reg[46]\ : in STD_LOGIC; \m_payload_i_reg[6]\ : in STD_LOGIC; \cnt_read_reg[0]_rep\ : in STD_LOGIC; \cnt_read_reg[1]_rep__0\ : in STD_LOGIC; m_axi_awready : in STD_LOGIC; \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \m_payload_i_reg[6]_0\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_aw_channel; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_aw_channel is signal aw_cmd_fsm_0_n_14 : STD_LOGIC; signal aw_cmd_fsm_0_n_18 : STD_LOGIC; signal aw_cmd_fsm_0_n_20 : STD_LOGIC; signal aw_cmd_fsm_0_n_24 : STD_LOGIC; signal aw_cmd_fsm_0_n_25 : STD_LOGIC; signal aw_cmd_fsm_0_n_5 : STD_LOGIC; signal cmd_translator_0_n_0 : STD_LOGIC; signal cmd_translator_0_n_1 : STD_LOGIC; signal cmd_translator_0_n_10 : STD_LOGIC; signal cmd_translator_0_n_11 : STD_LOGIC; signal cmd_translator_0_n_12 : STD_LOGIC; signal cmd_translator_0_n_2 : STD_LOGIC; signal cmd_translator_0_n_9 : STD_LOGIC; signal incr_next_pending : STD_LOGIC; signal \next\ : STD_LOGIC; signal p_1_in : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^sel_first\ : STD_LOGIC; signal \sel_first__0\ : STD_LOGIC; signal sel_first_i : STD_LOGIC; signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC; signal \wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal wrap_cnt : STD_LOGIC_VECTOR ( 3 downto 0 ); signal wrap_next_pending : STD_LOGIC; begin sel_first <= \^sel_first\; \wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\; aw_cmd_fsm_0: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm port map ( D(2 downto 1) => wrap_cnt(3 downto 2), D(0) => wrap_cnt(0), E(0) => \^wrap_boundary_axaddr_r_reg[11]\, Q(1 downto 0) => sel_first_reg(1 downto 0), aclk => aclk, areset_d1 => areset_d1, axaddr_offset(1) => \wrap_cmd_0/axaddr_offset\(3), axaddr_offset(0) => \wrap_cmd_0/axaddr_offset\(0), \axaddr_offset_r_reg[3]\(1) => \wrap_cmd_0/axaddr_offset_r\(3), \axaddr_offset_r_reg[3]\(0) => \wrap_cmd_0/axaddr_offset_r\(0), \axaddr_wrap_reg[0]\(0) => aw_cmd_fsm_0_n_20, \axlen_cnt_reg[0]\(0) => p_1_in(0), \axlen_cnt_reg[0]_0\(0) => cmd_translator_0_n_9, \axlen_cnt_reg[2]\ => cmd_translator_0_n_12, \axlen_cnt_reg[4]\ => cmd_translator_0_n_10, b_push => b_push, \cnt_read_reg[0]_rep\ => \cnt_read_reg[0]_rep\, \cnt_read_reg[1]_rep__0\ => \cnt_read_reg[1]_rep__0\, incr_next_pending => incr_next_pending, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, \m_payload_i_reg[0]\(0) => E(0), \m_payload_i_reg[35]\ => \m_payload_i_reg[35]\, \m_payload_i_reg[35]_0\ => \m_payload_i_reg[35]_0\, \m_payload_i_reg[3]\ => \m_payload_i_reg[3]\, \m_payload_i_reg[46]\(0) => D(1), \m_payload_i_reg[46]_0\ => \m_payload_i_reg[46]\, \m_payload_i_reg[47]\(2) => Q(19), \m_payload_i_reg[47]\(1 downto 0) => Q(16 downto 15), \m_payload_i_reg[48]\ => \m_payload_i_reg[48]\, \m_payload_i_reg[6]\ => \m_payload_i_reg[6]\, \next\ => \next\, next_pending_r_reg => cmd_translator_0_n_0, next_pending_r_reg_0 => cmd_translator_0_n_1, s_axburst_eq0_reg => aw_cmd_fsm_0_n_14, s_axburst_eq1_reg => aw_cmd_fsm_0_n_18, s_axburst_eq1_reg_0 => cmd_translator_0_n_11, \sel_first__0\ => \sel_first__0\, sel_first_i => sel_first_i, sel_first_reg => aw_cmd_fsm_0_n_24, sel_first_reg_0 => aw_cmd_fsm_0_n_25, sel_first_reg_1 => cmd_translator_0_n_2, sel_first_reg_2 => \^sel_first\, si_rs_awvalid => si_rs_awvalid, \wrap_cnt_r_reg[0]\ => aw_cmd_fsm_0_n_5, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]\(3 downto 0) => \wrap_cmd_0/wrap_second_len\(3 downto 0), \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_cmd_0/wrap_second_len_r\(3 downto 0) ); cmd_translator_0: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_cmd_translator port map ( CO(0) => CO(0), D(0) => p_1_in(0), E(0) => \^wrap_boundary_axaddr_r_reg[11]\, O(3 downto 0) => O(3 downto 0), Q(23 downto 0) => Q(23 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, \axaddr_incr_reg[11]\ => \^sel_first\, \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]\(3) => \wrap_cmd_0/axaddr_offset_r\(3), \axaddr_offset_r_reg[3]\(2 downto 1) => \axaddr_offset_r_reg[2]\(1 downto 0), \axaddr_offset_r_reg[3]\(0) => \wrap_cmd_0/axaddr_offset_r\(0), \axaddr_offset_r_reg[3]_0\ => aw_cmd_fsm_0_n_5, \axaddr_offset_r_reg[3]_1\(3) => \wrap_cmd_0/axaddr_offset\(3), \axaddr_offset_r_reg[3]_1\(2 downto 1) => D(1 downto 0), \axaddr_offset_r_reg[3]_1\(0) => \wrap_cmd_0/axaddr_offset\(0), \axlen_cnt_reg[3]\(0) => cmd_translator_0_n_9, \axlen_cnt_reg[3]_0\ => cmd_translator_0_n_10, incr_next_pending => incr_next_pending, m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), \m_payload_i_reg[11]\(7 downto 0) => \m_payload_i_reg[11]\(7 downto 0), \m_payload_i_reg[35]\ => \m_payload_i_reg[35]\, \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[39]\ => aw_cmd_fsm_0_n_14, \m_payload_i_reg[39]_0\ => aw_cmd_fsm_0_n_18, \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]_0\(6 downto 0), \next\ => \next\, next_pending_r_reg => cmd_translator_0_n_0, next_pending_r_reg_0 => cmd_translator_0_n_1, next_pending_r_reg_1 => cmd_translator_0_n_12, \sel_first__0\ => \sel_first__0\, sel_first_i => sel_first_i, sel_first_reg_0 => cmd_translator_0_n_2, sel_first_reg_1 => aw_cmd_fsm_0_n_24, sel_first_reg_2 => aw_cmd_fsm_0_n_25, \state_reg[0]\(0) => aw_cmd_fsm_0_n_20, \state_reg[1]\ => cmd_translator_0_n_11, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]\(3 downto 0) => \wrap_cmd_0/wrap_second_len_r\(3 downto 0), \wrap_second_len_r_reg[3]_0\(2 downto 1) => wrap_cnt(3 downto 2), \wrap_second_len_r_reg[3]_0\(0) => wrap_cnt(0), \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_cmd_0/wrap_second_len\(3 downto 0) ); \s_awid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => Q(24), Q => \in\(8), R => '0' ); \s_awlen_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => Q(16), Q => \in\(0), R => '0' ); \s_awlen_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => Q(17), Q => \in\(1), R => '0' ); \s_awlen_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => Q(18), Q => \in\(2), R => '0' ); \s_awlen_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => Q(19), Q => \in\(3), R => '0' ); \s_awlen_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => Q(20), Q => \in\(4), R => '0' ); \s_awlen_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => Q(21), Q => \in\(5), R => '0' ); \s_awlen_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => Q(22), Q => \in\(6), R => '0' ); \s_awlen_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => Q(23), Q => \in\(7), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s is port ( s_axi_rvalid : out STD_LOGIC; s_axi_awready : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 22 downto 0 ); s_axi_arready : out STD_LOGIC; \m_axi_arprot[2]\ : out STD_LOGIC_VECTOR ( 22 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); UNCONN_OUT : out STD_LOGIC_VECTOR ( 35 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; m_axi_rready : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_arready : in STD_LOGIC; s_axi_rready : in STD_LOGIC; aclk : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_bready : in STD_LOGIC; m_axi_awready : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; aresetn : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s is signal C : STD_LOGIC_VECTOR ( 11 downto 4 ); signal \RD.ar_channel_0_n_27\ : STD_LOGIC; signal \RD.ar_channel_0_n_28\ : STD_LOGIC; signal \RD.ar_channel_0_n_29\ : STD_LOGIC; signal \RD.ar_channel_0_n_30\ : STD_LOGIC; signal \RD.ar_channel_0_n_6\ : STD_LOGIC; signal \RD.ar_channel_0_n_7\ : STD_LOGIC; signal \RD.ar_channel_0_n_8\ : STD_LOGIC; signal \RD.ar_channel_0_n_9\ : STD_LOGIC; signal \RD.r_channel_0_n_0\ : STD_LOGIC; signal \RD.r_channel_0_n_1\ : STD_LOGIC; signal SI_REG_n_10 : STD_LOGIC; signal SI_REG_n_11 : STD_LOGIC; signal SI_REG_n_112 : STD_LOGIC; signal SI_REG_n_113 : STD_LOGIC; signal SI_REG_n_114 : STD_LOGIC; signal SI_REG_n_115 : STD_LOGIC; signal SI_REG_n_116 : STD_LOGIC; signal SI_REG_n_117 : STD_LOGIC; signal SI_REG_n_118 : STD_LOGIC; signal SI_REG_n_119 : STD_LOGIC; signal SI_REG_n_12 : STD_LOGIC; signal SI_REG_n_120 : STD_LOGIC; signal SI_REG_n_121 : STD_LOGIC; signal SI_REG_n_122 : STD_LOGIC; signal SI_REG_n_123 : STD_LOGIC; signal SI_REG_n_124 : STD_LOGIC; signal SI_REG_n_125 : STD_LOGIC; signal SI_REG_n_126 : STD_LOGIC; signal SI_REG_n_127 : STD_LOGIC; signal SI_REG_n_128 : STD_LOGIC; signal SI_REG_n_129 : STD_LOGIC; signal SI_REG_n_132 : STD_LOGIC; signal SI_REG_n_133 : STD_LOGIC; signal SI_REG_n_134 : STD_LOGIC; signal SI_REG_n_135 : STD_LOGIC; signal SI_REG_n_136 : STD_LOGIC; signal SI_REG_n_139 : STD_LOGIC; signal SI_REG_n_140 : STD_LOGIC; signal SI_REG_n_141 : STD_LOGIC; signal SI_REG_n_142 : STD_LOGIC; signal SI_REG_n_143 : STD_LOGIC; signal SI_REG_n_144 : STD_LOGIC; signal SI_REG_n_145 : STD_LOGIC; signal SI_REG_n_146 : STD_LOGIC; signal SI_REG_n_147 : STD_LOGIC; signal SI_REG_n_148 : STD_LOGIC; signal SI_REG_n_149 : STD_LOGIC; signal SI_REG_n_150 : STD_LOGIC; signal SI_REG_n_151 : STD_LOGIC; signal SI_REG_n_152 : STD_LOGIC; signal SI_REG_n_153 : STD_LOGIC; signal SI_REG_n_154 : STD_LOGIC; signal SI_REG_n_155 : STD_LOGIC; signal SI_REG_n_156 : STD_LOGIC; signal SI_REG_n_157 : STD_LOGIC; signal SI_REG_n_158 : STD_LOGIC; signal SI_REG_n_159 : STD_LOGIC; signal SI_REG_n_160 : STD_LOGIC; signal SI_REG_n_161 : STD_LOGIC; signal SI_REG_n_162 : STD_LOGIC; signal SI_REG_n_163 : STD_LOGIC; signal SI_REG_n_164 : STD_LOGIC; signal SI_REG_n_18 : STD_LOGIC; signal SI_REG_n_57 : STD_LOGIC; signal SI_REG_n_58 : STD_LOGIC; signal SI_REG_n_59 : STD_LOGIC; signal SI_REG_n_60 : STD_LOGIC; signal SI_REG_n_66 : STD_LOGIC; signal SI_REG_n_9 : STD_LOGIC; signal \WR.aw_channel_0_n_14\ : STD_LOGIC; signal \WR.aw_channel_0_n_34\ : STD_LOGIC; signal \WR.aw_channel_0_n_35\ : STD_LOGIC; signal \WR.aw_channel_0_n_36\ : STD_LOGIC; signal \WR.aw_channel_0_n_37\ : STD_LOGIC; signal \WR.b_channel_0_n_1\ : STD_LOGIC; signal \WR.b_channel_0_n_2\ : STD_LOGIC; signal \ar_pipe/p_1_in\ : STD_LOGIC; signal areset_d1 : STD_LOGIC; signal areset_d1_i_1_n_0 : STD_LOGIC; signal \aw_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \aw_pipe/p_1_in\ : STD_LOGIC; signal b_awid : STD_LOGIC; signal b_awlen : STD_LOGIC_VECTOR ( 7 downto 0 ); signal b_push : STD_LOGIC; signal \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_3\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/incr_cmd_0/sel_first\ : STD_LOGIC; signal \cmd_translator_0/incr_cmd_0/sel_first_2\ : STD_LOGIC; signal \cmd_translator_0/wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 2 downto 1 ); signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\ : STD_LOGIC_VECTOR ( 2 downto 1 ); signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 2 downto 1 ); signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1\ : STD_LOGIC_VECTOR ( 2 downto 1 ); signal r_rlast : STD_LOGIC; signal s_arid : STD_LOGIC; signal s_arid_r : STD_LOGIC; signal s_awid : STD_LOGIC; signal si_rs_araddr : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_arburst : STD_LOGIC_VECTOR ( 1 to 1 ); signal si_rs_arlen : STD_LOGIC_VECTOR ( 3 downto 0 ); signal si_rs_arsize : STD_LOGIC_VECTOR ( 1 downto 0 ); signal si_rs_arvalid : STD_LOGIC; signal si_rs_awaddr : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_awburst : STD_LOGIC_VECTOR ( 1 to 1 ); signal si_rs_awlen : STD_LOGIC_VECTOR ( 3 downto 0 ); signal si_rs_awsize : STD_LOGIC_VECTOR ( 1 downto 0 ); signal si_rs_awvalid : STD_LOGIC; signal si_rs_bid : STD_LOGIC; signal si_rs_bready : STD_LOGIC; signal si_rs_bresp : STD_LOGIC_VECTOR ( 1 downto 0 ); signal si_rs_bvalid : STD_LOGIC; signal si_rs_rdata : STD_LOGIC_VECTOR ( 31 downto 0 ); signal si_rs_rid : STD_LOGIC; signal si_rs_rlast : STD_LOGIC; signal si_rs_rready : STD_LOGIC; signal si_rs_rresp : STD_LOGIC_VECTOR ( 1 downto 0 ); begin \RD.ar_channel_0\: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_ar_channel port map ( CO(0) => SI_REG_n_125, D(6) => SI_REG_n_155, D(5) => SI_REG_n_156, D(4) => SI_REG_n_157, D(3) => SI_REG_n_158, D(2) => SI_REG_n_159, D(1) => SI_REG_n_160, D(0) => SI_REG_n_161, E(0) => \ar_pipe/p_1_in\, O(3) => SI_REG_n_126, O(2) => SI_REG_n_127, O(1) => SI_REG_n_128, O(0) => SI_REG_n_129, Q(24) => s_arid, Q(23) => SI_REG_n_57, Q(22) => SI_REG_n_58, Q(21) => SI_REG_n_59, Q(20) => SI_REG_n_60, Q(19 downto 16) => si_rs_arlen(3 downto 0), Q(15) => si_rs_arburst(1), Q(14) => SI_REG_n_66, Q(13 downto 12) => si_rs_arsize(1 downto 0), Q(11 downto 0) => si_rs_araddr(11 downto 0), S(3) => \RD.ar_channel_0_n_27\, S(2) => \RD.ar_channel_0_n_28\, S(1) => \RD.ar_channel_0_n_29\, S(0) => \RD.ar_channel_0_n_30\, aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[3]\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\(3 downto 0), \axaddr_offset_r_reg[2]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(2 downto 1), \cnt_read_reg[2]\ => \RD.r_channel_0_n_1\, m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, \m_payload_i_reg[0]\ => \RD.ar_channel_0_n_8\, \m_payload_i_reg[0]_0\ => \RD.ar_channel_0_n_9\, \m_payload_i_reg[11]\(3) => SI_REG_n_121, \m_payload_i_reg[11]\(2) => SI_REG_n_122, \m_payload_i_reg[11]\(1) => SI_REG_n_123, \m_payload_i_reg[11]\(0) => SI_REG_n_124, \m_payload_i_reg[35]\ => SI_REG_n_139, \m_payload_i_reg[35]_0\ => SI_REG_n_141, \m_payload_i_reg[38]\ => SI_REG_n_164, \m_payload_i_reg[3]\ => SI_REG_n_162, \m_payload_i_reg[3]_0\(3) => SI_REG_n_117, \m_payload_i_reg[3]_0\(2) => SI_REG_n_118, \m_payload_i_reg[3]_0\(1) => SI_REG_n_119, \m_payload_i_reg[3]_0\(0) => SI_REG_n_120, \m_payload_i_reg[46]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2 downto 1), \m_payload_i_reg[47]\ => SI_REG_n_142, \m_payload_i_reg[47]_0\ => SI_REG_n_140, \m_payload_i_reg[48]\ => SI_REG_n_143, \m_payload_i_reg[6]\ => SI_REG_n_154, r_push_r_reg => \RD.ar_channel_0_n_7\, r_rlast => r_rlast, s_arid_r => s_arid_r, sel_first => \cmd_translator_0/incr_cmd_0/sel_first\, si_rs_arvalid => si_rs_arvalid, \wrap_boundary_axaddr_r_reg[11]\ => \RD.ar_channel_0_n_6\ ); \RD.r_channel_0\: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_r_channel port map ( aclk => aclk, areset_d1 => areset_d1, \in\(33 downto 0) => \in\(33 downto 0), m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, m_valid_i_reg => \RD.r_channel_0_n_0\, \out\(33 downto 32) => si_rs_rresp(1 downto 0), \out\(31 downto 0) => si_rs_rdata(31 downto 0), r_rlast => r_rlast, s_arid_r => s_arid_r, s_ready_i_reg => SI_REG_n_144, si_rs_rready => si_rs_rready, \skid_buffer_reg[35]\(1) => si_rs_rid, \skid_buffer_reg[35]\(0) => si_rs_rlast, \state_reg[1]_rep\ => \RD.r_channel_0_n_1\, \state_reg[1]_rep_0\ => \RD.ar_channel_0_n_7\ ); SI_REG: entity work.zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axi_register_slice port map ( CO(0) => SI_REG_n_112, D(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2 downto 1), E(0) => \aw_pipe/p_1_in\, O(3) => SI_REG_n_113, O(2) => SI_REG_n_114, O(1) => SI_REG_n_115, O(0) => SI_REG_n_116, Q(47) => s_awid, Q(46) => SI_REG_n_9, Q(45) => SI_REG_n_10, Q(44) => SI_REG_n_11, Q(43) => SI_REG_n_12, Q(42 downto 39) => si_rs_awlen(3 downto 0), Q(38) => si_rs_awburst(1), Q(37) => SI_REG_n_18, Q(36 downto 35) => si_rs_awsize(1 downto 0), Q(34 downto 12) => Q(22 downto 0), Q(11 downto 0) => si_rs_awaddr(11 downto 0), S(3) => \WR.aw_channel_0_n_34\, S(2) => \WR.aw_channel_0_n_35\, S(1) => \WR.aw_channel_0_n_36\, S(0) => \WR.aw_channel_0_n_37\, UNCONN_OUT(35 downto 0) => UNCONN_OUT(35 downto 0), aclk => aclk, aresetn => aresetn, axaddr_incr_reg(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_3\(3 downto 0), \axaddr_incr_reg[11]\(7 downto 0) => C(11 downto 4), \axaddr_incr_reg[11]_0\(3) => SI_REG_n_121, \axaddr_incr_reg[11]_0\(2) => SI_REG_n_122, \axaddr_incr_reg[11]_0\(1) => SI_REG_n_123, \axaddr_incr_reg[11]_0\(0) => SI_REG_n_124, \axaddr_incr_reg[3]\(3) => SI_REG_n_126, \axaddr_incr_reg[3]\(2) => SI_REG_n_127, \axaddr_incr_reg[3]\(1) => SI_REG_n_128, \axaddr_incr_reg[3]\(0) => SI_REG_n_129, \axaddr_incr_reg[3]_0\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\(3 downto 0), \axaddr_incr_reg[7]\(3) => SI_REG_n_117, \axaddr_incr_reg[7]\(2) => SI_REG_n_118, \axaddr_incr_reg[7]\(1) => SI_REG_n_119, \axaddr_incr_reg[7]\(0) => SI_REG_n_120, \axaddr_incr_reg[7]_0\(0) => SI_REG_n_125, \axaddr_offset_r_reg[0]\ => SI_REG_n_153, \axaddr_offset_r_reg[0]_0\ => SI_REG_n_162, \axaddr_offset_r_reg[1]\ => SI_REG_n_132, \axaddr_offset_r_reg[1]_0\ => SI_REG_n_139, \axaddr_offset_r_reg[2]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2 downto 1), \axaddr_offset_r_reg[2]_0\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1\(2 downto 1), \axaddr_offset_r_reg[2]_1\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(2 downto 1), \axaddr_offset_r_reg[3]\ => SI_REG_n_145, \axaddr_offset_r_reg[3]_0\ => SI_REG_n_154, \axlen_cnt_reg[3]\ => SI_REG_n_134, \axlen_cnt_reg[3]_0\ => SI_REG_n_142, b_push => b_push, \cnt_read_reg[0]\ => SI_REG_n_144, \cnt_read_reg[4]\ => \RD.r_channel_0_n_0\, \cnt_read_reg[4]_0\(33 downto 32) => si_rs_rresp(1 downto 0), \cnt_read_reg[4]_0\(31 downto 0) => si_rs_rdata(31 downto 0), \m_axi_araddr[10]\ => SI_REG_n_164, \m_axi_awaddr[10]\ => SI_REG_n_163, \m_payload_i_reg[3]\(3) => \RD.ar_channel_0_n_27\, \m_payload_i_reg[3]\(2) => \RD.ar_channel_0_n_28\, \m_payload_i_reg[3]\(1) => \RD.ar_channel_0_n_29\, \m_payload_i_reg[3]\(0) => \RD.ar_channel_0_n_30\, m_valid_i_reg(0) => \ar_pipe/p_1_in\, next_pending_r_reg => SI_REG_n_135, next_pending_r_reg_0 => SI_REG_n_136, next_pending_r_reg_1 => SI_REG_n_140, next_pending_r_reg_2 => SI_REG_n_143, \out\(0) => si_rs_bid, r_push_r_reg(1) => si_rs_rid, r_push_r_reg(0) => si_rs_rlast, \s_arid_r_reg[0]\(47) => s_arid, \s_arid_r_reg[0]\(46) => SI_REG_n_57, \s_arid_r_reg[0]\(45) => SI_REG_n_58, \s_arid_r_reg[0]\(44) => SI_REG_n_59, \s_arid_r_reg[0]\(43) => SI_REG_n_60, \s_arid_r_reg[0]\(42 downto 39) => si_rs_arlen(3 downto 0), \s_arid_r_reg[0]\(38) => si_rs_arburst(1), \s_arid_r_reg[0]\(37) => SI_REG_n_66, \s_arid_r_reg[0]\(36 downto 35) => si_rs_arsize(1 downto 0), \s_arid_r_reg[0]\(34 downto 12) => \m_axi_arprot[2]\(22 downto 0), \s_arid_r_reg[0]\(11 downto 0) => si_rs_araddr(11 downto 0), s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(0) => s_axi_arid(0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(0) => s_axi_awid(0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), s_axi_awvalid => s_axi_awvalid, s_axi_bid(0) => s_axi_bid(0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bvalid => s_axi_bvalid, s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, \s_bresp_acc_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0), sel_first => \cmd_translator_0/incr_cmd_0/sel_first_2\, sel_first_0 => \cmd_translator_0/incr_cmd_0/sel_first\, si_rs_arvalid => si_rs_arvalid, si_rs_awvalid => si_rs_awvalid, si_rs_bready => si_rs_bready, si_rs_bvalid => si_rs_bvalid, si_rs_rready => si_rs_rready, \state_reg[0]_rep\ => \RD.ar_channel_0_n_9\, \state_reg[1]\ => \WR.aw_channel_0_n_14\, \state_reg[1]_0\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0), \state_reg[1]_rep\ => \RD.ar_channel_0_n_6\, \state_reg[1]_rep_0\ => \RD.ar_channel_0_n_8\, \wrap_boundary_axaddr_r_reg[6]\(6) => SI_REG_n_146, \wrap_boundary_axaddr_r_reg[6]\(5) => SI_REG_n_147, \wrap_boundary_axaddr_r_reg[6]\(4) => SI_REG_n_148, \wrap_boundary_axaddr_r_reg[6]\(3) => SI_REG_n_149, \wrap_boundary_axaddr_r_reg[6]\(2) => SI_REG_n_150, \wrap_boundary_axaddr_r_reg[6]\(1) => SI_REG_n_151, \wrap_boundary_axaddr_r_reg[6]\(0) => SI_REG_n_152, \wrap_boundary_axaddr_r_reg[6]_0\(6) => SI_REG_n_155, \wrap_boundary_axaddr_r_reg[6]_0\(5) => SI_REG_n_156, \wrap_boundary_axaddr_r_reg[6]_0\(4) => SI_REG_n_157, \wrap_boundary_axaddr_r_reg[6]_0\(3) => SI_REG_n_158, \wrap_boundary_axaddr_r_reg[6]_0\(2) => SI_REG_n_159, \wrap_boundary_axaddr_r_reg[6]_0\(1) => SI_REG_n_160, \wrap_boundary_axaddr_r_reg[6]_0\(0) => SI_REG_n_161, \wrap_second_len_r_reg[3]\ => SI_REG_n_133, \wrap_second_len_r_reg[3]_0\ => SI_REG_n_141 ); \WR.aw_channel_0\: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_aw_channel port map ( CO(0) => SI_REG_n_112, D(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2 downto 1), E(0) => \aw_pipe/p_1_in\, O(3) => SI_REG_n_113, O(2) => SI_REG_n_114, O(1) => SI_REG_n_115, O(0) => SI_REG_n_116, Q(24) => s_awid, Q(23) => SI_REG_n_9, Q(22) => SI_REG_n_10, Q(21) => SI_REG_n_11, Q(20) => SI_REG_n_12, Q(19 downto 16) => si_rs_awlen(3 downto 0), Q(15) => si_rs_awburst(1), Q(14) => SI_REG_n_18, Q(13 downto 12) => si_rs_awsize(1 downto 0), Q(11 downto 0) => si_rs_awaddr(11 downto 0), S(3) => \WR.aw_channel_0_n_34\, S(2) => \WR.aw_channel_0_n_35\, S(1) => \WR.aw_channel_0_n_36\, S(0) => \WR.aw_channel_0_n_37\, aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[3]\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_3\(3 downto 0), \axaddr_offset_r_reg[2]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1\(2 downto 1), b_push => b_push, \cnt_read_reg[0]_rep\ => \WR.b_channel_0_n_1\, \cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\, \in\(8) => b_awid, \in\(7 downto 0) => b_awlen(7 downto 0), m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, \m_payload_i_reg[11]\(7 downto 0) => C(11 downto 4), \m_payload_i_reg[35]\ => SI_REG_n_132, \m_payload_i_reg[35]_0\ => SI_REG_n_133, \m_payload_i_reg[38]\ => SI_REG_n_163, \m_payload_i_reg[3]\ => SI_REG_n_153, \m_payload_i_reg[46]\ => SI_REG_n_136, \m_payload_i_reg[47]\ => SI_REG_n_134, \m_payload_i_reg[48]\ => SI_REG_n_135, \m_payload_i_reg[6]\ => SI_REG_n_145, \m_payload_i_reg[6]_0\(6) => SI_REG_n_146, \m_payload_i_reg[6]_0\(5) => SI_REG_n_147, \m_payload_i_reg[6]_0\(4) => SI_REG_n_148, \m_payload_i_reg[6]_0\(3) => SI_REG_n_149, \m_payload_i_reg[6]_0\(2) => SI_REG_n_150, \m_payload_i_reg[6]_0\(1) => SI_REG_n_151, \m_payload_i_reg[6]_0\(0) => SI_REG_n_152, sel_first => \cmd_translator_0/incr_cmd_0/sel_first_2\, sel_first_reg(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0), si_rs_awvalid => si_rs_awvalid, \wrap_boundary_axaddr_r_reg[11]\ => \WR.aw_channel_0_n_14\ ); \WR.b_channel_0\: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_b_channel port map ( aclk => aclk, areset_d1 => areset_d1, b_push => b_push, \cnt_read_reg[0]_rep\ => \WR.b_channel_0_n_1\, \cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\, \in\(8) => b_awid, \in\(7 downto 0) => b_awlen(7 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, \out\(0) => si_rs_bid, si_rs_bready => si_rs_bready, si_rs_bvalid => si_rs_bvalid, \skid_buffer_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0) ); areset_d1_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => aresetn, O => areset_d1_i_1_n_0 ); areset_d1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => areset_d1_i_1_n_0, Q => areset_d1, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_FAMILY : string; attribute C_FAMILY of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "zynq"; attribute C_IGNORE_ID : integer; attribute C_IGNORE_ID of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute C_S_AXI_PROTOCOL : integer; attribute C_S_AXI_PROTOCOL of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "yes"; attribute P_AXI3 : integer; attribute P_AXI3 of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute P_AXI4 : integer; attribute P_AXI4 of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute P_AXILITE : integer; attribute P_AXILITE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "3'b010"; attribute P_CONVERSION : integer; attribute P_CONVERSION of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute P_DECERR : string; attribute P_DECERR of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b11"; attribute P_INCR : string; attribute P_INCR of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b01"; attribute P_PROTECTION : integer; attribute P_PROTECTION of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute P_SLVERR : string; attribute P_SLVERR of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b10"; end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; signal \^m_axi_wready\ : STD_LOGIC; signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_wvalid\ : STD_LOGIC; begin \^m_axi_wready\ <= m_axi_wready; \^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0); \^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0); \^s_axi_wvalid\ <= s_axi_wvalid; m_axi_arburst(1) <= \<const0>\; m_axi_arburst(0) <= \<const1>\; m_axi_arcache(3) <= \<const0>\; m_axi_arcache(2) <= \<const0>\; m_axi_arcache(1) <= \<const0>\; m_axi_arcache(0) <= \<const0>\; m_axi_arid(0) <= \<const0>\; m_axi_arlen(7) <= \<const0>\; m_axi_arlen(6) <= \<const0>\; m_axi_arlen(5) <= \<const0>\; m_axi_arlen(4) <= \<const0>\; m_axi_arlen(3) <= \<const0>\; m_axi_arlen(2) <= \<const0>\; m_axi_arlen(1) <= \<const0>\; m_axi_arlen(0) <= \<const0>\; m_axi_arlock(0) <= \<const0>\; m_axi_arqos(3) <= \<const0>\; m_axi_arqos(2) <= \<const0>\; m_axi_arqos(1) <= \<const0>\; m_axi_arqos(0) <= \<const0>\; m_axi_arregion(3) <= \<const0>\; m_axi_arregion(2) <= \<const0>\; m_axi_arregion(1) <= \<const0>\; m_axi_arregion(0) <= \<const0>\; m_axi_arsize(2) <= \<const0>\; m_axi_arsize(1) <= \<const1>\; m_axi_arsize(0) <= \<const0>\; m_axi_aruser(0) <= \<const0>\; m_axi_awburst(1) <= \<const0>\; m_axi_awburst(0) <= \<const1>\; m_axi_awcache(3) <= \<const0>\; m_axi_awcache(2) <= \<const0>\; m_axi_awcache(1) <= \<const0>\; m_axi_awcache(0) <= \<const0>\; m_axi_awid(0) <= \<const0>\; m_axi_awlen(7) <= \<const0>\; m_axi_awlen(6) <= \<const0>\; m_axi_awlen(5) <= \<const0>\; m_axi_awlen(4) <= \<const0>\; m_axi_awlen(3) <= \<const0>\; m_axi_awlen(2) <= \<const0>\; m_axi_awlen(1) <= \<const0>\; m_axi_awlen(0) <= \<const0>\; m_axi_awlock(0) <= \<const0>\; m_axi_awqos(3) <= \<const0>\; m_axi_awqos(2) <= \<const0>\; m_axi_awqos(1) <= \<const0>\; m_axi_awqos(0) <= \<const0>\; m_axi_awregion(3) <= \<const0>\; m_axi_awregion(2) <= \<const0>\; m_axi_awregion(1) <= \<const0>\; m_axi_awregion(0) <= \<const0>\; m_axi_awsize(2) <= \<const0>\; m_axi_awsize(1) <= \<const1>\; m_axi_awsize(0) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0); m_axi_wid(0) <= \<const0>\; m_axi_wlast <= \<const1>\; m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0); m_axi_wuser(0) <= \<const0>\; m_axi_wvalid <= \^s_axi_wvalid\; s_axi_buser(0) <= \<const0>\; s_axi_ruser(0) <= \<const0>\; s_axi_wready <= \^m_axi_wready\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); \gen_axilite.gen_b2s_conv.axilite_b2s\: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s port map ( Q(22 downto 20) => m_axi_awprot(2 downto 0), Q(19 downto 0) => m_axi_awaddr(31 downto 12), UNCONN_OUT(35) => s_axi_rid(0), UNCONN_OUT(34) => s_axi_rlast, UNCONN_OUT(33 downto 32) => s_axi_rresp(1 downto 0), UNCONN_OUT(31 downto 0) => s_axi_rdata(31 downto 0), aclk => aclk, aresetn => aresetn, \in\(33 downto 32) => m_axi_rresp(1 downto 0), \in\(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), \m_axi_arprot[2]\(22 downto 20) => m_axi_arprot(2 downto 0), \m_axi_arprot[2]\(19 downto 0) => m_axi_araddr(31 downto 12), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(0) => s_axi_arid(0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(0) => s_axi_awid(0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), s_axi_awvalid => s_axi_awvalid, s_axi_bid(0) => s_axi_bid(0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bvalid => s_axi_bvalid, s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0 is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of zqynq_lab_1_design_auto_pc_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of zqynq_lab_1_design_auto_pc_0 : entity is "zqynq_lab_1_design_auto_pc_1,axi_protocol_converter_v2_1_13_axi_protocol_converter,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of zqynq_lab_1_design_auto_pc_0 : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of zqynq_lab_1_design_auto_pc_0 : entity is "axi_protocol_converter_v2_1_13_axi_protocol_converter,Vivado 2017.2"; end zqynq_lab_1_design_auto_pc_0; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0 is signal NLW_inst_m_axi_wlast_UNCONNECTED : STD_LOGIC; signal NLW_inst_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of inst : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of inst : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of inst : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of inst : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of inst : label is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of inst : label is 1; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of inst : label is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of inst : label is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of inst : label is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of inst : label is 1; attribute C_FAMILY : string; attribute C_FAMILY of inst : label is "zynq"; attribute C_IGNORE_ID : integer; attribute C_IGNORE_ID of inst : label is 1; attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of inst : label is 2; attribute C_S_AXI_PROTOCOL : integer; attribute C_S_AXI_PROTOCOL of inst : label is 0; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of inst : label is 2; attribute DowngradeIPIdentifiedWarnings of inst : label is "yes"; attribute P_AXI3 : integer; attribute P_AXI3 of inst : label is 1; attribute P_AXI4 : integer; attribute P_AXI4 of inst : label is 0; attribute P_AXILITE : integer; attribute P_AXILITE of inst : label is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of inst : label is "3'b010"; attribute P_CONVERSION : integer; attribute P_CONVERSION of inst : label is 2; attribute P_DECERR : string; attribute P_DECERR of inst : label is "2'b11"; attribute P_INCR : string; attribute P_INCR of inst : label is "2'b01"; attribute P_PROTECTION : integer; attribute P_PROTECTION of inst : label is 1; attribute P_SLVERR : string; attribute P_SLVERR of inst : label is "2'b10"; begin inst: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter port map ( aclk => aclk, aresetn => aresetn, m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0), m_axi_arburst(1 downto 0) => NLW_inst_m_axi_arburst_UNCONNECTED(1 downto 0), m_axi_arcache(3 downto 0) => NLW_inst_m_axi_arcache_UNCONNECTED(3 downto 0), m_axi_arid(0) => NLW_inst_m_axi_arid_UNCONNECTED(0), m_axi_arlen(7 downto 0) => NLW_inst_m_axi_arlen_UNCONNECTED(7 downto 0), m_axi_arlock(0) => NLW_inst_m_axi_arlock_UNCONNECTED(0), m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0), m_axi_arqos(3 downto 0) => NLW_inst_m_axi_arqos_UNCONNECTED(3 downto 0), m_axi_arready => m_axi_arready, m_axi_arregion(3 downto 0) => NLW_inst_m_axi_arregion_UNCONNECTED(3 downto 0), m_axi_arsize(2 downto 0) => NLW_inst_m_axi_arsize_UNCONNECTED(2 downto 0), m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0), m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0), m_axi_awburst(1 downto 0) => NLW_inst_m_axi_awburst_UNCONNECTED(1 downto 0), m_axi_awcache(3 downto 0) => NLW_inst_m_axi_awcache_UNCONNECTED(3 downto 0), m_axi_awid(0) => NLW_inst_m_axi_awid_UNCONNECTED(0), m_axi_awlen(7 downto 0) => NLW_inst_m_axi_awlen_UNCONNECTED(7 downto 0), m_axi_awlock(0) => NLW_inst_m_axi_awlock_UNCONNECTED(0), m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0), m_axi_awqos(3 downto 0) => NLW_inst_m_axi_awqos_UNCONNECTED(3 downto 0), m_axi_awready => m_axi_awready, m_axi_awregion(3 downto 0) => NLW_inst_m_axi_awregion_UNCONNECTED(3 downto 0), m_axi_awsize(2 downto 0) => NLW_inst_m_axi_awsize_UNCONNECTED(2 downto 0), m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0), m_axi_awvalid => m_axi_awvalid, m_axi_bid(0) => '0', m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_buser(0) => '0', m_axi_bvalid => m_axi_bvalid, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(0) => '0', m_axi_rlast => '1', m_axi_rready => m_axi_rready, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_ruser(0) => '0', m_axi_rvalid => m_axi_rvalid, m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0), m_axi_wid(0) => NLW_inst_m_axi_wid_UNCONNECTED(0), m_axi_wlast => NLW_inst_m_axi_wlast_UNCONNECTED, m_axi_wready => m_axi_wready, m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0), m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0), m_axi_wvalid => m_axi_wvalid, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(0) => '0', s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arlock(0) => s_axi_arlock(0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready => s_axi_arready, s_axi_arregion(3 downto 0) => s_axi_arregion(3 downto 0), s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(0) => '0', s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awlock(0) => s_axi_awlock(0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready => s_axi_awready, s_axi_awregion(3 downto 0) => s_axi_awregion(3 downto 0), s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid => s_axi_awvalid, s_axi_bid(0) => NLW_inst_s_axi_bid_UNCONNECTED(0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(0) => NLW_inst_s_axi_rid_UNCONNECTED(0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wid(0) => '0', s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wuser(0) => '0', s_axi_wvalid => s_axi_wvalid ); end STRUCTURE;
mit
0c69938967ba889bc6ca6457ac18c5b7
0.530643
2.537838
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/embedded_lab_2/embedded_lab_2.srcs/sources_1/bd/zynq_design_1/ip/zynq_design_1_rst_ps7_0_100M_0/sim/zynq_design_1_rst_ps7_0_100M_0.vhd
2
5,881
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 11 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0_11; USE proc_sys_reset_v5_0_11.proc_sys_reset; ENTITY zynq_design_1_rst_ps7_0_100M_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END zynq_design_1_rst_ps7_0_100M_0; ARCHITECTURE zynq_design_1_rst_ps7_0_100M_0_arch OF zynq_design_1_rst_ps7_0_100M_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF zynq_design_1_rst_ps7_0_100M_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END zynq_design_1_rst_ps7_0_100M_0_arch;
mit
b3e8dcca626756f911d585423212eb38
0.706172
3.534255
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/gaisler/ambatest/ahbtbs.vhd
1
5,433
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: ahbtbs -- File: ahbtbs.vhd -- Author: Nils-Johan Wessman - Gaisler Research -- Description: AMBA testbench slave ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; library gaisler; use gaisler.misc.all; use work.ahbtbp.all; entity ahbtbs is generic ( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#fff#; tech : integer := DEFMEMTECH; kbytes : integer := 1); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type ); end; architecture rtl of ahbtbs is constant abits : integer := log2(kbytes) + 8; constant ws : std_logic_vector(7 downto 0) :="00000000"; constant retry : integer := 0; constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, 0, 0, abits+2, 0), 4 => ahb_membar(haddr, '1', '1', hmask), others => zero32); type reg_type is record hwrite : std_ulogic; hready : std_ulogic; hsel : std_ulogic; addr : std_logic_vector(abits+1 downto 0); size : std_logic_vector(1 downto 0); hresp : std_logic_vector(1 downto 0); ws : std_logic_vector(7 downto 0); rty : std_logic_vector(3 downto 0); retry : std_logic; end record; signal r, c : reg_type; signal ramsel : std_ulogic; signal write : std_logic_vector(3 downto 0); signal ramaddr : std_logic_vector(abits-1 downto 0); signal ramdata : std_logic_vector(31 downto 0); begin comb : process (ahbsi, r, rst, ramdata) variable bs : std_logic_vector(3 downto 0); variable v : reg_type; variable haddr : std_logic_vector(abits-1 downto 0); begin v := r; v.hready := '1'; bs := (others => '0'); v.hresp := HRESP_OKAY; if ahbsi.hready = '1' then v.hsel := ahbsi.hsel(hindex) and ahbsi.htrans(1); v.hwrite := ahbsi.hwrite and v.hsel; v.addr := ahbsi.haddr(abits+1 downto 0); v.size := ahbsi.hsize(1 downto 0); v.ws := ws; --v.retry := retry; if retry = 1 then if v.hsel = '1' then v.rty := r.rty - 1; if r.rty = "0000" then v.retry := '0'; v.rty := "0010"; else v.retry := '1'; end if; end if; else v.retry := '0'; end if; end if; if r.ws /= "00000000" and r.hsel = '1' then v.ws := r.ws - 1; end if; if v.ws /= "00000000" and v.hsel = '1' then v.hready := '0'; elsif v.hsel = '1' and v.retry = '1' then if r.hresp = HRESP_OKAY then v.hready := '0'; v.hresp := HRESP_RETRY; else v.hready := '1'; v.hresp := HRESP_RETRY; v.retry := '0'; end if; end if; if (r.hwrite or not r.hready) = '1' then haddr := r.addr(abits+1 downto 2); else haddr := ahbsi.haddr(abits+1 downto 2); bs := (others => '0'); end if; if r.hwrite = '1' and r.hready = '1' then case r.size(1 downto 0) is when "00" => bs (conv_integer(r.addr(1 downto 0))) := '1'; when "01" => bs := r.addr(1) & r.addr(1) & not (r.addr(1) & r.addr(1)); when others => bs := (others => '1'); end case; --v.hready := not (v.hsel and not ahbsi.hwrite); --v.hwrite := v.hwrite and v.hready; end if; if rst = '0' then v.hwrite := '0'; v.hready := '1'; v.ws := ws; v.rty := "0010"; end if; write <= bs; ramsel <= v.hsel or r.hwrite; ahbso.hready <= r.hready; ramaddr <= haddr; c <= v; ahbso.hrdata <= ramdata; end process; ahbso.hresp <= r.hresp; --"00"; ahbso.hsplit <= (others => '0'); ahbso.hirq <= (others => '0'); ahbso.hconfig <= hconfig; ahbso.hindex <= hindex; ra : for i in 0 to 3 generate aram : syncram generic map (tech, abits, 8) port map ( clk, ramaddr, ahbsi.hwdata(i*8+7 downto i*8), ramdata(i*8+7 downto i*8), ramsel, write(3-i)); end generate; reg : process (clk) begin if rising_edge(clk ) then r <= c; end if; end process; -- pragma translate_off bootmsg : report_version generic map ("ahbram" & tost(hindex) & ": AHB SRAM Module rev 1, " & tost(kbytes) & " kbytes"); -- pragma translate_on end;
gpl-2.0
ec2e21b484a5fd2cfc789c7337d0f9a4
0.565433
3.368258
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/gaisler/net/net.vhd
1
15,596
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: net -- File: net.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Package with component and type declarations for network cores ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; package net is type eth_in_type is record gtx_clk : std_ulogic; rmii_clk : std_ulogic; tx_clk : std_ulogic; tx_clk_90 : std_ulogic; tx_dv : std_ulogic; rx_clk : std_ulogic; rxd : std_logic_vector(7 downto 0); rx_dv : std_ulogic; rx_er : std_ulogic; rx_col : std_ulogic; rx_crs : std_ulogic; rx_en : std_ulogic; mdio_i : std_ulogic; mdint : std_ulogic; phyrstaddr : std_logic_vector(4 downto 0); edcladdr : std_logic_vector(3 downto 0); edclsepahb : std_ulogic; edcldisable: std_ulogic; end record; constant eth_in_none : eth_in_type := ('0', '0', '0', '0', '0', '0', (others => '0'), '0', '0', '0', '0', '0', '0', '0', (others => '0'), (others => '0'), '0', '0'); type eth_out_type is record reset : std_ulogic; txd : std_logic_vector(7 downto 0); tx_en : std_ulogic; tx_er : std_ulogic; tx_clk : std_ulogic; mdc : std_ulogic; mdio_o : std_ulogic; mdio_oe : std_ulogic; gbit : std_ulogic; speed : std_ulogic; end record; constant eth_out_none : eth_out_type := ('0', (others => '0'), '0', '0', '0', '0', '0', '1', '0', '0'); type eth_sgmii_in_type is record clkp : std_ulogic; clkn : std_ulogic; rxp : std_ulogic; rxn : std_ulogic; mdio_i : std_ulogic; mdint : std_ulogic; end record; type eth_sgmii_out_type is record reset : std_ulogic; txp : std_ulogic; txn : std_ulogic; mdc : std_ulogic; mdio_o : std_ulogic; mdio_oe : std_ulogic; end record; component eth_arb generic( fullduplex : integer := 0; mdiomaster : integer := 0); port( rst : in std_logic; clk : in std_logic; ethi : in eth_in_type; etho : out eth_out_type; methi : in eth_out_type; metho : out eth_in_type; dethi : in eth_out_type; detho : out eth_in_type ); end component; component greth is generic( hindex : integer := 0; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#FFF#; pirq : integer := 0; memtech : integer := 0; ifg_gap : integer := 24; attempt_limit : integer := 16; backoff_limit : integer := 10; slot_time : integer := 128; mdcscaler : integer range 0 to 255 := 25; enable_mdio : integer range 0 to 1 := 0; fifosize : integer range 4 to 512 := 8; nsync : integer range 1 to 2 := 2; edcl : integer range 0 to 3 := 0; edclbufsz : integer range 1 to 64 := 1; macaddrh : integer := 16#00005E#; macaddrl : integer := 16#000000#; ipaddrh : integer := 16#c0a8#; ipaddrl : integer := 16#0035#; phyrstadr : integer range 0 to 32 := 0; rmii : integer range 0 to 1 := 0; oepol : integer range 0 to 1 := 0; scanen : integer range 0 to 1 := 0; ft : integer range 0 to 2 := 0; edclft : integer range 0 to 2 := 0; mdint_pol : integer range 0 to 1 := 0; enable_mdint : integer range 0 to 1 := 0; multicast : integer range 0 to 1 := 0; ramdebug : integer range 0 to 2 := 0; mdiohold : integer := 1; maxsize : integer := 1500; gmiimode : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; ethi : in eth_in_type; etho : out eth_out_type ); end component; component greth_mb is generic( hindex : integer := 0; ehindex : integer := 0; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#FFF#; pirq : integer := 0; memtech : integer := 0; ifg_gap : integer := 24; attempt_limit : integer := 16; backoff_limit : integer := 10; slot_time : integer := 128; mdcscaler : integer range 0 to 255 := 25; enable_mdio : integer range 0 to 1 := 0; fifosize : integer range 4 to 512 := 8; nsync : integer range 1 to 2 := 2; edcl : integer range 0 to 3 := 0; edclbufsz : integer range 1 to 64 := 1; macaddrh : integer := 16#00005E#; macaddrl : integer := 16#000000#; ipaddrh : integer := 16#c0a8#; ipaddrl : integer := 16#0035#; phyrstadr : integer range 0 to 32 := 0; rmii : integer range 0 to 1 := 0; oepol : integer range 0 to 1 := 0; scanen : integer range 0 to 1 := 0; ft : integer range 0 to 2 := 0; edclft : integer range 0 to 2 := 0; mdint_pol : integer range 0 to 1 := 0; enable_mdint : integer range 0 to 1 := 0; multicast : integer range 0 to 1 := 0; edclsepahb : integer range 0 to 1 := 0; ramdebug : integer range 0 to 2 := 0; mdiohold : integer := 1; maxsize : integer := 1500; gmiimode : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; ahbmi2 : in ahb_mst_in_type; ahbmo2 : out ahb_mst_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; ethi : in eth_in_type; etho : out eth_out_type ); end component; component greth_gbit_mb is generic( hindex : integer := 0; ehindex : integer := 0; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#FFF#; pirq : integer := 0; memtech : integer := 0; ifg_gap : integer := 24; attempt_limit : integer := 16; backoff_limit : integer := 10; slot_time : integer := 128; mdcscaler : integer range 0 to 255 := 25; nsync : integer range 1 to 2 := 2; edcl : integer range 0 to 3 := 0; edclbufsz : integer range 1 to 64 := 1; burstlength : integer range 4 to 128 := 32; macaddrh : integer := 16#00005E#; macaddrl : integer := 16#000000#; ipaddrh : integer := 16#c0a8#; ipaddrl : integer := 16#0035#; phyrstadr : integer range 0 to 32 := 0; sim : integer range 0 to 1 := 0; oepol : integer range 0 to 1 := 0; scanen : integer range 0 to 1 := 0; ft : integer range 0 to 2 := 0; edclft : integer range 0 to 2 := 0; mdint_pol : integer range 0 to 1 := 0; enable_mdint : integer range 0 to 1 := 0; multicast : integer range 0 to 1 := 0; edclsepahb : integer range 0 to 1 := 0; ramdebug : integer range 0 to 2 := 0; mdiohold : integer := 1; gmiimode : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; ahbmi2 : in ahb_mst_in_type; ahbmo2 : out ahb_mst_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; ethi : in eth_in_type; etho : out eth_out_type ); end component; component greth_gbit is generic( hindex : integer := 0; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#FFF#; pirq : integer := 0; memtech : integer := 0; ifg_gap : integer := 24; attempt_limit : integer := 16; backoff_limit : integer := 10; slot_time : integer := 128; mdcscaler : integer range 0 to 255 := 25; nsync : integer range 1 to 2 := 2; edcl : integer range 0 to 3 := 0; edclbufsz : integer range 1 to 64 := 1; burstlength : integer range 4 to 128 := 32; macaddrh : integer := 16#00005E#; macaddrl : integer := 16#000000#; ipaddrh : integer := 16#c0a8#; ipaddrl : integer := 16#0035#; phyrstadr : integer range 0 to 32 := 0; sim : integer range 0 to 1 := 0; oepol : integer range 0 to 1 := 0; scanen : integer range 0 to 1 := 0; ft : integer range 0 to 2 := 0; edclft : integer range 0 to 2 := 0; mdint_pol : integer range 0 to 1 := 0; enable_mdint : integer range 0 to 1 := 0; multicast : integer range 0 to 1 := 0; ramdebug : integer range 0 to 2 := 0; mdiohold : integer := 1; gmiimode : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; ethi : in eth_in_type; etho : out eth_out_type ); end component; component grethm generic( hindex : integer := 0; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#FFF#; pirq : integer := 0; memtech : integer := 0; ifg_gap : integer := 24; attempt_limit : integer := 16; backoff_limit : integer := 10; slot_time : integer := 128; mdcscaler : integer range 0 to 255 := 25; enable_mdio : integer range 0 to 1 := 0; fifosize : integer range 4 to 64 := 8; nsync : integer range 1 to 2 := 2; edcl : integer range 0 to 3 := 0; edclbufsz : integer range 1 to 64 := 1; burstlength : integer range 4 to 128 := 32; macaddrh : integer := 16#00005E#; macaddrl : integer := 16#000000#; ipaddrh : integer := 16#c0a8#; ipaddrl : integer := 16#0035#; phyrstadr : integer range 0 to 32 := 0; rmii : integer range 0 to 1 := 0; sim : integer range 0 to 1 := 0; giga : integer range 0 to 1 := 0; oepol : integer range 0 to 1 := 0; scanen : integer range 0 to 1 := 0; ft : integer range 0 to 2 := 0; edclft : integer range 0 to 1 := 0; mdint_pol : integer range 0 to 1 := 0; enable_mdint : integer range 0 to 1 := 0; multicast : integer range 0 to 1 := 0; ramdebug : integer range 0 to 2 := 0; mdiohold : integer := 1; gmiimode : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; ethi : in eth_in_type; etho : out eth_out_type ); end component; component rgmii is generic ( pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; tech : integer := 0; gmii : integer := 0; debugmem : integer := 0; abits : integer := 8; no_clk_mux : integer := 0; pirq : integer := 0; use90degtxclk : integer := 0 ); port ( rstn : in std_ulogic; gmiii : out eth_in_type; gmiio : in eth_out_type; rgmiii : in eth_in_type; rgmiio : out eth_out_type ; -- APB Status bus apb_clk : in std_logic; apb_rstn : in std_logic; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type ); end component; component sgmii is generic ( fabtech : integer := 0; phy_addr : integer := 0; mode : integer := 0 -- unused ); port ( clk_125 : in std_logic; rst_125 : in std_logic; ser_rx_p : in std_logic; ser_tx_p : out std_logic; txd : in std_logic_vector(7 downto 0); tx_en : in std_logic; tx_er : in std_logic; tx_clk : out std_logic; rxd : out std_logic_vector(7 downto 0); rx_dv : out std_logic; rx_er : out std_logic; rx_col : out std_logic; rx_crs : out std_logic; rx_clk : out std_logic; -- optional MDIO interface to PCS mdc : in std_logic; mdio_o : in std_logic := '0'; mdio_oe : in std_logic := '1'; mdio_i : out std_logic ); end component ; component comma_detect is port ( clk : in std_logic; rstn : in std_logic; indata : in std_logic_vector(9 downto 0); bitslip : out std_logic ); end component; component elastic_buffer is port ( wr_clk : in std_logic; wr_rst : in std_logic; wr_data : in std_logic_vector(9 downto 0); rd_clk : in std_logic; rd_rst : in std_logic; rd_data : out std_logic_vector(9 downto 0) ) ; end component ; end;
gpl-2.0
d19eb394e3d22382e844545a4f875fdd
0.47743
3.781765
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-minimal/testbench.vhd
1
3,883
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; library techmap; use techmap.gencomp.all; library micron; use micron.components.all; use work.debug.all; use work.config.all; entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; clkperiod : integer := 10 -- system clock period ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sdramfile : string := "ram.srec"; -- sdram contents constant ct : integer := clkperiod/2; signal clk : std_logic := '0'; signal rst : std_logic := '0'; signal rstn : std_logic; signal error : std_logic; -- PROM flash signal address : std_logic_vector(26 downto 0):=(others =>'0'); signal data : std_logic_vector(31 downto 0); signal RamCE : std_logic; signal oen : std_ulogic; signal writen : std_ulogic; -- Debug support unit signal dsubre : std_ulogic; -- AHB Uart signal dsurx : std_ulogic; signal dsutx : std_ulogic; -- APB Uart signal urxd : std_ulogic; signal utxd : std_ulogic; -- Output signals for LEDs signal led : std_logic_vector(15 downto 0); begin -- clock and reset clk <= not clk after ct * 1 ns; rst <= '1', '0' after 100 ns; rstn <= not rst; dsubre <= '0'; urxd <= 'H'; d3 : entity work.leon3mp generic map (fabtech, memtech, padtech, clktech) port map ( clk => clk, btnCpuResetn => rstn, -- PROM address => address(22 downto 0), data => data(31 downto 16), RamOE => oen, RamWE => writen, RamCE => RamCE, -- AHB Uart RsRx => dsurx, RsTx => dsutx, -- Output signals for LEDs led => led ); sram0 : sram generic map (index => 4, abits => 24, fname => sdramfile) port map (address(23 downto 0), data(31 downto 24), RamCE, writen, oen); sram1 : sram generic map (index => 5, abits => 24, fname => sdramfile) port map (address(23 downto 0), data(23 downto 16), RamCE, writen, oen); led(3) <= 'L'; -- ERROR pull-down error <= not led(3); iuerr : process begin wait for 5 us; assert (to_X01(error) = '1') report "*** IU in error mode, simulation halted ***" severity failure; end process; data <= buskeep(data) after 5 ns; end;
gpl-2.0
cbbe4040a94346566a09ebe9f02b9851
0.575071
4.061715
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/techmap/maps/allddr.vhd
1
47,087
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Package: allddr -- File: allddr.vhd -- Author: David Lindh, Jiri Gaisler - Gaisler Research -- Description: DDR input/output registers ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; package allddr is component rhumc_iddr_reg is port( Q1 : out std_ulogic; Q2 : out std_ulogic; C1 : in std_ulogic; C2 : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; R : in std_ulogic; S : in std_ulogic ); end component; component unisim_iddr_reg is generic ( tech : integer := virtex4; arch : integer := 0); port( Q1 : out std_ulogic; Q2 : out std_ulogic; C1 : in std_ulogic; C2 : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; R : in std_ulogic; S : in std_ulogic ); end component; component gen_iddr_reg port ( Q1 : out std_ulogic; Q2 : out std_ulogic; C1 : in std_ulogic; C2 : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component rhumc_oddr_reg port ( Q : out std_ulogic; C1 : in std_ulogic; C2 : in std_ulogic; CE : in std_ulogic; D1 : in std_ulogic; D2 : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component ec_oddr_reg port ( Q : out std_ulogic; C1 : in std_ulogic; C2 : in std_ulogic; CE : in std_ulogic; D1 : in std_ulogic; D2 : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component unisim_oddr_reg generic (tech : integer := virtex4; arch : integer := 0); port ( Q : out std_ulogic; C1 : in std_ulogic; C2 : in std_ulogic; CE : in std_ulogic; D1 : in std_ulogic; D2 : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component gen_oddr_reg port ( Q : out std_ulogic; C1 : in std_ulogic; C2 : in std_ulogic; CE : in std_ulogic; D1 : in std_ulogic; D2 : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component axcel_oddr_reg is port( Q : out std_ulogic; C1 : in std_ulogic; C2 : in std_ulogic; CE : in std_ulogic; D1 : in std_ulogic; D2 : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component axcel_iddr_reg is port( Q1 : out std_ulogic; Q2 : out std_ulogic; C1 : in std_ulogic; C2 : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component nextreme_oddr_reg port( CK : in std_ulogic; DH : in std_ulogic; DL : in std_ulogic; DOE : in std_ulogic; Q : out std_ulogic; OE : out std_ulogic; RSTB : in std_ulogic); end component; component nextreme_iddr_reg port( CK : in std_ulogic; D : in std_ulogic; QH : out std_ulogic; QL : out std_ulogic; RSTB : in std_ulogic); end component; component apa3_oddr_reg is port( Q : out std_ulogic; C1 : in std_ulogic; C2 : in std_ulogic; CE : in std_ulogic; D1 : in std_ulogic; D2 : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component apa3_iddr_reg is port( Q1 : out std_ulogic; Q2 : out std_ulogic; C1 : in std_ulogic; C2 : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component apa3e_oddr_reg is port( Q : out std_ulogic; C1 : in std_ulogic; C2 : in std_ulogic; CE : in std_ulogic; D1 : in std_ulogic; D2 : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component apa3e_iddr_reg is port( Q1 : out std_ulogic; Q2 : out std_ulogic; C1 : in std_ulogic; C2 : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component apa3l_oddr_reg is port( Q : out std_ulogic; C1 : in std_ulogic; C2 : in std_ulogic; CE : in std_ulogic; D1 : in std_ulogic; D2 : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component apa3l_iddr_reg is port( Q1 : out std_ulogic; Q2 : out std_ulogic; C1 : in std_ulogic; C2 : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component spartan3e_ddr_phy generic (MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2 ; clk_div : integer := 2; rskew : integer := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- DDR state clock clkread : out std_ulogic; -- DDR read clock lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (13 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data addr : in std_logic_vector (13 downto 0); -- data mask ba : in std_logic_vector ( 1 downto 0); -- data mask dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(1 downto 0); cke : in std_logic_vector(1 downto 0) ); end component; component virtex4_ddr_phy generic (MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2 ; clk_div : integer := 2; rskew : integer := 0; phyiconf : integer := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (13 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data addr : in std_logic_vector (13 downto 0); -- data mask ba : in std_logic_vector ( 1 downto 0); -- data mask dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(1 downto 0); cke : in std_logic_vector(1 downto 0); ck : in std_logic_vector(2 downto 0) ); end component; component virtex2_ddr_phy generic (MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2 ; clk_div : integer := 2; rskew : integer := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (13 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data addr : in std_logic_vector (13 downto 0); -- data mask ba : in std_logic_vector ( 1 downto 0); -- data mask dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(1 downto 0); cke : in std_logic_vector(1 downto 0) ); end component; component stratixii_ddr_phy generic (MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2 ; clk_div : integer := 2); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (13 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data addr : in std_logic_vector (13 downto 0); -- data mask ba : in std_logic_vector ( 1 downto 0); -- data mask dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(1 downto 0); cke : in std_logic_vector(1 downto 0) ); end component; component cycloneiii_ddr_phy generic (MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2 ; clk_div : integer := 2; rskew : integer := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (13 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data addr : in std_logic_vector (13 downto 0); -- data mask ba : in std_logic_vector ( 1 downto 0); -- data mask dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(1 downto 0); cke : in std_logic_vector(1 downto 0) ); end component; component generic_ddr_phy_wo_pads generic (MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2 ; clk_div : integer := 2; rskew : integer := 0; mobile : integer := 0; abits: integer := 14; nclk: integer := 3; ncs: integer := 2); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock clk0r : in std_ulogic; lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data addr : in std_logic_vector (abits-1 downto 0); -- data mask ba : in std_logic_vector ( 1 downto 0); -- data mask dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(ncs-1 downto 0); cke : in std_logic_vector(ncs-1 downto 0); ck : in std_logic_vector(nclk-1 downto 0); moben : in std_logic ); end component; component tsmc90_tci_ddr_phy generic (MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clk90_sigi_0 : in std_logic; rclk_sigi_1 : in std_logic; clkout : out std_ulogic; -- system clock lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); --ddr_clk_fb_out : out std_logic; --ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqsin : in std_logic_vector (dbits/8-1 downto 0);-- ddr dqs ddr_dqsout : out std_logic_vector (dbits/8-1 downto 0);-- ddr dqs ddr_dqsoen : out std_logic_vector (dbits/8-1 downto 0);-- ddr dqs ddr_ad : out std_logic_vector (13 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dqin : in std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dqout : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dqoen : out std_logic_vector (dbits-1 downto 0); -- ddr data addr : in std_logic_vector (13 downto 0); -- ddr address ba : in std_logic_vector ( 1 downto 0); -- ddr bank address dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr output data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(1 downto 0); cke : in std_logic_vector(1 downto 0); ck : in std_logic_vector(2 downto 0); moben : in std_logic; conf : in std_logic_vector(63 downto 0); tstclkout : out std_logic_vector(3 downto 0) ); end component; component virtex5_ddr2_phy_wo_pads generic ( MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2 ; clk_div : integer := 2; ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0; ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0; ddelayb6 : integer := 0; ddelayb7 : integer := 0; ddelayb8: integer := 0; ddelayb9 : integer := 0; ddelayb10 : integer := 0; ddelayb11: integer := 0; numidelctrl : integer := 4; norefclk : integer := 0; tech : integer := virtex5; eightbanks : integer range 0 to 1 := 0; dqsse : integer range 0 to 1 := 0; abits : integer := 14; nclk : integer := 3; ncs : integer := 2); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkref200 : in std_logic; -- input 200MHz clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock return lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(ncs-1 downto 0); addr : in std_logic_vector (abits-1 downto 0); -- ddr addr ba : in std_logic_vector ( 2 downto 0); -- ddr bank address dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(ncs-1 downto 0); cke : in std_logic_vector(ncs-1 downto 0); cal_en : in std_logic_vector(dbits/8-1 downto 0); cal_inc : in std_logic_vector(dbits/8-1 downto 0); cal_rst : in std_logic; odt : in std_logic_vector(ncs-1 downto 0) ); end component; component stratixii_ddr2_phy generic (MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2 ; clk_div : integer := 2; eightbanks : integer range 0 to 1 := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock lock : out std_ulogic; -- PLL locked ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (13 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(1 downto 0); addr : in std_logic_vector (13 downto 0); -- data mask ba : in std_logic_vector ( 2 downto 0); -- data mask dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(1 downto 0); cke : in std_logic_vector(1 downto 0); cal_en : in std_logic_vector(dbits/8-1 downto 0); cal_inc : in std_logic_vector(dbits/8-1 downto 0); cal_rst : in std_logic; odt : in std_logic_vector(1 downto 0) ); end component; component stratixiii_ddr2_phy generic ( MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2 ; clk_div : integer := 2; ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0; ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0; ddelayb6 : integer := 0; ddelayb7 : integer := 0; numidelctrl : integer := 4; norefclk : integer := 0; tech : integer := stratix3; rskew : integer := 0; eightbanks : integer range 0 to 1 := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkref200 : in std_logic; -- input 200MHz clock clkout : out std_ulogic; -- system clock lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqsn : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqsn ddr_ad : out std_logic_vector (13 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(1 downto 0); addr : in std_logic_vector (13 downto 0); -- ddr addrees ba : in std_logic_vector ( 2 downto 0); -- ddr bank address dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(1 downto 0); cke : in std_logic_vector(1 downto 0); cal_en : in std_logic_vector(dbits/8-1 downto 0); cal_inc : in std_logic_vector(dbits/8-1 downto 0); cal_pll : in std_logic_vector(1 downto 0); cal_rst : in std_logic; odt : in std_logic_vector(1 downto 0); oct : in std_logic ); end component; component spartan3a_ddr2_phy generic (MHz : integer := 125; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2; clk_div : integer := 2; tech : integer := spartan3; rskew : integer := 0; eightbanks : integer range 0 to 1 := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqsn : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqsn ddr_ad : out std_logic_vector (13 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(1 downto 0); addr : in std_logic_vector (13 downto 0); ba : in std_logic_vector ( 2 downto 0); dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(1 downto 0); cke : in std_logic_vector(1 downto 0); cal_pll : in std_logic_vector(1 downto 0); odt : in std_logic_vector(1 downto 0) ); end component; component easic90_ddr2_phy generic ( tech : integer; MHz : integer; clk_mul : integer; clk_div : integer; dbits : integer; rstdelay : integer := 200; eightbanks : integer range 0 to 1 := 0); port ( rstn : in std_logic; clk : in std_logic; clkout : out std_ulogic; lock : out std_ulogic; ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); ddr_clk_fb_out : out std_ulogic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; ddr_rasb : out std_ulogic; ddr_casb : out std_ulogic; ddr_dm : out std_logic_vector (dbits/8-1 downto 0); ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); ddr_dqsn : inout std_logic_vector (dbits/8-1 downto 0); ddr_ad : out std_logic_vector (13 downto 0); ddr_ba : out std_logic_vector (1+eightbanks downto 0); ddr_dq : inout std_logic_vector (dbits-1 downto 0); ddr_odt : out std_logic_vector(1 downto 0); addr : in std_logic_vector (13 downto 0); ba : in std_logic_vector ( 2 downto 0); dqin : out std_logic_vector (dbits*2-1 downto 0); dqout : in std_logic_vector (dbits*2-1 downto 0); dm : in std_logic_vector (dbits/4-1 downto 0); oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(1 downto 0); cke : in std_logic_vector(1 downto 0); odt : in std_logic_vector(1 downto 0); dqs_gate : in std_ulogic); end component; component spartan6_ddr2_phy_wo_pads generic (MHz : integer := 125; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2; clk_div : integer := 2; tech : integer := spartan6; rskew : integer := 0; eightbanks : integer range 0 to 1 := 0; abits : integer := 14; nclk : integer := 3; ncs : integer := 2 ); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq_in : in std_logic_vector (dbits-1 downto 0); ddr_dq_out : out std_logic_vector (dbits-1 downto 0); ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); ddr_odt : out std_logic_vector(ncs-1 downto 0); addr : in std_logic_vector (abits-1 downto 0); ba : in std_logic_vector ( 2 downto 0); dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(ncs-1 downto 0); cke : in std_logic_vector(ncs-1 downto 0); cal_en : in std_logic_vector(dbits/8-1 downto 0); cal_inc : in std_logic_vector(dbits/8-1 downto 0); cal_rst : in std_logic; odt : in std_logic_vector(1 downto 0) ); end component; component generic_ddr2_phy_wo_pads is generic (MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2 ; clk_div : integer := 2; rskew : integer := 0; eightbanks: integer := 0; abits: integer := 14; nclk: integer := 3; ncs: integer := 2); port( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock clk0r : in std_ulogic; -- system clock returned --clkread : out std_ulogic; lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(1 downto 0); -- ddr odt addr : in std_logic_vector (abits-1 downto 0); -- data mask ba : in std_logic_vector (2 downto 0); -- data mask dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(1 downto 0); cke : in std_logic_vector(1 downto 0); ck : in std_logic_vector(2 downto 0); odt : in std_logic_vector(1 downto 0) ); end component; component n2x_ddr2_phy is generic ( MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2; clk_div : integer := 2; norefclk : integer := 0; eightbanks : integer range 0 to 1 := 0; dqsse : integer range 0 to 1 := 0; abits : integer := 14; nclk : integer := 3; ncs : integer := 2; ctrl2en : integer := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clk270d : in std_logic; -- input clock shifted 270 degrees -- for operating without PLL clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock return lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqsn : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqsn ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(ncs-1 downto 0); rden_pad : inout std_logic_vector(dbits/8-1 downto 0); -- pad delay comp. dummy I/O addr : in std_logic_vector (abits-1 downto 0); -- ddr address ba : in std_logic_vector ( 2 downto 0); -- ddr bank address dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask noen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(ncs-1 downto 0); cke : in std_logic_vector(ncs-1 downto 0); odt : in std_logic_vector(ncs-1 downto 0); read_pend : in std_logic_vector(7 downto 0); regwdata : in std_logic_vector(63 downto 0); regwrite : in std_logic_vector(1 downto 0); regrdata : out std_logic_vector(63 downto 0); dqin_valid : out std_logic; -- Copy of control signals for 2nd DIMM ddr_web2 : out std_ulogic; -- ddr write enable ddr_rasb2 : out std_ulogic; -- ddr ras ddr_casb2 : out std_ulogic; -- ddr cas ddr_ad2 : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba2 : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address -- Pass through to pads dq_control : in std_logic_vector(17 downto 0); dqs_control : in std_logic_vector(17 downto 0); ck_control : in std_logic_vector(17 downto 0); cmd_control : in std_logic_vector(17 downto 0); compen : in std_logic; compupd : in std_logic ); end component; component ut90nhbd_ddr_phy_wo_pads is generic ( MHz: integer := 100; abits: integer := 15; dbits: integer := 96; nclk: integer := 3; ncs: integer := 2); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock return lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data addr : in std_logic_vector (abits-1 downto 0); -- data mask ba : in std_logic_vector ( 1 downto 0); -- data mask dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(ncs-1 downto 0); cke : in std_logic_vector(ncs-1 downto 0); ck : in std_logic_vector(nclk-1 downto 0); moben : in std_ulogic; dqvalid : out std_ulogic; testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end component; component generic_lpddr2phy_wo_pads is generic ( tech : integer := 0; dbits : integer := 16; nclk: integer := 3; ncs: integer := 2; clkratio: integer := 1; scantest: integer := 0); port ( rst : in std_ulogic; clkin : in std_ulogic; clkin2 : in std_ulogic; clkout : out std_ulogic; clkoutret : in std_ulogic; -- clkout returned clkout2 : out std_ulogic; lock : out std_ulogic; ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_ca : out std_logic_vector(9 downto 0); ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data ca : in std_logic_vector (10*2*clkratio-1 downto 0); cke : in std_logic_vector (ncs*clkratio-1 downto 0); csn : in std_logic_vector (ncs*clkratio-1 downto 0); dqin : out std_logic_vector (dbits*2*clkratio-1 downto 0); -- ddr output data dqout : in std_logic_vector (dbits*2*clkratio-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4*clkratio-1 downto 0); -- data mask ckstop : in std_ulogic; boot : in std_ulogic; wrpend : in std_logic_vector(7 downto 0); rdpend : in std_logic_vector(7 downto 0); wrreq : out std_logic_vector(clkratio-1 downto 0); rdvalid : out std_logic_vector(clkratio-1 downto 0); refcal : in std_ulogic; refcalwu : in std_ulogic; refcaldone : out std_ulogic; phycmd : in std_logic_vector(7 downto 0); phycmden : in std_ulogic; phycmdin : in std_logic_vector(31 downto 0); phycmdout : out std_logic_vector(31 downto 0); testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end component; end;
gpl-2.0
ad52e4836e50efaace963b8ac1c652f3
0.537728
3.301571
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-altera-ep3sl150/config.vhd
1
6,553
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := stratix3; constant CFG_MEMTECH : integer := stratix3; constant CFG_PADTECH : integer := stratix3; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := stratix3; constant CFG_CLKMUL : integer := (30); constant CFG_CLKDIV : integer := (10); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 16#32# + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 0; constant CFG_SVT : integer := 0; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (2); constant CFG_PWD : integer := 0*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 8; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 0; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 2; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 8; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1*2 + 4*0; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 0; constant CFG_ITLBNUM : integer := 2; constant CFG_DTLBNUM : integer := 2; constant CFG_TLB_TYPE : integer := 1 + 0*2; constant CFG_TLB_REP : integer := 1; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2; constant CFG_ATBSZ : integer := 2; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 0; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 2; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0058#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000012#; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 0; constant CFG_MCTRL_RAM16BIT : integer := 1; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 0; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- SSRAM controller constant CFG_SSCTRL : integer := 0; constant CFG_SSCTRLP16 : integer := 0; -- DDR controller constant CFG_DDR2SP : integer := 1; constant CFG_DDR2SP_INIT : integer := 1; constant CFG_DDR2SP_FREQ : integer := (200); constant CFG_DDR2SP_TRFC : integer := (130); constant CFG_DDR2SP_DATAWIDTH : integer := (64); constant CFG_DDR2SP_FTEN : integer := 0; constant CFG_DDR2SP_FTWIDTH : integer := 0; constant CFG_DDR2SP_COL : integer := (10); constant CFG_DDR2SP_SIZE : integer := (256); constant CFG_DDR2SP_DELAY0 : integer := (0); constant CFG_DDR2SP_DELAY1 : integer := (0); constant CFG_DDR2SP_DELAY2 : integer := (0); constant CFG_DDR2SP_DELAY3 : integer := (0); constant CFG_DDR2SP_DELAY4 : integer := (0); constant CFG_DDR2SP_DELAY5 : integer := (0); constant CFG_DDR2SP_DELAY6 : integer := (0); constant CFG_DDR2SP_DELAY7 : integer := (0); constant CFG_DDR2SP_NOSYNC : integer := 0; -- AHB ROM constant CFG_AHBROMEN : integer := 0; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#000#; constant CFG_ROMMASK : integer := 16#E00# + 16#000#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 16; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 8; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#6#; constant CFG_GRGPIO_WIDTH : integer := (3); -- GRLIB debugging constant CFG_DUART : integer := 0; end;
gpl-2.0
0000c4f2a3572a9c700da11faeb956b7
0.646116
3.549837
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/techmap/maps/clkand.vhd
1
3,752
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: clkand -- File: clkand.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Clock gating ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use work.gencomp.all; use work.allclkgen.all; entity clkand is generic( tech : integer := 0; ren : integer range 0 to 1 := 0); -- registered enable port( i : in std_ulogic; en : in std_ulogic; o : out std_ulogic; tsten : in std_ulogic := '0' ); end entity; architecture rtl of clkand is signal eni : std_ulogic; begin re : if ren = 1 generate renproc : process(i) begin if falling_edge(i) then eni <= en; end if; end process; end generate; ce : if ren = 0 generate eni <= en; end generate; struct : if has_clkand(tech) = 1 generate xil : if is_unisim(tech) = 1 generate clkgate : clkand_unisim port map(I => i, en => eni, O => o); end generate; ut : if (tech = ut25) generate clkgate : clkand_ut025crh port map(I => i, en => eni, O => o); end generate; rhl : if (tech = rhlib18t) generate clkgate : clkand_rh_lib18t port map(I => i, en => eni, O => o, tsten => tsten); end generate; ut13 : if (tech = ut130) generate clkgate : clkand_ut130hbd port map(I => i, en => eni, O => o, tsten => tsten); end generate; ut09 : if (tech = ut90) generate clkgate : clkand_ut90nhbd port map(I => i, en => eni, O => o, tsten => tsten); end generate; n2x : if (tech = easic45) generate clkgate : clkand_n2x port map(i => i, en => eni, o => o, tsten => tsten); end generate; saed : if (tech = saed32) generate clkgate : clkand_saed32 port map(i => i, en => eni, o => o, tsten => tsten); end generate; dar : if (tech = dare) generate clkgate : clkand_dare port map(i => i, en => eni, o => o, tsten => tsten); end generate; end generate; gen : if has_clkand(tech) = 0 generate o <= i and (eni or tsten); end generate; end architecture; library ieee; use ieee.std_logic_1164.all; use work.gencomp.all; use work.allclkgen.all; entity clkrand is generic( tech : integer := 0); port( i : in std_ulogic; en : in std_ulogic; o : out std_ulogic; tsten : in std_ulogic := '0' ); end entity; architecture rtl of clkrand is signal eni : std_ulogic; begin ut13 : if (tech = ut130) generate eni <= en or tsten; clkgate : clkrand_ut130hbd port map(I => i, en => en, O => o); end generate; nonut13 : if (tech /= ut130) generate clkgate : clkand generic map (tech, 1) port map (i, en, o, tsten); end generate; end;
gpl-2.0
850ade6980f61ebc28056fface401559
0.58742
3.604227
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-xilinx-vc707/testbench.vhd
1
21,560
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; use work.debug.all; use work.config.all; entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; testahb : boolean := true; USE_MIG_INTERFACE_MODEL : boolean := false ); end; architecture behav of testbench is -- DDR3 Simulation parameters constant SIM_BYPASS_INIT_CAL : string := "FAST"; -- # = "OFF" - Complete memory init & -- calibration sequence -- # = "SKIP" - Not supported -- # = "FAST" - Complete memory init & use -- abbreviated calib sequence constant SIMULATION : string := "TRUE"; -- Should be TRUE during design simulations and -- FALSE during implementations constant promfile : string := "prom.srec"; -- rom contents constant ramfile : string := "ram.srec"; -- ram contents signal clk : std_logic := '0'; signal rst : std_logic := '0'; signal address : std_logic_vector(25 downto 0); signal data : std_logic_vector(15 downto 0); signal button : std_logic_vector(3 downto 0) := "0000"; signal genio : std_logic_vector(59 downto 0); signal romsn : std_logic; signal oen : std_ulogic; signal writen : std_ulogic; signal adv : std_logic; signal GND : std_ulogic := '0'; signal VCC : std_ulogic := '1'; signal NC : std_ulogic := 'Z'; signal txd1 , rxd1 , dsurx : std_logic; signal txd2 , rxd2 , dsutx : std_logic; signal ctsn1 , rtsn1 , dsuctsn : std_ulogic; signal ctsn2 , rtsn2 , dsurtsn : std_ulogic; signal phy_mii_data : std_logic; signal phy_tx_clk : std_ulogic; signal phy_rx_clk : std_ulogic; signal phy_rx_data : std_logic_vector(7 downto 0); signal phy_dv : std_ulogic; signal phy_rx_er : std_ulogic; signal phy_col : std_ulogic; signal phy_crs : std_ulogic; signal phy_tx_data : std_logic_vector(7 downto 0); signal phy_tx_en : std_ulogic; signal phy_tx_er : std_ulogic; signal phy_mii_clk : std_ulogic; signal phy_rst_n : std_ulogic; signal phy_gtx_clk : std_ulogic; signal phy_mii_int_n : std_ulogic; signal clk27 : std_ulogic := '0'; signal clk200p : std_ulogic := '0'; signal clk200n : std_ulogic := '1'; signal clk33 : std_ulogic := '0'; signal clkethp : std_ulogic := '0'; signal clkethn : std_ulogic := '1'; signal txp1 : std_logic; signal txn : std_logic; signal rxp : std_logic := '1'; signal rxn : std_logic := '0'; signal iic_scl : std_ulogic; signal iic_sda : std_ulogic; signal ddc_scl : std_ulogic; signal ddc_sda : std_ulogic; signal dvi_iic_scl : std_logic; signal dvi_iic_sda : std_logic; signal tft_lcd_data : std_logic_vector(11 downto 0); signal tft_lcd_clk_p : std_ulogic; signal tft_lcd_clk_n : std_ulogic; signal tft_lcd_hsync : std_ulogic; signal tft_lcd_vsync : std_ulogic; signal tft_lcd_de : std_ulogic; signal tft_lcd_reset_b : std_ulogic; -- DDR3 memory signal ddr3_dq : std_logic_vector(63 downto 0); signal ddr3_dqs_p : std_logic_vector(7 downto 0); signal ddr3_dqs_n : std_logic_vector(7 downto 0); signal ddr3_addr : std_logic_vector(13 downto 0); signal ddr3_ba : std_logic_vector(2 downto 0); signal ddr3_ras_n : std_logic; signal ddr3_cas_n : std_logic; signal ddr3_we_n : std_logic; signal ddr3_reset_n : std_logic; signal ddr3_ck_p : std_logic_vector(0 downto 0); signal ddr3_ck_n : std_logic_vector(0 downto 0); signal ddr3_cke : std_logic_vector(0 downto 0); signal ddr3_cs_n : std_logic_vector(0 downto 0); signal ddr3_dm : std_logic_vector(7 downto 0); signal ddr3_odt : std_logic_vector(0 downto 0); -- SPI flash signal spi_sel_n : std_ulogic; signal spi_clk : std_ulogic; signal spi_mosi : std_ulogic; signal dsurst : std_ulogic; signal errorn : std_logic; signal switch : std_logic_vector(4 downto 0); -- I/O port signal led : std_logic_vector(6 downto 0); -- I/O port constant lresp : boolean := false; signal tdqs_n : std_logic; signal gmii_tx_clk : std_logic; signal gmii_rx_clk : std_logic; signal gmii_txd : std_logic_vector(7 downto 0); signal gmii_tx_en : std_logic; signal gmii_tx_er : std_logic; signal gmii_rxd : std_logic_vector(7 downto 0); signal gmii_rx_dv : std_logic; signal gmii_rx_er : std_logic; signal configuration_finished : boolean; signal speed_is_10_100 : std_logic; signal speed_is_100 : std_logic; signal usb_clkout : std_logic := '0'; signal usb_d : std_logic_vector(7 downto 0); signal usb_resetn : std_ulogic; signal usb_nxt : std_ulogic; signal usb_stp : std_ulogic; signal usb_dir : std_ulogic; -- GRUSB_DCL test signals signal ddelay : std_ulogic := '0'; signal dstart : std_ulogic := '0'; signal drw : std_ulogic; signal daddr : std_logic_vector(31 downto 0); signal dlen : std_logic_vector(14 downto 0); signal ddi : grusb_dcl_debug_data; signal ddone : std_ulogic; signal ddo : grusb_dcl_debug_data; signal phy_mdio : std_logic; signal phy_mdc : std_ulogic; signal txp_eth, txn_eth : std_logic; component leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; testahb : boolean := false; SIM_BYPASS_INIT_CAL : string := "OFF"; SIMULATION : string := "FALSE"; USE_MIG_INTERFACE_MODEL : boolean := false; autonegotiation : integer := 1 ); port ( reset : in std_ulogic; clk200p : in std_ulogic; -- 200 MHz clock clk200n : in std_ulogic; -- 200 MHz clock address : out std_logic_vector(25 downto 0); data : inout std_logic_vector(15 downto 0); oen : out std_ulogic; writen : out std_ulogic; romsn : out std_logic; adv : out std_logic; ddr3_dq : inout std_logic_vector(63 downto 0); ddr3_dqs_p : inout std_logic_vector(7 downto 0); ddr3_dqs_n : inout std_logic_vector(7 downto 0); ddr3_addr : out std_logic_vector(13 downto 0); ddr3_ba : out std_logic_vector(2 downto 0); ddr3_ras_n : out std_logic; ddr3_cas_n : out std_logic; ddr3_we_n : out std_logic; ddr3_reset_n : out std_logic; ddr3_ck_p : out std_logic_vector(0 downto 0); ddr3_ck_n : out std_logic_vector(0 downto 0); ddr3_cke : out std_logic_vector(0 downto 0); ddr3_cs_n : out std_logic_vector(0 downto 0); ddr3_dm : out std_logic_vector(7 downto 0); ddr3_odt : out std_logic_vector(0 downto 0); dsurx : in std_ulogic; dsutx : out std_ulogic; dsuctsn : in std_ulogic; dsurtsn : out std_ulogic; button : in std_logic_vector(3 downto 0); switch : inout std_logic_vector(4 downto 0); led : out std_logic_vector(6 downto 0); iic_scl : inout std_ulogic; iic_sda : inout std_ulogic; usb_refclk_opt : in std_logic; usb_clkout : in std_logic; usb_d : inout std_logic_vector(7 downto 0); usb_nxt : in std_logic; usb_stp : out std_logic; usb_dir : in std_logic; usb_resetn : out std_ulogic; gtrefclk_p : in std_logic; gtrefclk_n : in std_logic; txp : out std_logic; txn : out std_logic; rxp : in std_logic; rxn : in std_logic; emdio : inout std_logic; emdc : out std_ulogic; eint : in std_ulogic; erst : out std_ulogic; can_txd : out std_logic_vector(0 to CFG_CAN_NUM-1); can_rxd : in std_logic_vector(0 to CFG_CAN_NUM-1); spi_data_out : in std_logic; spi_data_in : out std_ulogic; spi_data_cs_b : out std_ulogic; spi_clk : out std_ulogic ); end component; begin -- clock and reset clk200p <= not clk200p after 2.5 ns; clk200n <= not clk200n after 2.5 ns; clkethp <= not clkethp after 4 ns; clkethn <= not clkethp after 4 ns; rst <= not dsurst; rxd1 <= 'H'; ctsn1 <= '0'; rxd2 <= 'H'; ctsn2 <= '0'; button <= "0000"; switch(3 downto 0) <= "0000"; cpu : leon3mp generic map ( fabtech => fabtech, memtech => memtech, padtech => padtech, clktech => clktech, disas => disas, dbguart => dbguart, pclow => pclow, testahb => testahb, SIM_BYPASS_INIT_CAL => SIM_BYPASS_INIT_CAL, SIMULATION => SIMULATION, USE_MIG_INTERFACE_MODEL => USE_MIG_INTERFACE_MODEL, autonegotiation => 0 ) port map ( reset => rst, clk200p => clk200p, clk200n => clk200n, address => address, data => data, oen => oen, writen => writen, romsn => romsn, adv => adv, ddr3_dq => ddr3_dq, ddr3_dqs_p => ddr3_dqs_p, ddr3_dqs_n => ddr3_dqs_n, ddr3_addr => ddr3_addr, ddr3_ba => ddr3_ba, ddr3_ras_n => ddr3_ras_n, ddr3_cas_n => ddr3_cas_n, ddr3_we_n => ddr3_we_n, ddr3_reset_n => ddr3_reset_n, ddr3_ck_p => ddr3_ck_p, ddr3_ck_n => ddr3_ck_n, ddr3_cke => ddr3_cke, ddr3_cs_n => ddr3_cs_n, ddr3_dm => ddr3_dm, ddr3_odt => ddr3_odt, dsurx => dsurx, dsutx => dsutx, dsuctsn => dsuctsn, dsurtsn => dsurtsn, button => button, switch => switch, led => led, iic_scl => iic_scl, iic_sda => iic_sda, usb_refclk_opt => '0', usb_clkout => usb_clkout, usb_d => usb_d, usb_nxt => usb_nxt, usb_stp => usb_stp, usb_dir => usb_dir, usb_resetn => usb_resetn, gtrefclk_p => clkethp, gtrefclk_n => clkethn, txp => txp_eth, txn => txn_eth, rxp => txp_eth, rxn => txn_eth, emdio => phy_mdio, emdc => phy_mdc, eint => '0', erst => OPEN, can_txd => OPEN, can_rxd => "0", spi_data_out => '0', spi_data_in => OPEN, spi_data_cs_b => OPEN, spi_clk => OPEN ); phy0 : if (CFG_GRETH = 1) generate phy_mdio <= 'H'; p0: phy generic map ( address => 7, extended_regs => 1, aneg => 1, base100_t4 => 1, base100_x_fd => 1, base100_x_hd => 1, fd_10 => 1, hd_10 => 1, base100_t2_fd => 1, base100_t2_hd => 1, base1000_x_fd => 1, base1000_x_hd => 1, base1000_t_fd => 1, base1000_t_hd => 1, rmii => 0, rgmii => 1 ) port map(dsurst, phy_mdio, OPEN , OPEN , OPEN , OPEN , OPEN , OPEN , OPEN , "00000000", '0', '0', phy_mdc, clkethp); end generate; prom0 : for i in 0 to 1 generate sr0 : sram generic map (index => i+4, abits => 26, fname => promfile) port map (address(25 downto 0), data(15-i*8 downto 8-i*8), romsn, writen, oen); end generate; -- Memory model instantiation gen_mem_model : if (USE_MIG_INTERFACE_MODEL /= true) generate ddr3mem : if (CFG_MIG_SERIES7 = 1) generate u1 : ddr3ram generic map ( width => 64, abits => 14, colbits => 10, rowbits => 10, implbanks => 1, fname => ramfile, lddelay => (0 ns), ldguard => 1, speedbin => 9, --DDR3-1600K density => 3, pagesize => 1, changeendian => 8) port map ( ck => ddr3_ck_p(0), ckn => ddr3_ck_n(0), cke => ddr3_cke(0), csn => ddr3_cs_n(0), odt => ddr3_odt(0), rasn => ddr3_ras_n, casn => ddr3_cas_n, wen => ddr3_we_n, dm => ddr3_dm, ba => ddr3_ba, a => ddr3_addr, resetn => ddr3_reset_n, dq => ddr3_dq, dqs => ddr3_dqs_p, dqsn => ddr3_dqs_n, doload => led(3) ); end generate ddr3mem; end generate gen_mem_model; mig_mem_model : if (USE_MIG_INTERFACE_MODEL = true) generate ddr3_dq <= (others => 'Z'); ddr3_dqs_p <= (others => 'Z'); ddr3_dqs_n <= (others => 'Z'); end generate mig_mem_model; errorn <= led(1); errorn <= 'H'; -- ERROR pull-up usbtr: if (CFG_GRUSBHC = 1) generate u0: ulpi port map (usb_clkout, usb_d, usb_nxt, usb_stp, usb_dir, usb_resetn); end generate usbtr; usbdevsim: if (CFG_GRUSBDC = 1) generate u0: grusbdcsim generic map (functm => 0, keepclk => 1) port map (usb_resetn, usb_clkout, usb_d, usb_nxt, usb_stp, usb_dir); end generate usbdevsim; usb_dclsim: if (CFG_GRUSB_DCL = 1) generate u0: grusb_dclsim generic map (functm => 0, keepclk => 1) port map (usb_resetn, usb_clkout, usb_d, usb_nxt, usb_stp, usb_dir, ddelay, dstart, drw, daddr, dlen, ddi, ddone, ddo); usb_dcl_proc : process begin wait for 10 ns; Print("GRUSB_DCL test started"); wait until rising_edge(ddone); -- Write 128 bytes to memory daddr <= X"40000000"; dlen <= conv_std_logic_vector(32,15); for i in 0 to 127 loop ddi(i) <= conv_std_logic_vector(i+8,8); end loop; -- i grusb_dcl_write(usb_clkout, drw, dstart, ddone); -- Read back written data grusb_dcl_read(usb_clkout, drw, dstart, ddone); -- Compare data for i in 0 to 127 loop if ddo(i) /= ddi(i) then Print("ERROR: Data mismatch using GRUSB_DCL"); end if; end loop; Print("GRUSB_DCL test finished"); wait; end process; end generate usb_dclsim; iuerr : process begin wait for 210 us; -- This is for proper DDR3 behaviour durign init phase not needed durin simulation wait on led(3); -- DDR3 Memory Init ready wait for 5000 ns; if to_x01(errorn) = '1' then wait on errorn; end if; assert (to_x01(errorn) = '1') report "*** IU in error mode, simulation halted ***" severity failure ; -- this should be a failure end process; data <= buskeep(data) after 5 ns; dsucom : process procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 320 * 1 ns; begin dsutx <= '1'; dsurst <= '0'; switch(4) <= '0'; wait for 2500 ns; if (USE_MIG_INTERFACE_MODEL /= true) then wait for 210 us; -- This is for proper DDR3 behaviour durign init phase not needed durin simulation end if; dsurst <= '1'; switch(4) <= '1'; if (USE_MIG_INTERFACE_MODEL /= true) then wait on led(3); -- Wait for DDR3 Memory Init ready end if; report "Start DSU transfer"; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart -- Reads from memory and DSU register to mimic GRMON during simulation l1 : loop txc(dsutx, 16#80#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#04#, txp); rxi(dsurx, w32, txp, lresp); --report "DSU read memory " & tost(w32); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); rxi(dsurx, w32, txp, lresp); --report "DSU Break and Single Step register" & tost(w32); end loop l1; wait; -- ** This is only kept for reference -- -- do test read and writes to DDR3 to check status -- Write txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#01#, 16#23#, 16#45#, 16#67#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#04#, txp); txa(dsutx, 16#89#, 16#AB#, 16#CD#, 16#EF#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#08#, txp); txa(dsutx, 16#08#, 16#19#, 16#2A#, 16#3B#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#0C#, txp); txa(dsutx, 16#4C#, 16#5D#, 16#6E#, 16#7F#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#04#, txp); rxi(dsurx, w32, txp, lresp); report "* Read " & tost(w32); txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#08#, txp); rxi(dsurx, w32, txp, lresp); txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#0C#, txp); rxi(dsurx, w32, txp, lresp); wait; -- Register 0x90000000 (DSU Control Register) -- Data 0x0000202e (b0010 0000 0010 1110) -- [0] - Trace Enable -- [1] - Break On Error -- [2] - Break on IU watchpoint -- [3] - Break on s/w break points -- -- [4] - (Break on trap) -- [5] - Break on error traps -- [6] - Debug mode (Read mode only) -- [7] - DSUEN (read mode) -- -- [8] - DSUBRE (read mode) -- [9] - Processor mode error (clears error) -- [10] - processor halt (returns 1 if processor halted) -- [11] - power down mode (return 1 if processor in power down mode) txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#80#, 16#02#, txp); wait; txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#20#, 16#2e#, txp); wait for 25000 ns; txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#01#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#24#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0D#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#70#, 16#11#, 16#78#, txp); txa(dsutx, 16#91#, 16#00#, 16#00#, 16#0D#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp); txa(dsutx, 16#00#, 16#00#, 16#20#, 16#00#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp); wait; end; begin dsuctsn <= '0'; dsucfg(dsutx, dsurx); wait; end process; end ;
gpl-2.0
03eaaa58cf666a0e633588fe5d933344
0.525232
3.353033
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/adventures_with_ip/adventures_with_ip.srcs/sources_1/bd/ip_design/ip/ip_design_zed_audio_ctrl_0_0/synth/ip_design_zed_audio_ctrl_0_0.vhd
1
10,167
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:zed_audio_ctrl:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY work; USE work.i2s_ctrl; ENTITY ip_design_zed_audio_ctrl_0_0 IS PORT ( BCLK : OUT STD_LOGIC; LRCLK : OUT STD_LOGIC; SDATA_I : IN STD_LOGIC; SDATA_O : OUT STD_LOGIC; S_AXI_ACLK : IN STD_LOGIC; S_AXI_ARESETN : IN STD_LOGIC; S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_AWVALID : IN STD_LOGIC; S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_WSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_WVALID : IN STD_LOGIC; S_AXI_BREADY : IN STD_LOGIC; S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_ARVALID : IN STD_LOGIC; S_AXI_RREADY : IN STD_LOGIC; S_AXI_ARREADY : OUT STD_LOGIC; S_AXI_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_RVALID : OUT STD_LOGIC; S_AXI_WREADY : OUT STD_LOGIC; S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_BVALID : OUT STD_LOGIC; S_AXI_AWREADY : OUT STD_LOGIC ); END ip_design_zed_audio_ctrl_0_0; ARCHITECTURE ip_design_zed_audio_ctrl_0_0_arch OF ip_design_zed_audio_ctrl_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF ip_design_zed_audio_ctrl_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT i2s_ctrl IS GENERIC ( C_S_AXI_DATA_WIDTH : INTEGER; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_MIN_SIZE : STD_LOGIC_VECTOR; C_USE_WSTRB : INTEGER; C_DPHASE_TIMEOUT : INTEGER; C_BASEADDR : STD_LOGIC_VECTOR; C_HIGHADDR : STD_LOGIC_VECTOR; C_FAMILY : STRING; C_NUM_REG : INTEGER; C_NUM_MEM : INTEGER; C_SLV_AWIDTH : INTEGER; C_SLV_DWIDTH : INTEGER ); PORT ( BCLK : OUT STD_LOGIC; LRCLK : OUT STD_LOGIC; SDATA_I : IN STD_LOGIC; SDATA_O : OUT STD_LOGIC; S_AXI_ACLK : IN STD_LOGIC; S_AXI_ARESETN : IN STD_LOGIC; S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_AWVALID : IN STD_LOGIC; S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_WSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_WVALID : IN STD_LOGIC; S_AXI_BREADY : IN STD_LOGIC; S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_ARVALID : IN STD_LOGIC; S_AXI_RREADY : IN STD_LOGIC; S_AXI_ARREADY : OUT STD_LOGIC; S_AXI_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_RVALID : OUT STD_LOGIC; S_AXI_WREADY : OUT STD_LOGIC; S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_BVALID : OUT STD_LOGIC; S_AXI_AWREADY : OUT STD_LOGIC ); END COMPONENT i2s_ctrl; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF ip_design_zed_audio_ctrl_0_0_arch: ARCHITECTURE IS "i2s_ctrl,Vivado 2017.3"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF ip_design_zed_audio_ctrl_0_0_arch : ARCHITECTURE IS "ip_design_zed_audio_ctrl_0_0,i2s_ctrl,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF ip_design_zed_audio_ctrl_0_0_arch: ARCHITECTURE IS "ip_design_zed_audio_ctrl_0_0,i2s_ctrl,{x_ipProduct=Vivado 2017.3,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=zed_audio_ctrl,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_S_AXI_DATA_WIDTH=32,C_S_AXI_ADDR_WIDTH=32,C_S_AXI_MIN_SIZE=0x000001FF,C_USE_WSTRB=0,C_DPHASE_TIMEOUT=8,C_BASEADDR=0xFFFFFFFF,C_HIGHADDR=0x00000000,C_FAMILY=zynq,C_NUM_REG=1,C_NUM_MEM=1,C_SLV_AWIDTH=32,C_SLV_DWIDTH=32}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_PARAMETER : STRING; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_AWREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_BVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_BRESP: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_WREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_RVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_RRESP: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_RDATA: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_ARREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_RREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_ARVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_ARADDR: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_BREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_WVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_WSTRB: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_WDATA: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_AWVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_PARAMETER OF S_AXI_AWADDR: SIGNAL IS "XIL_INTERFACENAME S_AXI, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 100000000, ID_WIDTH 0, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 0, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 1, NUM_WRITE_OUTSTANDING 1, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN ip_design_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_AWADDR: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_PARAMETER OF S_AXI_ARESETN: SIGNAL IS "XIL_INTERFACENAME S_AXI_signal_reset, POLARITY ACTIVE_LOW"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_ARESETN: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_signal_reset RST"; ATTRIBUTE X_INTERFACE_PARAMETER OF S_AXI_ACLK: SIGNAL IS "XIL_INTERFACENAME S_AXI_signal_clock, ASSOCIATED_BUSIF S_AXI, ASSOCIATED_RESET S_AXI_ARESETN, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN ip_design_processing_system7_0_0_FCLK_CLK0"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_ACLK: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_signal_clock CLK"; BEGIN U0 : i2s_ctrl GENERIC MAP ( C_S_AXI_DATA_WIDTH => 32, C_S_AXI_ADDR_WIDTH => 32, C_S_AXI_MIN_SIZE => X"000001FF", C_USE_WSTRB => 0, C_DPHASE_TIMEOUT => 8, C_BASEADDR => X"FFFFFFFF", C_HIGHADDR => X"00000000", C_FAMILY => "zynq", C_NUM_REG => 1, C_NUM_MEM => 1, C_SLV_AWIDTH => 32, C_SLV_DWIDTH => 32 ) PORT MAP ( BCLK => BCLK, LRCLK => LRCLK, SDATA_I => SDATA_I, SDATA_O => SDATA_O, S_AXI_ACLK => S_AXI_ACLK, S_AXI_ARESETN => S_AXI_ARESETN, S_AXI_AWADDR => S_AXI_AWADDR, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_WDATA => S_AXI_WDATA, S_AXI_WSTRB => S_AXI_WSTRB, S_AXI_WVALID => S_AXI_WVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_ARADDR => S_AXI_ARADDR, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_RREADY => S_AXI_RREADY, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RDATA => S_AXI_RDATA, S_AXI_RRESP => S_AXI_RRESP, S_AXI_RVALID => S_AXI_RVALID, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BRESP => S_AXI_BRESP, S_AXI_BVALID => S_AXI_BVALID, S_AXI_AWREADY => S_AXI_AWREADY ); END ip_design_zed_audio_ctrl_0_0_arch;
mit
a27b60b5922ca36a4a89143f459b3cd1
0.696666
3.210294
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/gaisler/spi/spi_flash.vhd
1
20,125
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: spi_flash -- File: spi_flash.vhd -- Author: Jan Andersson - Aeroflex Gaisler AB -- [email protected] -- -- Description: -- -- SPI flash simulation models. -- -- +--------------------------------------------------------+ -- | ftype | Memory device | -- +--------+-----------------------------------------------+ -- | 1 | SD card | -- +--------+-----------------------------------------------+ -- | 3 | Simple SPI | -- +--------+-----------------------------------------------+ -- | 4 | SPI memory device | -- +--------+-----------------------------------------------+ -- -- For ftype => 4, the memoffset generic can be used to specify an address -- offset that till be automatically be removed by the memory model. For -- instance, memoffset => 16#1000# and an access to 0x1000 will read the -- internal memory array at offset 0x0. This is a quick hack to support booting -- from SPIMCTRL that has an offset specified and not having to modify the -- SREC. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use std.textio.all; library grlib, gaisler; use grlib.stdlib.all; use grlib.stdio.all; --use gaisler.sim.all; entity spi_flash is generic ( ftype : integer := 0; -- Flash type debug : integer := 0; -- Debug output fname : string := "prom.srec"; -- File to read from readcmd : integer := 16#0B#; -- SPI memory device read command dummybyte : integer := 1; dualoutput : integer := 0; memoffset : integer := 0); -- Addr. offset automatically removed -- by Flash model port ( sck : in std_ulogic; di : inout std_logic; do : inout std_logic; csn : inout std_logic; -- Test control inputs sd_cmd_timeout : in std_ulogic := '0'; sd_data_timeout : in std_ulogic := '0' ); end spi_flash; architecture sim of spi_flash is -- Description: Simple, incomplete, model of SD card procedure simple_sd_model ( constant dbg : in integer; signal sck : in std_ulogic; signal di : in std_ulogic; signal do : out std_ulogic; signal csn : in std_ulogic; -- Test control inputs signal cmd_to : in std_ulogic; -- force command response timeout signal data_to : in std_ulogic) is -- force data token timeout type sd_state_type is (idle, wait_cmd55, wait_acmd41, wait_cmd16, wait_cmd17); type response_type is array (0 to 10) of std_logic_vector(7 downto 0); variable state : sd_state_type := idle; variable received_command : std_ulogic := '0'; variable respond : std_ulogic := '0'; variable response : response_type; variable resp_size : integer; variable indata : std_logic_vector(7 downto 0); variable command : std_logic_vector(47 downto 0); variable index : integer; variable bcnt : integer; constant CMD0 : std_logic_vector(5 downto 0) := "000000"; constant CMD16 : std_logic_vector(5 downto 0) := "010000"; constant CMD17 : std_logic_vector(5 downto 0) := "010001"; constant CMD55 : std_logic_vector(5 downto 0) := "110111"; constant ACMD41 : std_logic_vector(5 downto 0) := "101001"; constant R1 : std_logic_vector(7 downto 0) := X"00"; constant DATA_TOKEN : std_logic_vector(7 downto 0) := X"FE"; constant DATA_ERR_TOKEN : std_logic_vector(7 downto 0) := X"01"; begin -- simple_sd_model loop if csn /= '0' then wait until csn = '0'; end if; index := 0; command := (others => '0'); -- Receive data do <= '1'; while received_command = '0' and csn = '0' loop wait until rising_edge(sck); indata := indata(6 downto 0) & di; index := index + 1; if index = 8 then -- Received a byte command := command(39 downto 0) & indata; if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received byte: " & tost(indata)); end if; if (command(47 downto 46) = "01" and command(7 downto 0) = X"95") then received_command := '1'; end if; index := 0; end if; end loop; if received_command = '1' then case state is when idle => if command(45 downto 40) = CMD0 then if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received CMD0"); end if; if cmd_to = '0' then state := wait_cmd55; end if; response(0) := R1; response(1) := (others => '1'); resp_size := 2; respond := not cmd_to; else if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received unexpected CMD" & tost(conv_integer(command(45 downto 40)))); end if; end if; when wait_cmd55 => if command(45 downto 40) = CMD55 then if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received CMD55"); end if; state := wait_acmd41; response(0) := R1; response(1) := (others => '1'); resp_size := 2; respond := not cmd_to; else if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received unexpected CMD" & tost(conv_integer(command(45 downto 40)))); end if; end if; when wait_acmd41 => if command(45 downto 40) = ACMD41 then if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received CMD41"); end if; if cmd_to = '0' then state := wait_cmd16; else state := idle; end if; response(0) := R1; response(1) := (others => '1'); resp_size := 2; respond := not cmd_to; else if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received unexpected CMD" & tost(conv_integer(command(45 downto 40)))); end if; end if; when wait_cmd16 => if command(45 downto 40) = CMD16 then if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received CMD16"); Print(time'image(now) & ": simple_sd_model: BLOCKLEN set to " & tost(conv_integer(command(39 downto 8)))); end if; state := wait_cmd17; response(0) := R1; response(1) := (others => '1'); resp_size := 2; respond := not cmd_to; else if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received unexpected CMD" & tost(conv_integer(command(45 downto 40)))); end if; end if; when wait_cmd17 => if command(45 downto 40) = CMD17 then if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received CMD17"); Print(time'image(now) & ": simple_sd_model: Read from address " & tost(conv_integer(command(39 downto 8)))); end if; response(0) := R1; response(1) := (others => '1'); response(2) := (others => '1'); response(3) := DATA_TOKEN; -- Data response is address response(4) := command(39 downto 32); response(5) := command(31 downto 24); response(6) := command(23 downto 16); response(7) := command(15 downto 8); if data_to = '1' then resp_size := 1; else resp_size := 8; end if; respond := not cmd_to; elsif command(45 downto 40) = CMD0 then if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received CMD0"); end if; if cmd_to = '0' then state := wait_cmd55; end if; response(0) := R1; response(1) := (others => '1'); resp_size := 2; respond := not cmd_to; else if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: received unexpected CMD" & tost(conv_integer(command(45 downto 40)))); end if; end if; end case; received_command := '0'; end if; if respond = '1' then bcnt := 0; while resp_size > bcnt loop if dbg /= 0 then Print(time'image(now) & ": simple_sd_model: Responding with " & tost(response(bcnt))); end if; index := 0; while index < 8 loop wait until falling_edge(sck); do <= response(bcnt)(7); response(bcnt)(7 downto 1) := response(bcnt)(6 downto 0); index := index + 1; end loop; bcnt := bcnt + 1; end loop; respond := '0'; wait until rising_edge(sck); else do <= '1'; end if; end loop; end simple_sd_model; -- purpose: Simple, incomplete, model of SPI Flash device procedure simple_spi_flash_model ( constant dbg : in integer; constant readcmd : in integer; constant dummybyte : in boolean; constant dualoutput : in boolean; signal sck : in std_ulogic; signal di : inout std_ulogic; signal do : out std_ulogic; signal csn : in std_ulogic) is constant readinst : std_logic_vector(7 downto 0) := conv_std_logic_vector(readcmd, 8); variable received_command : std_ulogic := '0'; variable respond : std_ulogic := '0'; variable response : std_logic_vector(31 downto 0); variable indata : std_logic_vector(7 downto 0); variable command : std_logic_vector(39 downto 0); variable index : integer; begin -- simple_spi_flash_model di <= 'Z'; do <= 'Z'; loop if csn /= '0' then wait until csn = '0'; end if; index := 0; command := (others => '0'); while received_command = '0' and csn = '0' loop wait until rising_edge(sck); indata := indata(6 downto 0) & di; index := index + 1; if index = 8 then command := command(31 downto 0) & indata; if dbg /= 0 then Print(time'image(now) & ": simple_spi_flash_model: received byte: " & tost(indata)); end if; if ((dummybyte and command(39 downto 32) = readinst) or (not dummybyte and command(31 downto 24) = readinst)) then received_command := '1'; end if; index := 0; end if; end loop; if received_command = '1' then response := (others => '0'); if dummybyte then response(23 downto 0) := command(31 downto 8); else response(23 downto 0) := command(23 downto 0); end if; index := 31 - conv_integer(response(1 downto 0)) * 8; response(1 downto 0) := (others => '0'); while csn = '0' loop while index >= 0 and csn = '0' loop wait until falling_edge(sck) or csn = '1'; if dualoutput then do <= response(index); di <= response(index-1); index := index - 2; else do <= response(index); index := index - 1; end if; end loop; index := 31; response := response + 4; end loop; if dualoutput then di <= 'Z'; end if; received_command := '0'; else do <= '1'; end if; end loop; end simple_spi_flash_model; -- purpose: SPI memory device that reads input from prom.srec procedure spi_memory_model ( constant dbg : in integer; constant readcmd : in integer; constant dummybyte : in boolean; constant dualoutput : in boolean; signal sck : in std_ulogic; signal di : inout std_ulogic; signal do : inout std_ulogic; signal csn : in std_ulogic) is constant readinst : std_logic_vector(7 downto 0) := conv_std_logic_vector(readcmd, 8); variable received_command : std_ulogic := '0'; variable respond : std_ulogic := '0'; variable response : std_logic_vector(31 downto 0); variable address : std_logic_vector(23 downto 0); variable indata : std_logic_vector(7 downto 0); variable command : std_logic_vector(39 downto 0); variable index : integer; file fload : text open read_mode is fname; variable fline : line; variable fchar : character; variable rtype : std_logic_vector(3 downto 0); variable raddr : std_logic_vector(31 downto 0); variable rlen : std_logic_vector(7 downto 0); variable rdata : std_logic_vector(0 to 127); variable wordaddr : integer; type mem_type is array (0 to 8388607) of std_logic_vector(31 downto 0); variable mem : mem_type := (others => (others => '1')); begin -- spi_memory_model di <= 'Z'; do <= 'Z'; -- Load memory data from file while not endfile(fload) loop readline(fload, fline); read(fline, fchar); if fchar /= 'S' or fchar /= 's' then hread(fline, rtype); hread(fline, rlen); raddr := (others => '0'); case rtype is when "0001" => hread(fline, raddr(15 downto 0)); when "0010" => hread(fline, raddr(23 downto 0)); when "0011" => hread(fline, raddr); raddr(31 downto 24) := (others => '0'); when others => next; end case; hread(fline, rdata); for i in 0 to 3 loop mem(conv_integer(raddr(31 downto 2)+i)) := rdata(i*32 to i*32+31); end loop; end if; end loop; loop if csn /= '0' then wait until csn = '0'; end if; index := 0; command := (others => '0'); while received_command = '0' and csn = '0' loop wait until rising_edge(sck); indata := indata(6 downto 0) & di; index := index + 1; if index = 8 then command := command(31 downto 0) & indata; if dbg /= 0 then Print(time'image(now) & ": spi_memory_model: received byte: " & tost(indata)); end if; if ((dummybyte and command(39 downto 32) = readinst) or (not dummybyte and command(31 downto 24) = readinst)) then received_command := '1'; end if; index := 0; end if; end loop; if received_command = '1' then response := (others => '0'); if dummybyte then address := command(31 downto 8); else address := command(23 downto 0); end if; if dbg /= 0 then Print(time'image(now) & ": spi_memory_model: received address: " & tost(address)); if memoffset /= 0 then Print(time'image(now) & ": spi_memory_model: address after removed offset " & tost(address-memoffset)); end if; end if; if memoffset /= 0 then address := address - memoffset; end if; index := 31 - conv_integer(address(1 downto 0)) * 8; while csn = '0' loop response := mem(conv_integer(address(23 downto 2))); if dbg /= 0 then Print(time'image(now) & ": spi_memory_model: responding with data: " & tost(response(index downto 0))); end if; while index >= 0 and csn = '0' loop wait until falling_edge(sck) or csn = '1'; if dualoutput then do <= response(index); di <= response(index-1); index := index - 2; else do <= response(index); index := index - 1; end if; end loop; index := 31; address := address + 4; end loop; if dualoutput then di <= 'Z'; end if; do <= 'Z'; received_command := '0'; else do <= 'Z'; end if; end loop; end spi_memory_model; signal vdd : std_ulogic := '1'; signal gnd : std_ulogic := '0'; begin -- sim -- ftype0: if ftype = 0 generate -- csn <= 'Z'; -- di <= 'Z'; -- flash0 : s25fl064a -- generic map (tdevice_PU => 1 us, -- TimingChecksOn => true, -- MsgOn => debug = 1, -- UserPreLoad => true) -- port map (SCK => sck, SI => di, CSNeg => csn, HOLDNeg => vdd, -- WNeg => vdd, SO => do); -- end generate ftype0; ftype1: if ftype = 1 generate csn <= 'H'; di <= 'Z'; simple_sd_model(debug, sck, di, do, csn, sd_cmd_timeout, sd_data_timeout); end generate ftype1; -- ftype2: if ftype = 2 generate -- csn <= 'Z'; -- di <= 'Z'; -- flash0 : m25p80 -- generic map (TimingChecksOn => false, -- MsgOn => debug = 1, -- UserPreLoad => true) -- port map (C => sck, D => di, SNeg => csn, HOLDNeg => vdd, -- WNeg => vdd, Q => do); -- end generate ftype2; ftype3: if ftype = 3 generate csn <= 'Z'; simple_spi_flash_model ( dbg => debug, readcmd => readcmd, dummybyte => dummybyte /= 0, dualoutput => dualoutput /= 0, sck => sck, di => di, do => do, csn => csn); end generate ftype3; ftype4: if ftype = 4 generate spi_memory_model ( dbg => debug, readcmd => readcmd, dummybyte => dummybyte /= 0, dualoutput => dualoutput /= 0, sck => sck, di => di, do => do, csn => csn); csn <= 'Z'; end generate ftype4; notsupported: if ftype > 4 generate assert false report "spi_flash: no model" severity failure; end generate notsupported; end sim;
gpl-2.0
a78a6d264f92974d4978f31940d00110
0.488944
4.129052
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/techmap/umc18/memory_umc18.vhd
1
9,424
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: various -- File: mem_umc_gen.vhd -- Author: Jiri Gaisler Gaisler Research -- Description: Memory generators for UMC rams ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library umc18; use umc18.SRAM_2048wx32b; use umc18.SRAM_1024wx32b; use umc18.SRAM_512wx32b; use umc18.SRAM_256wx32b; use umc18.SRAM_128wx32b; use umc18.SRAM_64wx32b; use umc18.SRAM_32wx32b; use umc18.SRAM_2048wx40b; use umc18.SRAM_1024wx40b; use umc18.SRAM_512wx40b; use umc18.SRAM_256wx40b; use umc18.SRAM_128wx40b; use umc18.SRAM_64wx40b; use umc18.SRAM_32wx40b; -- pragma translate_on entity umc_syncram is generic ( abits : integer := 10; dbits : integer := 8 ); port ( clk : in std_ulogic; address : in std_logic_vector(abits -1 downto 0); datain : in std_logic_vector(dbits -1 downto 0); dataout : out std_logic_vector(dbits -1 downto 0); enable : in std_ulogic; write : in std_ulogic ); end; architecture rtl of umc_syncram is component SRAM_2048wx32b is port ( a : in std_logic_vector(10 downto 0); data : in std_logic_vector(31 downto 0); csn : in std_logic; wen : in std_logic; oen : in std_logic; q : out std_logic_vector(31 downto 0); clk : in std_logic ); end component; component SRAM_1024wx32b is port ( a : in std_logic_vector(9 downto 0); data : in std_logic_vector(31 downto 0); csn : in std_logic; wen : in std_logic; oen : in std_logic; q : out std_logic_vector(31 downto 0); clk : in std_logic ); end component; component SRAM_512wx32b is port ( a : in std_logic_vector(8 downto 0); data : in std_logic_vector(31 downto 0); csn : in std_logic; wen : in std_logic; oen : in std_logic; q : out std_logic_vector(31 downto 0); clk : in std_logic ); end component; component SRAM_256wx32b is port ( a : in std_logic_vector(7 downto 0); data : in std_logic_vector(31 downto 0); csn : in std_logic; wen : in std_logic; oen : in std_logic; q : out std_logic_vector(31 downto 0); clk : in std_logic ); end component; component SRAM_128wx32b is port ( a : in std_logic_vector(6 downto 0); data : in std_logic_vector(31 downto 0); csn : in std_logic; wen : in std_logic; oen : in std_logic; q : out std_logic_vector(31 downto 0); clk : in std_logic ); end component; component SRAM_64wx32b is port ( a : in std_logic_vector(5 downto 0); data : in std_logic_vector(31 downto 0); csn : in std_logic; wen : in std_logic; oen : in std_logic; q : out std_logic_vector(31 downto 0); clk : in std_logic ); end component; component SRAM_32wx32b is port ( a : in std_logic_vector(4 downto 0); data : in std_logic_vector(31 downto 0); csn : in std_logic; wen : in std_logic; oen : in std_logic; q : out std_logic_vector(31 downto 0); clk : in std_logic ); end component; component SRAM_2048wx40b is port ( a : in std_logic_vector(10 downto 0); data : in std_logic_vector(39 downto 0); csn : in std_logic; wen : in std_logic; oen : in std_logic; q : out std_logic_vector(39 downto 0); clk : in std_logic ); end component; component SRAM_1024wx40b is port ( a : in std_logic_vector(9 downto 0); data : in std_logic_vector(39 downto 0); csn : in std_logic; wen : in std_logic; oen : in std_logic; q : out std_logic_vector(39 downto 0); clk : in std_logic ); end component; component SRAM_512wx40b is port ( a : in std_logic_vector(8 downto 0); data : in std_logic_vector(39 downto 0); csn : in std_logic; wen : in std_logic; oen : in std_logic; q : out std_logic_vector(39 downto 0); clk : in std_logic ); end component; component SRAM_256wx40b is port ( a : in std_logic_vector(7 downto 0); data : in std_logic_vector(39 downto 0); csn : in std_logic; wen : in std_logic; oen : in std_logic; q : out std_logic_vector(39 downto 0); clk : in std_logic ); end component; component SRAM_128wx40b is port ( a : in std_logic_vector(6 downto 0); data : in std_logic_vector(39 downto 0); csn : in std_logic; wen : in std_logic; oen : in std_logic; q : out std_logic_vector(39 downto 0); clk : in std_logic ); end component; component SRAM_64wx40b is port ( a : in std_logic_vector(5 downto 0); data : in std_logic_vector(39 downto 0); csn : in std_logic; wen : in std_logic; oen : in std_logic; q : out std_logic_vector(39 downto 0); clk : in std_logic ); end component; component SRAM_32wx40b is port ( a : in std_logic_vector(4 downto 0); data : in std_logic_vector(39 downto 0); csn : in std_logic; wen : in std_logic; oen : in std_logic; q : out std_logic_vector(39 downto 0); clk : in std_logic ); end component; signal d, q, gnd : std_logic_vector(41 downto 0); signal a : std_logic_vector(17 downto 0); signal vcc, csn, wen : std_ulogic; constant synopsys_bug : std_logic_vector(41 downto 0) := (others => '0'); begin csn <= not enable; wen <= not write; gnd <= (others => '0'); vcc <= '1'; a(abits -1 downto 0) <= address; d(dbits -1 downto 0) <= datain(dbits -1 downto 0); a(17 downto abits) <= synopsys_bug(17 downto abits); d(41 downto dbits) <= synopsys_bug(41 downto dbits); dataout <= q(dbits -1 downto 0); -- q(41 downto dbits) <= synopsys_bug(41 downto dbits); d32 : if (dbits <= 32) generate a5d32 : if (abits <= 5) generate id0 : SRAM_32wx32b port map (a(4 downto 0), d(31 downto 0), csn, wen, gnd(0), q(31 downto 0), clk); end generate; a6d32 : if (abits = 6) generate id0 : SRAM_64wx32b port map (a(5 downto 0), d(31 downto 0), csn, wen, gnd(0), q(31 downto 0), clk); end generate; a7d32 : if (abits = 7) generate id0 : SRAM_128wx32b port map (a(6 downto 0), d(31 downto 0), csn, wen, gnd(0), q(31 downto 0), clk); end generate; a8d32 : if (abits = 8) generate id0 : SRAM_256wx32b port map (a(7 downto 0), d(31 downto 0), csn, wen, gnd(0), q(31 downto 0), clk); end generate; a9d32 : if (abits = 9) generate id0 : SRAM_512wx32b port map (a(8 downto 0), d(31 downto 0), csn, wen, gnd(0), q(31 downto 0), clk); end generate; a10d32 : if (abits = 10) generate id0 : SRAM_1024wx32b port map (a(9 downto 0), d(31 downto 0), csn, wen, gnd(0), q(31 downto 0), clk); end generate; a11d32 : if (abits = 11) generate id0 : SRAM_2048wx32b port map (a(10 downto 0), d(31 downto 0), csn, wen, gnd(0), q(31 downto 0), clk); end generate; end generate; d40 : if (dbits > 32) and (dbits <= 40) generate a5d40 : if (abits <= 5) generate id0 : SRAM_32wx40b port map (a(4 downto 0), d(39 downto 0), csn, wen, gnd(0), q(39 downto 0), clk); end generate; a6d40 : if (abits = 6) generate id0 : SRAM_64wx40b port map (a(5 downto 0), d(39 downto 0), csn, wen, gnd(0), q(39 downto 0), clk); end generate; a7d40 : if (abits = 7) generate id0 : SRAM_128wx40b port map (a(6 downto 0), d(39 downto 0), csn, wen, gnd(0), q(39 downto 0), clk); end generate; a8d40 : if (abits = 8) generate id0 : SRAM_256wx40b port map (a(7 downto 0), d(39 downto 0), csn, wen, gnd(0), q(39 downto 0), clk); end generate; a9d40 : if (abits = 9) generate id0 : SRAM_512wx40b port map (a(8 downto 0), d(39 downto 0), csn, wen, gnd(0), q(39 downto 0), clk); end generate; a10d40 : if (abits = 10) generate id0 : SRAM_1024wx40b port map (a(9 downto 0), d(39 downto 0), csn, wen, gnd(0), q(39 downto 0), clk); end generate; a11d40 : if (abits = 11) generate id0 : SRAM_2048wx40b port map (a(10 downto 0), d(39 downto 0), csn, wen, gnd(0), q(39 downto 0), clk); end generate; end generate; -- pragma translate_off a_to_high : if (abits > 11) or (dbits > 40) generate x : process begin assert false report "Unsupported memory size (umc18)" severity failure; wait; end process; end generate; -- pragma translate_on end;
gpl-2.0
a15b2a18fa9b61eab02613cf576387b4
0.606324
2.90954
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/spw/wrapper/grspw_gen.vhd
1
11,111
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: grspw_gen -- File: grspw_gen.vhd -- Author: Marko Isomaki - Gaisler Research -- Description: Generic GRSPW core ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library spw; use spw.spwcomp.all; entity grspw_gen is generic( tech : integer := 0; sysfreq : integer := 10000; usegen : integer range 0 to 1 := 1; nsync : integer range 1 to 2 := 1; rmap : integer range 0 to 2 := 0; rmapcrc : integer range 0 to 1 := 0; fifosize1 : integer range 4 to 32 := 32; fifosize2 : integer range 16 to 64 := 64; rxclkbuftype : integer range 0 to 2 := 0; rxunaligned : integer range 0 to 1 := 0; rmapbufs : integer range 2 to 8 := 4; ft : integer range 0 to 2 := 0; scantest : integer range 0 to 1 := 0; techfifo : integer range 0 to 1 := 1; ports : integer range 1 to 2 := 1; memtech : integer := 0; nodeaddr : integer range 0 to 255 := 254; destkey : integer range 0 to 255 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; txclk : in std_ulogic; rxclk : in std_logic_vector(1 downto 0); --ahb mst in hgrant : in std_ulogic; hready : in std_ulogic; hresp : in std_logic_vector(1 downto 0); hrdata : in std_logic_vector(31 downto 0); --ahb mst out hbusreq : out std_ulogic; hlock : out std_ulogic; htrans : out std_logic_vector(1 downto 0); haddr : out std_logic_vector(31 downto 0); hwrite : out std_ulogic; hsize : out std_logic_vector(2 downto 0); hburst : out std_logic_vector(2 downto 0); hprot : out std_logic_vector(3 downto 0); hwdata : out std_logic_vector(31 downto 0); --apb slv in psel : in std_ulogic; penable : in std_ulogic; paddr : in std_logic_vector(31 downto 0); pwrite : in std_ulogic; pwdata : in std_logic_vector(31 downto 0); --apb slv out prdata : out std_logic_vector(31 downto 0); --spw in d : in std_logic_vector(1 downto 0); nd : in std_logic_vector(9 downto 0); dconnect : in std_logic_vector(3 downto 0); --spw out do : out std_logic_vector(1 downto 0); so : out std_logic_vector(1 downto 0); rxrsto : out std_ulogic; --time iface tickin : in std_ulogic; tickout : out std_ulogic; --irq irq : out std_logic; --misc clkdiv10 : in std_logic_vector(7 downto 0); dcrstval : in std_logic_vector(9 downto 0); timerrstval : in std_logic_vector(11 downto 0); --rmapen rmapen : in std_ulogic; rmapnodeaddr : in std_logic_vector(7 downto 0); linkdis : out std_ulogic; testclk : in std_ulogic := '0'; testrst : in std_ulogic := '0'; testen : in std_ulogic := '0' ); end entity; architecture rtl of grspw_gen is constant fabits1 : integer := log2(fifosize1); constant fabits2 : integer := log2(fifosize2); constant rfifo : integer := 5 + log2(rmapbufs); --rx ahb fifo signal rxrenable : std_ulogic; signal rxraddress : std_logic_vector(4 downto 0); signal rxwrite : std_ulogic; signal rxwdata : std_logic_vector(31 downto 0); signal rxwaddress : std_logic_vector(4 downto 0); signal rxrdata : std_logic_vector(31 downto 0); --tx ahb fifo signal txrenable : std_ulogic; signal txraddress : std_logic_vector(4 downto 0); signal txwrite : std_ulogic; signal txwdata : std_logic_vector(31 downto 0); signal txwaddress : std_logic_vector(4 downto 0); signal txrdata : std_logic_vector(31 downto 0); --nchar fifo signal ncrenable : std_ulogic; signal ncraddress : std_logic_vector(5 downto 0); signal ncwrite : std_ulogic; signal ncwdata : std_logic_vector(8 downto 0); signal ncwaddress : std_logic_vector(5 downto 0); signal ncrdata : std_logic_vector(8 downto 0); --rmap buf signal rmrenable : std_ulogic; signal rmrenablex : std_ulogic; signal rmraddress : std_logic_vector(7 downto 0); signal rmwrite : std_ulogic; signal rmwdata : std_logic_vector(7 downto 0); signal rmwaddress : std_logic_vector(7 downto 0); signal rmrdata : std_logic_vector(7 downto 0); attribute syn_netlist_hierarchy : boolean; attribute syn_netlist_hierarchy of rtl : architecture is false; begin grspwc0 : grspwc generic map( sysfreq => sysfreq, usegen => usegen, nsync => nsync, rmap => rmap, rmapcrc => rmapcrc, fifosize1 => fifosize1, fifosize2 => fifosize2, rxunaligned => rxunaligned, rmapbufs => rmapbufs, scantest => scantest, ports => ports, tech => tech, nodeaddr => nodeaddr, destkey => destkey) port map( rst => rst, clk => clk, txclk => txclk, --ahb mst in hgrant => hgrant, hready => hready, hresp => hresp, hrdata => hrdata, --ahb mst out hbusreq => hbusreq, hlock => hlock, htrans => htrans, haddr => haddr, hwrite => hwrite, hsize => hsize, hburst => hburst, hprot => hprot, hwdata => hwdata, --apb slv in psel => psel, penable => penable, paddr => paddr, pwrite => pwrite, pwdata => pwdata, --apb slv out prdata => prdata, --spw in d => d, nd => nd, dconnect => dconnect, --spw out do => do, so => so, rxrsto => rxrsto, --time iface tickin => tickin, tickout => tickout, --clk bufs rxclki => rxclk, --irq irq => irq, --misc clkdiv10 => clkdiv10, dcrstval => dcrstval, timerrstval => timerrstval, --rmapen rmapen => rmapen, rmapnodeaddr => rmapnodeaddr, --rx ahb fifo rxrenable => rxrenable, rxraddress => rxraddress, rxwrite => rxwrite, rxwdata => rxwdata, rxwaddress => rxwaddress, rxrdata => rxrdata, --tx ahb fifo txrenable => txrenable, txraddress => txraddress, txwrite => txwrite, txwdata => txwdata, txwaddress => txwaddress, txrdata => txrdata, --nchar fifo ncrenable => ncrenable, ncraddress => ncraddress, ncwrite => ncwrite, ncwdata => ncwdata, ncwaddress => ncwaddress, ncrdata => ncrdata, --rmap buf rmrenable => rmrenable, rmraddress => rmraddress, rmwrite => rmwrite, rmwdata => rmwdata, rmwaddress => rmwaddress, rmrdata => rmrdata, linkdis => linkdis, testclk => clk, testrst => testrst, testen => testen ); ntst: if scantest = 0 generate rmrenablex <= rmrenable; end generate; tst: if scantest = 1 generate rmrenablex <= rmrenable and not testen; end generate; ------------------------------------------------------------------------------ -- FIFOS --------------------------------------------------------------------- ------------------------------------------------------------------------------ nft : if ft = 0 generate --receiver AHB FIFO rx_ram0 : syncram_2p generic map(memtech*techfifo, fabits1, 32) port map(clk, rxrenable, rxraddress(fabits1-1 downto 0), rxrdata, clk, rxwrite, rxwaddress(fabits1-1 downto 0), rxwdata); --receiver nchar FIFO rx_ram1 : syncram_2p generic map(memtech*techfifo, fabits2, 9) port map(clk, ncrenable, ncraddress(fabits2-1 downto 0), ncrdata, clk, ncwrite, ncwaddress(fabits2-1 downto 0), ncwdata); --transmitter FIFO tx_ram0 : syncram_2p generic map(memtech*techfifo, fabits1, 32) port map(clk, txrenable, txraddress(fabits1-1 downto 0), txrdata, clk, txwrite, txwaddress(fabits1-1 downto 0), txwdata); --RMAP Buffer rmap_ram : if (rmap /= 0) generate ram0 : syncram_2p generic map(memtech, rfifo, 8) port map(clk, rmrenablex, rmraddress(rfifo-1 downto 0), rmrdata, clk, rmwrite, rmwaddress(rfifo-1 downto 0), rmwdata); end generate; end generate; ft1 : if ft /= 0 generate --receiver AHB FIFO rx_ram0 : syncram_2pft generic map(memtech*techfifo, fabits1, 32, 0, 0, ft*techfifo) port map(clk, rxrenable, rxraddress(fabits1-1 downto 0), rxrdata, clk, rxwrite, rxwaddress(fabits1-1 downto 0), rxwdata); --receiver nchar FIFO rx_ram1 : syncram_2pft generic map(memtech*techfifo, fabits2, 9, 0, 0, 2*techfifo) port map(clk, ncrenable, ncraddress(fabits2-1 downto 0), ncrdata, clk, ncwrite, ncwaddress(fabits2-1 downto 0), ncwdata); --transmitter FIFO tx_ram0 : syncram_2pft generic map(memtech*techfifo, fabits1, 32, 0, 0, ft*techfifo) port map(clk, txrenable, txraddress(fabits1-1 downto 0), txrdata, clk, txwrite, txwaddress(fabits1-1 downto 0), txwdata); --RMAP Buffer rmap_ram : if (rmap /= 0) generate ram0 : syncram_2pft generic map(memtech, rfifo, 8, 0, 0, 2) port map(clk, rmrenablex, rmraddress(rfifo-1 downto 0), rmrdata, clk, rmwrite, rmwaddress(rfifo-1 downto 0), rmwdata); end generate; end generate; end architecture;
gpl-2.0
a3d6731a45381b0e0955e21e372a156c
0.551526
4.065496
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/gaisler/sim/ddr2ram.vhd
1
22,479
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: ddr2ram -- File: ddr2ram.vhd -- Author: Magnus Hjorth, Aeroflex Gaisler -- Description: Generic simulation model of DDR2 SDRAM (JESD79-2C) ------------------------------------------------------------------------------ --pragma translate_off use std.textio.all; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library grlib; use grlib.stdio.hread; use grlib.stdlib.all; entity ddr2ram is generic ( width: integer := 32; abits: integer range 13 to 16 := 13; babits: integer range 2 to 3 := 3; colbits: integer range 9 to 11 := 9; rowbits: integer range 1 to 16 := 13; implbanks: integer range 1 to 8 := 1; fname: string; lddelay: time := (0 ns); -- Speed bins: 0:DDR2-400C,1:400B,2:533C,3:533B,4:667D,5:667C,6:800E,7:800D,8:800C -- 9:800+ (MT47H-25E) speedbin: integer range 0 to 9 := 0; density: integer range 1 to 5 := 3; -- 1:256M 2:512M 3:1G 4:2G 5:4G bits/chip pagesize: integer range 1 to 2 := 1 -- 1K/2K page size (controls tRRD) ); port ( ck: in std_ulogic; ckn: in std_ulogic; cke: in std_ulogic; csn: in std_ulogic; odt: in std_ulogic; rasn: in std_ulogic; casn: in std_ulogic; wen: in std_ulogic; dm: in std_logic_vector(width/8-1 downto 0); ba: in std_logic_vector(babits-1 downto 0); a: in std_logic_vector(abits-1 downto 0); dq: inout std_logic_vector(width-1 downto 0); dqs: inout std_logic_vector(width/8-1 downto 0); dqsn: inout std_logic_vector(width/8-1 downto 0) ); end; architecture sim of ddr2ram is type moderegs is record -- Mode register (0) pd: std_ulogic; wr: std_logic_vector(2 downto 0); dllres: std_ulogic; tm: std_ulogic; caslat: std_logic_vector(2 downto 0); bt: std_ulogic; blen: std_logic_vector(2 downto 0); -- Extended mode register 1 qoff: std_ulogic; rdqsen: std_ulogic; dqsndis: std_ulogic; ocdprog: std_logic_vector(2 downto 0); al: std_logic_vector(2 downto 0); rtt: std_logic_vector(1 downto 0); ds: std_ulogic; dlldis: std_ulogic; -- Extended mode register 2 srf: std_ulogic; dccen: std_ulogic; pasr: std_logic_vector(2 downto 0); -- Extended mode register 3 emr3: std_logic_vector(abits-1 downto 0); end record; -- Mode registers as signal, useful for debugging signal mr: moderegs; -- Handshaking between command and DQ/DQS processes signal read_en, write_en: boolean := false; signal read_data, write_data: std_logic_vector(2*width-1 downto 0); signal write_mask: std_logic_vector(width/4-1 downto 0); signal initdone: boolean := false; -- Small delta-t to adjust calculations for jitter tol. constant deltat: time := 50 ps; -- Timing parameters constant tWR: time := 15 ns; constant tMRD_ck: integer := 2; constant tRTP: time := 7.5 ns; type timetab is array (0 to 9) of time; -- 400C 400B 533C 533B 667D 667C 800E 800D 800C MT-2.5E constant tRAS : timetab := (45 ns, 40 ns, 45 ns, 45 ns, 45 ns, 45 ns, 45 ns, 45 ns, 45 ns, 40 ns); constant tRP : timetab := (20 ns, 15 ns, 15 ns, 11.25 ns, 15 ns, 12 ns, 15 ns, 12.5 ns, 10 ns, 12.5 ns); constant tRCD: timetab := tRP; type timetab2 is array(1 to 5) of time; constant tRFC: timetab2 := (75 ns, 105 ns, 127.5 ns, 195 ns, 327.5 ns); type timetab3 is array(1 to 2) of time; constant tRRD: timetab3 := (7.5 ns, 10 ns); begin ----------------------------------------------------------------------------- -- Init sequence checker ----------------------------------------------------------------------------- initp: process variable cyctr: integer := 0; procedure checkcmd(crasn,ccasn,cwen: std_ulogic; cba: std_logic_vector(1 downto 0); ca: std_logic_vector(15 downto 0)) is variable amatch: boolean; begin wait until rising_edge(ck); cyctr := cyctr+1; while cke='1' and (csn='1' or (rasn='1' and casn='1' and wen='1')) loop wait until rising_edge(ck); cyctr := cyctr+1; end loop; amatch := true; for x in a'range loop if ca(x)/='-' and ca(x)/=a(x) then amatch:=false; end if; end loop; assert cke='1' and csn='0' and rasn=crasn and casn=ccasn and wen=cwen and (cba="--" or cba=ba(1 downto 0)) and amatch report "Wrong command during init sequence" severity warning; end checkcmd; variable t: time; begin initdone <= false; -- Allow cke to be X or U for a while during sim start if is_x(cke) then wait until not is_x(cke); end if; assert cke='0' report "CKE not deasserted on power-up" severity warning; wait until cke/='0' for 200 us; assert cke='0' report "CKE raised with less than 200 us init delay" severity warning; wait until cke/='0' and rising_edge(ck); assert cke='1' and (csn='1' or (rasn='1' and casn='1' and wen='1')); t := now; -- Precharge all checkcmd('0','1','0',"--","-----1----------"); assert (now-t) > 400 ns report "Less than 400 ns wait period after CKE high!" severity warning; -- EMRS EMR2 checkcmd('0','0','0',"10","----------------"); -- EMRS EMR3 checkcmd('0','0','0',"11","----------------"); -- EMRS enable DLL checkcmd('0','0','0',"01","000---000-------"); -- MRS reset DLL checkcmd('0','0','0',"00","000----1--------"); cyctr := 0; -- Precharge all checkcmd('0','1','0',"--","-----1----------"); -- 2 x auto refresh checkcmd('0','0','1',"--","----------------"); checkcmd('0','0','1',"--","----------------"); -- MRS !reset DLL checkcmd('0','0','0',"00","-------0--------"); -- EMRS EMR1 OCD default, EMRS EMR1 exit OCD cal -- (assume OCD impedance adjust not performed) checkcmd('0','0','0',"01","------111-------"); assert cyctr >= 200 report "Less than 200 cycles (" & tost(cyctr) & ") between DLL reset and OCD cal" severity warning; checkcmd('0','0','0',"01","------000-------"); initdone <= true; wait; end process; ----------------------------------------------------------------------------- -- Command state machine ----------------------------------------------------------------------------- cmdp: process(ck) subtype coldata is std_logic_vector(width-1 downto 0); type coldata_arr is array(0 to implbanks*(2**(colbits+rowbits))-1) of coldata; variable memdata: coldata_arr; procedure load_srec is file TCF : text open read_mode is fname; variable L1: line; variable CH : character; variable rectype : std_logic_vector(3 downto 0); variable recaddr : std_logic_vector(31 downto 0); variable reclen : std_logic_vector(7 downto 0); variable recdata : std_logic_vector(0 to 16*8-1); variable col, coloffs, len: integer; begin L1:= new string'(""); while not endfile(TCF) loop readline(TCF,L1); if (L1'length /= 0) then while (not (L1'length=0)) and (L1(L1'left) = ' ') loop std.textio.read(L1,CH); end loop; if L1'length > 0 then read(L1, ch); if (ch = 'S') or (ch = 's') then hread(L1, rectype); hread(L1, reclen); len := to_integer(unsigned(reclen))-1; recaddr := (others => '0'); case rectype is when "0001" => hread(L1, recaddr(15 downto 0)); len := len - 2; when "0010" => hread(L1, recaddr(23 downto 0)); len := len - 3; when "0011" => hread(L1, recaddr); len := len - 4; when others => next; end case; hread(L1, recdata(0 to len*8-1)); col := to_integer(unsigned(recaddr(log2(width/8)+rowbits+colbits+1 downto log2(width/8)))); coloffs := 8*to_integer(unsigned(recaddr(log2(width/8)-1 downto 0))); while len > width/8 loop assert coloffs=0; memdata(col) := recdata(0 to width-1); col := col+1; len := len-width/8; recdata(0 to recdata'length-width-1) := recdata(width to recdata'length-1); end loop; memdata(col)(width-1-coloffs downto width-coloffs-len*8) := recdata(0 to len*8-1); end if; end if; end if; end loop; end load_srec; variable vmr: moderegs; type bankstate is record openrow: integer; opentime: time; closetime: time; writetime: time; readtime: time; autopch: integer; pchpush: boolean; end record; type bankstate_arr is array(natural range <>) of bankstate; variable banks: bankstate_arr(7 downto 0) := (others => (-1, 0 ns, 0 ns, 0 ns, 0 ns, -1, false)); type int_arr is array(natural range <>) of integer; type dataacc is record r,w: boolean; col: int_arr(0 to 1); bank: integer; end record; type dataacc_arr is array(natural range <>) of dataacc; variable accpipe: dataacc_arr(0 to 9); variable cmd: std_logic_vector(2 downto 0); variable bank: integer; variable colv: unsigned(a'high-1 downto 0); variable alow: unsigned(2 downto 0); variable col: integer; variable prev_re, re: time; variable blen: integer; variable lastref: time := 0 ns; variable i, al, cl, wrap: integer; variable b: boolean; variable mrscount: integer := 0; variable loaded: boolean := false; procedure checktime(got, exp: time; gt: boolean; req: string) is begin assert (got + deltat > exp and gt) or (got-deltat < exp and not gt) report (req & " violation, got: " & tost(got/(1 ps)) & " ps, exp: " & tost(exp/(1 ps)) & "ps") severity warning; end checktime; begin if rising_edge(ck) then -- Update pipe regs prev_re := re; re := now; accpipe(1 to accpipe'high) := accpipe(0 to accpipe'high-1); accpipe(0).r:=false; accpipe(0).w:=false; -- Parse MR fields cmd := rasn & casn & wen; if is_x(vmr.caslat) then cl:=0; else cl:=to_integer(unsigned(vmr.caslat)); end if; if cl<2 or cl>6 then cl:=0; end if; if is_x(vmr.al) then al:=0; else al:=to_integer(unsigned(vmr.al)); end if; if al>5 then al:=0; end if; if is_x(vmr.wr) then wrap:=0; else wrap:=1+to_integer(unsigned(vmr.wr)); end if; if wrap<2 or wrap>6 then wrap:=0; end if; -- Checks for all-bank commands if mrscount > 0 then mrscount := mrscount-1; assert cke='1' and (csn='1' or cmd="111") report "tMRS violation!" severity warning; end if; if cke='1' and csn='0' and cmd/="111" then checktime(now-lastref, tRFC(density), true, "tRFC"); end if; -- Main command handler if cke='1' and csn='0' then case cmd is when "111" => -- NOP when "011" => -- RAS assert initdone report "Opening row before init sequence done!" severity warning; bank := to_integer(unsigned(ba)); assert banks(bank).openrow < 0 report "Row already open" severity warning; checktime(now-banks(bank).closetime, tRP(speedbin), true, "tRP"); for x in 0 to 7 loop checktime(now-banks(x).opentime, tRRD(pagesize), true, "tRRD"); end loop; banks(bank).openrow := to_integer(unsigned(a(rowbits-1 downto 0))); banks(bank).opentime := now; when "101" | "100" => -- Read/Write bank := to_integer(unsigned(ba)); -- Get additive latency i := to_integer(unsigned(vmr.al)); assert banks(bank).openrow >= 0 report "Row not open" severity error; checktime(now-banks(bank).opentime+al*(re-prev_re), tRCD(speedbin), true, "tRCD"); -- Allow interrupting read in case of middle of BL8 burst only if (accpipe(3).r and accpipe(2).r and not (accpipe(1).r or accpipe(1).w or accpipe(0).r or accpipe(0).w)) then accpipe(3).r := false; accpipe(2).r := false; end if; for x in 0 to 3 loop assert not accpipe(x).r and not accpipe(x).w; end loop; if cmd(0)='1' then accpipe(3).r:=true; else accpipe(3).w:=true; end if; colv := unsigned(std_logic_vector'(a(a'high downto 11) & a(9 downto 0))); case vmr.blen is when "010" => blen := 4; when "011" => blen := 8; when others => assert false report "Invalid burst length setting in MR!" severity error; end case; alow := unsigned(a(2 downto 0)); for x in 0 to blen-1 loop accpipe(3-x/2).bank := bank; if cmd(0)='1' then accpipe(3-x/2).r:=true; else accpipe(3-x/2).w:=true; end if; if vmr.bt='0' then -- Sequential colv(log2(blen)-1 downto 0) := alow(log2(blen)-1 downto 0) + x; else -- Interleaved colv(log2(blen)-1 downto 0) := alow(log2(blen)-1 downto 0) xor to_unsigned(x,log2(blen)); end if; col := to_integer(unsigned(ba))*(2**(colbits+rowbits)) + banks(bank).openrow * (2**colbits) + to_integer(colv(colbits-1 downto 0)); accpipe(3-x/2).col(x mod 2) := col; end loop; -- Auto precharge if a(10)='1' then if cmd(0)='1' then banks(bank).autopch := al+blen/2; else banks(bank).autopch := cl+al-1+blen/2+wrap; end if; banks(bank).pchpush := true; end if; when "110" => -- Reserved (Burst terminate on DDR1) assert false report "Invalid command RAS=1 CAS=1 WE=0" severity warning; when "010" => -- Precharge if a(10)='0' then bank := to_integer(unsigned(ba)); else bank:=0; end if; for x in 3 downto 0 loop -- FIXME potential window which isn't checked if AL>0 assert (not (accpipe(x).r or accpipe(x).w)) or (a(10)='0' and bank/=accpipe(x).bank) report "Precharging bank with access in progress" severity warning; end loop; for x in 0 to (2**babits)-1 loop if a(10)='1' or ba=std_logic_vector(to_unsigned(x,babits)) then assert banks(x).autopch<0 report "Precharging bank that is auto-precharged!" severity note; assert a(10)='1' or banks(x).openrow >= 0 report "Precharging single bank that is in idle state!" severity note; banks(x).autopch := 0; -- Handled below case statement banks(x).pchpush := false; end if; end loop; when "001" => -- Auto refresh for x in 0 to 7 loop assert banks(x).openrow < 0 report "Bank in wrong state for auto refresh!" severity warning; checktime(now-banks(x).closetime, tRP(speedbin), true, "tRP"); end loop; lastref := now; when "000" => -- MRS for x in 0 to 7 loop checktime(now-banks(x).closetime, tRP(speedbin), true, "tRP"); end loop; bank := to_integer(unsigned(ba)); case bank is when 0 => vmr.pd := a(12); vmr.wr := a(11 downto 9); vmr.dllres := a(8); vmr.tm := a(7); vmr.caslat := a(6 downto 4); vmr.bt := a(3); vmr.blen := a(2 downto 0); when 1 => vmr.qoff := a(12); vmr.rdqsen := a(11); vmr.dqsndis := a(10); vmr.ocdprog := a(9 downto 7); vmr.al := a(5 downto 3); vmr.rtt := a(6) & a(2); vmr.ds := a(1); vmr.dlldis := a(0); when 2 => vmr.srf := a(7); vmr.dccen := a(3); vmr.pasr := a(2 downto 0); when 3 => vmr.emr3 := a; when others => assert false report ("MRS to invalid bank addr: " & std_logic'image(ba(1)) & std_logic'image(ba(0))) severity warning; end case; mrscount := tMRD_ck-1; when others => assert false report ("Invalid command: " & std_logic'image(rasn) & std_logic'image(casn) & std_logic'image(wen)) severity warning; end case; end if; -- Manual or auto precharge handling for x in 0 to 7 loop if banks(x).autopch=0 then if banks(x).pchpush and (now-banks(x).opentime-deltat) < tRAS(speedbin) then -- Auto delay auto-precharge to satisfy tRAS/tRC banks(x).autopch := banks(x).autopch+1; elsif banks(x).pchpush and (now-banks(x).readtime-deltat) < tRTP then -- Auto delay auto-precharge to satisfy tRTP banks(x).autopch := banks(x).autopch+1; else checktime(now-banks(x).writetime, tWR, true, "tWR"); checktime(now-banks(x).opentime, tRAS(speedbin), true, "tRAS"); checktime(now-banks(x).readtime, tRTP, true, "tRTP"); banks(x).openrow := -1; banks(x).closetime := now; end if; end if; if banks(x).autopch >= 0 then banks(x).autopch := banks(x).autopch - 1; end if; end loop; -- Read/write management if not loaded and lddelay < now then load_srec; loaded := true; end if; if accpipe(2+cl+al).r then assert cl>1 report "Incorrect CL setting!" severity warning; read_en <= true; -- print("Reading from col " & tost(accpipe(2+i).col(0)) & " and " & tost(accpipe(2+i).col(1))); -- col0 <= accpipe(2+i).col(0); col1 <= accpipe(2+i).col(1); read_data <= memdata(accpipe(2+cl+al).col(0)) & memdata(accpipe(2+cl+al).col(1)); else read_en <= false; end if; -- tRTP is counted from read command + AL for BL4, read command + AL + 2 -- for BL8. This check covers both cases by writing readtime on the next-to-last -- transfer. if accpipe(3+al).r and accpipe(2+al).r and accpipe(3+al).bank=accpipe(2+al).bank then banks(accpipe(2+al).bank).readtime := now; end if; write_en <= accpipe(1+cl+al).w or accpipe(2+cl+al).w; if accpipe(3+cl+al).w then assert not is_x(write_mask) report "Write error!"; for x in 0 to 1 loop for b in width/8-1 downto 0 loop if write_mask((1-x)*width/8+b)='0' then memdata(accpipe(3+cl+al).col(x))(8*b+7 downto 8*b) := write_data( (1-x)*width+b*8+7 downto (1-x)*width+b*8); end if; end loop; end loop; banks(accpipe(3+cl+al).bank).writetime := now; end if; end if; mr <= vmr; end process; ----------------------------------------------------------------------------- -- DQS/DQ handling and data sampling process ----------------------------------------------------------------------------- dqproc: process variable rdata: std_logic_vector(2*width-1 downto 0); variable hdata: std_logic_vector(width-1 downto 0); variable hmask: std_logic_vector(width/8-1 downto 0); variable prevdqs: std_logic_vector(width/8-1 downto 0); begin dq <= (others => 'Z'); dqs <= (others => 'Z'); dqsn <= (others => 'Z'); wait until read_en or write_en; assert not (read_en and write_en); if read_en then dqs <= (others => '0'); dqsn <= (others => '1'); wait until falling_edge(ck); while read_en loop rdata := read_data; wait until rising_edge(ck); dqs <= (others => '1'); dqsn <= (others => '0'); dq <= rdata(2*width-1 downto width); wait until falling_edge(ck); dqs <= (others => '0'); dqsn <= (others => '1'); dq <= rdata(width-1 downto 0); end loop; wait until rising_edge(ck); else wait until falling_edge(ck); assert to_X01(dqs)=(dqs'range => '0'); while write_en loop prevdqs := to_X01(dqs); wait until to_X01(dqs) /= prevdqs or not write_en or rising_edge(ck); if rising_edge(ck) then write_data <= (others => 'X'); write_mask <= (others => 'X'); end if; for x in dqs'range loop if prevdqs(x)='0' and to_X01(dqs(x))='1' then hdata(8*x+7 downto 8*x) := dq(8*x+7 downto 8*x); hmask(x) := dm(x); elsif prevdqs(x)='1' and to_X01(dqs(x))='0' then write_data(width+8*x+7 downto width+8*x) <= hdata(8*x+7 downto 8*x); write_data(8*x+7 downto 8*x) <= dq(8*x+7 downto 8*x); write_mask(width/8+x) <= hmask(x); write_mask(x) <= dm(x); end if; end loop; end loop; end if; end process; end; -- pragma translate_on
gpl-2.0
a1b6af60cbc772bf494cc9e401c7cfec
0.531474
3.692952
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab2/CNN_Optimization/cnn_optimization/solution1_6/impl/vhdl/project.srcs/sources_1/ip/convolve_kernel_ap_fmul_6_max_dsp_32/synth/convolve_kernel_ap_fmul_6_max_dsp_32.vhd
3
12,822
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_4; USE floating_point_v7_1_4.floating_point_v7_1_4; ENTITY convolve_kernel_ap_fmul_6_max_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END convolve_kernel_ap_fmul_6_max_dsp_32; ARCHITECTURE convolve_kernel_ap_fmul_6_max_dsp_32_arch OF convolve_kernel_ap_fmul_6_max_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF convolve_kernel_ap_fmul_6_max_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_4 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_4; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF convolve_kernel_ap_fmul_6_max_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_4,Vivado 2017.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF convolve_kernel_ap_fmul_6_max_dsp_32_arch : ARCHITECTURE IS "convolve_kernel_ap_fmul_6_max_dsp_32,floating_point_v7_1_4,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF convolve_kernel_ap_fmul_6_max_dsp_32_arch: ARCHITECTURE IS "convolve_kernel_ap_fmul_6_max_dsp_32,floating_point_v7_1_4,{x_ipProduct=Vivado 2017.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=4,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=zynq,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=1,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=" & "0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=6,C_OPTIMIZATION=1,C_MULT_USAGE=3,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_" & "THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_4 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 1, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 6, C_OPTIMIZATION => 1, C_MULT_USAGE => 3, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END convolve_kernel_ap_fmul_6_max_dsp_32_arch;
mit
1c7cb729ba6aed68ae74d2f2bf0ee1b9
0.651536
3.007036
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab2/CNN_Optimization/cnn_optimization/0_default_implementation/syn/vhdl/convolve_kernel.vhd
1
33,383
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.2 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity convolve_kernel is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; bufw_Addr_A : OUT STD_LOGIC_VECTOR (31 downto 0); bufw_EN_A : OUT STD_LOGIC; bufw_WEN_A : OUT STD_LOGIC_VECTOR (3 downto 0); bufw_Din_A : OUT STD_LOGIC_VECTOR (31 downto 0); bufw_Dout_A : IN STD_LOGIC_VECTOR (31 downto 0); bufw_Clk_A : OUT STD_LOGIC; bufw_Rst_A : OUT STD_LOGIC; bufi_Addr_A : OUT STD_LOGIC_VECTOR (31 downto 0); bufi_EN_A : OUT STD_LOGIC; bufi_WEN_A : OUT STD_LOGIC_VECTOR (3 downto 0); bufi_Din_A : OUT STD_LOGIC_VECTOR (31 downto 0); bufi_Dout_A : IN STD_LOGIC_VECTOR (31 downto 0); bufi_Clk_A : OUT STD_LOGIC; bufi_Rst_A : OUT STD_LOGIC; bufo_Addr_A : OUT STD_LOGIC_VECTOR (31 downto 0); bufo_EN_A : OUT STD_LOGIC; bufo_WEN_A : OUT STD_LOGIC_VECTOR (3 downto 0); bufo_Din_A : OUT STD_LOGIC_VECTOR (31 downto 0); bufo_Dout_A : IN STD_LOGIC_VECTOR (31 downto 0); bufo_Clk_A : OUT STD_LOGIC; bufo_Rst_A : OUT STD_LOGIC ); end; architecture behav of convolve_kernel is attribute CORE_GENERATION_INFO : STRING; attribute CORE_GENERATION_INFO of behav : architecture is "convolve_kernel,hls_ip_2017_2,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=1,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z020clg484-1,HLS_INPUT_CLOCK=10.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=8.174000,HLS_SYN_LAT=25351,HLS_SYN_TPT=none,HLS_SYN_MEM=0,HLS_SYN_DSP=5,HLS_SYN_FF=1399,HLS_SYN_LUT=1208}"; constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (17 downto 0) := "000000000000000001"; constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (17 downto 0) := "000000000000000010"; constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (17 downto 0) := "000000000000000100"; constant ap_ST_fsm_state4 : STD_LOGIC_VECTOR (17 downto 0) := "000000000000001000"; constant ap_ST_fsm_state5 : STD_LOGIC_VECTOR (17 downto 0) := "000000000000010000"; constant ap_ST_fsm_state6 : STD_LOGIC_VECTOR (17 downto 0) := "000000000000100000"; constant ap_ST_fsm_state7 : STD_LOGIC_VECTOR (17 downto 0) := "000000000001000000"; constant ap_ST_fsm_state8 : STD_LOGIC_VECTOR (17 downto 0) := "000000000010000000"; constant ap_ST_fsm_state9 : STD_LOGIC_VECTOR (17 downto 0) := "000000000100000000"; constant ap_ST_fsm_state10 : STD_LOGIC_VECTOR (17 downto 0) := "000000001000000000"; constant ap_ST_fsm_state11 : STD_LOGIC_VECTOR (17 downto 0) := "000000010000000000"; constant ap_ST_fsm_state12 : STD_LOGIC_VECTOR (17 downto 0) := "000000100000000000"; constant ap_ST_fsm_state13 : STD_LOGIC_VECTOR (17 downto 0) := "000001000000000000"; constant ap_ST_fsm_state14 : STD_LOGIC_VECTOR (17 downto 0) := "000010000000000000"; constant ap_ST_fsm_state15 : STD_LOGIC_VECTOR (17 downto 0) := "000100000000000000"; constant ap_ST_fsm_state16 : STD_LOGIC_VECTOR (17 downto 0) := "001000000000000000"; constant ap_ST_fsm_state17 : STD_LOGIC_VECTOR (17 downto 0) := "010000000000000000"; constant ap_ST_fsm_state18 : STD_LOGIC_VECTOR (17 downto 0) := "100000000000000000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100"; constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110"; constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111"; constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011"; constant ap_const_lv32_10 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010000"; constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv3_0 : STD_LOGIC_VECTOR (2 downto 0) := "000"; constant ap_const_lv32_11 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010001"; constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010"; constant ap_const_lv4_0 : STD_LOGIC_VECTOR (3 downto 0) := "0000"; constant ap_const_lv4_F : STD_LOGIC_VECTOR (3 downto 0) := "1111"; constant ap_const_lv32_C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001100"; constant ap_const_lv32_8 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001000"; constant ap_const_lv2_3 : STD_LOGIC_VECTOR (1 downto 0) := "11"; constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01"; constant ap_const_lv6_2 : STD_LOGIC_VECTOR (5 downto 0) := "000010"; constant ap_const_lv3_5 : STD_LOGIC_VECTOR (2 downto 0) := "101"; constant ap_const_lv3_1 : STD_LOGIC_VECTOR (2 downto 0) := "001"; constant ap_const_boolean_1 : BOOLEAN := true; signal ap_CS_fsm : STD_LOGIC_VECTOR (17 downto 0) := "000000000000000001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_CS_fsm_state1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none"; signal row_b_cast6_cast_fu_161_p1 : STD_LOGIC_VECTOR (5 downto 0); signal row_b_cast6_cast_reg_455 : STD_LOGIC_VECTOR (5 downto 0); signal ap_CS_fsm_state2 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none"; signal row_b_cast_fu_165_p1 : STD_LOGIC_VECTOR (2 downto 0); signal row_b_cast_reg_460 : STD_LOGIC_VECTOR (2 downto 0); signal row_b_1_fu_175_p2 : STD_LOGIC_VECTOR (1 downto 0); signal row_b_1_reg_468 : STD_LOGIC_VECTOR (1 downto 0); signal col_b_cast5_cast_fu_181_p1 : STD_LOGIC_VECTOR (5 downto 0); signal col_b_cast5_cast_reg_473 : STD_LOGIC_VECTOR (5 downto 0); signal ap_CS_fsm_state3 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state3 : signal is "none"; signal col_b_cast_fu_185_p1 : STD_LOGIC_VECTOR (2 downto 0); signal col_b_cast_reg_478 : STD_LOGIC_VECTOR (2 downto 0); signal col_b_1_fu_195_p2 : STD_LOGIC_VECTOR (1 downto 0); signal col_b_1_reg_486 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_10_cast_fu_223_p1 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_10_cast_reg_491 : STD_LOGIC_VECTOR (5 downto 0); signal ap_CS_fsm_state4 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state4 : signal is "none"; signal bufo_addr_reg_496 : STD_LOGIC_VECTOR (4 downto 0); signal to_b_1_fu_260_p2 : STD_LOGIC_VECTOR (1 downto 0); signal to_b_1_reg_504 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_17_fu_291_p2 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_17_reg_509 : STD_LOGIC_VECTOR (63 downto 0); signal ap_CS_fsm_state5 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state5 : signal is "none"; signal tmp_19_cast_fu_315_p1 : STD_LOGIC_VECTOR (6 downto 0); signal tmp_19_cast_reg_514 : STD_LOGIC_VECTOR (6 downto 0); signal ti_b_1_fu_325_p2 : STD_LOGIC_VECTOR (1 downto 0); signal ti_b_1_reg_522 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_22_fu_356_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_22_reg_527 : STD_LOGIC_VECTOR (8 downto 0); signal ap_CS_fsm_state6 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state6 : signal is "none"; signal i_1_fu_368_p2 : STD_LOGIC_VECTOR (2 downto 0); signal i_1_reg_535 : STD_LOGIC_VECTOR (2 downto 0); signal tmp_25_fu_404_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_25_reg_540 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_7_fu_362_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_CS_fsm_state7 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state7 : signal is "none"; signal j_1_fu_430_p2 : STD_LOGIC_VECTOR (2 downto 0); signal j_1_reg_553 : STD_LOGIC_VECTOR (2 downto 0); signal tmp_s_fu_424_p2 : STD_LOGIC_VECTOR (0 downto 0); signal bufw_load_reg_563 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state8 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state8 : signal is "none"; signal bufi_load_reg_568 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_157_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_4_reg_573 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state12 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state12 : signal is "none"; signal bufo_load_reg_578 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_153_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_6_reg_583 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state17 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state17 : signal is "none"; signal row_b_reg_87 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_1_fu_189_p2 : STD_LOGIC_VECTOR (0 downto 0); signal col_b_reg_98 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_3_fu_254_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_fu_169_p2 : STD_LOGIC_VECTOR (0 downto 0); signal to_b_reg_109 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_5_fu_319_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ti_b_reg_120 : STD_LOGIC_VECTOR (1 downto 0); signal i_reg_131 : STD_LOGIC_VECTOR (2 downto 0); signal j_reg_142 : STD_LOGIC_VECTOR (2 downto 0); signal ap_CS_fsm_state18 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state18 : signal is "none"; signal tmp_14_cast_fu_249_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_26_cast_fu_419_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_27_cast_fu_450_p1 : STD_LOGIC_VECTOR (63 downto 0); signal bufw_Addr_A_orig : STD_LOGIC_VECTOR (31 downto 0); signal bufi_Addr_A_orig : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state11 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state11 : signal is "none"; signal bufo_Addr_A_orig : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state13 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state13 : signal is "none"; signal ap_CS_fsm_state9 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state9 : signal is "none"; signal tmp_8_fu_205_p3 : STD_LOGIC_VECTOR (3 downto 0); signal p_shl1_cast_fu_213_p1 : STD_LOGIC_VECTOR (4 downto 0); signal to_b_cast4_cast_fu_201_p1 : STD_LOGIC_VECTOR (4 downto 0); signal tmp_10_fu_217_p2 : STD_LOGIC_VECTOR (4 downto 0); signal tmp_11_fu_227_p2 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_12_fu_232_p2 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_13_fu_238_p2 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_14_fu_244_p2 : STD_LOGIC_VECTOR (5 downto 0); signal ti_b_cast3_cast_fu_266_p1 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_15_fu_270_p2 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_16_fu_279_p3 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_15_cast_fu_275_p1 : STD_LOGIC_VECTOR (63 downto 0); signal p_shl3_fu_287_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_18_fu_297_p3 : STD_LOGIC_VECTOR (4 downto 0); signal p_shl2_cast_fu_305_p1 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_19_fu_309_p2 : STD_LOGIC_VECTOR (5 downto 0); signal i_cast2_fu_331_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_20_fu_335_p2 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_24_fu_344_p1 : STD_LOGIC_VECTOR (6 downto 0); signal tmp_21_fu_340_p1 : STD_LOGIC_VECTOR (8 downto 0); signal p_shl4_cast_fu_348_p3 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_9_fu_374_p2 : STD_LOGIC_VECTOR (2 downto 0); signal tmp_9_cast_cast_fu_379_p1 : STD_LOGIC_VECTOR (6 downto 0); signal tmp_23_fu_383_p2 : STD_LOGIC_VECTOR (6 downto 0); signal tmp_28_fu_392_p1 : STD_LOGIC_VECTOR (5 downto 0); signal p_shl5_cast_fu_396_p3 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_23_cast_fu_388_p1 : STD_LOGIC_VECTOR (8 downto 0); signal j_cast1_cast_fu_410_p1 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_26_fu_414_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_2_fu_436_p2 : STD_LOGIC_VECTOR (2 downto 0); signal tmp_2_cast_cast_fu_441_p1 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_27_fu_445_p2 : STD_LOGIC_VECTOR (8 downto 0); signal ap_NS_fsm : STD_LOGIC_VECTOR (17 downto 0); component convolve_kernel_fbkb IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component convolve_kernel_fcud IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; begin convolve_kernel_fbkb_U1 : component convolve_kernel_fbkb generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst, din0 => bufo_load_reg_578, din1 => tmp_4_reg_573, ce => ap_const_logic_1, dout => grp_fu_153_p2); convolve_kernel_fcud_U2 : component convolve_kernel_fcud generic map ( ID => 1, NUM_STAGE => 4, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst, din0 => bufw_load_reg_563, din1 => bufi_load_reg_568, ce => ap_const_logic_1, dout => grp_fu_157_p2); ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_fsm_state1; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; col_b_reg_98_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state2) and (ap_const_lv1_0 = tmp_fu_169_p2))) then col_b_reg_98 <= ap_const_lv2_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state4) and (ap_const_lv1_1 = tmp_3_fu_254_p2))) then col_b_reg_98 <= col_b_1_reg_486; end if; end if; end process; i_reg_131_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_0 = tmp_5_fu_319_p2))) then i_reg_131 <= ap_const_lv3_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state7) and (tmp_s_fu_424_p2 = ap_const_lv1_1))) then i_reg_131 <= i_1_reg_535; end if; end if; end process; j_reg_142_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state6) and (tmp_7_fu_362_p2 = ap_const_lv1_0))) then j_reg_142 <= ap_const_lv3_0; elsif ((ap_const_logic_1 = ap_CS_fsm_state18)) then j_reg_142 <= j_1_reg_553; end if; end if; end process; row_b_reg_87_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state3) and (tmp_1_fu_189_p2 = ap_const_lv1_1))) then row_b_reg_87 <= row_b_1_reg_468; elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then row_b_reg_87 <= ap_const_lv2_0; end if; end if; end process; ti_b_reg_120_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state4) and (ap_const_lv1_0 = tmp_3_fu_254_p2))) then ti_b_reg_120 <= ap_const_lv2_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state6) and (tmp_7_fu_362_p2 = ap_const_lv1_1))) then ti_b_reg_120 <= ti_b_1_reg_522; end if; end if; end process; to_b_reg_109_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state3) and (ap_const_lv1_0 = tmp_1_fu_189_p2))) then to_b_reg_109 <= ap_const_lv2_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_1 = tmp_5_fu_319_p2))) then to_b_reg_109 <= to_b_1_reg_504; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state8)) then bufi_load_reg_568 <= bufi_Dout_A; bufw_load_reg_563 <= bufw_Dout_A; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state4)) then bufo_addr_reg_496 <= tmp_14_cast_fu_249_p1(5 - 1 downto 0); tmp_10_cast_reg_491 <= tmp_10_cast_fu_223_p1; to_b_1_reg_504 <= to_b_1_fu_260_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state12)) then bufo_load_reg_578 <= bufo_Dout_A; tmp_4_reg_573 <= grp_fu_157_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state3)) then col_b_1_reg_486 <= col_b_1_fu_195_p2; col_b_cast5_cast_reg_473(1 downto 0) <= col_b_cast5_cast_fu_181_p1(1 downto 0); col_b_cast_reg_478(1 downto 0) <= col_b_cast_fu_185_p1(1 downto 0); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state6)) then i_1_reg_535 <= i_1_fu_368_p2; tmp_22_reg_527 <= tmp_22_fu_356_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state7)) then j_1_reg_553 <= j_1_fu_430_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state2)) then row_b_1_reg_468 <= row_b_1_fu_175_p2; row_b_cast6_cast_reg_455(1 downto 0) <= row_b_cast6_cast_fu_161_p1(1 downto 0); row_b_cast_reg_460(1 downto 0) <= row_b_cast_fu_165_p1(1 downto 0); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state5)) then ti_b_1_reg_522 <= ti_b_1_fu_325_p2; tmp_17_reg_509 <= tmp_17_fu_291_p2; tmp_19_cast_reg_514 <= tmp_19_cast_fu_315_p1; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state6) and (tmp_7_fu_362_p2 = ap_const_lv1_0))) then tmp_25_reg_540 <= tmp_25_fu_404_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state17)) then tmp_6_reg_583 <= grp_fu_153_p2; end if; end if; end process; row_b_cast6_cast_reg_455(5 downto 2) <= "0000"; row_b_cast_reg_460(2) <= '0'; col_b_cast5_cast_reg_473(5 downto 2) <= "0000"; col_b_cast_reg_478(2) <= '0'; ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, ap_CS_fsm_state2, ap_CS_fsm_state3, ap_CS_fsm_state4, ap_CS_fsm_state5, ap_CS_fsm_state6, tmp_7_fu_362_p2, ap_CS_fsm_state7, tmp_s_fu_424_p2, tmp_1_fu_189_p2, tmp_3_fu_254_p2, tmp_fu_169_p2, tmp_5_fu_319_p2) begin case ap_CS_fsm is when ap_ST_fsm_state1 => if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state1; end if; when ap_ST_fsm_state2 => if (((ap_const_logic_1 = ap_CS_fsm_state2) and (ap_const_lv1_1 = tmp_fu_169_p2))) then ap_NS_fsm <= ap_ST_fsm_state1; else ap_NS_fsm <= ap_ST_fsm_state3; end if; when ap_ST_fsm_state3 => if (((ap_const_logic_1 = ap_CS_fsm_state3) and (tmp_1_fu_189_p2 = ap_const_lv1_1))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state4; end if; when ap_ST_fsm_state4 => if (((ap_const_logic_1 = ap_CS_fsm_state4) and (ap_const_lv1_1 = tmp_3_fu_254_p2))) then ap_NS_fsm <= ap_ST_fsm_state3; else ap_NS_fsm <= ap_ST_fsm_state5; end if; when ap_ST_fsm_state5 => if (((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_1 = tmp_5_fu_319_p2))) then ap_NS_fsm <= ap_ST_fsm_state4; else ap_NS_fsm <= ap_ST_fsm_state6; end if; when ap_ST_fsm_state6 => if (((ap_const_logic_1 = ap_CS_fsm_state6) and (tmp_7_fu_362_p2 = ap_const_lv1_1))) then ap_NS_fsm <= ap_ST_fsm_state5; else ap_NS_fsm <= ap_ST_fsm_state7; end if; when ap_ST_fsm_state7 => if (((ap_const_logic_1 = ap_CS_fsm_state7) and (tmp_s_fu_424_p2 = ap_const_lv1_1))) then ap_NS_fsm <= ap_ST_fsm_state6; else ap_NS_fsm <= ap_ST_fsm_state8; end if; when ap_ST_fsm_state8 => ap_NS_fsm <= ap_ST_fsm_state9; when ap_ST_fsm_state9 => ap_NS_fsm <= ap_ST_fsm_state10; when ap_ST_fsm_state10 => ap_NS_fsm <= ap_ST_fsm_state11; when ap_ST_fsm_state11 => ap_NS_fsm <= ap_ST_fsm_state12; when ap_ST_fsm_state12 => ap_NS_fsm <= ap_ST_fsm_state13; when ap_ST_fsm_state13 => ap_NS_fsm <= ap_ST_fsm_state14; when ap_ST_fsm_state14 => ap_NS_fsm <= ap_ST_fsm_state15; when ap_ST_fsm_state15 => ap_NS_fsm <= ap_ST_fsm_state16; when ap_ST_fsm_state16 => ap_NS_fsm <= ap_ST_fsm_state17; when ap_ST_fsm_state17 => ap_NS_fsm <= ap_ST_fsm_state18; when ap_ST_fsm_state18 => ap_NS_fsm <= ap_ST_fsm_state7; when others => ap_NS_fsm <= "XXXXXXXXXXXXXXXXXX"; end case; end process; ap_CS_fsm_state1 <= ap_CS_fsm(0); ap_CS_fsm_state11 <= ap_CS_fsm(10); ap_CS_fsm_state12 <= ap_CS_fsm(11); ap_CS_fsm_state13 <= ap_CS_fsm(12); ap_CS_fsm_state17 <= ap_CS_fsm(16); ap_CS_fsm_state18 <= ap_CS_fsm(17); ap_CS_fsm_state2 <= ap_CS_fsm(1); ap_CS_fsm_state3 <= ap_CS_fsm(2); ap_CS_fsm_state4 <= ap_CS_fsm(3); ap_CS_fsm_state5 <= ap_CS_fsm(4); ap_CS_fsm_state6 <= ap_CS_fsm(5); ap_CS_fsm_state7 <= ap_CS_fsm(6); ap_CS_fsm_state8 <= ap_CS_fsm(7); ap_CS_fsm_state9 <= ap_CS_fsm(8); ap_done_assign_proc : process(ap_CS_fsm_state2, tmp_fu_169_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state2) and (ap_const_lv1_1 = tmp_fu_169_p2))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1) begin if (((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_ready_assign_proc : process(ap_CS_fsm_state2, tmp_fu_169_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state2) and (ap_const_lv1_1 = tmp_fu_169_p2))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; bufi_Addr_A <= std_logic_vector(shift_left(unsigned(bufi_Addr_A_orig),to_integer(unsigned('0' & ap_const_lv32_2(31-1 downto 0))))); bufi_Addr_A_orig <= tmp_27_cast_fu_450_p1(32 - 1 downto 0); bufi_Clk_A <= ap_clk; bufi_Din_A <= ap_const_lv32_0; bufi_EN_A_assign_proc : process(ap_CS_fsm_state7) begin if ((ap_const_logic_1 = ap_CS_fsm_state7)) then bufi_EN_A <= ap_const_logic_1; else bufi_EN_A <= ap_const_logic_0; end if; end process; bufi_Rst_A <= ap_rst; bufi_WEN_A <= ap_const_lv4_0; bufo_Addr_A <= std_logic_vector(shift_left(unsigned(bufo_Addr_A_orig),to_integer(unsigned('0' & ap_const_lv32_2(31-1 downto 0))))); bufo_Addr_A_orig <= std_logic_vector(IEEE.numeric_std.resize(unsigned(bufo_addr_reg_496),32)); bufo_Clk_A <= ap_clk; bufo_Din_A <= tmp_6_reg_583; bufo_EN_A_assign_proc : process(ap_CS_fsm_state18, ap_CS_fsm_state11) begin if (((ap_const_logic_1 = ap_CS_fsm_state18) or (ap_const_logic_1 = ap_CS_fsm_state11))) then bufo_EN_A <= ap_const_logic_1; else bufo_EN_A <= ap_const_logic_0; end if; end process; bufo_Rst_A <= ap_rst; bufo_WEN_A_assign_proc : process(ap_CS_fsm_state18) begin if ((ap_const_logic_1 = ap_CS_fsm_state18)) then bufo_WEN_A <= ap_const_lv4_F; else bufo_WEN_A <= ap_const_lv4_0; end if; end process; bufw_Addr_A <= std_logic_vector(shift_left(unsigned(bufw_Addr_A_orig),to_integer(unsigned('0' & ap_const_lv32_2(31-1 downto 0))))); bufw_Addr_A_orig <= tmp_26_cast_fu_419_p1(32 - 1 downto 0); bufw_Clk_A <= ap_clk; bufw_Din_A <= ap_const_lv32_0; bufw_EN_A_assign_proc : process(ap_CS_fsm_state7) begin if ((ap_const_logic_1 = ap_CS_fsm_state7)) then bufw_EN_A <= ap_const_logic_1; else bufw_EN_A <= ap_const_logic_0; end if; end process; bufw_Rst_A <= ap_rst; bufw_WEN_A <= ap_const_lv4_0; col_b_1_fu_195_p2 <= std_logic_vector(unsigned(col_b_reg_98) + unsigned(ap_const_lv2_1)); col_b_cast5_cast_fu_181_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(col_b_reg_98),6)); col_b_cast_fu_185_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(col_b_reg_98),3)); i_1_fu_368_p2 <= std_logic_vector(unsigned(ap_const_lv3_1) + unsigned(i_reg_131)); i_cast2_fu_331_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_reg_131),64)); j_1_fu_430_p2 <= std_logic_vector(unsigned(j_reg_142) + unsigned(ap_const_lv3_1)); j_cast1_cast_fu_410_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(j_reg_142),9)); p_shl1_cast_fu_213_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_8_fu_205_p3),5)); p_shl2_cast_fu_305_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_18_fu_297_p3),6)); p_shl3_fu_287_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_16_fu_279_p3),64)); p_shl4_cast_fu_348_p3 <= (tmp_24_fu_344_p1 & ap_const_lv2_0); p_shl5_cast_fu_396_p3 <= (tmp_28_fu_392_p1 & ap_const_lv3_0); row_b_1_fu_175_p2 <= std_logic_vector(unsigned(row_b_reg_87) + unsigned(ap_const_lv2_1)); row_b_cast6_cast_fu_161_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(row_b_reg_87),6)); row_b_cast_fu_165_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(row_b_reg_87),3)); ti_b_1_fu_325_p2 <= std_logic_vector(unsigned(ti_b_reg_120) + unsigned(ap_const_lv2_1)); ti_b_cast3_cast_fu_266_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(ti_b_reg_120),6)); tmp_10_cast_fu_223_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_10_fu_217_p2),6)); tmp_10_fu_217_p2 <= std_logic_vector(unsigned(p_shl1_cast_fu_213_p1) - unsigned(to_b_cast4_cast_fu_201_p1)); tmp_11_fu_227_p2 <= std_logic_vector(unsigned(row_b_cast6_cast_reg_455) + unsigned(tmp_10_cast_fu_223_p1)); tmp_12_fu_232_p2 <= std_logic_vector(shift_left(unsigned(tmp_11_fu_227_p2),to_integer(unsigned('0' & ap_const_lv6_2(6-1 downto 0))))); tmp_13_fu_238_p2 <= std_logic_vector(unsigned(tmp_12_fu_232_p2) - unsigned(tmp_11_fu_227_p2)); tmp_14_cast_fu_249_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_14_fu_244_p2),64)); tmp_14_fu_244_p2 <= std_logic_vector(unsigned(col_b_cast5_cast_reg_473) + unsigned(tmp_13_fu_238_p2)); tmp_15_cast_fu_275_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_15_fu_270_p2),64)); tmp_15_fu_270_p2 <= std_logic_vector(signed(tmp_10_cast_reg_491) + signed(ti_b_cast3_cast_fu_266_p1)); tmp_16_fu_279_p3 <= (tmp_15_fu_270_p2 & ap_const_lv2_0); tmp_17_fu_291_p2 <= std_logic_vector(signed(tmp_15_cast_fu_275_p1) + signed(p_shl3_fu_287_p1)); tmp_18_fu_297_p3 <= (ti_b_reg_120 & ap_const_lv3_0); tmp_19_cast_fu_315_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_19_fu_309_p2),7)); tmp_19_fu_309_p2 <= std_logic_vector(unsigned(p_shl2_cast_fu_305_p1) - unsigned(ti_b_cast3_cast_fu_266_p1)); tmp_1_fu_189_p2 <= "1" when (col_b_reg_98 = ap_const_lv2_3) else "0"; tmp_20_fu_335_p2 <= std_logic_vector(unsigned(tmp_17_reg_509) + unsigned(i_cast2_fu_331_p1)); tmp_21_fu_340_p1 <= tmp_20_fu_335_p2(9 - 1 downto 0); tmp_22_fu_356_p2 <= std_logic_vector(unsigned(tmp_21_fu_340_p1) + unsigned(p_shl4_cast_fu_348_p3)); tmp_23_cast_fu_388_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_23_fu_383_p2),9)); tmp_23_fu_383_p2 <= std_logic_vector(unsigned(tmp_9_cast_cast_fu_379_p1) + unsigned(tmp_19_cast_reg_514)); tmp_24_fu_344_p1 <= tmp_20_fu_335_p2(7 - 1 downto 0); tmp_25_fu_404_p2 <= std_logic_vector(unsigned(p_shl5_cast_fu_396_p3) - unsigned(tmp_23_cast_fu_388_p1)); tmp_26_cast_fu_419_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_26_fu_414_p2),64)); tmp_26_fu_414_p2 <= std_logic_vector(unsigned(tmp_22_reg_527) + unsigned(j_cast1_cast_fu_410_p1)); tmp_27_cast_fu_450_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_27_fu_445_p2),64)); tmp_27_fu_445_p2 <= std_logic_vector(unsigned(tmp_25_reg_540) + unsigned(tmp_2_cast_cast_fu_441_p1)); tmp_28_fu_392_p1 <= tmp_23_fu_383_p2(6 - 1 downto 0); tmp_2_cast_cast_fu_441_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_2_fu_436_p2),9)); tmp_2_fu_436_p2 <= std_logic_vector(unsigned(col_b_cast_reg_478) + unsigned(j_reg_142)); tmp_3_fu_254_p2 <= "1" when (to_b_reg_109 = ap_const_lv2_3) else "0"; tmp_5_fu_319_p2 <= "1" when (ti_b_reg_120 = ap_const_lv2_3) else "0"; tmp_7_fu_362_p2 <= "1" when (i_reg_131 = ap_const_lv3_5) else "0"; tmp_8_fu_205_p3 <= (to_b_reg_109 & ap_const_lv2_0); tmp_9_cast_cast_fu_379_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_9_fu_374_p2),7)); tmp_9_fu_374_p2 <= std_logic_vector(unsigned(i_reg_131) + unsigned(row_b_cast_reg_460)); tmp_fu_169_p2 <= "1" when (row_b_reg_87 = ap_const_lv2_3) else "0"; tmp_s_fu_424_p2 <= "1" when (j_reg_142 = ap_const_lv3_5) else "0"; to_b_1_fu_260_p2 <= std_logic_vector(unsigned(ap_const_lv2_1) + unsigned(to_b_reg_109)); to_b_cast4_cast_fu_201_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(to_b_reg_109),5)); end behav;
mit
247a4bff01ecdec19d6c7bf4604f7bcf
0.592877
2.792388
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-avnet-eval-xc4vlx25/config.vhd
1
5,883
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := virtex4; constant CFG_MEMTECH : integer := virtex4; constant CFG_PADTECH : integer := virtex4; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := virtex4; constant CFG_CLKMUL : integer := (7); constant CFG_CLKDIV : integer := (10); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 16#32# + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 0; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (2); constant CFG_PWD : integer := 1*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 16; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 1; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 2; constant CFG_DSETSZ : integer := 16; constant CFG_DLINE : integer := 8; constant CFG_DREPL : integer := 1; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1*2 + 4*0; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 8; constant CFG_DTLBNUM : integer := 8; constant CFG_TLB_TYPE : integer := 0 + 1*2; constant CFG_TLB_REP : integer := 0; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2; constant CFG_ATBSZ : integer := 2; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 2; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0045#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000014#; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 0; constant CFG_MCTRL_RAM16BIT : integer := 1; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 0; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- DDR controller constant CFG_DDRSP : integer := 1; constant CFG_DDRSP_INIT : integer := 1; constant CFG_DDRSP_FREQ : integer := (100); constant CFG_DDRSP_COL : integer := (9); constant CFG_DDRSP_SIZE : integer := (32); constant CFG_DDRSP_RSKEW : integer := (0); -- AHB ROM constant CFG_AHBROMEN : integer := 0; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#000#; constant CFG_ROMMASK : integer := 16#E00# + 16#000#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 32; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 8; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#0000#; constant CFG_GRGPIO_WIDTH : integer := (8); -- GRLIB debugging constant CFG_DUART : integer := 0; end;
gpl-2.0
5e4f81ba6cb482f11237679a05dd1c98
0.642869
3.622537
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/my_lab_1/my_lab_1.cache/ip/2017.2.1/a010dbb3f7ec5bbd/zqynq_lab_1_design_processing_system7_0_1_sim_netlist.vhdl
1
197,467
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2.1 (win64) Build 1957588 Wed Aug 9 16:32:24 MDT 2017 -- Date : Fri Sep 22 17:40:25 2017 -- Host : EffulgentTome running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ zqynq_lab_1_design_processing_system7_0_1_sim_netlist.vhdl -- Design : zqynq_lab_1_design_processing_system7_0_1 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 is port ( CAN0_PHY_TX : out STD_LOGIC; CAN0_PHY_RX : in STD_LOGIC; CAN1_PHY_TX : out STD_LOGIC; CAN1_PHY_RX : in STD_LOGIC; ENET0_GMII_TX_EN : out STD_LOGIC; ENET0_GMII_TX_ER : out STD_LOGIC; ENET0_MDIO_MDC : out STD_LOGIC; ENET0_MDIO_O : out STD_LOGIC; ENET0_MDIO_T : out STD_LOGIC; ENET0_PTP_DELAY_REQ_RX : out STD_LOGIC; ENET0_PTP_DELAY_REQ_TX : out STD_LOGIC; ENET0_PTP_PDELAY_REQ_RX : out STD_LOGIC; ENET0_PTP_PDELAY_REQ_TX : out STD_LOGIC; ENET0_PTP_PDELAY_RESP_RX : out STD_LOGIC; ENET0_PTP_PDELAY_RESP_TX : out STD_LOGIC; ENET0_PTP_SYNC_FRAME_RX : out STD_LOGIC; ENET0_PTP_SYNC_FRAME_TX : out STD_LOGIC; ENET0_SOF_RX : out STD_LOGIC; ENET0_SOF_TX : out STD_LOGIC; ENET0_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 ); ENET0_GMII_COL : in STD_LOGIC; ENET0_GMII_CRS : in STD_LOGIC; ENET0_GMII_RX_CLK : in STD_LOGIC; ENET0_GMII_RX_DV : in STD_LOGIC; ENET0_GMII_RX_ER : in STD_LOGIC; ENET0_GMII_TX_CLK : in STD_LOGIC; ENET0_MDIO_I : in STD_LOGIC; ENET0_EXT_INTIN : in STD_LOGIC; ENET0_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 ); ENET1_GMII_TX_EN : out STD_LOGIC; ENET1_GMII_TX_ER : out STD_LOGIC; ENET1_MDIO_MDC : out STD_LOGIC; ENET1_MDIO_O : out STD_LOGIC; ENET1_MDIO_T : out STD_LOGIC; ENET1_PTP_DELAY_REQ_RX : out STD_LOGIC; ENET1_PTP_DELAY_REQ_TX : out STD_LOGIC; ENET1_PTP_PDELAY_REQ_RX : out STD_LOGIC; ENET1_PTP_PDELAY_REQ_TX : out STD_LOGIC; ENET1_PTP_PDELAY_RESP_RX : out STD_LOGIC; ENET1_PTP_PDELAY_RESP_TX : out STD_LOGIC; ENET1_PTP_SYNC_FRAME_RX : out STD_LOGIC; ENET1_PTP_SYNC_FRAME_TX : out STD_LOGIC; ENET1_SOF_RX : out STD_LOGIC; ENET1_SOF_TX : out STD_LOGIC; ENET1_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 ); ENET1_GMII_COL : in STD_LOGIC; ENET1_GMII_CRS : in STD_LOGIC; ENET1_GMII_RX_CLK : in STD_LOGIC; ENET1_GMII_RX_DV : in STD_LOGIC; ENET1_GMII_RX_ER : in STD_LOGIC; ENET1_GMII_TX_CLK : in STD_LOGIC; ENET1_MDIO_I : in STD_LOGIC; ENET1_EXT_INTIN : in STD_LOGIC; ENET1_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 ); GPIO_I : in STD_LOGIC_VECTOR ( 63 downto 0 ); GPIO_O : out STD_LOGIC_VECTOR ( 63 downto 0 ); GPIO_T : out STD_LOGIC_VECTOR ( 63 downto 0 ); I2C0_SDA_I : in STD_LOGIC; I2C0_SDA_O : out STD_LOGIC; I2C0_SDA_T : out STD_LOGIC; I2C0_SCL_I : in STD_LOGIC; I2C0_SCL_O : out STD_LOGIC; I2C0_SCL_T : out STD_LOGIC; I2C1_SDA_I : in STD_LOGIC; I2C1_SDA_O : out STD_LOGIC; I2C1_SDA_T : out STD_LOGIC; I2C1_SCL_I : in STD_LOGIC; I2C1_SCL_O : out STD_LOGIC; I2C1_SCL_T : out STD_LOGIC; PJTAG_TCK : in STD_LOGIC; PJTAG_TMS : in STD_LOGIC; PJTAG_TDI : in STD_LOGIC; PJTAG_TDO : out STD_LOGIC; SDIO0_CLK : out STD_LOGIC; SDIO0_CLK_FB : in STD_LOGIC; SDIO0_CMD_O : out STD_LOGIC; SDIO0_CMD_I : in STD_LOGIC; SDIO0_CMD_T : out STD_LOGIC; SDIO0_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO0_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO0_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO0_LED : out STD_LOGIC; SDIO0_CDN : in STD_LOGIC; SDIO0_WP : in STD_LOGIC; SDIO0_BUSPOW : out STD_LOGIC; SDIO0_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 ); SDIO1_CLK : out STD_LOGIC; SDIO1_CLK_FB : in STD_LOGIC; SDIO1_CMD_O : out STD_LOGIC; SDIO1_CMD_I : in STD_LOGIC; SDIO1_CMD_T : out STD_LOGIC; SDIO1_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO1_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO1_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO1_LED : out STD_LOGIC; SDIO1_CDN : in STD_LOGIC; SDIO1_WP : in STD_LOGIC; SDIO1_BUSPOW : out STD_LOGIC; SDIO1_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 ); SPI0_SCLK_I : in STD_LOGIC; SPI0_SCLK_O : out STD_LOGIC; SPI0_SCLK_T : out STD_LOGIC; SPI0_MOSI_I : in STD_LOGIC; SPI0_MOSI_O : out STD_LOGIC; SPI0_MOSI_T : out STD_LOGIC; SPI0_MISO_I : in STD_LOGIC; SPI0_MISO_O : out STD_LOGIC; SPI0_MISO_T : out STD_LOGIC; SPI0_SS_I : in STD_LOGIC; SPI0_SS_O : out STD_LOGIC; SPI0_SS1_O : out STD_LOGIC; SPI0_SS2_O : out STD_LOGIC; SPI0_SS_T : out STD_LOGIC; SPI1_SCLK_I : in STD_LOGIC; SPI1_SCLK_O : out STD_LOGIC; SPI1_SCLK_T : out STD_LOGIC; SPI1_MOSI_I : in STD_LOGIC; SPI1_MOSI_O : out STD_LOGIC; SPI1_MOSI_T : out STD_LOGIC; SPI1_MISO_I : in STD_LOGIC; SPI1_MISO_O : out STD_LOGIC; SPI1_MISO_T : out STD_LOGIC; SPI1_SS_I : in STD_LOGIC; SPI1_SS_O : out STD_LOGIC; SPI1_SS1_O : out STD_LOGIC; SPI1_SS2_O : out STD_LOGIC; SPI1_SS_T : out STD_LOGIC; UART0_DTRN : out STD_LOGIC; UART0_RTSN : out STD_LOGIC; UART0_TX : out STD_LOGIC; UART0_CTSN : in STD_LOGIC; UART0_DCDN : in STD_LOGIC; UART0_DSRN : in STD_LOGIC; UART0_RIN : in STD_LOGIC; UART0_RX : in STD_LOGIC; UART1_DTRN : out STD_LOGIC; UART1_RTSN : out STD_LOGIC; UART1_TX : out STD_LOGIC; UART1_CTSN : in STD_LOGIC; UART1_DCDN : in STD_LOGIC; UART1_DSRN : in STD_LOGIC; UART1_RIN : in STD_LOGIC; UART1_RX : in STD_LOGIC; TTC0_WAVE0_OUT : out STD_LOGIC; TTC0_WAVE1_OUT : out STD_LOGIC; TTC0_WAVE2_OUT : out STD_LOGIC; TTC0_CLK0_IN : in STD_LOGIC; TTC0_CLK1_IN : in STD_LOGIC; TTC0_CLK2_IN : in STD_LOGIC; TTC1_WAVE0_OUT : out STD_LOGIC; TTC1_WAVE1_OUT : out STD_LOGIC; TTC1_WAVE2_OUT : out STD_LOGIC; TTC1_CLK0_IN : in STD_LOGIC; TTC1_CLK1_IN : in STD_LOGIC; TTC1_CLK2_IN : in STD_LOGIC; WDT_CLK_IN : in STD_LOGIC; WDT_RST_OUT : out STD_LOGIC; TRACE_CLK : in STD_LOGIC; TRACE_CTL : out STD_LOGIC; TRACE_DATA : out STD_LOGIC_VECTOR ( 1 downto 0 ); TRACE_CLK_OUT : out STD_LOGIC; USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 ); USB0_VBUS_PWRSELECT : out STD_LOGIC; USB0_VBUS_PWRFAULT : in STD_LOGIC; USB1_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 ); USB1_VBUS_PWRSELECT : out STD_LOGIC; USB1_VBUS_PWRFAULT : in STD_LOGIC; SRAM_INTIN : in STD_LOGIC; M_AXI_GP0_ARESETN : out STD_LOGIC; M_AXI_GP0_ARVALID : out STD_LOGIC; M_AXI_GP0_AWVALID : out STD_LOGIC; M_AXI_GP0_BREADY : out STD_LOGIC; M_AXI_GP0_RREADY : out STD_LOGIC; M_AXI_GP0_WLAST : out STD_LOGIC; M_AXI_GP0_WVALID : out STD_LOGIC; M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ACLK : in STD_LOGIC; M_AXI_GP0_ARREADY : in STD_LOGIC; M_AXI_GP0_AWREADY : in STD_LOGIC; M_AXI_GP0_BVALID : in STD_LOGIC; M_AXI_GP0_RLAST : in STD_LOGIC; M_AXI_GP0_RVALID : in STD_LOGIC; M_AXI_GP0_WREADY : in STD_LOGIC; M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_ARESETN : out STD_LOGIC; M_AXI_GP1_ARVALID : out STD_LOGIC; M_AXI_GP1_AWVALID : out STD_LOGIC; M_AXI_GP1_BREADY : out STD_LOGIC; M_AXI_GP1_RREADY : out STD_LOGIC; M_AXI_GP1_WLAST : out STD_LOGIC; M_AXI_GP1_WVALID : out STD_LOGIC; M_AXI_GP1_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_WID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_ACLK : in STD_LOGIC; M_AXI_GP1_ARREADY : in STD_LOGIC; M_AXI_GP1_AWREADY : in STD_LOGIC; M_AXI_GP1_BVALID : in STD_LOGIC; M_AXI_GP1_RLAST : in STD_LOGIC; M_AXI_GP1_RVALID : in STD_LOGIC; M_AXI_GP1_WREADY : in STD_LOGIC; M_AXI_GP1_BID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_RID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_ARESETN : out STD_LOGIC; S_AXI_GP0_ARREADY : out STD_LOGIC; S_AXI_GP0_AWREADY : out STD_LOGIC; S_AXI_GP0_BVALID : out STD_LOGIC; S_AXI_GP0_RLAST : out STD_LOGIC; S_AXI_GP0_RVALID : out STD_LOGIC; S_AXI_GP0_WREADY : out STD_LOGIC; S_AXI_GP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP0_ACLK : in STD_LOGIC; S_AXI_GP0_ARVALID : in STD_LOGIC; S_AXI_GP0_AWVALID : in STD_LOGIC; S_AXI_GP0_BREADY : in STD_LOGIC; S_AXI_GP0_RREADY : in STD_LOGIC; S_AXI_GP0_WLAST : in STD_LOGIC; S_AXI_GP0_WVALID : in STD_LOGIC; S_AXI_GP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_ARESETN : out STD_LOGIC; S_AXI_GP1_ARREADY : out STD_LOGIC; S_AXI_GP1_AWREADY : out STD_LOGIC; S_AXI_GP1_BVALID : out STD_LOGIC; S_AXI_GP1_RLAST : out STD_LOGIC; S_AXI_GP1_RVALID : out STD_LOGIC; S_AXI_GP1_WREADY : out STD_LOGIC; S_AXI_GP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_ACLK : in STD_LOGIC; S_AXI_GP1_ARVALID : in STD_LOGIC; S_AXI_GP1_AWVALID : in STD_LOGIC; S_AXI_GP1_BREADY : in STD_LOGIC; S_AXI_GP1_RREADY : in STD_LOGIC; S_AXI_GP1_WLAST : in STD_LOGIC; S_AXI_GP1_WVALID : in STD_LOGIC; S_AXI_GP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP1_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_ACP_ARESETN : out STD_LOGIC; S_AXI_ACP_ARREADY : out STD_LOGIC; S_AXI_ACP_AWREADY : out STD_LOGIC; S_AXI_ACP_BVALID : out STD_LOGIC; S_AXI_ACP_RLAST : out STD_LOGIC; S_AXI_ACP_RVALID : out STD_LOGIC; S_AXI_ACP_WREADY : out STD_LOGIC; S_AXI_ACP_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_BID : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_RID : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_ACP_ACLK : in STD_LOGIC; S_AXI_ACP_ARVALID : in STD_LOGIC; S_AXI_ACP_AWVALID : in STD_LOGIC; S_AXI_ACP_BREADY : in STD_LOGIC; S_AXI_ACP_RREADY : in STD_LOGIC; S_AXI_ACP_WLAST : in STD_LOGIC; S_AXI_ACP_WVALID : in STD_LOGIC; S_AXI_ACP_ARID : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_AWID : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_WID : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_ACP_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_ACP_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_ARUSER : in STD_LOGIC_VECTOR ( 4 downto 0 ); S_AXI_ACP_AWUSER : in STD_LOGIC_VECTOR ( 4 downto 0 ); S_AXI_ACP_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_ACP_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP0_ARESETN : out STD_LOGIC; S_AXI_HP0_ARREADY : out STD_LOGIC; S_AXI_HP0_AWREADY : out STD_LOGIC; S_AXI_HP0_BVALID : out STD_LOGIC; S_AXI_HP0_RLAST : out STD_LOGIC; S_AXI_HP0_RVALID : out STD_LOGIC; S_AXI_HP0_WREADY : out STD_LOGIC; S_AXI_HP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP0_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP0_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP0_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_ACLK : in STD_LOGIC; S_AXI_HP0_ARVALID : in STD_LOGIC; S_AXI_HP0_AWVALID : in STD_LOGIC; S_AXI_HP0_BREADY : in STD_LOGIC; S_AXI_HP0_RDISSUECAP1_EN : in STD_LOGIC; S_AXI_HP0_RREADY : in STD_LOGIC; S_AXI_HP0_WLAST : in STD_LOGIC; S_AXI_HP0_WRISSUECAP1_EN : in STD_LOGIC; S_AXI_HP0_WVALID : in STD_LOGIC; S_AXI_HP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP0_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP1_ARESETN : out STD_LOGIC; S_AXI_HP1_ARREADY : out STD_LOGIC; S_AXI_HP1_AWREADY : out STD_LOGIC; S_AXI_HP1_BVALID : out STD_LOGIC; S_AXI_HP1_RLAST : out STD_LOGIC; S_AXI_HP1_RVALID : out STD_LOGIC; S_AXI_HP1_WREADY : out STD_LOGIC; S_AXI_HP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP1_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP1_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP1_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_ACLK : in STD_LOGIC; S_AXI_HP1_ARVALID : in STD_LOGIC; S_AXI_HP1_AWVALID : in STD_LOGIC; S_AXI_HP1_BREADY : in STD_LOGIC; S_AXI_HP1_RDISSUECAP1_EN : in STD_LOGIC; S_AXI_HP1_RREADY : in STD_LOGIC; S_AXI_HP1_WLAST : in STD_LOGIC; S_AXI_HP1_WRISSUECAP1_EN : in STD_LOGIC; S_AXI_HP1_WVALID : in STD_LOGIC; S_AXI_HP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP1_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP2_ARESETN : out STD_LOGIC; S_AXI_HP2_ARREADY : out STD_LOGIC; S_AXI_HP2_AWREADY : out STD_LOGIC; S_AXI_HP2_BVALID : out STD_LOGIC; S_AXI_HP2_RLAST : out STD_LOGIC; S_AXI_HP2_RVALID : out STD_LOGIC; S_AXI_HP2_WREADY : out STD_LOGIC; S_AXI_HP2_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP2_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP2_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP2_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_ACLK : in STD_LOGIC; S_AXI_HP2_ARVALID : in STD_LOGIC; S_AXI_HP2_AWVALID : in STD_LOGIC; S_AXI_HP2_BREADY : in STD_LOGIC; S_AXI_HP2_RDISSUECAP1_EN : in STD_LOGIC; S_AXI_HP2_RREADY : in STD_LOGIC; S_AXI_HP2_WLAST : in STD_LOGIC; S_AXI_HP2_WRISSUECAP1_EN : in STD_LOGIC; S_AXI_HP2_WVALID : in STD_LOGIC; S_AXI_HP2_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP2_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP2_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP2_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP3_ARESETN : out STD_LOGIC; S_AXI_HP3_ARREADY : out STD_LOGIC; S_AXI_HP3_AWREADY : out STD_LOGIC; S_AXI_HP3_BVALID : out STD_LOGIC; S_AXI_HP3_RLAST : out STD_LOGIC; S_AXI_HP3_RVALID : out STD_LOGIC; S_AXI_HP3_WREADY : out STD_LOGIC; S_AXI_HP3_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP3_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP3_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP3_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_ACLK : in STD_LOGIC; S_AXI_HP3_ARVALID : in STD_LOGIC; S_AXI_HP3_AWVALID : in STD_LOGIC; S_AXI_HP3_BREADY : in STD_LOGIC; S_AXI_HP3_RDISSUECAP1_EN : in STD_LOGIC; S_AXI_HP3_RREADY : in STD_LOGIC; S_AXI_HP3_WLAST : in STD_LOGIC; S_AXI_HP3_WRISSUECAP1_EN : in STD_LOGIC; S_AXI_HP3_WVALID : in STD_LOGIC; S_AXI_HP3_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP3_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP3_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP3_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); IRQ_P2F_DMAC_ABORT : out STD_LOGIC; IRQ_P2F_DMAC0 : out STD_LOGIC; IRQ_P2F_DMAC1 : out STD_LOGIC; IRQ_P2F_DMAC2 : out STD_LOGIC; IRQ_P2F_DMAC3 : out STD_LOGIC; IRQ_P2F_DMAC4 : out STD_LOGIC; IRQ_P2F_DMAC5 : out STD_LOGIC; IRQ_P2F_DMAC6 : out STD_LOGIC; IRQ_P2F_DMAC7 : out STD_LOGIC; IRQ_P2F_SMC : out STD_LOGIC; IRQ_P2F_QSPI : out STD_LOGIC; IRQ_P2F_CTI : out STD_LOGIC; IRQ_P2F_GPIO : out STD_LOGIC; IRQ_P2F_USB0 : out STD_LOGIC; IRQ_P2F_ENET0 : out STD_LOGIC; IRQ_P2F_ENET_WAKE0 : out STD_LOGIC; IRQ_P2F_SDIO0 : out STD_LOGIC; IRQ_P2F_I2C0 : out STD_LOGIC; IRQ_P2F_SPI0 : out STD_LOGIC; IRQ_P2F_UART0 : out STD_LOGIC; IRQ_P2F_CAN0 : out STD_LOGIC; IRQ_P2F_USB1 : out STD_LOGIC; IRQ_P2F_ENET1 : out STD_LOGIC; IRQ_P2F_ENET_WAKE1 : out STD_LOGIC; IRQ_P2F_SDIO1 : out STD_LOGIC; IRQ_P2F_I2C1 : out STD_LOGIC; IRQ_P2F_SPI1 : out STD_LOGIC; IRQ_P2F_UART1 : out STD_LOGIC; IRQ_P2F_CAN1 : out STD_LOGIC; IRQ_F2P : in STD_LOGIC_VECTOR ( 1 downto 0 ); Core0_nFIQ : in STD_LOGIC; Core0_nIRQ : in STD_LOGIC; Core1_nFIQ : in STD_LOGIC; Core1_nIRQ : in STD_LOGIC; DMA0_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 ); DMA0_DAVALID : out STD_LOGIC; DMA0_DRREADY : out STD_LOGIC; DMA0_RSTN : out STD_LOGIC; DMA1_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 ); DMA1_DAVALID : out STD_LOGIC; DMA1_DRREADY : out STD_LOGIC; DMA1_RSTN : out STD_LOGIC; DMA2_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 ); DMA2_DAVALID : out STD_LOGIC; DMA2_DRREADY : out STD_LOGIC; DMA2_RSTN : out STD_LOGIC; DMA3_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 ); DMA3_DAVALID : out STD_LOGIC; DMA3_DRREADY : out STD_LOGIC; DMA3_RSTN : out STD_LOGIC; DMA0_ACLK : in STD_LOGIC; DMA0_DAREADY : in STD_LOGIC; DMA0_DRLAST : in STD_LOGIC; DMA0_DRVALID : in STD_LOGIC; DMA1_ACLK : in STD_LOGIC; DMA1_DAREADY : in STD_LOGIC; DMA1_DRLAST : in STD_LOGIC; DMA1_DRVALID : in STD_LOGIC; DMA2_ACLK : in STD_LOGIC; DMA2_DAREADY : in STD_LOGIC; DMA2_DRLAST : in STD_LOGIC; DMA2_DRVALID : in STD_LOGIC; DMA3_ACLK : in STD_LOGIC; DMA3_DAREADY : in STD_LOGIC; DMA3_DRLAST : in STD_LOGIC; DMA3_DRVALID : in STD_LOGIC; DMA0_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 ); DMA1_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 ); DMA2_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 ); DMA3_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 ); FCLK_CLK3 : out STD_LOGIC; FCLK_CLK2 : out STD_LOGIC; FCLK_CLK1 : out STD_LOGIC; FCLK_CLK0 : out STD_LOGIC; FCLK_CLKTRIG3_N : in STD_LOGIC; FCLK_CLKTRIG2_N : in STD_LOGIC; FCLK_CLKTRIG1_N : in STD_LOGIC; FCLK_CLKTRIG0_N : in STD_LOGIC; FCLK_RESET3_N : out STD_LOGIC; FCLK_RESET2_N : out STD_LOGIC; FCLK_RESET1_N : out STD_LOGIC; FCLK_RESET0_N : out STD_LOGIC; FTMD_TRACEIN_DATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); FTMD_TRACEIN_VALID : in STD_LOGIC; FTMD_TRACEIN_CLK : in STD_LOGIC; FTMD_TRACEIN_ATID : in STD_LOGIC_VECTOR ( 3 downto 0 ); FTMT_F2P_TRIG_0 : in STD_LOGIC; FTMT_F2P_TRIGACK_0 : out STD_LOGIC; FTMT_F2P_TRIG_1 : in STD_LOGIC; FTMT_F2P_TRIGACK_1 : out STD_LOGIC; FTMT_F2P_TRIG_2 : in STD_LOGIC; FTMT_F2P_TRIGACK_2 : out STD_LOGIC; FTMT_F2P_TRIG_3 : in STD_LOGIC; FTMT_F2P_TRIGACK_3 : out STD_LOGIC; FTMT_F2P_DEBUG : in STD_LOGIC_VECTOR ( 31 downto 0 ); FTMT_P2F_TRIGACK_0 : in STD_LOGIC; FTMT_P2F_TRIG_0 : out STD_LOGIC; FTMT_P2F_TRIGACK_1 : in STD_LOGIC; FTMT_P2F_TRIG_1 : out STD_LOGIC; FTMT_P2F_TRIGACK_2 : in STD_LOGIC; FTMT_P2F_TRIG_2 : out STD_LOGIC; FTMT_P2F_TRIGACK_3 : in STD_LOGIC; FTMT_P2F_TRIG_3 : out STD_LOGIC; FTMT_P2F_DEBUG : out STD_LOGIC_VECTOR ( 31 downto 0 ); FPGA_IDLE_N : in STD_LOGIC; EVENT_EVENTO : out STD_LOGIC; EVENT_STANDBYWFE : out STD_LOGIC_VECTOR ( 1 downto 0 ); EVENT_STANDBYWFI : out STD_LOGIC_VECTOR ( 1 downto 0 ); EVENT_EVENTI : in STD_LOGIC; DDR_ARB : in STD_LOGIC_VECTOR ( 3 downto 0 ); MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 ); DDR_CAS_n : inout STD_LOGIC; DDR_CKE : inout STD_LOGIC; DDR_Clk_n : inout STD_LOGIC; DDR_Clk : inout STD_LOGIC; DDR_CS_n : inout STD_LOGIC; DDR_DRSTB : inout STD_LOGIC; DDR_ODT : inout STD_LOGIC; DDR_RAS_n : inout STD_LOGIC; DDR_WEB : inout STD_LOGIC; DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_VRN : inout STD_LOGIC; DDR_VRP : inout STD_LOGIC; DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 ); PS_SRSTB : inout STD_LOGIC; PS_CLK : inout STD_LOGIC; PS_PORB : inout STD_LOGIC ); attribute C_DM_WIDTH : integer; attribute C_DM_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 4; attribute C_DQS_WIDTH : integer; attribute C_DQS_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 4; attribute C_DQ_WIDTH : integer; attribute C_DQ_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 32; attribute C_EMIO_GPIO_WIDTH : integer; attribute C_EMIO_GPIO_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_EN_EMIO_ENET0 : integer; attribute C_EN_EMIO_ENET0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_EN_EMIO_ENET1 : integer; attribute C_EN_EMIO_ENET1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_EN_EMIO_PJTAG : integer; attribute C_EN_EMIO_PJTAG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_EN_EMIO_TRACE : integer; attribute C_EN_EMIO_TRACE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_FCLK_CLK0_BUF : string; attribute C_FCLK_CLK0_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "TRUE"; attribute C_FCLK_CLK1_BUF : string; attribute C_FCLK_CLK1_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE"; attribute C_FCLK_CLK2_BUF : string; attribute C_FCLK_CLK2_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE"; attribute C_FCLK_CLK3_BUF : string; attribute C_FCLK_CLK3_BUF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "FALSE"; attribute C_GP0_EN_MODIFIABLE_TXN : integer; attribute C_GP0_EN_MODIFIABLE_TXN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1; attribute C_GP1_EN_MODIFIABLE_TXN : integer; attribute C_GP1_EN_MODIFIABLE_TXN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1; attribute C_INCLUDE_ACP_TRANS_CHECK : integer; attribute C_INCLUDE_ACP_TRANS_CHECK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_INCLUDE_TRACE_BUFFER : integer; attribute C_INCLUDE_TRACE_BUFFER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_IRQ_F2P_MODE : string; attribute C_IRQ_F2P_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "DIRECT"; attribute C_MIO_PRIMITIVE : integer; attribute C_MIO_PRIMITIVE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 54; attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer; attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_M_AXI_GP0_ID_WIDTH : integer; attribute C_M_AXI_GP0_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer; attribute C_M_AXI_GP0_THREAD_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer; attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_M_AXI_GP1_ID_WIDTH : integer; attribute C_M_AXI_GP1_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer; attribute C_M_AXI_GP1_THREAD_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_NUM_F2P_INTR_INPUTS : integer; attribute C_NUM_F2P_INTR_INPUTS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 2; attribute C_PACKAGE_NAME : string; attribute C_PACKAGE_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "clg484"; attribute C_PS7_SI_REV : string; attribute C_PS7_SI_REV of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "PRODUCTION"; attribute C_S_AXI_ACP_ARUSER_VAL : integer; attribute C_S_AXI_ACP_ARUSER_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 31; attribute C_S_AXI_ACP_AWUSER_VAL : integer; attribute C_S_AXI_ACP_AWUSER_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 31; attribute C_S_AXI_ACP_ID_WIDTH : integer; attribute C_S_AXI_ACP_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 3; attribute C_S_AXI_GP0_ID_WIDTH : integer; attribute C_S_AXI_GP0_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_GP1_ID_WIDTH : integer; attribute C_S_AXI_GP1_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_HP0_DATA_WIDTH : integer; attribute C_S_AXI_HP0_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_S_AXI_HP0_ID_WIDTH : integer; attribute C_S_AXI_HP0_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_HP1_DATA_WIDTH : integer; attribute C_S_AXI_HP1_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_S_AXI_HP1_ID_WIDTH : integer; attribute C_S_AXI_HP1_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_HP2_DATA_WIDTH : integer; attribute C_S_AXI_HP2_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_S_AXI_HP2_ID_WIDTH : integer; attribute C_S_AXI_HP2_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_HP3_DATA_WIDTH : integer; attribute C_S_AXI_HP3_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_S_AXI_HP3_ID_WIDTH : integer; attribute C_S_AXI_HP3_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_TRACE_BUFFER_CLOCK_DELAY : integer; attribute C_TRACE_BUFFER_CLOCK_DELAY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_TRACE_BUFFER_FIFO_SIZE : integer; attribute C_TRACE_BUFFER_FIFO_SIZE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 128; attribute C_TRACE_INTERNAL_WIDTH : integer; attribute C_TRACE_INTERNAL_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 2; attribute C_TRACE_PIPELINE_WIDTH : integer; attribute C_TRACE_PIPELINE_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 8; attribute C_USE_AXI_NONSECURE : integer; attribute C_USE_AXI_NONSECURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_DEFAULT_ACP_USER_VAL : integer; attribute C_USE_DEFAULT_ACP_USER_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_M_AXI_GP0 : integer; attribute C_USE_M_AXI_GP0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 1; attribute C_USE_M_AXI_GP1 : integer; attribute C_USE_M_AXI_GP1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_ACP : integer; attribute C_USE_S_AXI_ACP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_GP0 : integer; attribute C_USE_S_AXI_GP0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_GP1 : integer; attribute C_USE_S_AXI_GP1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_HP0 : integer; attribute C_USE_S_AXI_HP0 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_HP1 : integer; attribute C_USE_S_AXI_HP1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_HP2 : integer; attribute C_USE_S_AXI_HP2 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_HP3 : integer; attribute C_USE_S_AXI_HP3 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; attribute HW_HANDOFF : string; attribute HW_HANDOFF of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "zqynq_lab_1_design_processing_system7_0_1.hwdef"; attribute POWER : string; attribute POWER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666667} load={0.5} /><MEMORY name={code} memType={DDR3} dataWidth={32} clockFreq={533.333313} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={10} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={8} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={USB} ioStandard={LVCMOS18} bidis={12} ioBank={Vcco_p1} clockFreq={60} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={6} ioBank={Vcco_p0} clockFreq={200.000000} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>"; attribute USE_TRACE_DATA_EDGE_DETECTOR : integer; attribute USE_TRACE_DATA_EDGE_DETECTOR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 : entity is 0; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; signal ENET0_MDIO_T_n : STD_LOGIC; signal ENET1_MDIO_T_n : STD_LOGIC; signal FCLK_CLK_unbuffered : STD_LOGIC_VECTOR ( 0 to 0 ); signal I2C0_SCL_T_n : STD_LOGIC; signal I2C0_SDA_T_n : STD_LOGIC; signal I2C1_SCL_T_n : STD_LOGIC; signal I2C1_SDA_T_n : STD_LOGIC; signal \^m_axi_gp0_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^m_axi_gp0_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_gp0_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^m_axi_gp0_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_gp1_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^m_axi_gp1_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_gp1_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^m_axi_gp1_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal SDIO0_CMD_T_n : STD_LOGIC; signal SDIO0_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 ); signal SDIO1_CMD_T_n : STD_LOGIC; signal SDIO1_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 ); signal SPI0_MISO_T_n : STD_LOGIC; signal SPI0_MOSI_T_n : STD_LOGIC; signal SPI0_SCLK_T_n : STD_LOGIC; signal SPI0_SS_T_n : STD_LOGIC; signal SPI1_MISO_T_n : STD_LOGIC; signal SPI1_MOSI_T_n : STD_LOGIC; signal SPI1_SCLK_T_n : STD_LOGIC; signal SPI1_SS_T_n : STD_LOGIC; signal \TRACE_CTL_PIPE[0]\ : STD_LOGIC; attribute RTL_KEEP : string; attribute RTL_KEEP of \TRACE_CTL_PIPE[0]\ : signal is "true"; signal \TRACE_CTL_PIPE[1]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[1]\ : signal is "true"; signal \TRACE_CTL_PIPE[2]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[2]\ : signal is "true"; signal \TRACE_CTL_PIPE[3]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[3]\ : signal is "true"; signal \TRACE_CTL_PIPE[4]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[4]\ : signal is "true"; signal \TRACE_CTL_PIPE[5]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[5]\ : signal is "true"; signal \TRACE_CTL_PIPE[6]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[6]\ : signal is "true"; signal \TRACE_CTL_PIPE[7]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[7]\ : signal is "true"; signal \TRACE_DATA_PIPE[0]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[0]\ : signal is "true"; signal \TRACE_DATA_PIPE[1]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[1]\ : signal is "true"; signal \TRACE_DATA_PIPE[2]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[2]\ : signal is "true"; signal \TRACE_DATA_PIPE[3]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[3]\ : signal is "true"; signal \TRACE_DATA_PIPE[4]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[4]\ : signal is "true"; signal \TRACE_DATA_PIPE[5]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[5]\ : signal is "true"; signal \TRACE_DATA_PIPE[6]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[6]\ : signal is "true"; signal \TRACE_DATA_PIPE[7]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[7]\ : signal is "true"; signal buffered_DDR_Addr : STD_LOGIC_VECTOR ( 14 downto 0 ); signal buffered_DDR_BankAddr : STD_LOGIC_VECTOR ( 2 downto 0 ); signal buffered_DDR_CAS_n : STD_LOGIC; signal buffered_DDR_CKE : STD_LOGIC; signal buffered_DDR_CS_n : STD_LOGIC; signal buffered_DDR_Clk : STD_LOGIC; signal buffered_DDR_Clk_n : STD_LOGIC; signal buffered_DDR_DM : STD_LOGIC_VECTOR ( 3 downto 0 ); signal buffered_DDR_DQ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal buffered_DDR_DQS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal buffered_DDR_DQS_n : STD_LOGIC_VECTOR ( 3 downto 0 ); signal buffered_DDR_DRSTB : STD_LOGIC; signal buffered_DDR_ODT : STD_LOGIC; signal buffered_DDR_RAS_n : STD_LOGIC; signal buffered_DDR_VRN : STD_LOGIC; signal buffered_DDR_VRP : STD_LOGIC; signal buffered_DDR_WEB : STD_LOGIC; signal buffered_MIO : STD_LOGIC_VECTOR ( 53 downto 0 ); signal buffered_PS_CLK : STD_LOGIC; signal buffered_PS_PORB : STD_LOGIC; signal buffered_PS_SRSTB : STD_LOGIC; signal gpio_out_t_n : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOTRACECTL_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_PS7_i_MAXIGP0ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); signal NLW_PS7_i_MAXIGP0AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); signal NLW_PS7_i_MAXIGP1ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); signal NLW_PS7_i_MAXIGP1AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); attribute BOX_TYPE : string; attribute BOX_TYPE of DDR_CAS_n_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_CKE_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_CS_n_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_Clk_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_Clk_n_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_DRSTB_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_ODT_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_RAS_n_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_VRN_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_VRP_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_WEB_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of PS7_i : label is "PRIMITIVE"; attribute BOX_TYPE of PS_CLK_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of PS_PORB_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of PS_SRSTB_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of \buffer_fclk_clk_0.FCLK_CLK_0_BUFG\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[0].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[10].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[11].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[12].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[13].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[14].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[15].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[16].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[17].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[18].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[19].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[1].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[20].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[21].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[22].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[23].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[24].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[25].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[26].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[27].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[28].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[29].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[2].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[30].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[31].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[32].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[33].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[34].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[35].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[36].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[37].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[38].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[39].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[3].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[40].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[41].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[42].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[43].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[44].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[45].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[46].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[47].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[48].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[49].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[4].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[50].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[51].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[52].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[53].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[5].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[6].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[7].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[8].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[9].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk14[0].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk14[1].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk14[2].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[0].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[10].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[11].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[12].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[13].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[14].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[1].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[2].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[3].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[4].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[5].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[6].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[7].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[8].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[9].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk16[0].DDR_DM_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk16[1].DDR_DM_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk16[2].DDR_DM_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk16[3].DDR_DM_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[0].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[10].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[11].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[12].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[13].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[14].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[15].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[16].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[17].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[18].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[19].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[1].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[20].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[21].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[22].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[23].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[24].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[25].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[26].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[27].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[28].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[29].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[2].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[30].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[31].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[3].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[4].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[5].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[6].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[7].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[8].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[9].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk18[0].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk18[1].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk18[2].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk18[3].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk19[0].DDR_DQS_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk19[1].DDR_DQS_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk19[2].DDR_DQS_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk19[3].DDR_DQS_BIBUF\ : label is "PRIMITIVE"; begin ENET0_GMII_TXD(7) <= \<const0>\; ENET0_GMII_TXD(6) <= \<const0>\; ENET0_GMII_TXD(5) <= \<const0>\; ENET0_GMII_TXD(4) <= \<const0>\; ENET0_GMII_TXD(3) <= \<const0>\; ENET0_GMII_TXD(2) <= \<const0>\; ENET0_GMII_TXD(1) <= \<const0>\; ENET0_GMII_TXD(0) <= \<const0>\; ENET0_GMII_TX_EN <= \<const0>\; ENET0_GMII_TX_ER <= \<const0>\; ENET1_GMII_TXD(7) <= \<const0>\; ENET1_GMII_TXD(6) <= \<const0>\; ENET1_GMII_TXD(5) <= \<const0>\; ENET1_GMII_TXD(4) <= \<const0>\; ENET1_GMII_TXD(3) <= \<const0>\; ENET1_GMII_TXD(2) <= \<const0>\; ENET1_GMII_TXD(1) <= \<const0>\; ENET1_GMII_TXD(0) <= \<const0>\; ENET1_GMII_TX_EN <= \<const0>\; ENET1_GMII_TX_ER <= \<const0>\; M_AXI_GP0_ARCACHE(3 downto 2) <= \^m_axi_gp0_arcache\(3 downto 2); M_AXI_GP0_ARCACHE(1) <= \<const1>\; M_AXI_GP0_ARCACHE(0) <= \^m_axi_gp0_arcache\(0); M_AXI_GP0_ARSIZE(2) <= \<const0>\; M_AXI_GP0_ARSIZE(1 downto 0) <= \^m_axi_gp0_arsize\(1 downto 0); M_AXI_GP0_AWCACHE(3 downto 2) <= \^m_axi_gp0_awcache\(3 downto 2); M_AXI_GP0_AWCACHE(1) <= \<const1>\; M_AXI_GP0_AWCACHE(0) <= \^m_axi_gp0_awcache\(0); M_AXI_GP0_AWSIZE(2) <= \<const0>\; M_AXI_GP0_AWSIZE(1 downto 0) <= \^m_axi_gp0_awsize\(1 downto 0); M_AXI_GP1_ARCACHE(3 downto 2) <= \^m_axi_gp1_arcache\(3 downto 2); M_AXI_GP1_ARCACHE(1) <= \<const1>\; M_AXI_GP1_ARCACHE(0) <= \^m_axi_gp1_arcache\(0); M_AXI_GP1_ARSIZE(2) <= \<const0>\; M_AXI_GP1_ARSIZE(1 downto 0) <= \^m_axi_gp1_arsize\(1 downto 0); M_AXI_GP1_AWCACHE(3 downto 2) <= \^m_axi_gp1_awcache\(3 downto 2); M_AXI_GP1_AWCACHE(1) <= \<const1>\; M_AXI_GP1_AWCACHE(0) <= \^m_axi_gp1_awcache\(0); M_AXI_GP1_AWSIZE(2) <= \<const0>\; M_AXI_GP1_AWSIZE(1 downto 0) <= \^m_axi_gp1_awsize\(1 downto 0); PJTAG_TDO <= \<const0>\; TRACE_CLK_OUT <= \<const0>\; TRACE_CTL <= \TRACE_CTL_PIPE[0]\; TRACE_DATA(1 downto 0) <= \TRACE_DATA_PIPE[0]\(1 downto 0); DDR_CAS_n_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_CAS_n, PAD => DDR_CAS_n ); DDR_CKE_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_CKE, PAD => DDR_CKE ); DDR_CS_n_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_CS_n, PAD => DDR_CS_n ); DDR_Clk_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Clk, PAD => DDR_Clk ); DDR_Clk_n_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Clk_n, PAD => DDR_Clk_n ); DDR_DRSTB_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DRSTB, PAD => DDR_DRSTB ); DDR_ODT_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_ODT, PAD => DDR_ODT ); DDR_RAS_n_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_RAS_n, PAD => DDR_RAS_n ); DDR_VRN_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_VRN, PAD => DDR_VRN ); DDR_VRP_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_VRP, PAD => DDR_VRP ); DDR_WEB_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_WEB, PAD => DDR_WEB ); ENET0_MDIO_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ENET0_MDIO_T_n, O => ENET0_MDIO_T ); ENET1_MDIO_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ENET1_MDIO_T_n, O => ENET1_MDIO_T ); GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); \GPIO_T[0]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(0), O => GPIO_T(0) ); \GPIO_T[10]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(10), O => GPIO_T(10) ); \GPIO_T[11]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(11), O => GPIO_T(11) ); \GPIO_T[12]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(12), O => GPIO_T(12) ); \GPIO_T[13]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(13), O => GPIO_T(13) ); \GPIO_T[14]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(14), O => GPIO_T(14) ); \GPIO_T[15]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(15), O => GPIO_T(15) ); \GPIO_T[16]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(16), O => GPIO_T(16) ); \GPIO_T[17]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(17), O => GPIO_T(17) ); \GPIO_T[18]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(18), O => GPIO_T(18) ); \GPIO_T[19]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(19), O => GPIO_T(19) ); \GPIO_T[1]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(1), O => GPIO_T(1) ); \GPIO_T[20]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(20), O => GPIO_T(20) ); \GPIO_T[21]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(21), O => GPIO_T(21) ); \GPIO_T[22]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(22), O => GPIO_T(22) ); \GPIO_T[23]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(23), O => GPIO_T(23) ); \GPIO_T[24]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(24), O => GPIO_T(24) ); \GPIO_T[25]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(25), O => GPIO_T(25) ); \GPIO_T[26]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(26), O => GPIO_T(26) ); \GPIO_T[27]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(27), O => GPIO_T(27) ); \GPIO_T[28]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(28), O => GPIO_T(28) ); \GPIO_T[29]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(29), O => GPIO_T(29) ); \GPIO_T[2]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(2), O => GPIO_T(2) ); \GPIO_T[30]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(30), O => GPIO_T(30) ); \GPIO_T[31]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(31), O => GPIO_T(31) ); \GPIO_T[32]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(32), O => GPIO_T(32) ); \GPIO_T[33]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(33), O => GPIO_T(33) ); \GPIO_T[34]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(34), O => GPIO_T(34) ); \GPIO_T[35]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(35), O => GPIO_T(35) ); \GPIO_T[36]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(36), O => GPIO_T(36) ); \GPIO_T[37]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(37), O => GPIO_T(37) ); \GPIO_T[38]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(38), O => GPIO_T(38) ); \GPIO_T[39]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(39), O => GPIO_T(39) ); \GPIO_T[3]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(3), O => GPIO_T(3) ); \GPIO_T[40]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(40), O => GPIO_T(40) ); \GPIO_T[41]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(41), O => GPIO_T(41) ); \GPIO_T[42]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(42), O => GPIO_T(42) ); \GPIO_T[43]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(43), O => GPIO_T(43) ); \GPIO_T[44]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(44), O => GPIO_T(44) ); \GPIO_T[45]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(45), O => GPIO_T(45) ); \GPIO_T[46]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(46), O => GPIO_T(46) ); \GPIO_T[47]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(47), O => GPIO_T(47) ); \GPIO_T[48]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(48), O => GPIO_T(48) ); \GPIO_T[49]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(49), O => GPIO_T(49) ); \GPIO_T[4]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(4), O => GPIO_T(4) ); \GPIO_T[50]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(50), O => GPIO_T(50) ); \GPIO_T[51]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(51), O => GPIO_T(51) ); \GPIO_T[52]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(52), O => GPIO_T(52) ); \GPIO_T[53]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(53), O => GPIO_T(53) ); \GPIO_T[54]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(54), O => GPIO_T(54) ); \GPIO_T[55]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(55), O => GPIO_T(55) ); \GPIO_T[56]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(56), O => GPIO_T(56) ); \GPIO_T[57]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(57), O => GPIO_T(57) ); \GPIO_T[58]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(58), O => GPIO_T(58) ); \GPIO_T[59]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(59), O => GPIO_T(59) ); \GPIO_T[5]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(5), O => GPIO_T(5) ); \GPIO_T[60]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(60), O => GPIO_T(60) ); \GPIO_T[61]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(61), O => GPIO_T(61) ); \GPIO_T[62]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(62), O => GPIO_T(62) ); \GPIO_T[63]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(63), O => GPIO_T(63) ); \GPIO_T[6]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(6), O => GPIO_T(6) ); \GPIO_T[7]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(7), O => GPIO_T(7) ); \GPIO_T[8]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(8), O => GPIO_T(8) ); \GPIO_T[9]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(9), O => GPIO_T(9) ); I2C0_SCL_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => I2C0_SCL_T_n, O => I2C0_SCL_T ); I2C0_SDA_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => I2C0_SDA_T_n, O => I2C0_SDA_T ); I2C1_SCL_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => I2C1_SCL_T_n, O => I2C1_SCL_T ); I2C1_SDA_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => I2C1_SDA_T_n, O => I2C1_SDA_T ); PS7_i: unisim.vcomponents.PS7 port map ( DDRA(14 downto 0) => buffered_DDR_Addr(14 downto 0), DDRARB(3 downto 0) => DDR_ARB(3 downto 0), DDRBA(2 downto 0) => buffered_DDR_BankAddr(2 downto 0), DDRCASB => buffered_DDR_CAS_n, DDRCKE => buffered_DDR_CKE, DDRCKN => buffered_DDR_Clk_n, DDRCKP => buffered_DDR_Clk, DDRCSB => buffered_DDR_CS_n, DDRDM(3 downto 0) => buffered_DDR_DM(3 downto 0), DDRDQ(31 downto 0) => buffered_DDR_DQ(31 downto 0), DDRDQSN(3 downto 0) => buffered_DDR_DQS_n(3 downto 0), DDRDQSP(3 downto 0) => buffered_DDR_DQS(3 downto 0), DDRDRSTB => buffered_DDR_DRSTB, DDRODT => buffered_DDR_ODT, DDRRASB => buffered_DDR_RAS_n, DDRVRN => buffered_DDR_VRN, DDRVRP => buffered_DDR_VRP, DDRWEB => buffered_DDR_WEB, DMA0ACLK => DMA0_ACLK, DMA0DAREADY => DMA0_DAREADY, DMA0DATYPE(1 downto 0) => DMA0_DATYPE(1 downto 0), DMA0DAVALID => DMA0_DAVALID, DMA0DRLAST => DMA0_DRLAST, DMA0DRREADY => DMA0_DRREADY, DMA0DRTYPE(1 downto 0) => DMA0_DRTYPE(1 downto 0), DMA0DRVALID => DMA0_DRVALID, DMA0RSTN => DMA0_RSTN, DMA1ACLK => DMA1_ACLK, DMA1DAREADY => DMA1_DAREADY, DMA1DATYPE(1 downto 0) => DMA1_DATYPE(1 downto 0), DMA1DAVALID => DMA1_DAVALID, DMA1DRLAST => DMA1_DRLAST, DMA1DRREADY => DMA1_DRREADY, DMA1DRTYPE(1 downto 0) => DMA1_DRTYPE(1 downto 0), DMA1DRVALID => DMA1_DRVALID, DMA1RSTN => DMA1_RSTN, DMA2ACLK => DMA2_ACLK, DMA2DAREADY => DMA2_DAREADY, DMA2DATYPE(1 downto 0) => DMA2_DATYPE(1 downto 0), DMA2DAVALID => DMA2_DAVALID, DMA2DRLAST => DMA2_DRLAST, DMA2DRREADY => DMA2_DRREADY, DMA2DRTYPE(1 downto 0) => DMA2_DRTYPE(1 downto 0), DMA2DRVALID => DMA2_DRVALID, DMA2RSTN => DMA2_RSTN, DMA3ACLK => DMA3_ACLK, DMA3DAREADY => DMA3_DAREADY, DMA3DATYPE(1 downto 0) => DMA3_DATYPE(1 downto 0), DMA3DAVALID => DMA3_DAVALID, DMA3DRLAST => DMA3_DRLAST, DMA3DRREADY => DMA3_DRREADY, DMA3DRTYPE(1 downto 0) => DMA3_DRTYPE(1 downto 0), DMA3DRVALID => DMA3_DRVALID, DMA3RSTN => DMA3_RSTN, EMIOCAN0PHYRX => CAN0_PHY_RX, EMIOCAN0PHYTX => CAN0_PHY_TX, EMIOCAN1PHYRX => CAN1_PHY_RX, EMIOCAN1PHYTX => CAN1_PHY_TX, EMIOENET0EXTINTIN => ENET0_EXT_INTIN, EMIOENET0GMIICOL => '0', EMIOENET0GMIICRS => '0', EMIOENET0GMIIRXCLK => ENET0_GMII_RX_CLK, EMIOENET0GMIIRXD(7 downto 0) => B"00000000", EMIOENET0GMIIRXDV => '0', EMIOENET0GMIIRXER => '0', EMIOENET0GMIITXCLK => ENET0_GMII_TX_CLK, EMIOENET0GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED(7 downto 0), EMIOENET0GMIITXEN => NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED, EMIOENET0GMIITXER => NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED, EMIOENET0MDIOI => ENET0_MDIO_I, EMIOENET0MDIOMDC => ENET0_MDIO_MDC, EMIOENET0MDIOO => ENET0_MDIO_O, EMIOENET0MDIOTN => ENET0_MDIO_T_n, EMIOENET0PTPDELAYREQRX => ENET0_PTP_DELAY_REQ_RX, EMIOENET0PTPDELAYREQTX => ENET0_PTP_DELAY_REQ_TX, EMIOENET0PTPPDELAYREQRX => ENET0_PTP_PDELAY_REQ_RX, EMIOENET0PTPPDELAYREQTX => ENET0_PTP_PDELAY_REQ_TX, EMIOENET0PTPPDELAYRESPRX => ENET0_PTP_PDELAY_RESP_RX, EMIOENET0PTPPDELAYRESPTX => ENET0_PTP_PDELAY_RESP_TX, EMIOENET0PTPSYNCFRAMERX => ENET0_PTP_SYNC_FRAME_RX, EMIOENET0PTPSYNCFRAMETX => ENET0_PTP_SYNC_FRAME_TX, EMIOENET0SOFRX => ENET0_SOF_RX, EMIOENET0SOFTX => ENET0_SOF_TX, EMIOENET1EXTINTIN => ENET1_EXT_INTIN, EMIOENET1GMIICOL => '0', EMIOENET1GMIICRS => '0', EMIOENET1GMIIRXCLK => ENET1_GMII_RX_CLK, EMIOENET1GMIIRXD(7 downto 0) => B"00000000", EMIOENET1GMIIRXDV => '0', EMIOENET1GMIIRXER => '0', EMIOENET1GMIITXCLK => ENET1_GMII_TX_CLK, EMIOENET1GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED(7 downto 0), EMIOENET1GMIITXEN => NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED, EMIOENET1GMIITXER => NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED, EMIOENET1MDIOI => ENET1_MDIO_I, EMIOENET1MDIOMDC => ENET1_MDIO_MDC, EMIOENET1MDIOO => ENET1_MDIO_O, EMIOENET1MDIOTN => ENET1_MDIO_T_n, EMIOENET1PTPDELAYREQRX => ENET1_PTP_DELAY_REQ_RX, EMIOENET1PTPDELAYREQTX => ENET1_PTP_DELAY_REQ_TX, EMIOENET1PTPPDELAYREQRX => ENET1_PTP_PDELAY_REQ_RX, EMIOENET1PTPPDELAYREQTX => ENET1_PTP_PDELAY_REQ_TX, EMIOENET1PTPPDELAYRESPRX => ENET1_PTP_PDELAY_RESP_RX, EMIOENET1PTPPDELAYRESPTX => ENET1_PTP_PDELAY_RESP_TX, EMIOENET1PTPSYNCFRAMERX => ENET1_PTP_SYNC_FRAME_RX, EMIOENET1PTPSYNCFRAMETX => ENET1_PTP_SYNC_FRAME_TX, EMIOENET1SOFRX => ENET1_SOF_RX, EMIOENET1SOFTX => ENET1_SOF_TX, EMIOGPIOI(63 downto 0) => GPIO_I(63 downto 0), EMIOGPIOO(63 downto 0) => GPIO_O(63 downto 0), EMIOGPIOTN(63 downto 0) => gpio_out_t_n(63 downto 0), EMIOI2C0SCLI => I2C0_SCL_I, EMIOI2C0SCLO => I2C0_SCL_O, EMIOI2C0SCLTN => I2C0_SCL_T_n, EMIOI2C0SDAI => I2C0_SDA_I, EMIOI2C0SDAO => I2C0_SDA_O, EMIOI2C0SDATN => I2C0_SDA_T_n, EMIOI2C1SCLI => I2C1_SCL_I, EMIOI2C1SCLO => I2C1_SCL_O, EMIOI2C1SCLTN => I2C1_SCL_T_n, EMIOI2C1SDAI => I2C1_SDA_I, EMIOI2C1SDAO => I2C1_SDA_O, EMIOI2C1SDATN => I2C1_SDA_T_n, EMIOPJTAGTCK => PJTAG_TCK, EMIOPJTAGTDI => PJTAG_TDI, EMIOPJTAGTDO => NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED, EMIOPJTAGTDTN => NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED, EMIOPJTAGTMS => PJTAG_TMS, EMIOSDIO0BUSPOW => SDIO0_BUSPOW, EMIOSDIO0BUSVOLT(2 downto 0) => SDIO0_BUSVOLT(2 downto 0), EMIOSDIO0CDN => SDIO0_CDN, EMIOSDIO0CLK => SDIO0_CLK, EMIOSDIO0CLKFB => SDIO0_CLK_FB, EMIOSDIO0CMDI => SDIO0_CMD_I, EMIOSDIO0CMDO => SDIO0_CMD_O, EMIOSDIO0CMDTN => SDIO0_CMD_T_n, EMIOSDIO0DATAI(3 downto 0) => SDIO0_DATA_I(3 downto 0), EMIOSDIO0DATAO(3 downto 0) => SDIO0_DATA_O(3 downto 0), EMIOSDIO0DATATN(3 downto 0) => SDIO0_DATA_T_n(3 downto 0), EMIOSDIO0LED => SDIO0_LED, EMIOSDIO0WP => SDIO0_WP, EMIOSDIO1BUSPOW => SDIO1_BUSPOW, EMIOSDIO1BUSVOLT(2 downto 0) => SDIO1_BUSVOLT(2 downto 0), EMIOSDIO1CDN => SDIO1_CDN, EMIOSDIO1CLK => SDIO1_CLK, EMIOSDIO1CLKFB => SDIO1_CLK_FB, EMIOSDIO1CMDI => SDIO1_CMD_I, EMIOSDIO1CMDO => SDIO1_CMD_O, EMIOSDIO1CMDTN => SDIO1_CMD_T_n, EMIOSDIO1DATAI(3 downto 0) => SDIO1_DATA_I(3 downto 0), EMIOSDIO1DATAO(3 downto 0) => SDIO1_DATA_O(3 downto 0), EMIOSDIO1DATATN(3 downto 0) => SDIO1_DATA_T_n(3 downto 0), EMIOSDIO1LED => SDIO1_LED, EMIOSDIO1WP => SDIO1_WP, EMIOSPI0MI => SPI0_MISO_I, EMIOSPI0MO => SPI0_MOSI_O, EMIOSPI0MOTN => SPI0_MOSI_T_n, EMIOSPI0SCLKI => SPI0_SCLK_I, EMIOSPI0SCLKO => SPI0_SCLK_O, EMIOSPI0SCLKTN => SPI0_SCLK_T_n, EMIOSPI0SI => SPI0_MOSI_I, EMIOSPI0SO => SPI0_MISO_O, EMIOSPI0SSIN => SPI0_SS_I, EMIOSPI0SSNTN => SPI0_SS_T_n, EMIOSPI0SSON(2) => SPI0_SS2_O, EMIOSPI0SSON(1) => SPI0_SS1_O, EMIOSPI0SSON(0) => SPI0_SS_O, EMIOSPI0STN => SPI0_MISO_T_n, EMIOSPI1MI => SPI1_MISO_I, EMIOSPI1MO => SPI1_MOSI_O, EMIOSPI1MOTN => SPI1_MOSI_T_n, EMIOSPI1SCLKI => SPI1_SCLK_I, EMIOSPI1SCLKO => SPI1_SCLK_O, EMIOSPI1SCLKTN => SPI1_SCLK_T_n, EMIOSPI1SI => SPI1_MOSI_I, EMIOSPI1SO => SPI1_MISO_O, EMIOSPI1SSIN => SPI1_SS_I, EMIOSPI1SSNTN => SPI1_SS_T_n, EMIOSPI1SSON(2) => SPI1_SS2_O, EMIOSPI1SSON(1) => SPI1_SS1_O, EMIOSPI1SSON(0) => SPI1_SS_O, EMIOSPI1STN => SPI1_MISO_T_n, EMIOSRAMINTIN => SRAM_INTIN, EMIOTRACECLK => TRACE_CLK, EMIOTRACECTL => NLW_PS7_i_EMIOTRACECTL_UNCONNECTED, EMIOTRACEDATA(31 downto 0) => NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED(31 downto 0), EMIOTTC0CLKI(2) => TTC0_CLK2_IN, EMIOTTC0CLKI(1) => TTC0_CLK1_IN, EMIOTTC0CLKI(0) => TTC0_CLK0_IN, EMIOTTC0WAVEO(2) => TTC0_WAVE2_OUT, EMIOTTC0WAVEO(1) => TTC0_WAVE1_OUT, EMIOTTC0WAVEO(0) => TTC0_WAVE0_OUT, EMIOTTC1CLKI(2) => TTC1_CLK2_IN, EMIOTTC1CLKI(1) => TTC1_CLK1_IN, EMIOTTC1CLKI(0) => TTC1_CLK0_IN, EMIOTTC1WAVEO(2) => TTC1_WAVE2_OUT, EMIOTTC1WAVEO(1) => TTC1_WAVE1_OUT, EMIOTTC1WAVEO(0) => TTC1_WAVE0_OUT, EMIOUART0CTSN => UART0_CTSN, EMIOUART0DCDN => UART0_DCDN, EMIOUART0DSRN => UART0_DSRN, EMIOUART0DTRN => UART0_DTRN, EMIOUART0RIN => UART0_RIN, EMIOUART0RTSN => UART0_RTSN, EMIOUART0RX => UART0_RX, EMIOUART0TX => UART0_TX, EMIOUART1CTSN => UART1_CTSN, EMIOUART1DCDN => UART1_DCDN, EMIOUART1DSRN => UART1_DSRN, EMIOUART1DTRN => UART1_DTRN, EMIOUART1RIN => UART1_RIN, EMIOUART1RTSN => UART1_RTSN, EMIOUART1RX => UART1_RX, EMIOUART1TX => UART1_TX, EMIOUSB0PORTINDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0), EMIOUSB0VBUSPWRFAULT => USB0_VBUS_PWRFAULT, EMIOUSB0VBUSPWRSELECT => USB0_VBUS_PWRSELECT, EMIOUSB1PORTINDCTL(1 downto 0) => USB1_PORT_INDCTL(1 downto 0), EMIOUSB1VBUSPWRFAULT => USB1_VBUS_PWRFAULT, EMIOUSB1VBUSPWRSELECT => USB1_VBUS_PWRSELECT, EMIOWDTCLKI => WDT_CLK_IN, EMIOWDTRSTO => WDT_RST_OUT, EVENTEVENTI => EVENT_EVENTI, EVENTEVENTO => EVENT_EVENTO, EVENTSTANDBYWFE(1 downto 0) => EVENT_STANDBYWFE(1 downto 0), EVENTSTANDBYWFI(1 downto 0) => EVENT_STANDBYWFI(1 downto 0), FCLKCLK(3) => FCLK_CLK3, FCLKCLK(2) => FCLK_CLK2, FCLKCLK(1) => FCLK_CLK1, FCLKCLK(0) => FCLK_CLK_unbuffered(0), FCLKCLKTRIGN(3 downto 0) => B"0000", FCLKRESETN(3) => FCLK_RESET3_N, FCLKRESETN(2) => FCLK_RESET2_N, FCLKRESETN(1) => FCLK_RESET1_N, FCLKRESETN(0) => FCLK_RESET0_N, FPGAIDLEN => FPGA_IDLE_N, FTMDTRACEINATID(3 downto 0) => B"0000", FTMDTRACEINCLOCK => FTMD_TRACEIN_CLK, FTMDTRACEINDATA(31 downto 0) => B"00000000000000000000000000000000", FTMDTRACEINVALID => '0', FTMTF2PDEBUG(31 downto 0) => FTMT_F2P_DEBUG(31 downto 0), FTMTF2PTRIG(3) => FTMT_F2P_TRIG_3, FTMTF2PTRIG(2) => FTMT_F2P_TRIG_2, FTMTF2PTRIG(1) => FTMT_F2P_TRIG_1, FTMTF2PTRIG(0) => FTMT_F2P_TRIG_0, FTMTF2PTRIGACK(3) => FTMT_F2P_TRIGACK_3, FTMTF2PTRIGACK(2) => FTMT_F2P_TRIGACK_2, FTMTF2PTRIGACK(1) => FTMT_F2P_TRIGACK_1, FTMTF2PTRIGACK(0) => FTMT_F2P_TRIGACK_0, FTMTP2FDEBUG(31 downto 0) => FTMT_P2F_DEBUG(31 downto 0), FTMTP2FTRIG(3) => FTMT_P2F_TRIG_3, FTMTP2FTRIG(2) => FTMT_P2F_TRIG_2, FTMTP2FTRIG(1) => FTMT_P2F_TRIG_1, FTMTP2FTRIG(0) => FTMT_P2F_TRIG_0, FTMTP2FTRIGACK(3) => FTMT_P2F_TRIGACK_3, FTMTP2FTRIGACK(2) => FTMT_P2F_TRIGACK_2, FTMTP2FTRIGACK(1) => FTMT_P2F_TRIGACK_1, FTMTP2FTRIGACK(0) => FTMT_P2F_TRIGACK_0, IRQF2P(19) => Core1_nFIQ, IRQF2P(18) => Core0_nFIQ, IRQF2P(17) => Core1_nIRQ, IRQF2P(16) => Core0_nIRQ, IRQF2P(15 downto 2) => B"00000000000000", IRQF2P(1 downto 0) => IRQ_F2P(1 downto 0), IRQP2F(28) => IRQ_P2F_DMAC_ABORT, IRQP2F(27) => IRQ_P2F_DMAC7, IRQP2F(26) => IRQ_P2F_DMAC6, IRQP2F(25) => IRQ_P2F_DMAC5, IRQP2F(24) => IRQ_P2F_DMAC4, IRQP2F(23) => IRQ_P2F_DMAC3, IRQP2F(22) => IRQ_P2F_DMAC2, IRQP2F(21) => IRQ_P2F_DMAC1, IRQP2F(20) => IRQ_P2F_DMAC0, IRQP2F(19) => IRQ_P2F_SMC, IRQP2F(18) => IRQ_P2F_QSPI, IRQP2F(17) => IRQ_P2F_CTI, IRQP2F(16) => IRQ_P2F_GPIO, IRQP2F(15) => IRQ_P2F_USB0, IRQP2F(14) => IRQ_P2F_ENET0, IRQP2F(13) => IRQ_P2F_ENET_WAKE0, IRQP2F(12) => IRQ_P2F_SDIO0, IRQP2F(11) => IRQ_P2F_I2C0, IRQP2F(10) => IRQ_P2F_SPI0, IRQP2F(9) => IRQ_P2F_UART0, IRQP2F(8) => IRQ_P2F_CAN0, IRQP2F(7) => IRQ_P2F_USB1, IRQP2F(6) => IRQ_P2F_ENET1, IRQP2F(5) => IRQ_P2F_ENET_WAKE1, IRQP2F(4) => IRQ_P2F_SDIO1, IRQP2F(3) => IRQ_P2F_I2C1, IRQP2F(2) => IRQ_P2F_SPI1, IRQP2F(1) => IRQ_P2F_UART1, IRQP2F(0) => IRQ_P2F_CAN1, MAXIGP0ACLK => M_AXI_GP0_ACLK, MAXIGP0ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0), MAXIGP0ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0), MAXIGP0ARCACHE(3 downto 2) => \^m_axi_gp0_arcache\(3 downto 2), MAXIGP0ARCACHE(1) => NLW_PS7_i_MAXIGP0ARCACHE_UNCONNECTED(1), MAXIGP0ARCACHE(0) => \^m_axi_gp0_arcache\(0), MAXIGP0ARESETN => M_AXI_GP0_ARESETN, MAXIGP0ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0), MAXIGP0ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0), MAXIGP0ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0), MAXIGP0ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0), MAXIGP0ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0), MAXIGP0ARREADY => M_AXI_GP0_ARREADY, MAXIGP0ARSIZE(1 downto 0) => \^m_axi_gp0_arsize\(1 downto 0), MAXIGP0ARVALID => M_AXI_GP0_ARVALID, MAXIGP0AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0), MAXIGP0AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0), MAXIGP0AWCACHE(3 downto 2) => \^m_axi_gp0_awcache\(3 downto 2), MAXIGP0AWCACHE(1) => NLW_PS7_i_MAXIGP0AWCACHE_UNCONNECTED(1), MAXIGP0AWCACHE(0) => \^m_axi_gp0_awcache\(0), MAXIGP0AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0), MAXIGP0AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0), MAXIGP0AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0), MAXIGP0AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0), MAXIGP0AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0), MAXIGP0AWREADY => M_AXI_GP0_AWREADY, MAXIGP0AWSIZE(1 downto 0) => \^m_axi_gp0_awsize\(1 downto 0), MAXIGP0AWVALID => M_AXI_GP0_AWVALID, MAXIGP0BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0), MAXIGP0BREADY => M_AXI_GP0_BREADY, MAXIGP0BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0), MAXIGP0BVALID => M_AXI_GP0_BVALID, MAXIGP0RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0), MAXIGP0RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0), MAXIGP0RLAST => M_AXI_GP0_RLAST, MAXIGP0RREADY => M_AXI_GP0_RREADY, MAXIGP0RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0), MAXIGP0RVALID => M_AXI_GP0_RVALID, MAXIGP0WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0), MAXIGP0WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0), MAXIGP0WLAST => M_AXI_GP0_WLAST, MAXIGP0WREADY => M_AXI_GP0_WREADY, MAXIGP0WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0), MAXIGP0WVALID => M_AXI_GP0_WVALID, MAXIGP1ACLK => M_AXI_GP1_ACLK, MAXIGP1ARADDR(31 downto 0) => M_AXI_GP1_ARADDR(31 downto 0), MAXIGP1ARBURST(1 downto 0) => M_AXI_GP1_ARBURST(1 downto 0), MAXIGP1ARCACHE(3 downto 2) => \^m_axi_gp1_arcache\(3 downto 2), MAXIGP1ARCACHE(1) => NLW_PS7_i_MAXIGP1ARCACHE_UNCONNECTED(1), MAXIGP1ARCACHE(0) => \^m_axi_gp1_arcache\(0), MAXIGP1ARESETN => M_AXI_GP1_ARESETN, MAXIGP1ARID(11 downto 0) => M_AXI_GP1_ARID(11 downto 0), MAXIGP1ARLEN(3 downto 0) => M_AXI_GP1_ARLEN(3 downto 0), MAXIGP1ARLOCK(1 downto 0) => M_AXI_GP1_ARLOCK(1 downto 0), MAXIGP1ARPROT(2 downto 0) => M_AXI_GP1_ARPROT(2 downto 0), MAXIGP1ARQOS(3 downto 0) => M_AXI_GP1_ARQOS(3 downto 0), MAXIGP1ARREADY => M_AXI_GP1_ARREADY, MAXIGP1ARSIZE(1 downto 0) => \^m_axi_gp1_arsize\(1 downto 0), MAXIGP1ARVALID => M_AXI_GP1_ARVALID, MAXIGP1AWADDR(31 downto 0) => M_AXI_GP1_AWADDR(31 downto 0), MAXIGP1AWBURST(1 downto 0) => M_AXI_GP1_AWBURST(1 downto 0), MAXIGP1AWCACHE(3 downto 2) => \^m_axi_gp1_awcache\(3 downto 2), MAXIGP1AWCACHE(1) => NLW_PS7_i_MAXIGP1AWCACHE_UNCONNECTED(1), MAXIGP1AWCACHE(0) => \^m_axi_gp1_awcache\(0), MAXIGP1AWID(11 downto 0) => M_AXI_GP1_AWID(11 downto 0), MAXIGP1AWLEN(3 downto 0) => M_AXI_GP1_AWLEN(3 downto 0), MAXIGP1AWLOCK(1 downto 0) => M_AXI_GP1_AWLOCK(1 downto 0), MAXIGP1AWPROT(2 downto 0) => M_AXI_GP1_AWPROT(2 downto 0), MAXIGP1AWQOS(3 downto 0) => M_AXI_GP1_AWQOS(3 downto 0), MAXIGP1AWREADY => M_AXI_GP1_AWREADY, MAXIGP1AWSIZE(1 downto 0) => \^m_axi_gp1_awsize\(1 downto 0), MAXIGP1AWVALID => M_AXI_GP1_AWVALID, MAXIGP1BID(11 downto 0) => M_AXI_GP1_BID(11 downto 0), MAXIGP1BREADY => M_AXI_GP1_BREADY, MAXIGP1BRESP(1 downto 0) => M_AXI_GP1_BRESP(1 downto 0), MAXIGP1BVALID => M_AXI_GP1_BVALID, MAXIGP1RDATA(31 downto 0) => M_AXI_GP1_RDATA(31 downto 0), MAXIGP1RID(11 downto 0) => M_AXI_GP1_RID(11 downto 0), MAXIGP1RLAST => M_AXI_GP1_RLAST, MAXIGP1RREADY => M_AXI_GP1_RREADY, MAXIGP1RRESP(1 downto 0) => M_AXI_GP1_RRESP(1 downto 0), MAXIGP1RVALID => M_AXI_GP1_RVALID, MAXIGP1WDATA(31 downto 0) => M_AXI_GP1_WDATA(31 downto 0), MAXIGP1WID(11 downto 0) => M_AXI_GP1_WID(11 downto 0), MAXIGP1WLAST => M_AXI_GP1_WLAST, MAXIGP1WREADY => M_AXI_GP1_WREADY, MAXIGP1WSTRB(3 downto 0) => M_AXI_GP1_WSTRB(3 downto 0), MAXIGP1WVALID => M_AXI_GP1_WVALID, MIO(53 downto 0) => buffered_MIO(53 downto 0), PSCLK => buffered_PS_CLK, PSPORB => buffered_PS_PORB, PSSRSTB => buffered_PS_SRSTB, SAXIACPACLK => S_AXI_ACP_ACLK, SAXIACPARADDR(31 downto 0) => S_AXI_ACP_ARADDR(31 downto 0), SAXIACPARBURST(1 downto 0) => S_AXI_ACP_ARBURST(1 downto 0), SAXIACPARCACHE(3 downto 0) => S_AXI_ACP_ARCACHE(3 downto 0), SAXIACPARESETN => S_AXI_ACP_ARESETN, SAXIACPARID(2 downto 0) => S_AXI_ACP_ARID(2 downto 0), SAXIACPARLEN(3 downto 0) => S_AXI_ACP_ARLEN(3 downto 0), SAXIACPARLOCK(1 downto 0) => S_AXI_ACP_ARLOCK(1 downto 0), SAXIACPARPROT(2 downto 0) => S_AXI_ACP_ARPROT(2 downto 0), SAXIACPARQOS(3 downto 0) => S_AXI_ACP_ARQOS(3 downto 0), SAXIACPARREADY => S_AXI_ACP_ARREADY, SAXIACPARSIZE(1 downto 0) => S_AXI_ACP_ARSIZE(1 downto 0), SAXIACPARUSER(4 downto 0) => S_AXI_ACP_ARUSER(4 downto 0), SAXIACPARVALID => S_AXI_ACP_ARVALID, SAXIACPAWADDR(31 downto 0) => S_AXI_ACP_AWADDR(31 downto 0), SAXIACPAWBURST(1 downto 0) => S_AXI_ACP_AWBURST(1 downto 0), SAXIACPAWCACHE(3 downto 0) => S_AXI_ACP_AWCACHE(3 downto 0), SAXIACPAWID(2 downto 0) => S_AXI_ACP_AWID(2 downto 0), SAXIACPAWLEN(3 downto 0) => S_AXI_ACP_AWLEN(3 downto 0), SAXIACPAWLOCK(1 downto 0) => S_AXI_ACP_AWLOCK(1 downto 0), SAXIACPAWPROT(2 downto 0) => S_AXI_ACP_AWPROT(2 downto 0), SAXIACPAWQOS(3 downto 0) => S_AXI_ACP_AWQOS(3 downto 0), SAXIACPAWREADY => S_AXI_ACP_AWREADY, SAXIACPAWSIZE(1 downto 0) => S_AXI_ACP_AWSIZE(1 downto 0), SAXIACPAWUSER(4 downto 0) => S_AXI_ACP_AWUSER(4 downto 0), SAXIACPAWVALID => S_AXI_ACP_AWVALID, SAXIACPBID(2 downto 0) => S_AXI_ACP_BID(2 downto 0), SAXIACPBREADY => S_AXI_ACP_BREADY, SAXIACPBRESP(1 downto 0) => S_AXI_ACP_BRESP(1 downto 0), SAXIACPBVALID => S_AXI_ACP_BVALID, SAXIACPRDATA(63 downto 0) => S_AXI_ACP_RDATA(63 downto 0), SAXIACPRID(2 downto 0) => S_AXI_ACP_RID(2 downto 0), SAXIACPRLAST => S_AXI_ACP_RLAST, SAXIACPRREADY => S_AXI_ACP_RREADY, SAXIACPRRESP(1 downto 0) => S_AXI_ACP_RRESP(1 downto 0), SAXIACPRVALID => S_AXI_ACP_RVALID, SAXIACPWDATA(63 downto 0) => S_AXI_ACP_WDATA(63 downto 0), SAXIACPWID(2 downto 0) => S_AXI_ACP_WID(2 downto 0), SAXIACPWLAST => S_AXI_ACP_WLAST, SAXIACPWREADY => S_AXI_ACP_WREADY, SAXIACPWSTRB(7 downto 0) => S_AXI_ACP_WSTRB(7 downto 0), SAXIACPWVALID => S_AXI_ACP_WVALID, SAXIGP0ACLK => S_AXI_GP0_ACLK, SAXIGP0ARADDR(31 downto 0) => S_AXI_GP0_ARADDR(31 downto 0), SAXIGP0ARBURST(1 downto 0) => S_AXI_GP0_ARBURST(1 downto 0), SAXIGP0ARCACHE(3 downto 0) => S_AXI_GP0_ARCACHE(3 downto 0), SAXIGP0ARESETN => S_AXI_GP0_ARESETN, SAXIGP0ARID(5 downto 0) => S_AXI_GP0_ARID(5 downto 0), SAXIGP0ARLEN(3 downto 0) => S_AXI_GP0_ARLEN(3 downto 0), SAXIGP0ARLOCK(1 downto 0) => S_AXI_GP0_ARLOCK(1 downto 0), SAXIGP0ARPROT(2 downto 0) => S_AXI_GP0_ARPROT(2 downto 0), SAXIGP0ARQOS(3 downto 0) => S_AXI_GP0_ARQOS(3 downto 0), SAXIGP0ARREADY => S_AXI_GP0_ARREADY, SAXIGP0ARSIZE(1 downto 0) => S_AXI_GP0_ARSIZE(1 downto 0), SAXIGP0ARVALID => S_AXI_GP0_ARVALID, SAXIGP0AWADDR(31 downto 0) => S_AXI_GP0_AWADDR(31 downto 0), SAXIGP0AWBURST(1 downto 0) => S_AXI_GP0_AWBURST(1 downto 0), SAXIGP0AWCACHE(3 downto 0) => S_AXI_GP0_AWCACHE(3 downto 0), SAXIGP0AWID(5 downto 0) => S_AXI_GP0_AWID(5 downto 0), SAXIGP0AWLEN(3 downto 0) => S_AXI_GP0_AWLEN(3 downto 0), SAXIGP0AWLOCK(1 downto 0) => S_AXI_GP0_AWLOCK(1 downto 0), SAXIGP0AWPROT(2 downto 0) => S_AXI_GP0_AWPROT(2 downto 0), SAXIGP0AWQOS(3 downto 0) => S_AXI_GP0_AWQOS(3 downto 0), SAXIGP0AWREADY => S_AXI_GP0_AWREADY, SAXIGP0AWSIZE(1 downto 0) => S_AXI_GP0_AWSIZE(1 downto 0), SAXIGP0AWVALID => S_AXI_GP0_AWVALID, SAXIGP0BID(5 downto 0) => S_AXI_GP0_BID(5 downto 0), SAXIGP0BREADY => S_AXI_GP0_BREADY, SAXIGP0BRESP(1 downto 0) => S_AXI_GP0_BRESP(1 downto 0), SAXIGP0BVALID => S_AXI_GP0_BVALID, SAXIGP0RDATA(31 downto 0) => S_AXI_GP0_RDATA(31 downto 0), SAXIGP0RID(5 downto 0) => S_AXI_GP0_RID(5 downto 0), SAXIGP0RLAST => S_AXI_GP0_RLAST, SAXIGP0RREADY => S_AXI_GP0_RREADY, SAXIGP0RRESP(1 downto 0) => S_AXI_GP0_RRESP(1 downto 0), SAXIGP0RVALID => S_AXI_GP0_RVALID, SAXIGP0WDATA(31 downto 0) => S_AXI_GP0_WDATA(31 downto 0), SAXIGP0WID(5 downto 0) => S_AXI_GP0_WID(5 downto 0), SAXIGP0WLAST => S_AXI_GP0_WLAST, SAXIGP0WREADY => S_AXI_GP0_WREADY, SAXIGP0WSTRB(3 downto 0) => S_AXI_GP0_WSTRB(3 downto 0), SAXIGP0WVALID => S_AXI_GP0_WVALID, SAXIGP1ACLK => S_AXI_GP1_ACLK, SAXIGP1ARADDR(31 downto 0) => S_AXI_GP1_ARADDR(31 downto 0), SAXIGP1ARBURST(1 downto 0) => S_AXI_GP1_ARBURST(1 downto 0), SAXIGP1ARCACHE(3 downto 0) => S_AXI_GP1_ARCACHE(3 downto 0), SAXIGP1ARESETN => S_AXI_GP1_ARESETN, SAXIGP1ARID(5 downto 0) => S_AXI_GP1_ARID(5 downto 0), SAXIGP1ARLEN(3 downto 0) => S_AXI_GP1_ARLEN(3 downto 0), SAXIGP1ARLOCK(1 downto 0) => S_AXI_GP1_ARLOCK(1 downto 0), SAXIGP1ARPROT(2 downto 0) => S_AXI_GP1_ARPROT(2 downto 0), SAXIGP1ARQOS(3 downto 0) => S_AXI_GP1_ARQOS(3 downto 0), SAXIGP1ARREADY => S_AXI_GP1_ARREADY, SAXIGP1ARSIZE(1 downto 0) => S_AXI_GP1_ARSIZE(1 downto 0), SAXIGP1ARVALID => S_AXI_GP1_ARVALID, SAXIGP1AWADDR(31 downto 0) => S_AXI_GP1_AWADDR(31 downto 0), SAXIGP1AWBURST(1 downto 0) => S_AXI_GP1_AWBURST(1 downto 0), SAXIGP1AWCACHE(3 downto 0) => S_AXI_GP1_AWCACHE(3 downto 0), SAXIGP1AWID(5 downto 0) => S_AXI_GP1_AWID(5 downto 0), SAXIGP1AWLEN(3 downto 0) => S_AXI_GP1_AWLEN(3 downto 0), SAXIGP1AWLOCK(1 downto 0) => S_AXI_GP1_AWLOCK(1 downto 0), SAXIGP1AWPROT(2 downto 0) => S_AXI_GP1_AWPROT(2 downto 0), SAXIGP1AWQOS(3 downto 0) => S_AXI_GP1_AWQOS(3 downto 0), SAXIGP1AWREADY => S_AXI_GP1_AWREADY, SAXIGP1AWSIZE(1 downto 0) => S_AXI_GP1_AWSIZE(1 downto 0), SAXIGP1AWVALID => S_AXI_GP1_AWVALID, SAXIGP1BID(5 downto 0) => S_AXI_GP1_BID(5 downto 0), SAXIGP1BREADY => S_AXI_GP1_BREADY, SAXIGP1BRESP(1 downto 0) => S_AXI_GP1_BRESP(1 downto 0), SAXIGP1BVALID => S_AXI_GP1_BVALID, SAXIGP1RDATA(31 downto 0) => S_AXI_GP1_RDATA(31 downto 0), SAXIGP1RID(5 downto 0) => S_AXI_GP1_RID(5 downto 0), SAXIGP1RLAST => S_AXI_GP1_RLAST, SAXIGP1RREADY => S_AXI_GP1_RREADY, SAXIGP1RRESP(1 downto 0) => S_AXI_GP1_RRESP(1 downto 0), SAXIGP1RVALID => S_AXI_GP1_RVALID, SAXIGP1WDATA(31 downto 0) => S_AXI_GP1_WDATA(31 downto 0), SAXIGP1WID(5 downto 0) => S_AXI_GP1_WID(5 downto 0), SAXIGP1WLAST => S_AXI_GP1_WLAST, SAXIGP1WREADY => S_AXI_GP1_WREADY, SAXIGP1WSTRB(3 downto 0) => S_AXI_GP1_WSTRB(3 downto 0), SAXIGP1WVALID => S_AXI_GP1_WVALID, SAXIHP0ACLK => S_AXI_HP0_ACLK, SAXIHP0ARADDR(31 downto 0) => S_AXI_HP0_ARADDR(31 downto 0), SAXIHP0ARBURST(1 downto 0) => S_AXI_HP0_ARBURST(1 downto 0), SAXIHP0ARCACHE(3 downto 0) => S_AXI_HP0_ARCACHE(3 downto 0), SAXIHP0ARESETN => S_AXI_HP0_ARESETN, SAXIHP0ARID(5 downto 0) => S_AXI_HP0_ARID(5 downto 0), SAXIHP0ARLEN(3 downto 0) => S_AXI_HP0_ARLEN(3 downto 0), SAXIHP0ARLOCK(1 downto 0) => S_AXI_HP0_ARLOCK(1 downto 0), SAXIHP0ARPROT(2 downto 0) => S_AXI_HP0_ARPROT(2 downto 0), SAXIHP0ARQOS(3 downto 0) => S_AXI_HP0_ARQOS(3 downto 0), SAXIHP0ARREADY => S_AXI_HP0_ARREADY, SAXIHP0ARSIZE(1 downto 0) => S_AXI_HP0_ARSIZE(1 downto 0), SAXIHP0ARVALID => S_AXI_HP0_ARVALID, SAXIHP0AWADDR(31 downto 0) => S_AXI_HP0_AWADDR(31 downto 0), SAXIHP0AWBURST(1 downto 0) => S_AXI_HP0_AWBURST(1 downto 0), SAXIHP0AWCACHE(3 downto 0) => S_AXI_HP0_AWCACHE(3 downto 0), SAXIHP0AWID(5 downto 0) => S_AXI_HP0_AWID(5 downto 0), SAXIHP0AWLEN(3 downto 0) => S_AXI_HP0_AWLEN(3 downto 0), SAXIHP0AWLOCK(1 downto 0) => S_AXI_HP0_AWLOCK(1 downto 0), SAXIHP0AWPROT(2 downto 0) => S_AXI_HP0_AWPROT(2 downto 0), SAXIHP0AWQOS(3 downto 0) => S_AXI_HP0_AWQOS(3 downto 0), SAXIHP0AWREADY => S_AXI_HP0_AWREADY, SAXIHP0AWSIZE(1 downto 0) => S_AXI_HP0_AWSIZE(1 downto 0), SAXIHP0AWVALID => S_AXI_HP0_AWVALID, SAXIHP0BID(5 downto 0) => S_AXI_HP0_BID(5 downto 0), SAXIHP0BREADY => S_AXI_HP0_BREADY, SAXIHP0BRESP(1 downto 0) => S_AXI_HP0_BRESP(1 downto 0), SAXIHP0BVALID => S_AXI_HP0_BVALID, SAXIHP0RACOUNT(2 downto 0) => S_AXI_HP0_RACOUNT(2 downto 0), SAXIHP0RCOUNT(7 downto 0) => S_AXI_HP0_RCOUNT(7 downto 0), SAXIHP0RDATA(63 downto 0) => S_AXI_HP0_RDATA(63 downto 0), SAXIHP0RDISSUECAP1EN => S_AXI_HP0_RDISSUECAP1_EN, SAXIHP0RID(5 downto 0) => S_AXI_HP0_RID(5 downto 0), SAXIHP0RLAST => S_AXI_HP0_RLAST, SAXIHP0RREADY => S_AXI_HP0_RREADY, SAXIHP0RRESP(1 downto 0) => S_AXI_HP0_RRESP(1 downto 0), SAXIHP0RVALID => S_AXI_HP0_RVALID, SAXIHP0WACOUNT(5 downto 0) => S_AXI_HP0_WACOUNT(5 downto 0), SAXIHP0WCOUNT(7 downto 0) => S_AXI_HP0_WCOUNT(7 downto 0), SAXIHP0WDATA(63 downto 0) => S_AXI_HP0_WDATA(63 downto 0), SAXIHP0WID(5 downto 0) => S_AXI_HP0_WID(5 downto 0), SAXIHP0WLAST => S_AXI_HP0_WLAST, SAXIHP0WREADY => S_AXI_HP0_WREADY, SAXIHP0WRISSUECAP1EN => S_AXI_HP0_WRISSUECAP1_EN, SAXIHP0WSTRB(7 downto 0) => S_AXI_HP0_WSTRB(7 downto 0), SAXIHP0WVALID => S_AXI_HP0_WVALID, SAXIHP1ACLK => S_AXI_HP1_ACLK, SAXIHP1ARADDR(31 downto 0) => S_AXI_HP1_ARADDR(31 downto 0), SAXIHP1ARBURST(1 downto 0) => S_AXI_HP1_ARBURST(1 downto 0), SAXIHP1ARCACHE(3 downto 0) => S_AXI_HP1_ARCACHE(3 downto 0), SAXIHP1ARESETN => S_AXI_HP1_ARESETN, SAXIHP1ARID(5 downto 0) => S_AXI_HP1_ARID(5 downto 0), SAXIHP1ARLEN(3 downto 0) => S_AXI_HP1_ARLEN(3 downto 0), SAXIHP1ARLOCK(1 downto 0) => S_AXI_HP1_ARLOCK(1 downto 0), SAXIHP1ARPROT(2 downto 0) => S_AXI_HP1_ARPROT(2 downto 0), SAXIHP1ARQOS(3 downto 0) => S_AXI_HP1_ARQOS(3 downto 0), SAXIHP1ARREADY => S_AXI_HP1_ARREADY, SAXIHP1ARSIZE(1 downto 0) => S_AXI_HP1_ARSIZE(1 downto 0), SAXIHP1ARVALID => S_AXI_HP1_ARVALID, SAXIHP1AWADDR(31 downto 0) => S_AXI_HP1_AWADDR(31 downto 0), SAXIHP1AWBURST(1 downto 0) => S_AXI_HP1_AWBURST(1 downto 0), SAXIHP1AWCACHE(3 downto 0) => S_AXI_HP1_AWCACHE(3 downto 0), SAXIHP1AWID(5 downto 0) => S_AXI_HP1_AWID(5 downto 0), SAXIHP1AWLEN(3 downto 0) => S_AXI_HP1_AWLEN(3 downto 0), SAXIHP1AWLOCK(1 downto 0) => S_AXI_HP1_AWLOCK(1 downto 0), SAXIHP1AWPROT(2 downto 0) => S_AXI_HP1_AWPROT(2 downto 0), SAXIHP1AWQOS(3 downto 0) => S_AXI_HP1_AWQOS(3 downto 0), SAXIHP1AWREADY => S_AXI_HP1_AWREADY, SAXIHP1AWSIZE(1 downto 0) => S_AXI_HP1_AWSIZE(1 downto 0), SAXIHP1AWVALID => S_AXI_HP1_AWVALID, SAXIHP1BID(5 downto 0) => S_AXI_HP1_BID(5 downto 0), SAXIHP1BREADY => S_AXI_HP1_BREADY, SAXIHP1BRESP(1 downto 0) => S_AXI_HP1_BRESP(1 downto 0), SAXIHP1BVALID => S_AXI_HP1_BVALID, SAXIHP1RACOUNT(2 downto 0) => S_AXI_HP1_RACOUNT(2 downto 0), SAXIHP1RCOUNT(7 downto 0) => S_AXI_HP1_RCOUNT(7 downto 0), SAXIHP1RDATA(63 downto 0) => S_AXI_HP1_RDATA(63 downto 0), SAXIHP1RDISSUECAP1EN => S_AXI_HP1_RDISSUECAP1_EN, SAXIHP1RID(5 downto 0) => S_AXI_HP1_RID(5 downto 0), SAXIHP1RLAST => S_AXI_HP1_RLAST, SAXIHP1RREADY => S_AXI_HP1_RREADY, SAXIHP1RRESP(1 downto 0) => S_AXI_HP1_RRESP(1 downto 0), SAXIHP1RVALID => S_AXI_HP1_RVALID, SAXIHP1WACOUNT(5 downto 0) => S_AXI_HP1_WACOUNT(5 downto 0), SAXIHP1WCOUNT(7 downto 0) => S_AXI_HP1_WCOUNT(7 downto 0), SAXIHP1WDATA(63 downto 0) => S_AXI_HP1_WDATA(63 downto 0), SAXIHP1WID(5 downto 0) => S_AXI_HP1_WID(5 downto 0), SAXIHP1WLAST => S_AXI_HP1_WLAST, SAXIHP1WREADY => S_AXI_HP1_WREADY, SAXIHP1WRISSUECAP1EN => S_AXI_HP1_WRISSUECAP1_EN, SAXIHP1WSTRB(7 downto 0) => S_AXI_HP1_WSTRB(7 downto 0), SAXIHP1WVALID => S_AXI_HP1_WVALID, SAXIHP2ACLK => S_AXI_HP2_ACLK, SAXIHP2ARADDR(31 downto 0) => S_AXI_HP2_ARADDR(31 downto 0), SAXIHP2ARBURST(1 downto 0) => S_AXI_HP2_ARBURST(1 downto 0), SAXIHP2ARCACHE(3 downto 0) => S_AXI_HP2_ARCACHE(3 downto 0), SAXIHP2ARESETN => S_AXI_HP2_ARESETN, SAXIHP2ARID(5 downto 0) => S_AXI_HP2_ARID(5 downto 0), SAXIHP2ARLEN(3 downto 0) => S_AXI_HP2_ARLEN(3 downto 0), SAXIHP2ARLOCK(1 downto 0) => S_AXI_HP2_ARLOCK(1 downto 0), SAXIHP2ARPROT(2 downto 0) => S_AXI_HP2_ARPROT(2 downto 0), SAXIHP2ARQOS(3 downto 0) => S_AXI_HP2_ARQOS(3 downto 0), SAXIHP2ARREADY => S_AXI_HP2_ARREADY, SAXIHP2ARSIZE(1 downto 0) => S_AXI_HP2_ARSIZE(1 downto 0), SAXIHP2ARVALID => S_AXI_HP2_ARVALID, SAXIHP2AWADDR(31 downto 0) => S_AXI_HP2_AWADDR(31 downto 0), SAXIHP2AWBURST(1 downto 0) => S_AXI_HP2_AWBURST(1 downto 0), SAXIHP2AWCACHE(3 downto 0) => S_AXI_HP2_AWCACHE(3 downto 0), SAXIHP2AWID(5 downto 0) => S_AXI_HP2_AWID(5 downto 0), SAXIHP2AWLEN(3 downto 0) => S_AXI_HP2_AWLEN(3 downto 0), SAXIHP2AWLOCK(1 downto 0) => S_AXI_HP2_AWLOCK(1 downto 0), SAXIHP2AWPROT(2 downto 0) => S_AXI_HP2_AWPROT(2 downto 0), SAXIHP2AWQOS(3 downto 0) => S_AXI_HP2_AWQOS(3 downto 0), SAXIHP2AWREADY => S_AXI_HP2_AWREADY, SAXIHP2AWSIZE(1 downto 0) => S_AXI_HP2_AWSIZE(1 downto 0), SAXIHP2AWVALID => S_AXI_HP2_AWVALID, SAXIHP2BID(5 downto 0) => S_AXI_HP2_BID(5 downto 0), SAXIHP2BREADY => S_AXI_HP2_BREADY, SAXIHP2BRESP(1 downto 0) => S_AXI_HP2_BRESP(1 downto 0), SAXIHP2BVALID => S_AXI_HP2_BVALID, SAXIHP2RACOUNT(2 downto 0) => S_AXI_HP2_RACOUNT(2 downto 0), SAXIHP2RCOUNT(7 downto 0) => S_AXI_HP2_RCOUNT(7 downto 0), SAXIHP2RDATA(63 downto 0) => S_AXI_HP2_RDATA(63 downto 0), SAXIHP2RDISSUECAP1EN => S_AXI_HP2_RDISSUECAP1_EN, SAXIHP2RID(5 downto 0) => S_AXI_HP2_RID(5 downto 0), SAXIHP2RLAST => S_AXI_HP2_RLAST, SAXIHP2RREADY => S_AXI_HP2_RREADY, SAXIHP2RRESP(1 downto 0) => S_AXI_HP2_RRESP(1 downto 0), SAXIHP2RVALID => S_AXI_HP2_RVALID, SAXIHP2WACOUNT(5 downto 0) => S_AXI_HP2_WACOUNT(5 downto 0), SAXIHP2WCOUNT(7 downto 0) => S_AXI_HP2_WCOUNT(7 downto 0), SAXIHP2WDATA(63 downto 0) => S_AXI_HP2_WDATA(63 downto 0), SAXIHP2WID(5 downto 0) => S_AXI_HP2_WID(5 downto 0), SAXIHP2WLAST => S_AXI_HP2_WLAST, SAXIHP2WREADY => S_AXI_HP2_WREADY, SAXIHP2WRISSUECAP1EN => S_AXI_HP2_WRISSUECAP1_EN, SAXIHP2WSTRB(7 downto 0) => S_AXI_HP2_WSTRB(7 downto 0), SAXIHP2WVALID => S_AXI_HP2_WVALID, SAXIHP3ACLK => S_AXI_HP3_ACLK, SAXIHP3ARADDR(31 downto 0) => S_AXI_HP3_ARADDR(31 downto 0), SAXIHP3ARBURST(1 downto 0) => S_AXI_HP3_ARBURST(1 downto 0), SAXIHP3ARCACHE(3 downto 0) => S_AXI_HP3_ARCACHE(3 downto 0), SAXIHP3ARESETN => S_AXI_HP3_ARESETN, SAXIHP3ARID(5 downto 0) => S_AXI_HP3_ARID(5 downto 0), SAXIHP3ARLEN(3 downto 0) => S_AXI_HP3_ARLEN(3 downto 0), SAXIHP3ARLOCK(1 downto 0) => S_AXI_HP3_ARLOCK(1 downto 0), SAXIHP3ARPROT(2 downto 0) => S_AXI_HP3_ARPROT(2 downto 0), SAXIHP3ARQOS(3 downto 0) => S_AXI_HP3_ARQOS(3 downto 0), SAXIHP3ARREADY => S_AXI_HP3_ARREADY, SAXIHP3ARSIZE(1 downto 0) => S_AXI_HP3_ARSIZE(1 downto 0), SAXIHP3ARVALID => S_AXI_HP3_ARVALID, SAXIHP3AWADDR(31 downto 0) => S_AXI_HP3_AWADDR(31 downto 0), SAXIHP3AWBURST(1 downto 0) => S_AXI_HP3_AWBURST(1 downto 0), SAXIHP3AWCACHE(3 downto 0) => S_AXI_HP3_AWCACHE(3 downto 0), SAXIHP3AWID(5 downto 0) => S_AXI_HP3_AWID(5 downto 0), SAXIHP3AWLEN(3 downto 0) => S_AXI_HP3_AWLEN(3 downto 0), SAXIHP3AWLOCK(1 downto 0) => S_AXI_HP3_AWLOCK(1 downto 0), SAXIHP3AWPROT(2 downto 0) => S_AXI_HP3_AWPROT(2 downto 0), SAXIHP3AWQOS(3 downto 0) => S_AXI_HP3_AWQOS(3 downto 0), SAXIHP3AWREADY => S_AXI_HP3_AWREADY, SAXIHP3AWSIZE(1 downto 0) => S_AXI_HP3_AWSIZE(1 downto 0), SAXIHP3AWVALID => S_AXI_HP3_AWVALID, SAXIHP3BID(5 downto 0) => S_AXI_HP3_BID(5 downto 0), SAXIHP3BREADY => S_AXI_HP3_BREADY, SAXIHP3BRESP(1 downto 0) => S_AXI_HP3_BRESP(1 downto 0), SAXIHP3BVALID => S_AXI_HP3_BVALID, SAXIHP3RACOUNT(2 downto 0) => S_AXI_HP3_RACOUNT(2 downto 0), SAXIHP3RCOUNT(7 downto 0) => S_AXI_HP3_RCOUNT(7 downto 0), SAXIHP3RDATA(63 downto 0) => S_AXI_HP3_RDATA(63 downto 0), SAXIHP3RDISSUECAP1EN => S_AXI_HP3_RDISSUECAP1_EN, SAXIHP3RID(5 downto 0) => S_AXI_HP3_RID(5 downto 0), SAXIHP3RLAST => S_AXI_HP3_RLAST, SAXIHP3RREADY => S_AXI_HP3_RREADY, SAXIHP3RRESP(1 downto 0) => S_AXI_HP3_RRESP(1 downto 0), SAXIHP3RVALID => S_AXI_HP3_RVALID, SAXIHP3WACOUNT(5 downto 0) => S_AXI_HP3_WACOUNT(5 downto 0), SAXIHP3WCOUNT(7 downto 0) => S_AXI_HP3_WCOUNT(7 downto 0), SAXIHP3WDATA(63 downto 0) => S_AXI_HP3_WDATA(63 downto 0), SAXIHP3WID(5 downto 0) => S_AXI_HP3_WID(5 downto 0), SAXIHP3WLAST => S_AXI_HP3_WLAST, SAXIHP3WREADY => S_AXI_HP3_WREADY, SAXIHP3WRISSUECAP1EN => S_AXI_HP3_WRISSUECAP1_EN, SAXIHP3WSTRB(7 downto 0) => S_AXI_HP3_WSTRB(7 downto 0), SAXIHP3WVALID => S_AXI_HP3_WVALID ); PS_CLK_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_PS_CLK, PAD => PS_CLK ); PS_PORB_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_PS_PORB, PAD => PS_PORB ); PS_SRSTB_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_PS_SRSTB, PAD => PS_SRSTB ); SDIO0_CMD_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_CMD_T_n, O => SDIO0_CMD_T ); \SDIO0_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_DATA_T_n(0), O => SDIO0_DATA_T(0) ); \SDIO0_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_DATA_T_n(1), O => SDIO0_DATA_T(1) ); \SDIO0_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_DATA_T_n(2), O => SDIO0_DATA_T(2) ); \SDIO0_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_DATA_T_n(3), O => SDIO0_DATA_T(3) ); SDIO1_CMD_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_CMD_T_n, O => SDIO1_CMD_T ); \SDIO1_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_DATA_T_n(0), O => SDIO1_DATA_T(0) ); \SDIO1_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_DATA_T_n(1), O => SDIO1_DATA_T(1) ); \SDIO1_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_DATA_T_n(2), O => SDIO1_DATA_T(2) ); \SDIO1_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_DATA_T_n(3), O => SDIO1_DATA_T(3) ); SPI0_MISO_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI0_MISO_T_n, O => SPI0_MISO_T ); SPI0_MOSI_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI0_MOSI_T_n, O => SPI0_MOSI_T ); SPI0_SCLK_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI0_SCLK_T_n, O => SPI0_SCLK_T ); SPI0_SS_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI0_SS_T_n, O => SPI0_SS_T ); SPI1_MISO_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI1_MISO_T_n, O => SPI1_MISO_T ); SPI1_MOSI_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI1_MOSI_T_n, O => SPI1_MOSI_T ); SPI1_SCLK_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI1_SCLK_T_n, O => SPI1_SCLK_T ); SPI1_SS_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI1_SS_T_n, O => SPI1_SS_T ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); \buffer_fclk_clk_0.FCLK_CLK_0_BUFG\: unisim.vcomponents.BUFG port map ( I => FCLK_CLK_unbuffered(0), O => FCLK_CLK0 ); \genblk13[0].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(0), PAD => MIO(0) ); \genblk13[10].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(10), PAD => MIO(10) ); \genblk13[11].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(11), PAD => MIO(11) ); \genblk13[12].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(12), PAD => MIO(12) ); \genblk13[13].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(13), PAD => MIO(13) ); \genblk13[14].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(14), PAD => MIO(14) ); \genblk13[15].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(15), PAD => MIO(15) ); \genblk13[16].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(16), PAD => MIO(16) ); \genblk13[17].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(17), PAD => MIO(17) ); \genblk13[18].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(18), PAD => MIO(18) ); \genblk13[19].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(19), PAD => MIO(19) ); \genblk13[1].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(1), PAD => MIO(1) ); \genblk13[20].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(20), PAD => MIO(20) ); \genblk13[21].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(21), PAD => MIO(21) ); \genblk13[22].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(22), PAD => MIO(22) ); \genblk13[23].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(23), PAD => MIO(23) ); \genblk13[24].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(24), PAD => MIO(24) ); \genblk13[25].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(25), PAD => MIO(25) ); \genblk13[26].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(26), PAD => MIO(26) ); \genblk13[27].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(27), PAD => MIO(27) ); \genblk13[28].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(28), PAD => MIO(28) ); \genblk13[29].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(29), PAD => MIO(29) ); \genblk13[2].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(2), PAD => MIO(2) ); \genblk13[30].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(30), PAD => MIO(30) ); \genblk13[31].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(31), PAD => MIO(31) ); \genblk13[32].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(32), PAD => MIO(32) ); \genblk13[33].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(33), PAD => MIO(33) ); \genblk13[34].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(34), PAD => MIO(34) ); \genblk13[35].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(35), PAD => MIO(35) ); \genblk13[36].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(36), PAD => MIO(36) ); \genblk13[37].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(37), PAD => MIO(37) ); \genblk13[38].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(38), PAD => MIO(38) ); \genblk13[39].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(39), PAD => MIO(39) ); \genblk13[3].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(3), PAD => MIO(3) ); \genblk13[40].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(40), PAD => MIO(40) ); \genblk13[41].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(41), PAD => MIO(41) ); \genblk13[42].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(42), PAD => MIO(42) ); \genblk13[43].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(43), PAD => MIO(43) ); \genblk13[44].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(44), PAD => MIO(44) ); \genblk13[45].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(45), PAD => MIO(45) ); \genblk13[46].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(46), PAD => MIO(46) ); \genblk13[47].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(47), PAD => MIO(47) ); \genblk13[48].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(48), PAD => MIO(48) ); \genblk13[49].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(49), PAD => MIO(49) ); \genblk13[4].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(4), PAD => MIO(4) ); \genblk13[50].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(50), PAD => MIO(50) ); \genblk13[51].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(51), PAD => MIO(51) ); \genblk13[52].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(52), PAD => MIO(52) ); \genblk13[53].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(53), PAD => MIO(53) ); \genblk13[5].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(5), PAD => MIO(5) ); \genblk13[6].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(6), PAD => MIO(6) ); \genblk13[7].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(7), PAD => MIO(7) ); \genblk13[8].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(8), PAD => MIO(8) ); \genblk13[9].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(9), PAD => MIO(9) ); \genblk14[0].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_BankAddr(0), PAD => DDR_BankAddr(0) ); \genblk14[1].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_BankAddr(1), PAD => DDR_BankAddr(1) ); \genblk14[2].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_BankAddr(2), PAD => DDR_BankAddr(2) ); \genblk15[0].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(0), PAD => DDR_Addr(0) ); \genblk15[10].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(10), PAD => DDR_Addr(10) ); \genblk15[11].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(11), PAD => DDR_Addr(11) ); \genblk15[12].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(12), PAD => DDR_Addr(12) ); \genblk15[13].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(13), PAD => DDR_Addr(13) ); \genblk15[14].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(14), PAD => DDR_Addr(14) ); \genblk15[1].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(1), PAD => DDR_Addr(1) ); \genblk15[2].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(2), PAD => DDR_Addr(2) ); \genblk15[3].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(3), PAD => DDR_Addr(3) ); \genblk15[4].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(4), PAD => DDR_Addr(4) ); \genblk15[5].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(5), PAD => DDR_Addr(5) ); \genblk15[6].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(6), PAD => DDR_Addr(6) ); \genblk15[7].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(7), PAD => DDR_Addr(7) ); \genblk15[8].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(8), PAD => DDR_Addr(8) ); \genblk15[9].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(9), PAD => DDR_Addr(9) ); \genblk16[0].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DM(0), PAD => DDR_DM(0) ); \genblk16[1].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DM(1), PAD => DDR_DM(1) ); \genblk16[2].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DM(2), PAD => DDR_DM(2) ); \genblk16[3].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DM(3), PAD => DDR_DM(3) ); \genblk17[0].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(0), PAD => DDR_DQ(0) ); \genblk17[10].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(10), PAD => DDR_DQ(10) ); \genblk17[11].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(11), PAD => DDR_DQ(11) ); \genblk17[12].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(12), PAD => DDR_DQ(12) ); \genblk17[13].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(13), PAD => DDR_DQ(13) ); \genblk17[14].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(14), PAD => DDR_DQ(14) ); \genblk17[15].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(15), PAD => DDR_DQ(15) ); \genblk17[16].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(16), PAD => DDR_DQ(16) ); \genblk17[17].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(17), PAD => DDR_DQ(17) ); \genblk17[18].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(18), PAD => DDR_DQ(18) ); \genblk17[19].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(19), PAD => DDR_DQ(19) ); \genblk17[1].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(1), PAD => DDR_DQ(1) ); \genblk17[20].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(20), PAD => DDR_DQ(20) ); \genblk17[21].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(21), PAD => DDR_DQ(21) ); \genblk17[22].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(22), PAD => DDR_DQ(22) ); \genblk17[23].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(23), PAD => DDR_DQ(23) ); \genblk17[24].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(24), PAD => DDR_DQ(24) ); \genblk17[25].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(25), PAD => DDR_DQ(25) ); \genblk17[26].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(26), PAD => DDR_DQ(26) ); \genblk17[27].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(27), PAD => DDR_DQ(27) ); \genblk17[28].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(28), PAD => DDR_DQ(28) ); \genblk17[29].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(29), PAD => DDR_DQ(29) ); \genblk17[2].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(2), PAD => DDR_DQ(2) ); \genblk17[30].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(30), PAD => DDR_DQ(30) ); \genblk17[31].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(31), PAD => DDR_DQ(31) ); \genblk17[3].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(3), PAD => DDR_DQ(3) ); \genblk17[4].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(4), PAD => DDR_DQ(4) ); \genblk17[5].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(5), PAD => DDR_DQ(5) ); \genblk17[6].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(6), PAD => DDR_DQ(6) ); \genblk17[7].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(7), PAD => DDR_DQ(7) ); \genblk17[8].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(8), PAD => DDR_DQ(8) ); \genblk17[9].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(9), PAD => DDR_DQ(9) ); \genblk18[0].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS_n(0), PAD => DDR_DQS_n(0) ); \genblk18[1].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS_n(1), PAD => DDR_DQS_n(1) ); \genblk18[2].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS_n(2), PAD => DDR_DQS_n(2) ); \genblk18[3].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS_n(3), PAD => DDR_DQS_n(3) ); \genblk19[0].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS(0), PAD => DDR_DQS(0) ); \genblk19[1].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS(1), PAD => DDR_DQS(1) ); \genblk19[2].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS(2), PAD => DDR_DQS(2) ); \genblk19[3].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS(3), PAD => DDR_DQS(3) ); i_0: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[0]\ ); i_1: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[0]\(1) ); i_10: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[7]\(1) ); i_11: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[7]\(0) ); i_12: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[6]\(1) ); i_13: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[6]\(0) ); i_14: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[5]\(1) ); i_15: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[5]\(0) ); i_16: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[4]\(1) ); i_17: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[4]\(0) ); i_18: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[3]\(1) ); i_19: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[3]\(0) ); i_2: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[0]\(0) ); i_20: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[2]\(1) ); i_21: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[2]\(0) ); i_22: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[1]\(1) ); i_23: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[1]\(0) ); i_3: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[7]\ ); i_4: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[6]\ ); i_5: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[5]\ ); i_6: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[4]\ ); i_7: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[3]\ ); i_8: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[2]\ ); i_9: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[1]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is port ( TTC0_WAVE0_OUT : out STD_LOGIC; TTC0_WAVE1_OUT : out STD_LOGIC; TTC0_WAVE2_OUT : out STD_LOGIC; USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 ); USB0_VBUS_PWRSELECT : out STD_LOGIC; USB0_VBUS_PWRFAULT : in STD_LOGIC; M_AXI_GP0_ARVALID : out STD_LOGIC; M_AXI_GP0_AWVALID : out STD_LOGIC; M_AXI_GP0_BREADY : out STD_LOGIC; M_AXI_GP0_RREADY : out STD_LOGIC; M_AXI_GP0_WLAST : out STD_LOGIC; M_AXI_GP0_WVALID : out STD_LOGIC; M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ACLK : in STD_LOGIC; M_AXI_GP0_ARREADY : in STD_LOGIC; M_AXI_GP0_AWREADY : in STD_LOGIC; M_AXI_GP0_BVALID : in STD_LOGIC; M_AXI_GP0_RLAST : in STD_LOGIC; M_AXI_GP0_RVALID : in STD_LOGIC; M_AXI_GP0_WREADY : in STD_LOGIC; M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); IRQ_F2P : in STD_LOGIC_VECTOR ( 1 downto 0 ); FCLK_CLK0 : out STD_LOGIC; FCLK_RESET0_N : out STD_LOGIC; MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 ); DDR_CAS_n : inout STD_LOGIC; DDR_CKE : inout STD_LOGIC; DDR_Clk_n : inout STD_LOGIC; DDR_Clk : inout STD_LOGIC; DDR_CS_n : inout STD_LOGIC; DDR_DRSTB : inout STD_LOGIC; DDR_ODT : inout STD_LOGIC; DDR_RAS_n : inout STD_LOGIC; DDR_WEB : inout STD_LOGIC; DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_VRN : inout STD_LOGIC; DDR_VRP : inout STD_LOGIC; DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 ); PS_SRSTB : inout STD_LOGIC; PS_CLK : inout STD_LOGIC; PS_PORB : inout STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "zqynq_lab_1_design_processing_system7_0_1,processing_system7_v5_5_processing_system7,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "processing_system7_v5_5_processing_system7,Vivado 2017.2.1"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is signal NLW_inst_CAN0_PHY_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_CAN1_PHY_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA0_DAVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA0_DRREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA0_RSTN_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA1_DAVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA1_DRREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA1_RSTN_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA2_DAVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA2_DRREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA2_RSTN_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA3_DAVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA3_DRREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA3_RSTN_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_MDIO_MDC_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_MDIO_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_MDIO_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_SOF_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_SOF_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_MDIO_MDC_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_MDIO_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_MDIO_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_SOF_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_SOF_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_EVENT_EVENTO_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_CLK1_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_CLK2_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_CLK3_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_RESET1_N_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_RESET2_N_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_RESET3_N_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C0_SCL_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C0_SCL_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C0_SDA_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C0_SDA_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C1_SCL_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C1_SCL_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C1_SDA_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C1_SDA_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_CAN0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_CAN1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_CTI_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_ENET0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_ENET1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_GPIO_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_I2C0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_I2C1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_QSPI_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SMC_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SPI0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SPI1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_UART0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_UART1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_USB0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_USB1_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_PJTAG_TDO_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_BUSPOW_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_CLK_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_CMD_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_CMD_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_LED_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_BUSPOW_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_CLK_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_CMD_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_CMD_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_LED_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_MISO_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_MISO_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_MOSI_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_MOSI_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SCLK_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SCLK_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SS1_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SS2_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SS_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SS_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_MISO_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_MISO_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_MOSI_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_MOSI_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SCLK_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SCLK_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SS1_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SS2_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SS_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SS_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_TRACE_CLK_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_TRACE_CTL_UNCONNECTED : STD_LOGIC; signal NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART0_DTRN_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART0_RTSN_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART0_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART1_DTRN_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART1_RTSN_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART1_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC; signal NLW_inst_WDT_RST_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA0_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_DMA1_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_DMA2_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_DMA3_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_ENET0_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_ENET1_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_EVENT_STANDBYWFE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_EVENT_STANDBYWFI_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_GPIO_O_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_GPIO_T_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_ARID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_AWID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_M_AXI_GP1_WID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_SDIO0_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_SDIO0_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_SDIO0_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_SDIO1_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_SDIO1_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_SDIO1_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_S_AXI_ACP_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_ACP_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_GP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_S_AXI_GP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_GP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_S_AXI_GP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_HP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_HP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP2_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_HP2_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP3_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_HP3_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_TRACE_DATA_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_USB1_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_DM_WIDTH : integer; attribute C_DM_WIDTH of inst : label is 4; attribute C_DQS_WIDTH : integer; attribute C_DQS_WIDTH of inst : label is 4; attribute C_DQ_WIDTH : integer; attribute C_DQ_WIDTH of inst : label is 32; attribute C_EMIO_GPIO_WIDTH : integer; attribute C_EMIO_GPIO_WIDTH of inst : label is 64; attribute C_EN_EMIO_ENET0 : integer; attribute C_EN_EMIO_ENET0 of inst : label is 0; attribute C_EN_EMIO_ENET1 : integer; attribute C_EN_EMIO_ENET1 of inst : label is 0; attribute C_EN_EMIO_PJTAG : integer; attribute C_EN_EMIO_PJTAG of inst : label is 0; attribute C_EN_EMIO_TRACE : integer; attribute C_EN_EMIO_TRACE of inst : label is 0; attribute C_FCLK_CLK0_BUF : string; attribute C_FCLK_CLK0_BUF of inst : label is "TRUE"; attribute C_FCLK_CLK1_BUF : string; attribute C_FCLK_CLK1_BUF of inst : label is "FALSE"; attribute C_FCLK_CLK2_BUF : string; attribute C_FCLK_CLK2_BUF of inst : label is "FALSE"; attribute C_FCLK_CLK3_BUF : string; attribute C_FCLK_CLK3_BUF of inst : label is "FALSE"; attribute C_GP0_EN_MODIFIABLE_TXN : integer; attribute C_GP0_EN_MODIFIABLE_TXN of inst : label is 1; attribute C_GP1_EN_MODIFIABLE_TXN : integer; attribute C_GP1_EN_MODIFIABLE_TXN of inst : label is 1; attribute C_INCLUDE_ACP_TRANS_CHECK : integer; attribute C_INCLUDE_ACP_TRANS_CHECK of inst : label is 0; attribute C_INCLUDE_TRACE_BUFFER : integer; attribute C_INCLUDE_TRACE_BUFFER of inst : label is 0; attribute C_IRQ_F2P_MODE : string; attribute C_IRQ_F2P_MODE of inst : label is "DIRECT"; attribute C_MIO_PRIMITIVE : integer; attribute C_MIO_PRIMITIVE of inst : label is 54; attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer; attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of inst : label is 0; attribute C_M_AXI_GP0_ID_WIDTH : integer; attribute C_M_AXI_GP0_ID_WIDTH of inst : label is 12; attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer; attribute C_M_AXI_GP0_THREAD_ID_WIDTH of inst : label is 12; attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer; attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of inst : label is 0; attribute C_M_AXI_GP1_ID_WIDTH : integer; attribute C_M_AXI_GP1_ID_WIDTH of inst : label is 12; attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer; attribute C_M_AXI_GP1_THREAD_ID_WIDTH of inst : label is 12; attribute C_NUM_F2P_INTR_INPUTS : integer; attribute C_NUM_F2P_INTR_INPUTS of inst : label is 2; attribute C_PACKAGE_NAME : string; attribute C_PACKAGE_NAME of inst : label is "clg484"; attribute C_PS7_SI_REV : string; attribute C_PS7_SI_REV of inst : label is "PRODUCTION"; attribute C_S_AXI_ACP_ARUSER_VAL : integer; attribute C_S_AXI_ACP_ARUSER_VAL of inst : label is 31; attribute C_S_AXI_ACP_AWUSER_VAL : integer; attribute C_S_AXI_ACP_AWUSER_VAL of inst : label is 31; attribute C_S_AXI_ACP_ID_WIDTH : integer; attribute C_S_AXI_ACP_ID_WIDTH of inst : label is 3; attribute C_S_AXI_GP0_ID_WIDTH : integer; attribute C_S_AXI_GP0_ID_WIDTH of inst : label is 6; attribute C_S_AXI_GP1_ID_WIDTH : integer; attribute C_S_AXI_GP1_ID_WIDTH of inst : label is 6; attribute C_S_AXI_HP0_DATA_WIDTH : integer; attribute C_S_AXI_HP0_DATA_WIDTH of inst : label is 64; attribute C_S_AXI_HP0_ID_WIDTH : integer; attribute C_S_AXI_HP0_ID_WIDTH of inst : label is 6; attribute C_S_AXI_HP1_DATA_WIDTH : integer; attribute C_S_AXI_HP1_DATA_WIDTH of inst : label is 64; attribute C_S_AXI_HP1_ID_WIDTH : integer; attribute C_S_AXI_HP1_ID_WIDTH of inst : label is 6; attribute C_S_AXI_HP2_DATA_WIDTH : integer; attribute C_S_AXI_HP2_DATA_WIDTH of inst : label is 64; attribute C_S_AXI_HP2_ID_WIDTH : integer; attribute C_S_AXI_HP2_ID_WIDTH of inst : label is 6; attribute C_S_AXI_HP3_DATA_WIDTH : integer; attribute C_S_AXI_HP3_DATA_WIDTH of inst : label is 64; attribute C_S_AXI_HP3_ID_WIDTH : integer; attribute C_S_AXI_HP3_ID_WIDTH of inst : label is 6; attribute C_TRACE_BUFFER_CLOCK_DELAY : integer; attribute C_TRACE_BUFFER_CLOCK_DELAY of inst : label is 12; attribute C_TRACE_BUFFER_FIFO_SIZE : integer; attribute C_TRACE_BUFFER_FIFO_SIZE of inst : label is 128; attribute C_TRACE_INTERNAL_WIDTH : integer; attribute C_TRACE_INTERNAL_WIDTH of inst : label is 2; attribute C_TRACE_PIPELINE_WIDTH : integer; attribute C_TRACE_PIPELINE_WIDTH of inst : label is 8; attribute C_USE_AXI_NONSECURE : integer; attribute C_USE_AXI_NONSECURE of inst : label is 0; attribute C_USE_DEFAULT_ACP_USER_VAL : integer; attribute C_USE_DEFAULT_ACP_USER_VAL of inst : label is 0; attribute C_USE_M_AXI_GP0 : integer; attribute C_USE_M_AXI_GP0 of inst : label is 1; attribute C_USE_M_AXI_GP1 : integer; attribute C_USE_M_AXI_GP1 of inst : label is 0; attribute C_USE_S_AXI_ACP : integer; attribute C_USE_S_AXI_ACP of inst : label is 0; attribute C_USE_S_AXI_GP0 : integer; attribute C_USE_S_AXI_GP0 of inst : label is 0; attribute C_USE_S_AXI_GP1 : integer; attribute C_USE_S_AXI_GP1 of inst : label is 0; attribute C_USE_S_AXI_HP0 : integer; attribute C_USE_S_AXI_HP0 of inst : label is 0; attribute C_USE_S_AXI_HP1 : integer; attribute C_USE_S_AXI_HP1 of inst : label is 0; attribute C_USE_S_AXI_HP2 : integer; attribute C_USE_S_AXI_HP2 of inst : label is 0; attribute C_USE_S_AXI_HP3 : integer; attribute C_USE_S_AXI_HP3 of inst : label is 0; attribute HW_HANDOFF : string; attribute HW_HANDOFF of inst : label is "zqynq_lab_1_design_processing_system7_0_1.hwdef"; attribute POWER : string; attribute POWER of inst : label is "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666667} load={0.5} /><MEMORY name={code} memType={DDR3} dataWidth={32} clockFreq={533.333313} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={10} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={8} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={USB} ioStandard={LVCMOS18} bidis={12} ioBank={Vcco_p1} clockFreq={60} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={6} ioBank={Vcco_p0} clockFreq={200.000000} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>"; attribute USE_TRACE_DATA_EDGE_DETECTOR : integer; attribute USE_TRACE_DATA_EDGE_DETECTOR of inst : label is 0; begin inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_processing_system7_v5_5_processing_system7 port map ( CAN0_PHY_RX => '0', CAN0_PHY_TX => NLW_inst_CAN0_PHY_TX_UNCONNECTED, CAN1_PHY_RX => '0', CAN1_PHY_TX => NLW_inst_CAN1_PHY_TX_UNCONNECTED, Core0_nFIQ => '0', Core0_nIRQ => '0', Core1_nFIQ => '0', Core1_nIRQ => '0', DDR_ARB(3 downto 0) => B"0000", DDR_Addr(14 downto 0) => DDR_Addr(14 downto 0), DDR_BankAddr(2 downto 0) => DDR_BankAddr(2 downto 0), DDR_CAS_n => DDR_CAS_n, DDR_CKE => DDR_CKE, DDR_CS_n => DDR_CS_n, DDR_Clk => DDR_Clk, DDR_Clk_n => DDR_Clk_n, DDR_DM(3 downto 0) => DDR_DM(3 downto 0), DDR_DQ(31 downto 0) => DDR_DQ(31 downto 0), DDR_DQS(3 downto 0) => DDR_DQS(3 downto 0), DDR_DQS_n(3 downto 0) => DDR_DQS_n(3 downto 0), DDR_DRSTB => DDR_DRSTB, DDR_ODT => DDR_ODT, DDR_RAS_n => DDR_RAS_n, DDR_VRN => DDR_VRN, DDR_VRP => DDR_VRP, DDR_WEB => DDR_WEB, DMA0_ACLK => '0', DMA0_DAREADY => '0', DMA0_DATYPE(1 downto 0) => NLW_inst_DMA0_DATYPE_UNCONNECTED(1 downto 0), DMA0_DAVALID => NLW_inst_DMA0_DAVALID_UNCONNECTED, DMA0_DRLAST => '0', DMA0_DRREADY => NLW_inst_DMA0_DRREADY_UNCONNECTED, DMA0_DRTYPE(1 downto 0) => B"00", DMA0_DRVALID => '0', DMA0_RSTN => NLW_inst_DMA0_RSTN_UNCONNECTED, DMA1_ACLK => '0', DMA1_DAREADY => '0', DMA1_DATYPE(1 downto 0) => NLW_inst_DMA1_DATYPE_UNCONNECTED(1 downto 0), DMA1_DAVALID => NLW_inst_DMA1_DAVALID_UNCONNECTED, DMA1_DRLAST => '0', DMA1_DRREADY => NLW_inst_DMA1_DRREADY_UNCONNECTED, DMA1_DRTYPE(1 downto 0) => B"00", DMA1_DRVALID => '0', DMA1_RSTN => NLW_inst_DMA1_RSTN_UNCONNECTED, DMA2_ACLK => '0', DMA2_DAREADY => '0', DMA2_DATYPE(1 downto 0) => NLW_inst_DMA2_DATYPE_UNCONNECTED(1 downto 0), DMA2_DAVALID => NLW_inst_DMA2_DAVALID_UNCONNECTED, DMA2_DRLAST => '0', DMA2_DRREADY => NLW_inst_DMA2_DRREADY_UNCONNECTED, DMA2_DRTYPE(1 downto 0) => B"00", DMA2_DRVALID => '0', DMA2_RSTN => NLW_inst_DMA2_RSTN_UNCONNECTED, DMA3_ACLK => '0', DMA3_DAREADY => '0', DMA3_DATYPE(1 downto 0) => NLW_inst_DMA3_DATYPE_UNCONNECTED(1 downto 0), DMA3_DAVALID => NLW_inst_DMA3_DAVALID_UNCONNECTED, DMA3_DRLAST => '0', DMA3_DRREADY => NLW_inst_DMA3_DRREADY_UNCONNECTED, DMA3_DRTYPE(1 downto 0) => B"00", DMA3_DRVALID => '0', DMA3_RSTN => NLW_inst_DMA3_RSTN_UNCONNECTED, ENET0_EXT_INTIN => '0', ENET0_GMII_COL => '0', ENET0_GMII_CRS => '0', ENET0_GMII_RXD(7 downto 0) => B"00000000", ENET0_GMII_RX_CLK => '0', ENET0_GMII_RX_DV => '0', ENET0_GMII_RX_ER => '0', ENET0_GMII_TXD(7 downto 0) => NLW_inst_ENET0_GMII_TXD_UNCONNECTED(7 downto 0), ENET0_GMII_TX_CLK => '0', ENET0_GMII_TX_EN => NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED, ENET0_GMII_TX_ER => NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED, ENET0_MDIO_I => '0', ENET0_MDIO_MDC => NLW_inst_ENET0_MDIO_MDC_UNCONNECTED, ENET0_MDIO_O => NLW_inst_ENET0_MDIO_O_UNCONNECTED, ENET0_MDIO_T => NLW_inst_ENET0_MDIO_T_UNCONNECTED, ENET0_PTP_DELAY_REQ_RX => NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED, ENET0_PTP_DELAY_REQ_TX => NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED, ENET0_PTP_PDELAY_REQ_RX => NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED, ENET0_PTP_PDELAY_REQ_TX => NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED, ENET0_PTP_PDELAY_RESP_RX => NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED, ENET0_PTP_PDELAY_RESP_TX => NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED, ENET0_PTP_SYNC_FRAME_RX => NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED, ENET0_PTP_SYNC_FRAME_TX => NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED, ENET0_SOF_RX => NLW_inst_ENET0_SOF_RX_UNCONNECTED, ENET0_SOF_TX => NLW_inst_ENET0_SOF_TX_UNCONNECTED, ENET1_EXT_INTIN => '0', ENET1_GMII_COL => '0', ENET1_GMII_CRS => '0', ENET1_GMII_RXD(7 downto 0) => B"00000000", ENET1_GMII_RX_CLK => '0', ENET1_GMII_RX_DV => '0', ENET1_GMII_RX_ER => '0', ENET1_GMII_TXD(7 downto 0) => NLW_inst_ENET1_GMII_TXD_UNCONNECTED(7 downto 0), ENET1_GMII_TX_CLK => '0', ENET1_GMII_TX_EN => NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED, ENET1_GMII_TX_ER => NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED, ENET1_MDIO_I => '0', ENET1_MDIO_MDC => NLW_inst_ENET1_MDIO_MDC_UNCONNECTED, ENET1_MDIO_O => NLW_inst_ENET1_MDIO_O_UNCONNECTED, ENET1_MDIO_T => NLW_inst_ENET1_MDIO_T_UNCONNECTED, ENET1_PTP_DELAY_REQ_RX => NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED, ENET1_PTP_DELAY_REQ_TX => NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED, ENET1_PTP_PDELAY_REQ_RX => NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED, ENET1_PTP_PDELAY_REQ_TX => NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED, ENET1_PTP_PDELAY_RESP_RX => NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED, ENET1_PTP_PDELAY_RESP_TX => NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED, ENET1_PTP_SYNC_FRAME_RX => NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED, ENET1_PTP_SYNC_FRAME_TX => NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED, ENET1_SOF_RX => NLW_inst_ENET1_SOF_RX_UNCONNECTED, ENET1_SOF_TX => NLW_inst_ENET1_SOF_TX_UNCONNECTED, EVENT_EVENTI => '0', EVENT_EVENTO => NLW_inst_EVENT_EVENTO_UNCONNECTED, EVENT_STANDBYWFE(1 downto 0) => NLW_inst_EVENT_STANDBYWFE_UNCONNECTED(1 downto 0), EVENT_STANDBYWFI(1 downto 0) => NLW_inst_EVENT_STANDBYWFI_UNCONNECTED(1 downto 0), FCLK_CLK0 => FCLK_CLK0, FCLK_CLK1 => NLW_inst_FCLK_CLK1_UNCONNECTED, FCLK_CLK2 => NLW_inst_FCLK_CLK2_UNCONNECTED, FCLK_CLK3 => NLW_inst_FCLK_CLK3_UNCONNECTED, FCLK_CLKTRIG0_N => '0', FCLK_CLKTRIG1_N => '0', FCLK_CLKTRIG2_N => '0', FCLK_CLKTRIG3_N => '0', FCLK_RESET0_N => FCLK_RESET0_N, FCLK_RESET1_N => NLW_inst_FCLK_RESET1_N_UNCONNECTED, FCLK_RESET2_N => NLW_inst_FCLK_RESET2_N_UNCONNECTED, FCLK_RESET3_N => NLW_inst_FCLK_RESET3_N_UNCONNECTED, FPGA_IDLE_N => '0', FTMD_TRACEIN_ATID(3 downto 0) => B"0000", FTMD_TRACEIN_CLK => '0', FTMD_TRACEIN_DATA(31 downto 0) => B"00000000000000000000000000000000", FTMD_TRACEIN_VALID => '0', FTMT_F2P_DEBUG(31 downto 0) => B"00000000000000000000000000000000", FTMT_F2P_TRIGACK_0 => NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED, FTMT_F2P_TRIGACK_1 => NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED, FTMT_F2P_TRIGACK_2 => NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED, FTMT_F2P_TRIGACK_3 => NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED, FTMT_F2P_TRIG_0 => '0', FTMT_F2P_TRIG_1 => '0', FTMT_F2P_TRIG_2 => '0', FTMT_F2P_TRIG_3 => '0', FTMT_P2F_DEBUG(31 downto 0) => NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED(31 downto 0), FTMT_P2F_TRIGACK_0 => '0', FTMT_P2F_TRIGACK_1 => '0', FTMT_P2F_TRIGACK_2 => '0', FTMT_P2F_TRIGACK_3 => '0', FTMT_P2F_TRIG_0 => NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED, FTMT_P2F_TRIG_1 => NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED, FTMT_P2F_TRIG_2 => NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED, FTMT_P2F_TRIG_3 => NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED, GPIO_I(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", GPIO_O(63 downto 0) => NLW_inst_GPIO_O_UNCONNECTED(63 downto 0), GPIO_T(63 downto 0) => NLW_inst_GPIO_T_UNCONNECTED(63 downto 0), I2C0_SCL_I => '0', I2C0_SCL_O => NLW_inst_I2C0_SCL_O_UNCONNECTED, I2C0_SCL_T => NLW_inst_I2C0_SCL_T_UNCONNECTED, I2C0_SDA_I => '0', I2C0_SDA_O => NLW_inst_I2C0_SDA_O_UNCONNECTED, I2C0_SDA_T => NLW_inst_I2C0_SDA_T_UNCONNECTED, I2C1_SCL_I => '0', I2C1_SCL_O => NLW_inst_I2C1_SCL_O_UNCONNECTED, I2C1_SCL_T => NLW_inst_I2C1_SCL_T_UNCONNECTED, I2C1_SDA_I => '0', I2C1_SDA_O => NLW_inst_I2C1_SDA_O_UNCONNECTED, I2C1_SDA_T => NLW_inst_I2C1_SDA_T_UNCONNECTED, IRQ_F2P(1 downto 0) => IRQ_F2P(1 downto 0), IRQ_P2F_CAN0 => NLW_inst_IRQ_P2F_CAN0_UNCONNECTED, IRQ_P2F_CAN1 => NLW_inst_IRQ_P2F_CAN1_UNCONNECTED, IRQ_P2F_CTI => NLW_inst_IRQ_P2F_CTI_UNCONNECTED, IRQ_P2F_DMAC0 => NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED, IRQ_P2F_DMAC1 => NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED, IRQ_P2F_DMAC2 => NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED, IRQ_P2F_DMAC3 => NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED, IRQ_P2F_DMAC4 => NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED, IRQ_P2F_DMAC5 => NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED, IRQ_P2F_DMAC6 => NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED, IRQ_P2F_DMAC7 => NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED, IRQ_P2F_DMAC_ABORT => NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED, IRQ_P2F_ENET0 => NLW_inst_IRQ_P2F_ENET0_UNCONNECTED, IRQ_P2F_ENET1 => NLW_inst_IRQ_P2F_ENET1_UNCONNECTED, IRQ_P2F_ENET_WAKE0 => NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED, IRQ_P2F_ENET_WAKE1 => NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED, IRQ_P2F_GPIO => NLW_inst_IRQ_P2F_GPIO_UNCONNECTED, IRQ_P2F_I2C0 => NLW_inst_IRQ_P2F_I2C0_UNCONNECTED, IRQ_P2F_I2C1 => NLW_inst_IRQ_P2F_I2C1_UNCONNECTED, IRQ_P2F_QSPI => NLW_inst_IRQ_P2F_QSPI_UNCONNECTED, IRQ_P2F_SDIO0 => NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED, IRQ_P2F_SDIO1 => NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED, IRQ_P2F_SMC => NLW_inst_IRQ_P2F_SMC_UNCONNECTED, IRQ_P2F_SPI0 => NLW_inst_IRQ_P2F_SPI0_UNCONNECTED, IRQ_P2F_SPI1 => NLW_inst_IRQ_P2F_SPI1_UNCONNECTED, IRQ_P2F_UART0 => NLW_inst_IRQ_P2F_UART0_UNCONNECTED, IRQ_P2F_UART1 => NLW_inst_IRQ_P2F_UART1_UNCONNECTED, IRQ_P2F_USB0 => NLW_inst_IRQ_P2F_USB0_UNCONNECTED, IRQ_P2F_USB1 => NLW_inst_IRQ_P2F_USB1_UNCONNECTED, MIO(53 downto 0) => MIO(53 downto 0), M_AXI_GP0_ACLK => M_AXI_GP0_ACLK, M_AXI_GP0_ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0), M_AXI_GP0_ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0), M_AXI_GP0_ARCACHE(3 downto 0) => M_AXI_GP0_ARCACHE(3 downto 0), M_AXI_GP0_ARESETN => NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED, M_AXI_GP0_ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0), M_AXI_GP0_ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0), M_AXI_GP0_ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0), M_AXI_GP0_ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0), M_AXI_GP0_ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0), M_AXI_GP0_ARREADY => M_AXI_GP0_ARREADY, M_AXI_GP0_ARSIZE(2 downto 0) => M_AXI_GP0_ARSIZE(2 downto 0), M_AXI_GP0_ARVALID => M_AXI_GP0_ARVALID, M_AXI_GP0_AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0), M_AXI_GP0_AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0), M_AXI_GP0_AWCACHE(3 downto 0) => M_AXI_GP0_AWCACHE(3 downto 0), M_AXI_GP0_AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0), M_AXI_GP0_AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0), M_AXI_GP0_AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0), M_AXI_GP0_AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0), M_AXI_GP0_AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0), M_AXI_GP0_AWREADY => M_AXI_GP0_AWREADY, M_AXI_GP0_AWSIZE(2 downto 0) => M_AXI_GP0_AWSIZE(2 downto 0), M_AXI_GP0_AWVALID => M_AXI_GP0_AWVALID, M_AXI_GP0_BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0), M_AXI_GP0_BREADY => M_AXI_GP0_BREADY, M_AXI_GP0_BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0), M_AXI_GP0_BVALID => M_AXI_GP0_BVALID, M_AXI_GP0_RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0), M_AXI_GP0_RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0), M_AXI_GP0_RLAST => M_AXI_GP0_RLAST, M_AXI_GP0_RREADY => M_AXI_GP0_RREADY, M_AXI_GP0_RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0), M_AXI_GP0_RVALID => M_AXI_GP0_RVALID, M_AXI_GP0_WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0), M_AXI_GP0_WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0), M_AXI_GP0_WLAST => M_AXI_GP0_WLAST, M_AXI_GP0_WREADY => M_AXI_GP0_WREADY, M_AXI_GP0_WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0), M_AXI_GP0_WVALID => M_AXI_GP0_WVALID, M_AXI_GP1_ACLK => '0', M_AXI_GP1_ARADDR(31 downto 0) => NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED(31 downto 0), M_AXI_GP1_ARBURST(1 downto 0) => NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED(1 downto 0), M_AXI_GP1_ARCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED(3 downto 0), M_AXI_GP1_ARESETN => NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED, M_AXI_GP1_ARID(11 downto 0) => NLW_inst_M_AXI_GP1_ARID_UNCONNECTED(11 downto 0), M_AXI_GP1_ARLEN(3 downto 0) => NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED(3 downto 0), M_AXI_GP1_ARLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED(1 downto 0), M_AXI_GP1_ARPROT(2 downto 0) => NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED(2 downto 0), M_AXI_GP1_ARQOS(3 downto 0) => NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED(3 downto 0), M_AXI_GP1_ARREADY => '0', M_AXI_GP1_ARSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED(2 downto 0), M_AXI_GP1_ARVALID => NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED, M_AXI_GP1_AWADDR(31 downto 0) => NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED(31 downto 0), M_AXI_GP1_AWBURST(1 downto 0) => NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED(1 downto 0), M_AXI_GP1_AWCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED(3 downto 0), M_AXI_GP1_AWID(11 downto 0) => NLW_inst_M_AXI_GP1_AWID_UNCONNECTED(11 downto 0), M_AXI_GP1_AWLEN(3 downto 0) => NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED(3 downto 0), M_AXI_GP1_AWLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED(1 downto 0), M_AXI_GP1_AWPROT(2 downto 0) => NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED(2 downto 0), M_AXI_GP1_AWQOS(3 downto 0) => NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED(3 downto 0), M_AXI_GP1_AWREADY => '0', M_AXI_GP1_AWSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED(2 downto 0), M_AXI_GP1_AWVALID => NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED, M_AXI_GP1_BID(11 downto 0) => B"000000000000", M_AXI_GP1_BREADY => NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED, M_AXI_GP1_BRESP(1 downto 0) => B"00", M_AXI_GP1_BVALID => '0', M_AXI_GP1_RDATA(31 downto 0) => B"00000000000000000000000000000000", M_AXI_GP1_RID(11 downto 0) => B"000000000000", M_AXI_GP1_RLAST => '0', M_AXI_GP1_RREADY => NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED, M_AXI_GP1_RRESP(1 downto 0) => B"00", M_AXI_GP1_RVALID => '0', M_AXI_GP1_WDATA(31 downto 0) => NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED(31 downto 0), M_AXI_GP1_WID(11 downto 0) => NLW_inst_M_AXI_GP1_WID_UNCONNECTED(11 downto 0), M_AXI_GP1_WLAST => NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED, M_AXI_GP1_WREADY => '0', M_AXI_GP1_WSTRB(3 downto 0) => NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED(3 downto 0), M_AXI_GP1_WVALID => NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED, PJTAG_TCK => '0', PJTAG_TDI => '0', PJTAG_TDO => NLW_inst_PJTAG_TDO_UNCONNECTED, PJTAG_TMS => '0', PS_CLK => PS_CLK, PS_PORB => PS_PORB, PS_SRSTB => PS_SRSTB, SDIO0_BUSPOW => NLW_inst_SDIO0_BUSPOW_UNCONNECTED, SDIO0_BUSVOLT(2 downto 0) => NLW_inst_SDIO0_BUSVOLT_UNCONNECTED(2 downto 0), SDIO0_CDN => '0', SDIO0_CLK => NLW_inst_SDIO0_CLK_UNCONNECTED, SDIO0_CLK_FB => '0', SDIO0_CMD_I => '0', SDIO0_CMD_O => NLW_inst_SDIO0_CMD_O_UNCONNECTED, SDIO0_CMD_T => NLW_inst_SDIO0_CMD_T_UNCONNECTED, SDIO0_DATA_I(3 downto 0) => B"0000", SDIO0_DATA_O(3 downto 0) => NLW_inst_SDIO0_DATA_O_UNCONNECTED(3 downto 0), SDIO0_DATA_T(3 downto 0) => NLW_inst_SDIO0_DATA_T_UNCONNECTED(3 downto 0), SDIO0_LED => NLW_inst_SDIO0_LED_UNCONNECTED, SDIO0_WP => '0', SDIO1_BUSPOW => NLW_inst_SDIO1_BUSPOW_UNCONNECTED, SDIO1_BUSVOLT(2 downto 0) => NLW_inst_SDIO1_BUSVOLT_UNCONNECTED(2 downto 0), SDIO1_CDN => '0', SDIO1_CLK => NLW_inst_SDIO1_CLK_UNCONNECTED, SDIO1_CLK_FB => '0', SDIO1_CMD_I => '0', SDIO1_CMD_O => NLW_inst_SDIO1_CMD_O_UNCONNECTED, SDIO1_CMD_T => NLW_inst_SDIO1_CMD_T_UNCONNECTED, SDIO1_DATA_I(3 downto 0) => B"0000", SDIO1_DATA_O(3 downto 0) => NLW_inst_SDIO1_DATA_O_UNCONNECTED(3 downto 0), SDIO1_DATA_T(3 downto 0) => NLW_inst_SDIO1_DATA_T_UNCONNECTED(3 downto 0), SDIO1_LED => NLW_inst_SDIO1_LED_UNCONNECTED, SDIO1_WP => '0', SPI0_MISO_I => '0', SPI0_MISO_O => NLW_inst_SPI0_MISO_O_UNCONNECTED, SPI0_MISO_T => NLW_inst_SPI0_MISO_T_UNCONNECTED, SPI0_MOSI_I => '0', SPI0_MOSI_O => NLW_inst_SPI0_MOSI_O_UNCONNECTED, SPI0_MOSI_T => NLW_inst_SPI0_MOSI_T_UNCONNECTED, SPI0_SCLK_I => '0', SPI0_SCLK_O => NLW_inst_SPI0_SCLK_O_UNCONNECTED, SPI0_SCLK_T => NLW_inst_SPI0_SCLK_T_UNCONNECTED, SPI0_SS1_O => NLW_inst_SPI0_SS1_O_UNCONNECTED, SPI0_SS2_O => NLW_inst_SPI0_SS2_O_UNCONNECTED, SPI0_SS_I => '0', SPI0_SS_O => NLW_inst_SPI0_SS_O_UNCONNECTED, SPI0_SS_T => NLW_inst_SPI0_SS_T_UNCONNECTED, SPI1_MISO_I => '0', SPI1_MISO_O => NLW_inst_SPI1_MISO_O_UNCONNECTED, SPI1_MISO_T => NLW_inst_SPI1_MISO_T_UNCONNECTED, SPI1_MOSI_I => '0', SPI1_MOSI_O => NLW_inst_SPI1_MOSI_O_UNCONNECTED, SPI1_MOSI_T => NLW_inst_SPI1_MOSI_T_UNCONNECTED, SPI1_SCLK_I => '0', SPI1_SCLK_O => NLW_inst_SPI1_SCLK_O_UNCONNECTED, SPI1_SCLK_T => NLW_inst_SPI1_SCLK_T_UNCONNECTED, SPI1_SS1_O => NLW_inst_SPI1_SS1_O_UNCONNECTED, SPI1_SS2_O => NLW_inst_SPI1_SS2_O_UNCONNECTED, SPI1_SS_I => '0', SPI1_SS_O => NLW_inst_SPI1_SS_O_UNCONNECTED, SPI1_SS_T => NLW_inst_SPI1_SS_T_UNCONNECTED, SRAM_INTIN => '0', S_AXI_ACP_ACLK => '0', S_AXI_ACP_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_ACP_ARBURST(1 downto 0) => B"00", S_AXI_ACP_ARCACHE(3 downto 0) => B"0000", S_AXI_ACP_ARESETN => NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED, S_AXI_ACP_ARID(2 downto 0) => B"000", S_AXI_ACP_ARLEN(3 downto 0) => B"0000", S_AXI_ACP_ARLOCK(1 downto 0) => B"00", S_AXI_ACP_ARPROT(2 downto 0) => B"000", S_AXI_ACP_ARQOS(3 downto 0) => B"0000", S_AXI_ACP_ARREADY => NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED, S_AXI_ACP_ARSIZE(2 downto 0) => B"000", S_AXI_ACP_ARUSER(4 downto 0) => B"00000", S_AXI_ACP_ARVALID => '0', S_AXI_ACP_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_ACP_AWBURST(1 downto 0) => B"00", S_AXI_ACP_AWCACHE(3 downto 0) => B"0000", S_AXI_ACP_AWID(2 downto 0) => B"000", S_AXI_ACP_AWLEN(3 downto 0) => B"0000", S_AXI_ACP_AWLOCK(1 downto 0) => B"00", S_AXI_ACP_AWPROT(2 downto 0) => B"000", S_AXI_ACP_AWQOS(3 downto 0) => B"0000", S_AXI_ACP_AWREADY => NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED, S_AXI_ACP_AWSIZE(2 downto 0) => B"000", S_AXI_ACP_AWUSER(4 downto 0) => B"00000", S_AXI_ACP_AWVALID => '0', S_AXI_ACP_BID(2 downto 0) => NLW_inst_S_AXI_ACP_BID_UNCONNECTED(2 downto 0), S_AXI_ACP_BREADY => '0', S_AXI_ACP_BRESP(1 downto 0) => NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED(1 downto 0), S_AXI_ACP_BVALID => NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED, S_AXI_ACP_RDATA(63 downto 0) => NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED(63 downto 0), S_AXI_ACP_RID(2 downto 0) => NLW_inst_S_AXI_ACP_RID_UNCONNECTED(2 downto 0), S_AXI_ACP_RLAST => NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED, S_AXI_ACP_RREADY => '0', S_AXI_ACP_RRESP(1 downto 0) => NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED(1 downto 0), S_AXI_ACP_RVALID => NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED, S_AXI_ACP_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_ACP_WID(2 downto 0) => B"000", S_AXI_ACP_WLAST => '0', S_AXI_ACP_WREADY => NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED, S_AXI_ACP_WSTRB(7 downto 0) => B"00000000", S_AXI_ACP_WVALID => '0', S_AXI_GP0_ACLK => '0', S_AXI_GP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP0_ARBURST(1 downto 0) => B"00", S_AXI_GP0_ARCACHE(3 downto 0) => B"0000", S_AXI_GP0_ARESETN => NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED, S_AXI_GP0_ARID(5 downto 0) => B"000000", S_AXI_GP0_ARLEN(3 downto 0) => B"0000", S_AXI_GP0_ARLOCK(1 downto 0) => B"00", S_AXI_GP0_ARPROT(2 downto 0) => B"000", S_AXI_GP0_ARQOS(3 downto 0) => B"0000", S_AXI_GP0_ARREADY => NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED, S_AXI_GP0_ARSIZE(2 downto 0) => B"000", S_AXI_GP0_ARVALID => '0', S_AXI_GP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP0_AWBURST(1 downto 0) => B"00", S_AXI_GP0_AWCACHE(3 downto 0) => B"0000", S_AXI_GP0_AWID(5 downto 0) => B"000000", S_AXI_GP0_AWLEN(3 downto 0) => B"0000", S_AXI_GP0_AWLOCK(1 downto 0) => B"00", S_AXI_GP0_AWPROT(2 downto 0) => B"000", S_AXI_GP0_AWQOS(3 downto 0) => B"0000", S_AXI_GP0_AWREADY => NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED, S_AXI_GP0_AWSIZE(2 downto 0) => B"000", S_AXI_GP0_AWVALID => '0', S_AXI_GP0_BID(5 downto 0) => NLW_inst_S_AXI_GP0_BID_UNCONNECTED(5 downto 0), S_AXI_GP0_BREADY => '0', S_AXI_GP0_BRESP(1 downto 0) => NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED(1 downto 0), S_AXI_GP0_BVALID => NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED, S_AXI_GP0_RDATA(31 downto 0) => NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED(31 downto 0), S_AXI_GP0_RID(5 downto 0) => NLW_inst_S_AXI_GP0_RID_UNCONNECTED(5 downto 0), S_AXI_GP0_RLAST => NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED, S_AXI_GP0_RREADY => '0', S_AXI_GP0_RRESP(1 downto 0) => NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED(1 downto 0), S_AXI_GP0_RVALID => NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED, S_AXI_GP0_WDATA(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP0_WID(5 downto 0) => B"000000", S_AXI_GP0_WLAST => '0', S_AXI_GP0_WREADY => NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED, S_AXI_GP0_WSTRB(3 downto 0) => B"0000", S_AXI_GP0_WVALID => '0', S_AXI_GP1_ACLK => '0', S_AXI_GP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP1_ARBURST(1 downto 0) => B"00", S_AXI_GP1_ARCACHE(3 downto 0) => B"0000", S_AXI_GP1_ARESETN => NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED, S_AXI_GP1_ARID(5 downto 0) => B"000000", S_AXI_GP1_ARLEN(3 downto 0) => B"0000", S_AXI_GP1_ARLOCK(1 downto 0) => B"00", S_AXI_GP1_ARPROT(2 downto 0) => B"000", S_AXI_GP1_ARQOS(3 downto 0) => B"0000", S_AXI_GP1_ARREADY => NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED, S_AXI_GP1_ARSIZE(2 downto 0) => B"000", S_AXI_GP1_ARVALID => '0', S_AXI_GP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP1_AWBURST(1 downto 0) => B"00", S_AXI_GP1_AWCACHE(3 downto 0) => B"0000", S_AXI_GP1_AWID(5 downto 0) => B"000000", S_AXI_GP1_AWLEN(3 downto 0) => B"0000", S_AXI_GP1_AWLOCK(1 downto 0) => B"00", S_AXI_GP1_AWPROT(2 downto 0) => B"000", S_AXI_GP1_AWQOS(3 downto 0) => B"0000", S_AXI_GP1_AWREADY => NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED, S_AXI_GP1_AWSIZE(2 downto 0) => B"000", S_AXI_GP1_AWVALID => '0', S_AXI_GP1_BID(5 downto 0) => NLW_inst_S_AXI_GP1_BID_UNCONNECTED(5 downto 0), S_AXI_GP1_BREADY => '0', S_AXI_GP1_BRESP(1 downto 0) => NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED(1 downto 0), S_AXI_GP1_BVALID => NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED, S_AXI_GP1_RDATA(31 downto 0) => NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED(31 downto 0), S_AXI_GP1_RID(5 downto 0) => NLW_inst_S_AXI_GP1_RID_UNCONNECTED(5 downto 0), S_AXI_GP1_RLAST => NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED, S_AXI_GP1_RREADY => '0', S_AXI_GP1_RRESP(1 downto 0) => NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED(1 downto 0), S_AXI_GP1_RVALID => NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED, S_AXI_GP1_WDATA(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP1_WID(5 downto 0) => B"000000", S_AXI_GP1_WLAST => '0', S_AXI_GP1_WREADY => NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED, S_AXI_GP1_WSTRB(3 downto 0) => B"0000", S_AXI_GP1_WVALID => '0', S_AXI_HP0_ACLK => '0', S_AXI_HP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP0_ARBURST(1 downto 0) => B"00", S_AXI_HP0_ARCACHE(3 downto 0) => B"0000", S_AXI_HP0_ARESETN => NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED, S_AXI_HP0_ARID(5 downto 0) => B"000000", S_AXI_HP0_ARLEN(3 downto 0) => B"0000", S_AXI_HP0_ARLOCK(1 downto 0) => B"00", S_AXI_HP0_ARPROT(2 downto 0) => B"000", S_AXI_HP0_ARQOS(3 downto 0) => B"0000", S_AXI_HP0_ARREADY => NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED, S_AXI_HP0_ARSIZE(2 downto 0) => B"000", S_AXI_HP0_ARVALID => '0', S_AXI_HP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP0_AWBURST(1 downto 0) => B"00", S_AXI_HP0_AWCACHE(3 downto 0) => B"0000", S_AXI_HP0_AWID(5 downto 0) => B"000000", S_AXI_HP0_AWLEN(3 downto 0) => B"0000", S_AXI_HP0_AWLOCK(1 downto 0) => B"00", S_AXI_HP0_AWPROT(2 downto 0) => B"000", S_AXI_HP0_AWQOS(3 downto 0) => B"0000", S_AXI_HP0_AWREADY => NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED, S_AXI_HP0_AWSIZE(2 downto 0) => B"000", S_AXI_HP0_AWVALID => '0', S_AXI_HP0_BID(5 downto 0) => NLW_inst_S_AXI_HP0_BID_UNCONNECTED(5 downto 0), S_AXI_HP0_BREADY => '0', S_AXI_HP0_BRESP(1 downto 0) => NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED(1 downto 0), S_AXI_HP0_BVALID => NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED, S_AXI_HP0_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED(2 downto 0), S_AXI_HP0_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP0_RDATA(63 downto 0) => NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED(63 downto 0), S_AXI_HP0_RDISSUECAP1_EN => '0', S_AXI_HP0_RID(5 downto 0) => NLW_inst_S_AXI_HP0_RID_UNCONNECTED(5 downto 0), S_AXI_HP0_RLAST => NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED, S_AXI_HP0_RREADY => '0', S_AXI_HP0_RRESP(1 downto 0) => NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED(1 downto 0), S_AXI_HP0_RVALID => NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED, S_AXI_HP0_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED(5 downto 0), S_AXI_HP0_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP0_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_HP0_WID(5 downto 0) => B"000000", S_AXI_HP0_WLAST => '0', S_AXI_HP0_WREADY => NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED, S_AXI_HP0_WRISSUECAP1_EN => '0', S_AXI_HP0_WSTRB(7 downto 0) => B"00000000", S_AXI_HP0_WVALID => '0', S_AXI_HP1_ACLK => '0', S_AXI_HP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP1_ARBURST(1 downto 0) => B"00", S_AXI_HP1_ARCACHE(3 downto 0) => B"0000", S_AXI_HP1_ARESETN => NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED, S_AXI_HP1_ARID(5 downto 0) => B"000000", S_AXI_HP1_ARLEN(3 downto 0) => B"0000", S_AXI_HP1_ARLOCK(1 downto 0) => B"00", S_AXI_HP1_ARPROT(2 downto 0) => B"000", S_AXI_HP1_ARQOS(3 downto 0) => B"0000", S_AXI_HP1_ARREADY => NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED, S_AXI_HP1_ARSIZE(2 downto 0) => B"000", S_AXI_HP1_ARVALID => '0', S_AXI_HP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP1_AWBURST(1 downto 0) => B"00", S_AXI_HP1_AWCACHE(3 downto 0) => B"0000", S_AXI_HP1_AWID(5 downto 0) => B"000000", S_AXI_HP1_AWLEN(3 downto 0) => B"0000", S_AXI_HP1_AWLOCK(1 downto 0) => B"00", S_AXI_HP1_AWPROT(2 downto 0) => B"000", S_AXI_HP1_AWQOS(3 downto 0) => B"0000", S_AXI_HP1_AWREADY => NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED, S_AXI_HP1_AWSIZE(2 downto 0) => B"000", S_AXI_HP1_AWVALID => '0', S_AXI_HP1_BID(5 downto 0) => NLW_inst_S_AXI_HP1_BID_UNCONNECTED(5 downto 0), S_AXI_HP1_BREADY => '0', S_AXI_HP1_BRESP(1 downto 0) => NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED(1 downto 0), S_AXI_HP1_BVALID => NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED, S_AXI_HP1_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED(2 downto 0), S_AXI_HP1_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP1_RDATA(63 downto 0) => NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED(63 downto 0), S_AXI_HP1_RDISSUECAP1_EN => '0', S_AXI_HP1_RID(5 downto 0) => NLW_inst_S_AXI_HP1_RID_UNCONNECTED(5 downto 0), S_AXI_HP1_RLAST => NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED, S_AXI_HP1_RREADY => '0', S_AXI_HP1_RRESP(1 downto 0) => NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED(1 downto 0), S_AXI_HP1_RVALID => NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED, S_AXI_HP1_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED(5 downto 0), S_AXI_HP1_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP1_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_HP1_WID(5 downto 0) => B"000000", S_AXI_HP1_WLAST => '0', S_AXI_HP1_WREADY => NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED, S_AXI_HP1_WRISSUECAP1_EN => '0', S_AXI_HP1_WSTRB(7 downto 0) => B"00000000", S_AXI_HP1_WVALID => '0', S_AXI_HP2_ACLK => '0', S_AXI_HP2_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP2_ARBURST(1 downto 0) => B"00", S_AXI_HP2_ARCACHE(3 downto 0) => B"0000", S_AXI_HP2_ARESETN => NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED, S_AXI_HP2_ARID(5 downto 0) => B"000000", S_AXI_HP2_ARLEN(3 downto 0) => B"0000", S_AXI_HP2_ARLOCK(1 downto 0) => B"00", S_AXI_HP2_ARPROT(2 downto 0) => B"000", S_AXI_HP2_ARQOS(3 downto 0) => B"0000", S_AXI_HP2_ARREADY => NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED, S_AXI_HP2_ARSIZE(2 downto 0) => B"000", S_AXI_HP2_ARVALID => '0', S_AXI_HP2_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP2_AWBURST(1 downto 0) => B"00", S_AXI_HP2_AWCACHE(3 downto 0) => B"0000", S_AXI_HP2_AWID(5 downto 0) => B"000000", S_AXI_HP2_AWLEN(3 downto 0) => B"0000", S_AXI_HP2_AWLOCK(1 downto 0) => B"00", S_AXI_HP2_AWPROT(2 downto 0) => B"000", S_AXI_HP2_AWQOS(3 downto 0) => B"0000", S_AXI_HP2_AWREADY => NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED, S_AXI_HP2_AWSIZE(2 downto 0) => B"000", S_AXI_HP2_AWVALID => '0', S_AXI_HP2_BID(5 downto 0) => NLW_inst_S_AXI_HP2_BID_UNCONNECTED(5 downto 0), S_AXI_HP2_BREADY => '0', S_AXI_HP2_BRESP(1 downto 0) => NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED(1 downto 0), S_AXI_HP2_BVALID => NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED, S_AXI_HP2_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED(2 downto 0), S_AXI_HP2_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP2_RDATA(63 downto 0) => NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED(63 downto 0), S_AXI_HP2_RDISSUECAP1_EN => '0', S_AXI_HP2_RID(5 downto 0) => NLW_inst_S_AXI_HP2_RID_UNCONNECTED(5 downto 0), S_AXI_HP2_RLAST => NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED, S_AXI_HP2_RREADY => '0', S_AXI_HP2_RRESP(1 downto 0) => NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED(1 downto 0), S_AXI_HP2_RVALID => NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED, S_AXI_HP2_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED(5 downto 0), S_AXI_HP2_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP2_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_HP2_WID(5 downto 0) => B"000000", S_AXI_HP2_WLAST => '0', S_AXI_HP2_WREADY => NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED, S_AXI_HP2_WRISSUECAP1_EN => '0', S_AXI_HP2_WSTRB(7 downto 0) => B"00000000", S_AXI_HP2_WVALID => '0', S_AXI_HP3_ACLK => '0', S_AXI_HP3_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP3_ARBURST(1 downto 0) => B"00", S_AXI_HP3_ARCACHE(3 downto 0) => B"0000", S_AXI_HP3_ARESETN => NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED, S_AXI_HP3_ARID(5 downto 0) => B"000000", S_AXI_HP3_ARLEN(3 downto 0) => B"0000", S_AXI_HP3_ARLOCK(1 downto 0) => B"00", S_AXI_HP3_ARPROT(2 downto 0) => B"000", S_AXI_HP3_ARQOS(3 downto 0) => B"0000", S_AXI_HP3_ARREADY => NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED, S_AXI_HP3_ARSIZE(2 downto 0) => B"000", S_AXI_HP3_ARVALID => '0', S_AXI_HP3_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP3_AWBURST(1 downto 0) => B"00", S_AXI_HP3_AWCACHE(3 downto 0) => B"0000", S_AXI_HP3_AWID(5 downto 0) => B"000000", S_AXI_HP3_AWLEN(3 downto 0) => B"0000", S_AXI_HP3_AWLOCK(1 downto 0) => B"00", S_AXI_HP3_AWPROT(2 downto 0) => B"000", S_AXI_HP3_AWQOS(3 downto 0) => B"0000", S_AXI_HP3_AWREADY => NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED, S_AXI_HP3_AWSIZE(2 downto 0) => B"000", S_AXI_HP3_AWVALID => '0', S_AXI_HP3_BID(5 downto 0) => NLW_inst_S_AXI_HP3_BID_UNCONNECTED(5 downto 0), S_AXI_HP3_BREADY => '0', S_AXI_HP3_BRESP(1 downto 0) => NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED(1 downto 0), S_AXI_HP3_BVALID => NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED, S_AXI_HP3_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED(2 downto 0), S_AXI_HP3_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP3_RDATA(63 downto 0) => NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED(63 downto 0), S_AXI_HP3_RDISSUECAP1_EN => '0', S_AXI_HP3_RID(5 downto 0) => NLW_inst_S_AXI_HP3_RID_UNCONNECTED(5 downto 0), S_AXI_HP3_RLAST => NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED, S_AXI_HP3_RREADY => '0', S_AXI_HP3_RRESP(1 downto 0) => NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED(1 downto 0), S_AXI_HP3_RVALID => NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED, S_AXI_HP3_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED(5 downto 0), S_AXI_HP3_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP3_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_HP3_WID(5 downto 0) => B"000000", S_AXI_HP3_WLAST => '0', S_AXI_HP3_WREADY => NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED, S_AXI_HP3_WRISSUECAP1_EN => '0', S_AXI_HP3_WSTRB(7 downto 0) => B"00000000", S_AXI_HP3_WVALID => '0', TRACE_CLK => '0', TRACE_CLK_OUT => NLW_inst_TRACE_CLK_OUT_UNCONNECTED, TRACE_CTL => NLW_inst_TRACE_CTL_UNCONNECTED, TRACE_DATA(1 downto 0) => NLW_inst_TRACE_DATA_UNCONNECTED(1 downto 0), TTC0_CLK0_IN => '0', TTC0_CLK1_IN => '0', TTC0_CLK2_IN => '0', TTC0_WAVE0_OUT => TTC0_WAVE0_OUT, TTC0_WAVE1_OUT => TTC0_WAVE1_OUT, TTC0_WAVE2_OUT => TTC0_WAVE2_OUT, TTC1_CLK0_IN => '0', TTC1_CLK1_IN => '0', TTC1_CLK2_IN => '0', TTC1_WAVE0_OUT => NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED, TTC1_WAVE1_OUT => NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED, TTC1_WAVE2_OUT => NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED, UART0_CTSN => '0', UART0_DCDN => '0', UART0_DSRN => '0', UART0_DTRN => NLW_inst_UART0_DTRN_UNCONNECTED, UART0_RIN => '0', UART0_RTSN => NLW_inst_UART0_RTSN_UNCONNECTED, UART0_RX => '1', UART0_TX => NLW_inst_UART0_TX_UNCONNECTED, UART1_CTSN => '0', UART1_DCDN => '0', UART1_DSRN => '0', UART1_DTRN => NLW_inst_UART1_DTRN_UNCONNECTED, UART1_RIN => '0', UART1_RTSN => NLW_inst_UART1_RTSN_UNCONNECTED, UART1_RX => '1', UART1_TX => NLW_inst_UART1_TX_UNCONNECTED, USB0_PORT_INDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0), USB0_VBUS_PWRFAULT => USB0_VBUS_PWRFAULT, USB0_VBUS_PWRSELECT => USB0_VBUS_PWRSELECT, USB1_PORT_INDCTL(1 downto 0) => NLW_inst_USB1_PORT_INDCTL_UNCONNECTED(1 downto 0), USB1_VBUS_PWRFAULT => '0', USB1_VBUS_PWRSELECT => NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED, WDT_CLK_IN => '0', WDT_RST_OUT => NLW_inst_WDT_RST_OUT_UNCONNECTED ); end STRUCTURE;
mit
12592144a6f3fbb5b66622896dc31641
0.634491
2.756264
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-altera-ep3c25-eek/serializer.vhd
1
2,666
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ -- Entity: serializer -- File: serializer.vhd -- Author: Jan Andersson - Gaisler Research AB -- [email protected] -- -- Description: Takes in three vectors and serializes them into one -- output vector. Intended to be used to serialize -- RGB VGA data. -- library ieee; use ieee.std_logic_1164.all; entity serializer is generic ( length : integer := 8 -- vector length ); port ( clk : in std_ulogic; sync : in std_ulogic; ivec0 : in std_logic_vector((length-1) downto 0); ivec1 : in std_logic_vector((length-1) downto 0); ivec2 : in std_logic_vector((length-1) downto 0); ovec : out std_logic_vector((length-1) downto 0) ); end entity serializer; architecture rtl of serializer is type state_type is (vec0, vec1, vec2); type sreg_type is record state : state_type; sync : std_logic_vector(1 downto 0); end record; signal r, rin : sreg_type; begin -- rtl comb: process (r, clk, sync, ivec0, ivec1, ivec2) variable v : sreg_type; begin -- process comb v := r; v.sync := r.sync(0) & sync; case r.state is when vec0 => ovec <= ivec0; v.state := vec1; when vec1 => ovec <= ivec1; v.state := vec2; when vec2 => ovec <= ivec2; v.state := vec0; end case; if (r.sync(0) xor sync) = '1' then v.state := vec1; end if; rin <= v; end process comb; reg: process (clk) begin -- process reg if rising_edge(clk) then r <= rin; end if; end process reg; end rtl;
gpl-2.0
2ec2786a0d7b4628b58966025359ce9e
0.587022
3.760226
false
false
false
false
a4a881d4/ringbus4xilinx
src/dma/AAI.vhd
2
1,825
--------------------------------------------------------------------------------------------------- -- -- Title : auto add cpu interface -- Design : Ring Bus -- Author : Zhao Ming -- Company : a4a881d4 -- --------------------------------------------------------------------------------------------------- -- -- File : AAI.vhd -- Generated : 2013/9/5 -- From : -- By : -- --------------------------------------------------------------------------------------------------- -- -- Description : auto add cpu interface -- -- Rev: 3.1 -- --------------------------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; use work.dma_config.all; entity AAI is generic( width : natural := 32; CPUDBwidth : natural := 8; Baddr : std_logic_vector( 3 downto 0 ) := "0000" ); port( -- system signal rst : in STD_LOGIC; -- CPU bus CS : in std_logic; addr : in std_logic_vector( 3 downto 0 ); Din : in std_logic_vector( CPUDBwidth-1 downto 0 ); cpuClk : in std_logic; Q : out std_logic_vector( width-1 downto 0 ) ); end AAI; architecture behave of AAI is signal start : natural range 0 to width+CPUDBwidth-1; signal D : std_logic_vector( CPUDBwidth*32 downto 0 ); begin writeP:process( cpuClk, rst ) begin if rst='1' then D<=( others=>'0' ); start<=0; elsif rising_edge(cpuClk) then if CS='1' then if addr=reg_RESET and Din(0)='1' then start<=0; elsif addr=Baddr then D( start+CPUDBwidth-1 downto start )<=Din; start<=start+CPUDBwidth; end if; end if; end if; end process; Q<=D(width-1 downto 0 ); end behave;
gpl-2.0
7af9e567c2e6f7e5756b83f255a840a4
0.452603
3.469582
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/gaisler/ddr/ddrpkg.vhd
1
36,341
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Package: ddrpkg -- File: ddrpkg.vhd -- Author: Magnus Hjorth - Aeroflex Gaisler -- Description: Components and types for DDR SDRAM controllers ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library techmap; use techmap.gencomp.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; package ddrpkg is type ddrctrl_in_type is record -- Data signals data : std_logic_vector (127 downto 0);-- data in cb : std_logic_vector(63 downto 0); -- checkbits in -- Bus/timing control signals datavalid : std_logic; -- Data-valid signal (DDR2,LPDDR2,LPDDR3) writereq : std_logic; -- Write-data request (LPDDR2,LPDDR3) -- Calibration and configuration regrdata : std_logic_vector(63 downto 0); -- PHY-specific reg in (DDR2) end record; constant ddrctrl_in_none : ddrctrl_in_type := ((others => '0'), (others => '0'), '0', '0', (others => '0')); type ddrctrl_out_type is record -- Control signals to memory sdcke : std_logic_vector ( 1 downto 0); -- clk en sdcsn : std_logic_vector ( 1 downto 0); -- chip sel sdwen : std_ulogic; -- write en (DDR1,DDR2,LPDDR1) rasn : std_ulogic; -- row addr stb (DDR1,DDR2,LPDDR1) casn : std_ulogic; -- col addr stb (DDR1,DDR2,LPDDR1) address : std_logic_vector(14 downto 0); -- address out (DDR1,DDR2,LPDDR1) ba : std_logic_vector (2 downto 0); -- bank address (DDR1,DDR2,LPDDR1) odt : std_logic_vector(1 downto 0); -- On Die Termination (DDR2,LPDDR3) ca : std_logic_vector(19 downto 0); -- Ctrl/Addr bus (LPDDR2,LPDDR3) -- Data signals data : std_logic_vector(127 downto 0); -- data out dqm : std_logic_vector(15 downto 0); -- data i/o mask cb : std_logic_vector(63 downto 0); -- checkbits cbdqm : std_logic_vector(7 downto 0); -- checkbits data mask -- Bus/timing control signals bdrive : std_ulogic; -- bus drive (DDR1,DDR2,LPDDR1) qdrive : std_ulogic; -- bus drive (DDR1,DDR2,LPDDR1) nbdrive : std_ulogic; -- bdrive 1 cycle early (DDR2) sdck : std_logic_vector(2 downto 0); -- Clock enable (DDR1,LPDDR1,LPDDR2,LPDDR3) moben : std_logic; -- Mobile DDR mode (DDR1/LPDDR1) oct : std_logic; -- On Chip Termination (DDR2) dqs_gate : std_logic; -- DQS gate control (DDR2) read_pend : std_logic_vector(7 downto 0); -- Read pending within 7...0 -- cycles (not including phy -- delays) (DDR2,LPDDR2,LPDDR3) wrpend : std_logic_vector(7 downto 0); -- Write pending (LPDDR2,LPDDR3) boot : std_ulogic; -- Boot clock selection (LPDDR2,LPDDR3) -- Calibration and configuration cal_en : std_logic_vector(7 downto 0); -- enable delay calibration (DDR2) cal_inc : std_logic_vector(7 downto 0); -- inc/dec delay (DDR2) cal_pll : std_logic_vector(1 downto 0); -- (enable,inc/dec) pll phase (DDR2) cal_rst : std_logic; -- calibration reset (DDR2) conf : std_logic_vector(63 downto 0); -- Conf. interface (DDR1,LPDDR1) cbcal_en : std_logic_vector(3 downto 0); -- CB enable delay calib (DDR2) cbcal_inc : std_logic_vector(3 downto 0); -- CB inc/dec delay (DDR2) regwdata : std_logic_vector(63 downto 0); -- Reg Write data (DDR2) regwrite : std_logic_vector(1 downto 0); -- Reg write strobe (DDR2) -- Status outputs to front-end ce : std_ulogic; -- Error corrected end record; constant ddrctrl_out_none : ddrctrl_out_type := ((others => '0'), (others => '0'), '0', '0', '0', (others => '0'), (others => '0'), (others => '0'), (others => '0'), (others => '0'), (others => '0'), (others => '0'), (others => '0'), '0', '0', '0', (others => '0'), '0', '0', '0', (others => '0'), (others => '0'), '0', (others => '0'), (others => '0'), (others => '0'), '0', (others => '0'), (others => '0'), (others => '0'), (others => '0'), (others => '0'), '0' ); ----------------------------------------------------------------------------- -- DDR2SPA types and components ----------------------------------------------------------------------------- -- DDR2 controller without PHY component ddr2spax is generic ( memtech : integer := 0; phytech : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; ddrbits : integer := 32; burstlen : integer := 8; MHz : integer := 100; TRFC : integer := 130; col : integer := 9; Mbyte : integer := 8; pwron : integer := 0; oepol : integer := 0; readdly : integer := 1; odten : integer := 0; octen : integer := 0; dqsgating : integer := 0; nosync : integer := 0; eightbanks : integer range 0 to 1 := 0; -- Set to 1 if 8 banks instead of 4 dqsse : integer range 0 to 1 := 0; -- single ended DQS ddr_syncrst: integer range 0 to 1 := 0; ahbbits : integer := ahbdw; ft : integer range 0 to 1 := 0; bigmem : integer range 0 to 1 := 0; raspipe : integer range 0 to 1 := 0; hwidthen : integer range 0 to 1 := 0; rstdel : integer := 200; scantest : integer := 0 ); port ( ddr_rst : in std_ulogic; ahb_rst : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sdi : in ddrctrl_in_type; sdo : out ddrctrl_out_type; hwidth : in std_ulogic ); end component; -- DDR2 controller with PHY component ddr2spa generic ( fabtech : integer := 0; memtech : integer := 0; rskew : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; MHz : integer := 100; TRFC : integer := 130; clkmul : integer := 2; clkdiv : integer := 2; col : integer := 9; Mbyte : integer := 16; rstdel : integer := 200; pwron : integer := 0; oepol : integer := 0; ddrbits : integer := 16; ahbfreq : integer := 50; readdly : integer := 1; ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0; ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0; ddelayb6 : integer := 0; ddelayb7 : integer := 0; cbdelayb0 : integer := 0; cbdelayb1 : integer := 0; cbdelayb2 : integer := 0; cbdelayb3 : integer := 0; numidelctrl : integer := 4; norefclk : integer := 0; odten : integer := 0; octen : integer := 0; dqsgating : integer := 0; nosync : integer := 0; eightbanks : integer := 0; dqsse : integer range 0 to 1 := 0; burstlen : integer range 4 to 128 := 8; ahbbits : integer := ahbdw; ft : integer range 0 to 1 := 0; ftbits : integer := 0; bigmem : integer range 0 to 1 := 0; raspipe : integer range 0 to 1 := 0; nclk : integer range 1 to 3 := 3; scantest : integer := 0 ); port ( rst_ddr : in std_ulogic; rst_ahb : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; clkref200 : in std_ulogic; lock : out std_ulogic; -- DCM locked clkddro : out std_ulogic; -- DCM locked clkddri : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector ((ddrbits+ftbits)/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector ((ddrbits+ftbits)/8-1 downto 0); -- ddr dqs ddr_dqsn : inout std_logic_vector ((ddrbits+ftbits)/8-1 downto 0); -- ddr dqsn ddr_ad : out std_logic_vector (13 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (ddrbits+ftbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(1 downto 0); ce : out std_logic ); end component; -- DDR2 PHY with just data or checkbits+data on same bus, including pads component ddr2phy_wrap_cbd is generic ( tech : integer := virtex2; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; padbits : integer := 0; clk_mul : integer := 2 ; clk_div : integer := 2; ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0; ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0; ddelayb6 : integer := 0; ddelayb7 : integer := 0; cbdelayb0 : integer := 0; cbdelayb1 : integer := 0; cbdelayb2 : integer := 0; cbdelayb3 : integer := 0; numidelctrl : integer := 4; norefclk : integer := 0; odten : integer := 0; rskew : integer := 0; eightbanks : integer range 0 to 1 := 0; dqsse : integer range 0 to 1 := 0; abits : integer := 14; nclk : integer := 3; ncs : integer := 2; chkbits : integer := 0; ctrl2en : integer := 0; resync : integer := 0; custombits : integer := 8; extraio : integer := 0; scantest : integer := 0 ); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkref200 : in std_logic; -- input 200MHz clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock returned clkresync : in std_ulogic; lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (extraio+(dbits+padbits+chkbits)/8-1 downto 0);-- ddr dqs ddr_dqsn : inout std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits+padbits+chkbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(ncs-1 downto 0); ddr_web2 : out std_ulogic; -- ddr write enable ddr_rasb2 : out std_ulogic; -- ddr ras ddr_casb2 : out std_ulogic; -- ddr cas ddr_ad2 : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba2 : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address sdi : out ddrctrl_in_type; sdo : in ddrctrl_out_type; customclk : in std_ulogic; customdin : in std_logic_vector(custombits-1 downto 0); customdout : out std_logic_vector(custombits-1 downto 0); testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end component; -- DDR2 PHY with just data or checkbits+data on same bus, not including pads component ddr2phy_wrap_cbd_wo_pads is generic ( tech : integer := virtex2; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; padbits : integer := 0; clk_mul : integer := 2 ; clk_div : integer := 2; ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0; ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0; ddelayb6 : integer := 0; ddelayb7 : integer := 0; cbdelayb0 : integer := 0; cbdelayb1: integer := 0; cbdelayb2: integer := 0; cbdelayb3 : integer := 0; numidelctrl : integer := 4; norefclk : integer := 0; odten : integer := 0; rskew : integer := 0; eightbanks : integer range 0 to 1 := 0; dqsse : integer range 0 to 1 := 0; abits : integer := 14; nclk : integer := 3; ncs : integer := 2; chkbits : integer := 0; resync : integer := 0; custombits : integer := 8; scantest : integer := 0 ); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkref200 : in std_logic; -- input 200MHz clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock return clkresync : in std_ulogic; lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq_in : in std_logic_vector (dbits+padbits+chkbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits+padbits+chkbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits+padbits+chkbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(ncs-1 downto 0); sdi : out ddrctrl_in_type; sdo : in ddrctrl_out_type; customclk : in std_ulogic; customdin : in std_logic_vector(custombits-1 downto 0); customdout : out std_logic_vector(custombits-1 downto 0); testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic ); end component; -- DDR2 PHY with separate checkbit and data buses, including pads component ddr2phy_wrap generic ( tech : integer := virtex2; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; padbits : integer := 0; clk_mul : integer := 2; clk_div : integer := 2; ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0; ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0; ddelayb6 : integer := 0; ddelayb7 : integer := 0; cbdelayb0 : integer := 0; cbdelayb1 : integer := 0; cbdelayb2 : integer := 0; cbdelayb3 : integer := 0; numidelctrl : integer := 4; norefclk : integer := 0; rskew : integer := 0; eightbanks : integer range 0 to 1 := 0; dqsse : integer range 0 to 1 := 0; abits : integer := 14; nclk : integer := 3; ncs : integer := 2; cben : integer := 0; chkbits : integer := 8; ctrl2en : integer := 0; resync : integer := 0; custombits : integer := 8; scantest : integer := 0 ); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkref200 : in std_logic; -- input 200MHz clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock returned clkresync : in std_ulogic; lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector ((dbits+padbits)/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector ((dbits+padbits)/8-1 downto 0); -- ddr dqs ddr_dqsn : inout std_logic_vector ((dbits+padbits)/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits+padbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(ncs-1 downto 0); ddr_cbdm : out std_logic_vector(chkbits/8-1 downto 0); ddr_cbdqs : inout std_logic_vector(chkbits/8-1 downto 0); ddr_cbdqsn : inout std_logic_vector(chkbits/8-1 downto 0); ddr_cbdq : inout std_logic_vector(chkbits-1 downto 0); ddr_web2 : out std_ulogic; -- ddr write enable ddr_rasb2 : out std_ulogic; -- ddr ras ddr_casb2 : out std_ulogic; -- ddr cas ddr_ad2 : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba2 : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address sdi : out ddrctrl_in_type; sdo : in ddrctrl_out_type; customclk : in std_ulogic; customdin : in std_logic_vector(custombits-1 downto 0); customdout : out std_logic_vector(custombits-1 downto 0); testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic ); end component; ----------------------------------------------------------------------------- -- DDRSPA types and components ----------------------------------------------------------------------------- -- DDR/LPDDR controller, without PHY component ddr1spax is generic ( memtech : integer := 0; phytech : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; ddrbits : integer := 32; burstlen : integer := 8; MHz : integer := 100; col : integer := 9; Mbyte : integer := 8; pwron : integer := 0; oepol : integer := 0; nosync : integer := 0; ddr_syncrst: integer range 0 to 1 := 0; ahbbits : integer := ahbdw; mobile : integer := 0; confapi : integer := 0; conf0 : integer := 0; conf1 : integer := 0; regoutput : integer := 0; ft : integer := 0; ddr400 : integer := 1; rstdel : integer := 200; scantest : integer := 0 ); port ( ddr_rst : in std_ulogic; ahb_rst : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sdi : in ddrctrl_in_type; sdo : out ddrctrl_out_type ); end component; -- DDR/LPDDR controller with PHY component ddrspa generic ( fabtech : integer := 0; memtech : integer := 0; rskew : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; MHz : integer := 100; clkmul : integer := 2; clkdiv : integer := 2; col : integer := 9; Mbyte : integer := 16; rstdel : integer := 200; pwron : integer := 0; oepol : integer := 0; ddrbits : integer := 16; ahbfreq : integer := 50; mobile : integer := 0; confapi : integer := 0; conf0 : integer := 0; conf1 : integer := 0; regoutput : integer range 0 to 1 := 0; ddr400 : integer := 1; scantest : integer := 0; phyiconf : integer := 0 ); port ( rst_ddr : in std_ulogic; rst_ahb : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; lock : out std_ulogic; -- DCM locked clkddro : out std_ulogic; -- DCM locked clkddri : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (ddrbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (ddrbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (13 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (ddrbits-1 downto 0) -- ddr data ); end component; -- DDR/LPDDR PHY, including pads component ddrphy_wrap generic ( tech : integer := virtex2; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2; clk_div : integer := 2; rskew : integer := 0; mobile : integer := 0; scantest : integer := 0; phyiconf : integer := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; clkread : out std_ulogic; -- system clock lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (13 downto 0);-- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data sdi : out ddrctrl_in_type; sdo : in ddrctrl_out_type; testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end component; -- DDR/LPDDR PHY with data and checkbits on same bus, including pads component ddrphy_wrap_cbd is generic ( tech : integer := virtex2; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; chkbits : integer := 0; padbits : integer := 0; clk_mul : integer := 2; clk_div : integer := 2; rskew : integer := 0; mobile : integer := 0; abits : integer := 14; nclk : integer := 3; ncs : integer := 2; scantest : integer := 0; phyiconf : integer := 0 ); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock return clkread : out std_ulogic; lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits+padbits+chkbits-1 downto 0); -- ddr data sdi : out ddrctrl_in_type; sdo : in ddrctrl_out_type; testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic ); end component; -- DDR/LPDDR PHY with data and checkbits on same bus, without pads component ddrphy_wrap_cbd_wo_pads is generic ( tech : integer := virtex2; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; padbits : integer := 0; clk_mul : integer := 2; clk_div : integer := 2; rskew : integer := 0; mobile : integer := 0; abits : integer := 14; nclk : integer := 3; ncs : integer := 2; chkbits : integer := 0; scantest : integer := 0 ); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock return lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq_in : in std_logic_vector (dbits+padbits+chkbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits+padbits+chkbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits+padbits+chkbits-1 downto 0); -- ddr data sdi : out ddrctrl_in_type; sdo : in ddrctrl_out_type; testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic ); end component; component lpddr2phy_wrap_cbd_wo_pads is generic ( tech : integer := virtex2; dbits : integer := 16; nclk : integer := 3; ncs : integer := 2; chkbits : integer := 0; padbits : integer := 0; scantest : integer := 0); port ( rst : in std_ulogic; clkin : in std_ulogic; -- input clock clkin2 : in std_ulogic; -- input clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock return clkout2 : out std_ulogic; -- system clock lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_ca : out std_logic_vector(9 downto 0); -- ddr cmd/addr ddr_dm : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_dq_in : in std_logic_vector (dbits+padbits+chkbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits+padbits+chkbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits+padbits+chkbits-1 downto 0); sdi : out ddrctrl_in_type; sdo : in ddrctrl_out_type; testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end component; ----------------------------------------------------------------------------- -- Other components using DDRxSPA sub-components ----------------------------------------------------------------------------- type ddravl_slv_in_type is record burstbegin : std_ulogic; addr : std_logic_vector(31 downto 0); wdata : std_logic_vector(256 downto 0); be : std_logic_vector(32 downto 0); read_req : std_ulogic; write_req : std_ulogic; size : std_logic_vector(3 downto 0); end record; type ddravl_slv_out_type is record ready : std_ulogic; rdata_valid : std_ulogic; rdata : std_logic_vector(256 downto 0); end record; constant ddravl_slv_in_none: ddravl_slv_in_type := ('0',(others => '0'),(others => '0'),(others => '0'),'0','0',(others => '0')); component ahb2avl_async is generic ( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; burstlen : integer := 8; nosync : integer := 0; ahbbits : integer := ahbdw; avldbits : integer := 32; avlabits : integer := 20 ); port ( rst_ahb : in std_ulogic; clk_ahb : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; rst_avl : in std_ulogic; clk_avl : in std_ulogic; avlsi : out ddravl_slv_in_type; avlso : in ddravl_slv_out_type ); end component; end package;
gpl-2.0
35a6bbfc94506e33a1e4781db0013fd1
0.495006
3.808132
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-digilent-nexys3/testbench.vhd
1
8,121
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; library techmap; use techmap.gencomp.all; use work.debug.all; use work.config.all; entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; clkperiod : integer := 37; -- system clock period romwidth : integer := 16; -- rom data width (8/32) romdepth : integer := 16 -- rom address depth ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sdramfile : string := "ram.srec"; -- sdram contents constant lresp : boolean := false; constant ct : integer := clkperiod/2; signal clk : std_logic := '0'; signal clk200p : std_logic := '1'; signal clk200n : std_logic := '0'; signal rst : std_logic := '0'; signal rstn1 : std_logic; signal rstn2 : std_logic; signal error : std_logic; -- PROM flash signal address : std_logic_vector(26 downto 0):=(others =>'0'); signal data : std_logic_vector(31 downto 0); signal RamCS : std_logic; signal oen : std_ulogic; signal writen : std_ulogic; signal iosn : std_ulogic; signal FlashCS : std_ulogic; -- Debug support unit signal dsubre : std_ulogic; -- AHB Uart signal dsurx : std_ulogic; signal dsutx : std_ulogic; -- APB Uart signal urxd : std_ulogic; signal utxd : std_ulogic; -- Ethernet signals signal etx_clk : std_ulogic; signal erx_clk : std_ulogic; signal erxdt : std_logic_vector(7 downto 0); signal erx_dv : std_ulogic; signal erx_er : std_ulogic; signal erx_col : std_ulogic; signal erx_crs : std_ulogic; signal etxdt : std_logic_vector(7 downto 0); signal etx_en : std_ulogic; signal etx_er : std_ulogic; signal emdc : std_ulogic; signal emdio : std_logic; -- SVGA signals signal vid_hsync : std_ulogic; signal vid_vsync : std_ulogic; signal vid_r : std_logic_vector(3 downto 0); signal vid_g : std_logic_vector(3 downto 0); signal vid_b : std_logic_vector(3 downto 0); -- Select signal for SPI flash signal spi_sel_n : std_logic; signal spi_clk : std_logic; signal spi_mosi : std_logic; -- Output signals for LEDs signal led : std_logic_vector(7 downto 0); signal brdyn : std_ulogic; signal sw : std_logic_vector(7 downto 0):= (others =>'0'); signal btn : std_logic_vector(4 downto 0):= (others =>'0'); begin -- clock and reset clk <= not clk after ct * 1 ns; rst <= '1', '0' after 100 ns; dsubre <= '0'; urxd <= 'H'; spi_sel_n <= 'H'; spi_clk <= 'L'; d3 : entity work.leon3mp generic map (fabtech, memtech, padtech, clktech, disas, dbguart, pclow) port map ( clk => clk, -- PROM address => address(25 downto 0), data => data(31 downto 16), MemOE => oen, MemWR => writen, RamCS => RamCS, --FlashRp => FlashRP FlashCS => FlashCS, -- AHB Uart RsRx => dsurx, RsTx => dsutx, -- PHY PhyTxClk => etx_clk, PhyRxClk => erx_clk, PhyRxd => erxdt(3 downto 0), PhyRxDv => erx_dv, PhyRxEr => erx_er, PhyCol => erx_col, PhyCrs => erx_crs, PhyTxd => etxdt(3 downto 0), PhyTxEn => etx_en, PhyTxEr => etx_er, PhyMdc => emdc, PhyMdio => emdio, -- Output signals for LEDs led => led, sw => sw, btn => btn ); btn(0) <= rst; prom0 : for i in 0 to (romwidth/8)-1 generate sr0 : sram generic map (index => 4+i, abits => romdepth, fname => promfile)--index => i port map (address(romdepth-1 downto 0), data(31-i*8 downto 24-i*8), FlashCS, writen, oen); end generate; sram0 : sram generic map (index => 4, abits => 24, fname => sdramfile) port map (address(23 downto 0), data(31 downto 24), RamCS, writen, oen); sram1 : sram generic map (index => 5, abits => 24, fname => sdramfile) port map (address(23 downto 0), data(23 downto 16), RamCS, writen, oen); phy0 : if (CFG_GRETH = 1) generate emdio <= 'H'; p0: phy generic map (address => 1) port map(rstn1, emdio, etx_clk, erx_clk, erxdt, erx_dv, erx_er, erx_col, erx_crs, etxdt, etx_en, etx_er, emdc, '0'); end generate; spimem0: if CFG_SPIMCTRL = 1 generate s0 : spi_flash generic map (ftype => 4, debug => 0, fname => promfile, readcmd => CFG_SPIMCTRL_READCMD, dummybyte => CFG_SPIMCTRL_DUMMYBYTE, dualoutput => 0) -- Dual output is not supported in this design port map (spi_clk, spi_mosi, data(24), spi_sel_n); end generate spimem0; led(3) <= 'L'; -- ERROR pull-down error <= not led(3); iuerr : process begin wait for 5 us; assert (to_X01(error) = '1') report "*** IU in error mode, simulation halted ***" severity failure; end process; test0 : grtestmod port map ( rst, clk, error, address(21 downto 2), data, iosn, oen, writen, brdyn); data <= buskeep(data) after 5 ns; dsucom : process procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 160 * 1 ns; begin dsutx <= '1'; wait; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#00#, 16#ef#, txp); -- -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp); -- -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp); -- -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp); -- -- txc(dsutx, 16#80#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); -- rxi(dsurx, w32, txp, lresp); end; begin dsucfg(dsutx, dsurx); wait; end process; end;
gpl-2.0
59743a73bf10831d81710bab06999177
0.563231
3.52627
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/lab3_project.xpr/project_1/project_1.ipdefs/ip_0/RecComp_cnn_lab_convolve_kernel_1_0/hdl/vhdl/convolve_kernel_control_s_axi.vhd
5
12,370
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.3 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity convolve_kernel_control_s_axi is generic ( C_S_AXI_ADDR_WIDTH : INTEGER := 4; C_S_AXI_DATA_WIDTH : INTEGER := 32); port ( -- axi4 lite slave signals ACLK :in STD_LOGIC; ARESET :in STD_LOGIC; ACLK_EN :in STD_LOGIC; AWADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); AWVALID :in STD_LOGIC; AWREADY :out STD_LOGIC; WDATA :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); WSTRB :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH/8-1 downto 0); WVALID :in STD_LOGIC; WREADY :out STD_LOGIC; BRESP :out STD_LOGIC_VECTOR(1 downto 0); BVALID :out STD_LOGIC; BREADY :in STD_LOGIC; ARADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); ARVALID :in STD_LOGIC; ARREADY :out STD_LOGIC; RDATA :out STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); RRESP :out STD_LOGIC_VECTOR(1 downto 0); RVALID :out STD_LOGIC; RREADY :in STD_LOGIC; interrupt :out STD_LOGIC; -- user signals ap_start :out STD_LOGIC; ap_done :in STD_LOGIC; ap_ready :in STD_LOGIC; ap_idle :in STD_LOGIC ); end entity convolve_kernel_control_s_axi; -- ------------------------Address Info------------------- -- 0x0 : Control signals -- bit 0 - ap_start (Read/Write/COH) -- bit 1 - ap_done (Read/COR) -- bit 2 - ap_idle (Read) -- bit 3 - ap_ready (Read) -- bit 7 - auto_restart (Read/Write) -- others - reserved -- 0x4 : Global Interrupt Enable Register -- bit 0 - Global Interrupt Enable (Read/Write) -- others - reserved -- 0x8 : IP Interrupt Enable Register (Read/Write) -- bit 0 - Channel 0 (ap_done) -- bit 1 - Channel 1 (ap_ready) -- others - reserved -- 0xc : IP Interrupt Status Register (Read/TOW) -- bit 0 - Channel 0 (ap_done) -- bit 1 - Channel 1 (ap_ready) -- others - reserved -- (SC = Self Clear, COR = Clear on Read, TOW = Toggle on Write, COH = Clear on Handshake) architecture behave of convolve_kernel_control_s_axi is type states is (wridle, wrdata, wrresp, wrreset, rdidle, rddata, rdreset); -- read and write fsm states signal wstate : states := wrreset; signal rstate : states := rdreset; signal wnext, rnext: states; constant ADDR_AP_CTRL : INTEGER := 16#0#; constant ADDR_GIE : INTEGER := 16#4#; constant ADDR_IER : INTEGER := 16#8#; constant ADDR_ISR : INTEGER := 16#c#; constant ADDR_BITS : INTEGER := 4; signal waddr : UNSIGNED(ADDR_BITS-1 downto 0); signal wmask : UNSIGNED(31 downto 0); signal aw_hs : STD_LOGIC; signal w_hs : STD_LOGIC; signal rdata_data : UNSIGNED(31 downto 0); signal ar_hs : STD_LOGIC; signal raddr : UNSIGNED(ADDR_BITS-1 downto 0); signal AWREADY_t : STD_LOGIC; signal WREADY_t : STD_LOGIC; signal ARREADY_t : STD_LOGIC; signal RVALID_t : STD_LOGIC; -- internal registers signal int_ap_idle : STD_LOGIC; signal int_ap_ready : STD_LOGIC; signal int_ap_done : STD_LOGIC := '0'; signal int_ap_start : STD_LOGIC := '0'; signal int_auto_restart : STD_LOGIC := '0'; signal int_gie : STD_LOGIC := '0'; signal int_ier : UNSIGNED(1 downto 0) := (others => '0'); signal int_isr : UNSIGNED(1 downto 0) := (others => '0'); begin -- ----------------------- Instantiation------------------ -- ----------------------- AXI WRITE --------------------- AWREADY_t <= '1' when wstate = wridle else '0'; AWREADY <= AWREADY_t; WREADY_t <= '1' when wstate = wrdata else '0'; WREADY <= WREADY_t; BRESP <= "00"; -- OKAY BVALID <= '1' when wstate = wrresp else '0'; wmask <= (31 downto 24 => WSTRB(3), 23 downto 16 => WSTRB(2), 15 downto 8 => WSTRB(1), 7 downto 0 => WSTRB(0)); aw_hs <= AWVALID and AWREADY_t; w_hs <= WVALID and WREADY_t; -- write FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then wstate <= wrreset; elsif (ACLK_EN = '1') then wstate <= wnext; end if; end if; end process; process (wstate, AWVALID, WVALID, BREADY) begin case (wstate) is when wridle => if (AWVALID = '1') then wnext <= wrdata; else wnext <= wridle; end if; when wrdata => if (WVALID = '1') then wnext <= wrresp; else wnext <= wrdata; end if; when wrresp => if (BREADY = '1') then wnext <= wridle; else wnext <= wrresp; end if; when others => wnext <= wridle; end case; end process; waddr_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (aw_hs = '1') then waddr <= UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)); end if; end if; end if; end process; -- ----------------------- AXI READ ---------------------- ARREADY_t <= '1' when (rstate = rdidle) else '0'; ARREADY <= ARREADY_t; RDATA <= STD_LOGIC_VECTOR(rdata_data); RRESP <= "00"; -- OKAY RVALID_t <= '1' when (rstate = rddata) else '0'; RVALID <= RVALID_t; ar_hs <= ARVALID and ARREADY_t; raddr <= UNSIGNED(ARADDR(ADDR_BITS-1 downto 0)); -- read FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then rstate <= rdreset; elsif (ACLK_EN = '1') then rstate <= rnext; end if; end if; end process; process (rstate, ARVALID, RREADY, RVALID_t) begin case (rstate) is when rdidle => if (ARVALID = '1') then rnext <= rddata; else rnext <= rdidle; end if; when rddata => if (RREADY = '1' and RVALID_t = '1') then rnext <= rdidle; else rnext <= rddata; end if; when others => rnext <= rdidle; end case; end process; rdata_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (ar_hs = '1') then case (TO_INTEGER(raddr)) is when ADDR_AP_CTRL => rdata_data <= (7 => int_auto_restart, 3 => int_ap_ready, 2 => int_ap_idle, 1 => int_ap_done, 0 => int_ap_start, others => '0'); when ADDR_GIE => rdata_data <= (0 => int_gie, others => '0'); when ADDR_IER => rdata_data <= (1 => int_ier(1), 0 => int_ier(0), others => '0'); when ADDR_ISR => rdata_data <= (1 => int_isr(1), 0 => int_isr(0), others => '0'); when others => rdata_data <= (others => '0'); end case; end if; end if; end if; end process; -- ----------------------- Register logic ---------------- interrupt <= int_gie and (int_isr(0) or int_isr(1)); ap_start <= int_ap_start; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_start <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1' and WDATA(0) = '1') then int_ap_start <= '1'; elsif (ap_ready = '1') then int_ap_start <= int_auto_restart; -- clear on handshake/auto restart end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_done <= '0'; elsif (ACLK_EN = '1') then if (ap_done = '1') then int_ap_done <= '1'; elsif (ar_hs = '1' and raddr = ADDR_AP_CTRL) then int_ap_done <= '0'; -- clear on read end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_idle <= '0'; elsif (ACLK_EN = '1') then if (true) then int_ap_idle <= ap_idle; end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_ready <= '0'; elsif (ACLK_EN = '1') then if (true) then int_ap_ready <= ap_ready; end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_auto_restart <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1') then int_auto_restart <= WDATA(7); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_gie <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_GIE and WSTRB(0) = '1') then int_gie <= WDATA(0); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ier <= "00"; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_IER and WSTRB(0) = '1') then int_ier <= UNSIGNED(WDATA(1 downto 0)); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_isr(0) <= '0'; elsif (ACLK_EN = '1') then if (int_ier(0) = '1' and ap_done = '1') then int_isr(0) <= '1'; elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then int_isr(0) <= int_isr(0) xor WDATA(0); -- toggle on write end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_isr(1) <= '0'; elsif (ACLK_EN = '1') then if (int_ier(1) = '1' and ap_ready = '1') then int_isr(1) <= '1'; elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then int_isr(1) <= int_isr(1) xor WDATA(1); -- toggle on write end if; end if; end if; end process; -- ----------------------- Memory logic ------------------ end architecture behave;
mit
966eff06fe3c9a826041501d376cbcad
0.442118
3.875313
false
false
false
false
MarkBlanco/FPGA_Sandbox
VHDL_UART/UART_LIB.vhd
1
949
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity TX is port( CLK: in std_logic; START: in std_logic; BUSY: out std_logic; DATA: in std_logic_vector(7 downto 0); TX_LINE: out std_logic ); end TX; architecture MAIN of TX is signal PRSCL: INTEGER range 0 to 5208:=0; signal INDEX: integer range 0 to 9:=0; signal DATAFLL: std_logic_vector(9 downto 0); signal TX_FLG: std_logic:='0'; begin process(CLK) begin if (CLK'event and CLK='1') then if(TX_FLG='0' and START='1') then TX_FLG<='1'; BUSY<='1'; DATAFLL(0)<='0'; DATAFLL(9)<='1'; DATAFLL(8 downto 1)<=DATA; end if; if (TX_FLG='1') then if (PRSCL<5207) then PRSCL <= PRSCL + 1; else PRSCL <= 0; end if; if (PRSCL=2607) then TX_LINE<=DATAFLL(INDEX); if (INDEX<9) then INDEX <= INDEX + 1; else TX_FLG<='0'; BUSY<='0'; INDEX <= 0; end if; end if; end if; end if; end process; end MAIN;
mit
9a22e708cecc722790e7a2b3458d6e1f
0.609062
2.551075
false
false
false
false
VerkhovtsovPavel/BSUIR_Labs
Master/POCP/My_Designs/GPR/src/MRAM.vhd
1
2,895
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_UNSIGNED.all; library gpr; use gpr.OneHotGPR.all; entity MRAM is port( -- 0 - write; 1 - read RW: in std_logic; CLK: in std_logic; -- address for the first operand ADDR1: in mem_addr; -- address for the second operand ADDR2: in mem_addr; -- address to write ADDRW: in mem_addr; -- first operand D1OUT: out operand; -- second operand D2OUT: out operand; -- data to write DWIN: in operand ); end MRAM; architecture Beh_GPR of MRAM is type tRAM is array (0 to 31) of operand; signal RAM: tRAM:= ( -- | VALUE BIN | ADR BIN | CONST NAME | "0000000000000000", -- | 00000 | STUB, ADDR_DATA_START | "0000000000000000", -- | 00001 | | "0000000000000000", -- | 00010 | | "0000000000000000", -- | 00011 | | "0000000000000000", -- | 00100 | | "0000000000000000", -- | 00101 | | "0000000000000000", -- | 00110 | | "0000000000000000", -- | 00111 | | "0000000000000000", -- | 01000 | | "0000000000000000", -- | 01001 | | "0000000000000000", -- | 01010 | | "0000000000000000", -- | 01011 | | "0000000000000000", -- | 01100 | | "0000000000000000", -- | 01101 | | "0000000000000000", -- | 01110 | | "0000000000000000", -- | 01111 | | "0000000000001000", -- | 10000 | ADDR_LENGTH | "0000000000000000", -- | 10001 | ADDR_COUNTER | "0000000000000000", -- | 10010 | ADDR_CURRENT_VALUE | "0000000000000001", -- | 10011 | ADDR_INIT_VALUE | "0000000000000000", -- | 10100 | ADDR_ZERO | "0000000000000001", -- | 10101 | ADDR_ONE | "0000000000000000", -- | 10110 | EMPTY_SPACE | others => "0000000000000000" ); signal data_win: operand; signal data_1out: operand; signal data_2out: operand; Begin data_win <= DWIN; WRITE: process(CLK) begin if (rising_edge(CLK)) then if (RW = '0') then RAM(conv_integer(ADDRW)) <= data_win; end if; end if; end process; data_1out <= RAM (conv_integer(ADDR1)); data_2out <= RAM (conv_integer(ADDR2)); READ: process(CLK) begin if (rising_edge(CLK)) then if (RW = '1') then D1OUT <= data_1out; D2OUT <= data_2out; else D1OUT <= (others => 'Z'); D2OUT <= (others => 'Z'); end if; end if; end process; End Beh_GPR;
mit
51e90161a0e0319a5f0d5ff8edd75188
0.475302
3.534799
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/lab3_project_default.xpr/project_1/project_1.ipdefs/ip_0/tmp.srcs/sources_1/ip/convolve_kernel_ap_fmul_2_max_dsp_32/hdl/axi_utils_v2_0_vh_rfs.vhd
16
292,080
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YNZOfwFmq+EKwX1iXTqpnCzO6NCkg8iVZ15wmEqF2fK31FdwL7p+998IAHTcmvJXXulOlBvS6vH1 +iYCkUubZg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fSuLWicvAgz15dAyaBM1McguU+c1OlTxM3rwIjZed+XVwT0aj3kC8vBXZpS89nkrz4cH1M3IFZBK zd+c70XrF2f50b7PhHzi1/zvy7zfnrDsI3RQtNlcdzxESKaNa2OVRlTl1FiVvvM0flfMEoGOVBEg CInpOdHF1+GNpH3Jzc4= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block c0YpjGsmE6XFKBplkrecWMiGPy4/BXTRGoswHI3n2HH7rRfRrIKKFBskl5r4MXDh+36ObQ/r4PAk tfwFXdRBQQdjX794wIxcy6RXY7xcRmOpxCWwo0hF7M+Du5JZuTdvu5waK1k3RHlAIf9fMqtpFqa4 /KglkgOK46YLWOg98V4= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cUsDUT+TuVyMSf/EEh3e3oVg55uMy42ju7dn2qfNxoV/6aNQsmopR/owNzHJ8w2iRIbnD/sWUeVD YfC5Nh9wQkO+W++ModGSDC7LnmRkQos1o2QXsyGO7CwRp+BAnJvattDBYemVwv4BYerghJyYxugG VuaHyMph06OOJqTM01KRiHL1l6599aYPL6x1/zhvgxuLp5p+bcz4yWnxtE0ZoamT2LCdP7gM6f6C edWtC0tvUpoBL6a3+CkNW424HNzG7euC5cgFf3whD5KjxK0sgU3DOgHfGxtZT3pY69/36mu756TX JZSijhFRV50xlcUra7R9ar0hdrBRjEOSw7W3vg== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block msL2o+GmDoFk+NL6vgip8zUMztiw6jKP1JrnEkE+4rDO8UAEw73Bp+XaWDJ56xzku7n2eZey3ZXo 5t0kzk9ueKFNecgIlJ+KU5U2yQqYWSItsRIQuSu4rKPl8Rqn+dE0pcawYSBPhVOsFFtaXlpfXI5E /6dCGckETNQ7yeYg58KrKQfp5W9zaaEw+sE6ym7QBzCBb3dmWjdUqH7paIeYhjytGjAkhoofSzNg g8k2NBO+eeLdDWD+xxiRjuDbJXen+TTEMwG3QFWPP6FJTr097RR19HGV0ON+WT7z7zJCaS6N+Ajv 3OxS7NRyD6qW0oN/CBWf8OZtJ5pG6dKBLcxCJQ== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kXQIZv8mrCnXdeUXTei22vQEDigOjr4M+bzu4+tut8y+H4BU8tqpgWzAawYjBx0Q9HqqEYYKSACf UeawwsHxb2466iL/YrIN9+RqC9NKqlbJC0Gk251C/dmXlDQUuvsewDbLU4g9y+zb3nua3y4dKwNC QE25LX/Sa3SyQazOgoFWwhfEsmEbi2LDvoNJWIuq7sSOK2Awu1VlnbJcXjjblq6y0akDL9wLxa0z 9pb1oK/XSraNLnR9asMy7i1xZ7fwmuxwLowdIK1CU6T/qtrhXctBLMsJlQ3gnD7FJ2klrTuK3ilX 2lNAz+VBfdEokkhLi1QPBxGu0q6x58LkOoJ5Fw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 214080) `protect data_block BR1Q16Lyg5zz6kUNIT1/rUVWp1M1MAxsi8GRvHObVeRDDhUtG4HwVLWBhwN7oZq1PeZ8fiBCeUnY GLuxSH6VPc7Ep4Q2rDBs7DAz8dfWQb1LnMEB4lXwtXR4DJwittOqTklXJ0bv8Wt7aEyWWhCNKKio v3x8RzWi4xSeOJTcl7VvmVRF4ZCSbzSi3gwZ5D7NkqnN78x7qvs9IaZ7+sUk9tqvRGcTKJb8mFeh 383QXS2Odp82BtHJontYNTFemShoOdmQUtt3TCT3z8DS6apGPx2XCsSyUzLnhCPQB3RG5LJgLaKP OSpTiGNIs2Y3voZU7+8ATsTkko+1szYoG32QramG3zNz5HnHxcasFU56LZvQ8G40S1vZWwZaqbpq 8FBRHEi/CRkCJq+z1w4DxVQv/FvnFyfVVglAS7WHs7TgafDag4ZAgpiyOlP1hBy8c95JR4kv3SAX 8ASRqInPYY8HLN6A4mImkIX3YQge6uPjx3Gu6yzUIpcL3Dr+qgq+kNiKu7UQnXZ86IMYeiZz9Uw3 gEpnq00YIaccHMXqAvjBrljJuBAy+QEKZ0tmpaJhzBUNRwpW8pRZXpzPb8SssWvQHQF6UpTw1Ncn cGF+TveIZkx6u8CbTof5tY+pGoxAwbKXVHpQGy8JI/YApoM4gFB3kO0yGeMVsEZ6pMWHyLr+uWJY hJ8LQYicN6CtmGkdKgHYp0WH0W9QuE2bz2A7lCwsTey9NpPVppgw13WRweaZoOO2bmrhmQWM9Ste Pz14BsSz5StRO6emnbc6g/bg8wdkdEUhAdg3Q9gAtUTtKtAgdOTaq6S1h5z7163v1gYg8jJnuO5t i+v8FpXHhjWKetJLwjJu3wgfhG2zeWQ1zr6+2nEoZFSBlEpocREGBPBbqTENGBxOmiXeuVQj+j4F hbS+n41nPbaFEmm4MxwCOWF8igwSUN/QjN9RA6wqjcY1G88cFeF7SLMqFlNsxA/c0WnJlC2jCcIg ZkkIvUPBeBe0thAxkSB1NNfFBTgW15BCD2inpCFzX4HWWiohnhEyKmMlXI2DhNvCr/da0JkOPpXV ZK4qG1nHxY9afOsdsr80zjOklpilxqv1yA3GqC1jjsoN2G+7DFX3MqT3WLtZgO0c+TAfh2/YjkHM z4dIoFMrYz6JqFxWCDU80e37UuuU/V56w4SSzUlFxsxVc8sj7JnVC0D/2lhu5i/csncT/1QkLvsA gQailKvcsWcH4cZJTvwNlohWvjVVX69oAcJTWtA1Qsn10vQ6FaOXar7rHmSYrLDJwVikZQHM2JsH o8zcngFSO71GAzB9lRx4jbVjOVYo/t1aAuHH9XQwiuba6il3yIkWmxvPy7VPc7WuDA4fIl2eG1gi pSPrK/2o+scJ9NR627nnUkBLSc0GcZBgHSbbtf6kLV29YdIe79rruwgANFjTRsaQJxmTbh6aDwTQ ZgiOSUj7Yh27d1GhU1TEhmP/HLBjnCXWkmjt3lIULjR6ktBzulf5nK4uONilkUrrM84BUGhj8Ctg JpYEu0pQ9fhtHvZdagKs/4f59HU4FwCDsgBf7yOBSMG08gfNK38kT4uWzO0sSGjO6z0cgtf4UzgD gJdAncHFQU0XDg4+Uh58ldqUQNs+xj+Gb2OoaRKW5ThG2u1VPGGuFOud/11QuHb3xnhkzxImMims JGdrAQ8/zlZghI+mQGI7fugi5U6o2hgiR52YSPou491jSs69Hr4o9+31Uk/O2+qLgB0iQmroafVA HvURSCmLQ5OVjH3GMi1VJ8/dY0i4kNxTnGpYwr36q1VjxeCN1JJuCtw+jH14xC9dd7jY9NsIBATU dwyw2D8vSx+m55g1+FMlj2WirC2fToU/EXBnCCy3xvbCX2T9mIAwti69ziGNJanstLKrNns3dfwE IVjvXIkrI1fmrovyFJQUV8zFtnHZjK7OLB/dL984VmPVLS14K+yPbVUR7HF8452myt8FGuqVhOma D1tGpzWIc2qwTVa4CFgAQWbmMoVAt2bULpy88F9NQ1B+6OAUd0g/4SQZmrhfL58W8ddIOZSMd/nA zaHh+zUH/+N7+lwGQ4lF7cHnp1Jc+tEbssDNxGqVPsYrCXb6M9Vyxqh0GOZtoJr4l00CLF5fn2Ho 6G86be4szTVe4TZheAT55nK431wWiuDCR8SjHJxkPpPxKtEki14IsUrRhnnQdk+ubjbnS4Vnjo2x JwlMSHr/W6IFPk88iQDjUYXQKDhZpyfvQqKIVhGDTzOuB43or6wt/Yay2Ux+VfGU6907WqjZu/ft +LH63N7k2ySUbOlK5OLz8k4mcRRTDDXaCDs1lc2h/Bv5LQ3Rmr9iF1TXBeEGwcV80HtDxjP4vD4w JIwiZc3ypYouya8NtbvXbbfEdVh/Vzrx4CVGHybD5YXCvQHABHcgRdP2wxRSTlc1favJyU58gsxf lZDwsfuuV/mc+SZuYxo+kZQNcd7J9AxSRO21OVPVdlNnVk2b3e7NsVF9yMhVALs6co2FWrca9rYr QawDNpQD3RhrhzSDsuOglPMxsX4IF4GLNfENamVh3pHmXbD+3aR0Rz6ziB8GOP2A/EWbuBfBX9jh AS5KigAYwf4UIvSm6+U3s6wCeSpP6O4dci0rL3jo4bKllVNcPuO4/tweZKafgFdyn48e8XM9f6zq abEfoNT7izTjBdJfzh5oiahi2U0Yy5LWL+tvdkEjSMgE9iQ7A30yHXo8MfSb3haME8n4wfZP3WH4 w1BcU7EWYn4hozbJ7omyOudp1GyROpgMVVZtu4HfXuKxGblAIg1irSg7Vk8x2xm16H08bRDJZp9Z v7UDskSoLjQ+0I+VjEHdumlOpUA07/Yw1x7NBJ43pA6M1w5hJjpawm2AJJYPGemTfWW6DhNy0Yh5 CO5E+SwQymR9rDhe+MjDXrfNxESS7eQXm4rUkLOP6BzHTmgQ3ULwlx7ChIblxiTtu/j5JndaJoho YS3ugUPcZSA/XygT/BP6faJkR4UkGzweUH3OO2tSWp53KMC8sqKhYfMiArPqMK3eDozMEyoeJ2so C4DiAjJG4mZzRBAPzl2RtgWDEE9gmZNHKa3NltxA73dHrad9qdKB0OMtOmLX2HfYIpu24JqX7FGa h0+NWZlBRPuTGV5WdZUg6M724pJHnG/9PDhseTqjG/LfXITjD0pE1Pv4G6PyDJ1D5YrDrsgdrtBE RACjmYj8jHAbj9QiMFM4U1a77UkcLLacxkIxiv32FLOoYQI5LYfkrZprtuLbekpq5HUVRua0D65r SBRRnxHR0s4Evm32yhGnoGGhGMqHzl/OmBs90jbLwXQa+yR6Jku47XStOziHBtfOteQ2EWlswhdo Tft1JOCce9uxEwjAOJTOlaQg7sayhSE8KkF2qllY3lPwez+bCMkWvC9KFX4UXq4ToWvh/gIwVuSP LkywPwXZoLN3hZjmhrSUQmsrNp/JBoGW44jCtuuvyczAnkqguIFjVdEhfRkty8QFHlTFz7GqkeEE 1HrV6ffDOJOYdRndqdHVNdp9SOqGWmJ+dfT5BURCdTxdgjqw4iRIhBdl9c+O5eA8+H2goPsL9LUK 6tx4uOx+g6Pr4/01+Bp5aCrsiiqqDpTGi4/Y9mR1YZn7n+njtA9gm+BAbDO6EzSmPe/fa9Cn+cZF ndzAjkZ0GOWi6Nv4QXM3FffCCAWUYKejbzS769+rXZZc91akEUpG92iPuEykeg4KAy3pZZh6Afmo z/ja7wBXeuLFxNZ7LHfkNAqq/PL9DfVYuotgjxQ/UCXTluPo9u4hVyfN85EmjeiVIchysrlh4V3u d8FDTTeRaODMPl/Us0F899qnepstx8W4ZdpONVMxlOf9hP1+anC1iN2h5gauKgqQ8YAh/L+xLJ/K 3MUh8jRw9EHg88NeL2wIex80Mx76AwGb2S62cSO3f0yYUkvAJsC3NydRwpf4qgGvMtgfxVKmwYl4 RkLPmCWhAnoLuhqveWvvEirP5txkHZd/tYJ3VRCS+1hl+Lb/IRXNEOEdH55W9jtAdN5JqITmjk6O cxpT3R0ez6GY+q32Q+kPul9ER1gBzPPMWWdPBxZ7CJsDJMJLRCK3f9bJPCHe/y3cI/gWF0fY4iM3 QGgheDrSUeVKrTSCjHiGE5qJ6vcA/ddJMQnDeuF/24AOK6iNHHIkxrCuVy5hkKvG6Y4sryVOLEUV alshUJM4J296SJjxNSVpd4q9u2jTvwQY1mXbp3umx2105H7FO7ThU/Lf/rNpdGOy0yWFUvQ13lIM C9bCmhkkpFvo2h9F1YvJmvHRDK3NZkq5xgYxb8WH+1vryrbA+Aliop5YaAAIXTxQwJt2lfJJXcfZ dg66dTBJ95Kq+2Tp1z7vhggalibPOttGx5XYAwCpYXZXmdMnNLUSTCOXDWQQWvubRgfD+MuspBqV 2eu2FAgn/cYid4mIoubRztD2SXVIVfeZYAgIJIVcHbb+AZeBRsM/DfmhFUdYm8uro/43s2ez1Sgo +Tn77TR4umfbvll/IwKyfUoME0HDLt1S+zmis+8rkOGun8nBqMSgybe9vSm4nXad2M1oZWyUoaOx 7KPbT5Tt0RXXtivmmKbchL8FmSr8niyjjMG6CSyEQL46lY3Y5KfUI6TwGLAL47EyiL1CbNxfnKa+ B7XbCdYnLY8PuZjNP3ntyEhDr9mJr91uK7HzfKrEFrI/Do6H4rDHlWUDKC95gLcw3bqAV56/0bZP UT2NY8IRAZGwi11YmDzanMy56oAh0WIcJAkNGhzwR4ozuIIdxVoJUXMiL38hK15jsEo8nf4jeCnq WkltNuQmtGJP0xbWtSiBCKRBu0ezci/ky3LKU0EjH+TbfHfEObfYiLjz5ElVb24XOEHLbCk8BrRj 8CqPuFOIcWe9ex3VhVrOWKYPCuqVwxwhiwZKhvUNMUs2pwa5XQB+OK5Yxwly/OMX/G3zJ4qgz024 gTmOrJ+Y9ft6HTFBhrc5DCEstIxR7IbJlVGgzwaaW2LWZ0YLhFOQ1gMQFqKS86tu+gISs1LgdPP4 QAIWY26C9rDbWuZeBNI6KdhbmL8c+yAnQ1ir2qPeiz0JP3KZZlKfTECbgM24FsfdEIr1pt7Rx9Qt 3rTY8qmkAwcNEUxK4BDAEWw23aNimVxXO4yPkXJ8Dc+q0ietgW9mXaFJTmJvHSl24sOVmwIzcjUQ MwbyjdFIObPlwr4G6oAsbwDjN8rvhq/UOU2rPvyGkuk0otim4do5ul2aXX80gok+vLMiA3XZyob0 izSnDBk5ooYfqlaPDPFRqdFfNU0eGzb/t4HvCl89qT4FKsRynkgxAjbja0JPUlJJP6Eqm2sH8aU2 0BOYSTbCABhunP6NNdJ17SEbCwVVN709q53ZplfzU6yllCARZRm3jBLbUD/llpf4a30wAUmYI3xu XV3OfrRJZSMSUIGn0mDnILPtm1vCGKhH4RHXskwhrU0EgB11kkjXJ37ecmoUQGrMQcNV0pflIxtp w4STGBZXkv70WzmKYYExXcTPk3gGl9DQytj9KCAzEF0N5gxYp2ir5xX6d2UZFIxtZSZcITsSYC3X 2lOeEiNDos6nP7/+yJXBgI2zsHZ3jSX6VIgSCxkW7QtUFpZ/VMm6kZJYzerC4Hnbn6T4RKaoQDVz OfQMsqW+asrudukxZyEC6/gaG4uFNNnXkV7VseuNqdOiwA0iluvBsFFgR/01tkTvYaAnxCHYG8vW sSMQKzPQNrvqasDXpoM0abfGqR9GvvVFMsXuLw1PhArVu2x9oWXun4bmI1XrE8lbbK4CbWce8HsS /j4XE1OhmU53iPAx1e/8pXTvjv58aJ72SNEEfoWH88qQFvij56nlmlHiip9aHa2S7bqss2d+2qrg 4DcxLoR9LKCoMD1hO8OOrh5kUnAkEj9p0K+FLGTRJR5dq1Xw6s4eCBrWgEi0KI1ZMm++K6sJ8c09 Hj1byKlobPmK1sAcsfq8503siyNJpuDWKVvuAr9whRVZHmYIH0EQSO8N0bp717j9/g/8I3OnDl5j vsJwl8u47w04HivS56Veunvx/zcR68IjyKcVGYXcwG3pObmVfe3KphK+YmG0k4Ddjbp7xnu23wH6 b/bLqE1+5+DfzDXTsMIAg87Yt2dDx0+y+5/pi9cZHLlNsxIbcaorzv1PpsLGZyd1qY2gGM/d79rd LIwsvbVZaiWeaIa9evyy7jALJvJvbe7UU645i/lquN3Ilk7dE/RDGcJerMdIl3J58p2g6X7MxCXW 4W91NZNcn2BcWkOMAGIJkigeaLdWQxy1v9phJiORHqbdIoeLQn1avPCJRCnWTnWDVC6hE9dT/Ury JGJMLDADdMQBeJIc8eKG2SM2K92fzdf3AuF78MDM3M1J7SDtVU9J/7qN5Rzdg4rS64rhT+EnGByn uHAUKs/RrJJx+TtTvLiSNid0zMNMKH05qriw0EdMEw83hm6wkeNEooEi5ltcziCMsLTXgVmAj2pc Bid76IQYvZZg+GfVyuZCfpVrcmislWROpw3fKv4FIlyq49ZY3zdyUvtnCMZkNcNekmvy0R7I2quR Kh45SfO7PcOGrubW4H9Vnt95GtCLiVvelbNsh0Bumk6op8caUIHyedpcFM8VVE2HxYpx3NIhqBdh czVivuiMfKTaSzODkrOyY90DAA5EqKF165SThok/x6K7yPVOuZnu0LxEIlx9arnzNN7cSLwx460e DiRbDg1y65vPJ2WJ+N0OG+o097XNEfFcJUIUQhOqaPFMQhU7ynav9TYRbjlSu/EuGnCIqvBZn4oM 4VwFtb0xlVSXLIrcSqKOgGWlhGum/OID3NsCWVSRIgn92x9Kl60ar+2j7Uqt/kMMK/Pyufmkz+6P UQ2le0WoYXUrF9ORuuJaPklkt6iA7w0niMGUDw7S3EYjE6uOrcanqez+KlbxFxb75o97uFesk+Pb b/0V4O7S5WAuJ4qVQd3300ZYN0EULUMc7uzvcDTkk+Gw2EaWoYSJHanMWhHklnDeQ4t5SrIQUwwb O3Qmx1XoOTwGghv2ytqJdiZI5z113urzEe/srCkZ3fsatvrnFYtpvQFFxkIPp5oP16C3sNn3ADbC ur3kqqVEzwwuS5KM1qQKNKsOh+wiF5efUSGb6wKxhhkihGdV3pzZniEWucq/o2dAF6rL7UeB4e82 TTjMWOtRM2oGA2+KGV/mpAAcZt290vstpOy2RBHsVJDp59Bf2tnHIuy5Ls6xjAi7urOVolMW11Ee Uel2oDZzh6DQBC81Lsl43LFFHLdDjPcs695d8qRgWbonBfNpvVBDUdPdGBrGm3lSRw6FsIH4A1DQ Ejpms6oNu1PFQtmnpzBtEGdsrIfFbNfBYEeysNl5/q/Xu44H7+hxLFwwVKr8EpVFRdtnF23lvn2Q rHwnY17jlXivPgIN/p+woSEHgre+iTgC3h0ndrn2j20kgSGdD37jJJJ0H6c8OqaJEWvFKhAN8enT cyvM7c+DqjY8GtaECTPEr1NJ7gqvKVc8u+vXGgHZ1oAsP0UdTFu3aDeD03oqVxC+wFqdvG3yqCZK bvoUNNYE5c86giQjQUK+JKXR+8EbziMeQPE0VdCxuW1KNtECcSR0rEzGFIFT01lvBjy4VX305CDf ediwWyRxsCmix8XY/dlBw/+h9Al03W9j0bHCgYZHSVt2SRrTLufj1s7LOJ66pt5u0v+fk1N3vZK2 Qotq4LBIQ0ku5Htl3QMnDz3XUs2jijaAQ1M6L465ssh4gTdeJKbQDw/c5ByMgi+70xDwLoGY/X00 uDGsPygdyUUNz88waf5cPGEepMsJLEDcpj6YHe2DsYdlFWycZG89UWkbo05tXz6qVmZZS10MN66e FejGWBBmymaZOAtCKnMUKNCTT/z56pDIG3vsRQMQLn0KbyO2EOyvy08GWu9gvRyT8SyCNrVozvjR xPJe5FhgrJyq0utal5efWvLR3QKxTY08V6ht56rLgANt7lipYph1UG9rmj/DRghRCTXeYpP/V1EN o3fOEUUQ7UbhDjwJ44RVWYPaAUjhm4EoiginyoIBmejWCTPOIaRQHb/wBx5rwkEKHtCTaojAn0JZ TiXOmZL80zhhPzroti+QMveCnqoH04b9TpmMsJOYgN9+5b0OQb/G5FROgOjhTxD0LK4lh2I2LUGy eTQWGCvkN010fYgI6IbPybY7K5NL3fNBXQ45WxJ26tkQBxRQHj+1CeOvk53vKYbhT66mCKsszJO6 RW2RE56pGRNyIjZjXqf+IMw6G77eRalpb2hUdCQR7t4HYbbER4dgSZfzKpY+SFNaqpaG0L2ha5t+ Q5blpq887kdWGy3dRetuvQCvcFnlZ7pkrxWt8+Q/GbBaBxX3t4O62bFc/eqyWu480q2ImQrDiLy1 Opt7t1hJQd91KHTE7ffxwB6DF75xAp37OZKz7ixS0lAGtOCcvV+EkthiaohMUhEClI2XaUt8Hzh9 pxoy5FyNajYfN6n6iMaQs74Y5rqpmBHAU4jovBoci9jlNGSiZUm3gPEQmAGCvAfbGXB651m46TS1 iiGCDrqwouZmI4S1jDva8Ie592zfDxWf+ArGULOeEM2DJN+r/3nkgIqfBNXLwUl9QfQMyN5dsli1 NoQ4cZ6butVnpxZzfRybrUE1mSaMZyEekOK3fGbsMq05mjfRMEZC3A10eaBdqhGQ26WywsWgRSwQ Xb0yuCveCNh0stJZwyZnFXTL4qGfFdjCcrwE2XDUD0tMORbLKwyX1WycEDw4AUbLKAL32pqX9gSJ pZvGKhwjcHTjpN95xYpEC+TVW44V3P1XbNc2ofI77ewBhtb8hmEIHbxF0pHp2c3cCvgog79SYUPx 3KqZ+GmpM/x3jRvQvyW3E+0jIyNYOFTA5S3Tf6tVM/fCMMYU5AeyuB3fi4HOl85XVPp4+tZc+BnW vEyV0GuhLBOgySvGNOa1Cz0gKw47ISsJYysuPzW5ruDYidh0zeToG6+iQXXN/HrBMbqzmIuAAV42 BgaPudbEg5SXPHpAPaGxfSULJMlSu+6mklELSTEh2mbetT6IE6nL3v2ipVMZ7OoflRLY2CX7IRpI u4ucOEppIDYNbGjbPit9BJlh0mSN0ONclQvNZ8pGFP35m4Q/95B5yMFSKjrZrhy6U92RajzXAFCo aSTGbehpMVwRxQFs6I8RplcpwPOQGfUccd8vqa+9MS46DfWD9pQIWfoyIcIZPCFsWpUgoe5iumyJ EsdBFGaKmhyF4+S8umgUae+SWZa1NOrALEyg6eo+pVjo2q0/T6wPNCWNu/yQ93vXvKuUYtXyAlNt tQbXbPcCQVFUi+/aFFpPKXfaso9lcJrpJcsmb+OwL68Jx/x5am61Gu5f6jneS8ZkKVU2XSpg4svK MWSMzmFr1Cf80ByP/yRB+68Kh76zInmqgEuroh4PUhbUG2rUqFu4/XcjODWfKe0a8vXaK8RMP/1b cABZeqpjaQxEv3lZ3vW/lwjW7HBcLMZ+kbTKRyD1DHQ4K4mRfZiyrY9vQg5k7A1LTHELWij8rcl3 ti0ly7F2lVxJ5Gz57Ab8WjXT+jKw4ARichRj3uiIGZhVdY88TTfQHJ3MdLfOOBcyaA5jtXkxUrTO 6eED548WTN06oK2ijnkJeVy9A1vjrETB2DFdVY3svHV1S7e3z1yX1Y1woOvM8Ki/fqQMfhTBFV9M A6l72IMYBEvn638kzyU7PxG5dqFypWtuO0hLTXMQcBJBZBTZzi+LjUtsOSE0dcqWAM4m3OxMFNek tpNdczeLp66j8GnPxQ+TTYXGiaLgbSKiXoXzrj+Omg2CylIYeNoMBJrC8vVELBjf56l+SIfATDIu lfOZYktfRRRHt7vPWrGjoROzh2+BOurZW0eoXyeryqT+0ZvXsI6uEyqVYElm5URg+2zfPh5Fq19T efd/xfIl6nKLEtCgDlzZe3/dQajmCCrr4moMTIvxsiNf5EYpPEclw5XWwPxxlZEWlWdmkWgQ99Tk 1ho4KFPoYNRvbTTibS5avBmy17dx287+MGFzCzRv3DQZ7rTsvIWDchECwikCQzJoOQyMuJ3R0zAR 9GGspEw9LIEoWj/JgodLPbFosEQTWVi1F/x9P+DgFFVrBKtA3CNxhdv4JQstFtZXvl8q/TrVoSh9 XGl7wO9jlKLlnlfpU+9wi5WhdVH4vm4/2dPgt4pNStp6E2VX2CaYl0H2fN6oemDnd8pJ8reRcGQ2 pOfpY66VANypT9SwD2Noqt8M00XJEdnC6fsFQr2THr90SovPaN3USHB58a5o8tFrrDBc/vukCVQi Q9BaBEc3qtJ/165NG8DcTMo1dFS+QL4LWgQnR5hgZngI1DB1OUqFvIOD7oTJZN2MalwR3pfD5cZS WHVX0fn8m/zWt+3ZxrPpeHySd+NUlSoins1W9npHgfOPN47JUGjoguf1t0CYmZsWg0xLbFgF38a2 dEJVWP6HJKaoP+7cQa1bXlrPCvqrORZUl7gNhaakOEnbaQft9dviaKbCXsUTuUFJ94+Hj5sCz/2f tAoF5O6F2YWUC/MCZEPqJeXfN/GGrpBwjAhiuN8a+uIsJ2bdyd0+BezcPB1VAZu0ydkM4SVxt9K8 hteRBmwGiZuYfba+lZ6jXv2GftfJB3NnC0oRw2/yUjRLozuPeTl8Kq+PguNorq2dttQqK4o6BANB u6gCzHptqiex5iIINKjG/emYNszSeK6y2PbQ+uS4wDBRy5JIABsIiZXG2l5jy/CZA3jKUHnI+EB2 go2sF46w2B7820BLpmaNUSt4e4SfO0W5lZjICuy1HjZOWeUvg3IWESvV4E0erd7gXsM65jbpIDfb Di66ZzaXKaULR45IwARDLF41WT8C4FK+zVhClH/jam32idL5dxFDeqMMLrJj5Ue8ol+UYeRerH8C y3hOzyJsaxXb+Ojtk62SN3fqT6XTpM4O7WUMkhWbg2YJ+wHaF/i5Y6kgFe9VteBSDwhqFY40QKzZ ojHRssGWIcKCbErhHV2b+mBEesS6b0ZlPq6+Ufw4AzdJuSqaFq0jZ9uZKqW9neBsrNl+dkeuDSLY QBRzQW/wH2+pzqrR7yaxAzPhZifCJ1RGxYzptPc1MjuMYwvWyYZwPoWBFCyj4ib53eVNpVwMqEV9 hF46W7XVJ9S0yseIR6MWy/nqMh7lKh2DzJjubOksTZwUzqoI5MIdy7xgnFfZnNKFkTlO8I+LeGLq /jsgorocKI/eaov/kH+p4ntxzZ2eM41lz+N1QYJKm//9E/1yDNS1HYLQA0z/qfuAjQVirAuz9iFY iDeQivkBtvCIIL/7ldw7oe9lkOLnR5c6/3Ggl+QOxUQhqSqmyoizhlgbR01UJ4/rd8lhAIdNaYX6 2j5HjYgOpipKXpNXNpi6W9G5cfmiFZxDCnfeYCeUXaVOHrIwHmleu3W2s2Jspjdp/Q8235xlaDLS 337LfEB45lHJJUJ7K4j1IgI0gm+WC8rAZpyW3Rahx7nFtdvFKvlvq6/FdMmIGDUvNwTz5R0YId4s wjuznAOX12wmI8WCXw3garUvcqrw0R+/9JF9qboMeSCq8uLLuHnbkJ8luo9lbrt1q4VebTpjVQLG uswwTq8H99BlrUOMHMCp9UIoIIutSzKCeleC4xGT/ETiik0cU9CcdiTzzOr+XRsRI3Y7u30ex+VI 13DMpNY5pjqgYejMTGu7I+Fuw5PwnE25c0YCeAG8aoBhZn4e3Va0omVuXU6m4ZApuTTvQor7tov3 gaO+afdxAStccTq/tE4BQr8dc7NS/rQlxnSImjoi1DZP/u3Zs8lj/4MlcqqMVKsrHhMACKCulB46 ZBSm97bVlvrjKNksWETEWJCWFn+8sNZru6JnwGHleZ8hEJyEAv3tD/YJ+7bwozZczuNu3NELNw3s LAAI/AE3xroPjH4LQN5P3rNmzw5lpiXe8l8wvKkKR0V8KvuRFNF5XkAMhH0Bb7qQG5a3yAZWsgw1 i0wpcaKzB414famGkPjln7BW1kY76iVZw353waeulfHtb4WztHYOOLg7760vtlQovtCMjwjRAkNC ZOuMG+wywM79FUuj4ReVVKt7+m5pGMoi+WI9y8bzhwxVWbgc/loPe/Yvjt+oronMcI00tXJTyDU2 R7Ov4VakyfpYRV3PY11paB+SAS/NDLZTQYes0/YTq3ZZOEn/dqp0blwhLxFp7fHSFzSNMxdfUPEM IIDeo9W+JyBH1rdrAwVbKjv+Odx9TdlYSj7sAuWsM4xi+eZ2M8jbfb2nYrSTxdCDz7FEq6GhgJXn KCnAweiBPct+i8HLZiOUiYrm5zg6AF5Dtt+xRKJu4dywIxT2bLZCryxJ73Onp9ps0jmrdb7ashpM h0XROh6oj+Xh2tDZjQ7UI4RGqq5+vqEoke2ldswDEQN3cT2neGB+wnBkBXWQz0TFQoIhqTGgfuiZ CZcpaMkGEhmhrqThGsGuV3rHtVdHrF+lPfe0uPUCCag6vlrFP4doB3cuykeqX5OrcwrwImcF20Wh zLMIO9WsfIUDCI3DUXnF74fGl8ZH2jOBAl1cuPlpFqjd41dhQ8cP4ocpXK0Q44xu6uIPaMvk20yC L5C4x0D8YXF8ccFVEeD2Hk40HD8yBljjdj56lX4MV/5Dmpp6E39OhOBiBiDHwR2f/orM2pOp3mTw ny97EnuNlEDlraUJnSzGZb9l3ny3b+7Ip0aYLrz0wp5aNqVmLxythHDztQVKs4hQY9tncW8Ngp3Y pdz+I8m1zt4YANLqt9ssiovGfOmzGYR18a/VBTzAfjrD3V+mQyRRYNzs52cIqVzY7twTYr5A97Fq 5TyD/4SiJ7V/TQoztW6D4lNxjp3pbKM/UZyLZXWOu9UAVHi2F7yRTFl7zBmxfJkUeIJ/kVfDxAR5 Mnx8kWqVGv+Fa5md8zEOdAmjYJioNq0wjFqRmbgZxXbuLKwEtqRZThkZqblglD8LjAeRH5HW1ISN FY/WPenQIfK0ABpH+Pjtp2MsWKyQMrD9uYpjB7s3TBU1s0bOR7eBaP1Wh3R7Ks3NBQayXMoYRcZG /LN11EMPKhIoKw+4xVHp49S/TsKGe6ENnbOIodklKMW72XqsJe3H8lihpnKFj3WOKkKWklRXAide I5NHqwNbw5SFg50mhmHf4HdmJ12lLycmEVUneAw0zB39kXODgDUyhrqAsCtUUKUAWIZVlnnHze40 h1tDWQwYIYiEvhDi+Dl/MXqqK+4OVZTojBBW/Nlbp7lWU2ERiH1812YY+XC4wrUlpEbBQEHLb4ir HiqWsSBOx4OlsQPJ/arBaR6LkNHsp3LjNbkPyAjFEjuGjIvDBzHTJsSQZON4sZf6qwH4Yo97O+3w 5PLLOhbKmyTmwmwofUmnYYQfShUofVkqZ3p/HaLDcB6zlPZ8+dq0jBdTQeOHOfoA+L5+4cltfdYn lzh8qmLhaK9NChE7JC7oT0o/uCkM0+0baDl5YaN3hrh4e9puX3A7OJsOID0JILtIjBzqVStwjIzB +rs2qRVPRYrx/74OGfBRAH4hODkDzXrFk1bjd2RizcQEGkjc2+Ahww9R0tI0BxBMaeMnCbSkWJqO jwG8Rj4kGkeWnBbMlQUPsh+DNaYAK8bHgM/cU03ebESCMbI3pExG69Rum7kw8BgVXO4eCYeZvmoE CQPoxfWynx/s/v+kZ+Z81UzlKRYFRgouM6Prus+IY52y1eUlDYcVZ76TEGWPVFvPZ65ggiuMv235 kBtv7b2ULT5ipo+jGdj7zeLMN5In3drVfejlLyiz1jE753uWpfrGWf2aGpcfxgOIYhuwrd9aBz7I AJlpthPKUFh4adlPRPvfrS0UZauQlWE2/9zH4TfbaO4MaAPPM0qDRwbnlK648Fd8COVmjjEsFvqv 2odIbgkqQgJUybr9vXa8SmWQbE+VPAjDdqrpzN+C/ajN85Cynxo4FxJVsOmG2qteFuG8KU0plB74 0sHJFpCBMm6h3XttFDCU+z1Ehm5cfiWEI+lZQduafxcOiYvidh1lcmoZEd08FoRsQ6/z8G7rKkYS sU5dvHiyo26iS7oPjbtmLJQ9RkLuutvCSl2cW3U0Dja9nRBFnCxB6VjmnLs1vwxOp88I+IgXqcM6 Lg1RmpYf8YQe5WkT2Yz5CZAr7We0eIu7dP8RbJIpNjF1LRlHcxwAA17Rr8309XjWbHI8bjJavEro TrqVAJNBk/mqJQhp76ZDJsruF0LhenCB/ryuNV7jd2lscNldk8f8cscYfT6muEnFn/dn1/fWru01 sKm2YIshX97C9Sucan1iDlAWbYx/7VFI3MeBZFKs8geSxCh2I0uBxaR6lkQk87GBzOF7CBcHChRm 4p8r4iuZfdpYNLzb49wTcx8g8D8P4ejI0+qPe1byIN8yTLnfNHe+n5fhNUUFo4YbNQPV7epZi0eg mdo4n3xonZhNLyg4XFHnRRMxUa/CPu+wqw4TLXkkNqu63MoZu4AjyqGlpvvFNSL3BuD241TnedT5 XCxBhkuy2yHQgBno2JGQXliDhUZB4mkJbYf8qyRl9Y65IqgOnWUrvKWiQSEBaBztwrC4D4T5uDB9 1tSdbdiCP3ghr3REsmohLXbf8aTwPxcqAltP4+09zSBv49qmccwxeLNrgnyO0IfYTr3Yc0VVsp93 2agbHLDW+h5HIv58tHEUzRBVWXLYDyCfUzY+8WDpMBnWO0ddOMhWBuw8OcxfgtvR2rfpuqIALcIL IGc+IOxczLbpVYo/NYlxyZB+uCHSXKdLI1djJh4R7HrLC44z7UtDZsVlabok0bUzIm9lfNPW78mE 22nOkhotTmlugk/4BN0nLNjv2JqSeagKPJUKeJd3G59D40OQw43k0x+HRYOCGD+eSr0Lp2mhcup2 e93to1buNpWkZskuLZNnOX1tOe2L69k4snN77yZAFdd55PNggrkpp+A/ltHDc6oDiCmc46DbaNjj nnYRmu4WzerhLgvpFpByYP6uXiEVIauntwb3hhMcaJKf2t1VzQLOf2ThGXhzZuspJ89Ytb4gHsGk zhGhKAd7dnyNz1cWlCD0M9PwXWJAsGs1ryLDJsP4GvGY1BOvBMRGwTADTEXo5Nw924xG7QieYiyl 87qUUiPAizlK/kqLFA8/CyHIllXLlgjybNI5aIaDKw4+OilEA0kfT6rvJNNthDE2ooTTJdFkKXPV xozbJFBSaS41v0eRIqs8HfaX/hz+2NE0iN1aR2PKmuIOXsRPDmhEorMs0QZNFVYxw2sKqJVVeya2 S8+YWZ5/4m7B9OPlJUZZ9+w9GvpfQMmBlnslL6rhGqadgxl5GOzIH8xfqhmOUc8vbMTTAnrbko9N NcIvIzmwv8GXHXv8Yk4sNou0qLBM/S0DJN2LtNueZgjtzDGx3PSkPkORIy/fdtHySF1WIaFEbxWM GeaQl08L6OCggFEFaAB8O9Hz+ESJxq5IG6LKM729dH3ycwG/SsW2m8euBghWHyTDtc94eaubFxc4 B5pT6Q/puOmvKfnmB+CRXoVOgfQonp/zSaw4aoNvbK/Pnd2GdgBzjl3gK5NBzS0dTiPVLB0aXQvH ppTlTBTKipY0AB2s2Vy/Hkm1gne6yNKVzy0HcB4Hsj7hCQHI7pXeFQtqE4bJ9TK9KldnJ3/6Ezer MGlfiKLyhvoqbYUJjqipyZUj25WLXo9uS2Hb7DUgK3Tec7z1tyvaiOZJVZ3ckFey+xxxKYLLXVD3 osimiQafaIdpxTcnMG7Q8pAnM7WcRCgS7Ha9O4sOyPAA5XLDrO9mxZAfjLrRMt+WpmzxlVYkSUtb bKHUZgiGNuNEpBsbVVVQ3GWmK5hVkvzKpcfY79ZHKdAj57VKyEAyocIFoSBOGu3DXRkjKs1k96EA S6MlfzZq2kstnUKN5J8tMXtsEXmldmk6y/79ir2M+TiZRPV+cCapva6DQhp1lx/F5ZDkq5j0rpYW LxPwCvDZ2lbX5mwVxWr4J0p6E6waq2DQOury5z8nTR1oR4g33TNuGsaGDXdw3LmBkwpEKHmtULP/ Il4557HRkFG+1vlgfF3NDJkhVNmpKnAoPqziWahQ/NwTQu8GeOTmFE0ZU3MBe77KcK9AUey6cmDX 5iR+5uwi+cIkhXY9gG8gVu5f/c8AqTRuYJem7623NsIrj0Biu+rQuMPxES8kw3+xxf2oK0+5Sg7e lh2Q2oHbSBySsyKl9vmPTPnp1L4QDRO/PnZwoeB6aj4Y2Fas9SQyP50vIYJXGqdsG1jvIjUzE8PK Wyupp1KBka20QhUAWtFklTHVYYzZzBrfWQnOIUzqyj9ZwJlO85xuc6X4ecULUcsA+oq2kPSKpVMv S6vtRE7xAzcG0bWXPSomBVkPyUpQRXHaAtUw5p/s2fd+PTyurGn+lduIe20Fu2Z+ygsoXHmyeEla NvjNFCnjGSegbQZfcbGXIa3JiVzw4eaElRW4gOweydy2z4bWRVTGGqh2uVZnE0LaSHgWS4dOxVDG FCsFqmTYdtL1cZnJqxOpprcD5gNEohq/DEcf1tDvKsAwbIGBwXYzje8BgDppDgGe+CwH5Jcal7Mn N/L1IcLt+BGzEZ7FQHA4f2b4OJFyh/ZO/8Ro9IXi8HQ99unPVV8tMcaZKJZwB0ZQhrS7JUo+UWsf qRttZaehFc9ydHWPVm60AXjIbTyLENtkw6URiESoQfXu5Y4D0DyHZiaNnGAeJgcpV9DWV38wBqdU z27cezYkDijbn0Z73Y20LQV+/MsbTJ6ta/iXTSxhFSXV4JCmwxqTykoN9+8rDZZoM4vYu8a4/TAu OVq+MqQ1r2ZG5Gf7UMaKu9ptjhzq1pp5HznvJMTc5y2F099OwCGVjNx70Obc7RUPe8DcSFGX7oID zP6h0Rr627dcA8swpckTxaS5hJmQ3DOVGlE+rOoJ8BD1XafRMdpZ+bABSvkKLSJMuXZyYHbVc2gR IhTfR89qnIe0wuxpiuRFlkSk5mb2efvxQMnkNS/MUmfPbmujR7pBCTGFneyuJM1WJdHEF/KxV1Mz RCqCQzUAspZqfHEsQvNqSldFnNKoF2UOyf5Ku6NP3YtPa3Xviq+/UNY+Rlw9lkhf/jIGqibsCj8e m1ebY93RaKKTJsmBcNKnh3nkrhqypRce3d+OX7rVnnsf0ae9CTxJUA8Xcsjc5DF0ohtK9StrEqvj LXttVmYZjJBSamcyXy7CIcnMnT5ErK1cUp7p3GyBz0rvKRw+LuPmUkswLVsSxNv14784Xs61UMzJ eqHtD+VaMaCPGAH24JQVGs9UF3X0XdODHdZMAjeWcekRgDZfRy5mzro0t52xgXYwSvTQpBbbBmqt wZtFwBFD9+a+VqR4HtUFd6iQVU9JnpYaUmOaHA3oMImswNZcuidBpObdTC4ZyGqRZSaxbzHJOrqx oAh1YYi2XOEztE1VxOolr6oZm8G6CoTuyPulTVBmiEp6HIq0WpYyAwTI/SUxlaYoAehiCAelne0W mLDHkHA3ABngf3xugiLJcP82O/ptbCj213/czV7YkfyLzSosKz1U8CTXuuIhlbpq61gabbrajSVf xuElqyS6XmFZgdZihNcsx3mJj3i20UJLHmNh2rYXamubvnYodBAWZVro45+7z/A5krtiPswxs8qR 9T6+TJOzXvxd+4aXO8+EI8BbTbzdkHHzhnMonq4YB367ArwhaLpCT0RmsloHXV9KuBdLUxRK7hn1 TgYGudARTVNLsGtnoxcmIJqGtf1J+2n20ZB6cT5zTVrsBhdAe9+2V7EBTWfyI6AuYeM6ldLzSD4U 3RH19HueRmxth/xYoQYqsUc9iH35QBn5dyyz29lioUnB6NPQ4K27atyStIuu7WDnCRqNxH4cT9dv pv69TnBNKwUruCciAcdFKQb+nSf1MCjfK9Hp786aBBeftQwJ+Kywf+V57Z1TmmSO1qV/EIulb74D 1qS6vcFmIwL3cs8oKk7nED4Fp9STXHlAXCnayIuZRCyvfMpHtX7bBCpXwYinxXApdl1cZXCod2IL 2U0Pdb8GPMjSfsGhr4LNzV8m/z6Oi8a02BvXRGXkuLp1pcYwBypec9oSCX9P1GWsb07gBw9/qS6y AMLQm0D1W8k9E4u65rwE1emd6sAA7sQMITlil12acAOHjL5fVz2DaeJWLysEr2+NwrRlKTbHlUJU c8ugXijABJx5CesNS0SJDvTx6o4qinsGxL6+ivs9EiWTyRIzOZvKRatnmP2NAGYaLMGz/cJIQoCC 8lXT43jRNGfy0TNHzhPbloPIPick10fW/fbfEQ4RROH4qpkXAT+6wfycHevxzMSptLaOYjk1UbeN WwZZoMNxSIQvpuHjUtiWkcvVtJ5ENKQilIMWwusLtRF8jDp58sdA2GFBDKRqIVLOUXtqvb+QUXOo 53/abJzr/s65zoX9zMMxn9ldGS4AECKSYwgcSbg6PkVQvdWyUiRk/h8KLzQxsps5ZPrg9m5KUhbU swk/U2Mxhi5Ehzq44cGKuBgsuykW6dYSmpRKL9WUcYdEXwArNXuLz0wVPGikgd5WhJcLxXSmVmZK GPI5TnVHsC+F5NdgmG+ZAztyMfEmd1JwPCX9ZUrMuI7CCUj2S806Z4Z6Hlfgt2xEtKm0Ugl1XY9g vpqCOYNEllzYbnbPsaCHMt8A8a15cC/HELXUd8LNygJFw8HnDjahWwhC95o7hq8GrTp1aQsLwE+w WN0of3wn7EbHuxQDuHO3mojnJDgGINYLDqJZiHJlSHWfzzIaWyhqTT4RqpOxg8RB2Q6Bfp74ZLbN Y5q4rYB2TxHDMsjDJmaqTHKHFI48IBDvT3aJtncNd4aXYKKb1QF+BLyM8H26gGhfS3dmwXIqxxqs NFG38QgCVwWr6VIR3dBozX9N4xiZkrX7h+JcCIt/FUrsA6CeJX/2pJbdoMhDujgyWrCDXjUXn0Mv UFyOadyq0DIfmrRWgewHjeWEMdR3mlWomXBOKEh0PlHk7mOlc6dddaR5e10adx5sQkpKEPmhsZcf jjm5r47zPERRLJ7VGRIER43MXL+j9AjYO6Jz2YQuMCSsPh0zruRYgVi5CWOBKOTxPyVXyDbwbP24 Myu2VHjWWlQcJ0ohres5FVzMFMikVeWhYQTD1WRmvYDb1ckVG9c/NvvrFzf07Vt+hj+wducefDjC V1XUvsNL5QM15dE0hjuMqoc5tlhM1752Ov8QH/HsEJvpiuW+fpG1O4e6DTiTg0XN4w9gvM9p+oVu qScs6f53ngh/p9oLsKBLoBLcxS+0ivgvv2IoXgPJp5SgN10w1IgWVy3EniacTBiyOWsWdkPFNF84 MJ36xXNTIU7AH9DFvnhvirP+vzX8hgsIqEkE0oH3gI07WdNNdtV2jYwnZAIs/uMWJm1n2zfa1qed HjdgHC5vaiag01/9gZ5oUy8vXDqS1kMVy1NGH8bW2uWmqUTW+rnS3H4KU0Y6Wxk54KPK8dDCo83G 9GS3ed4niBKgnHLYFeIrGEon6KmaAVYP0ZIQs8Krgms3HeFvIclNM34SwSdPjqZVc6Ecz2YQNMer RsqwTEck+XYWjSvhSeRJnZAJ7dQ/n+EMqPyQjHhFTcnjM+2MYVpCRPSVGXt3U6HUDqAQxN3+Oi0L y4XvQy9ssyLoLdVdleRC7BOQOzXnkBbfHDjK9z64v/E/5S6sHTCvE4pveSIO4uk6PMsj0u0ZBFjK dtwUvKPa0SNF9uOj7lN4ogCY3gYC5SB35dywSKSYC9h6cC7kyNYGaeuZVPB55wM7D0NnAHsWDxCd u7ayrBlLY0KAMNQPsaxqHR3ItfZPXbmOuMHKhCxD/rJ4k9HAFW9eAC5weEM0am3IXHx9WDVhFecx dSsUGzqN2xRcwUXF97f+hNGInABUpuJ+/Rlx3ka/sYsRPMcHwsumg95pcXwiWgTFXdjTgS+fIP7f IH22fgWIrJGPbG9ftNum8CNJCT+hAPJqtCjvy3HtrjR2z7WkcfUnislZXL56iiEZY1iB92b8603g Jdb5kP6IId192oVNogWcxyOv92cvZdTNfOd5zoASt8JlJaypEsGJjzCFTEm7/aZqpYTTxueWL33A voBy2fthhoxPcdXjxwShRTpuxdg8nlWR3vTi7at2jaP/OG7uW9q3JkZpGEgAhyr+2kMVGS2nntAI LFe/0/xSGUYhA23go0ZKieIjly5L7ZpfHDnpy0duvBHHl525hhwaGaie4zvRb2k96kI3CoKLtE6q s+mlI6BehpNQ2aii6x5befLITXinFLyY191Y7BuQg7i8llzQQO9V8nJLWYzDr8Rc4suqTmBwQ4M1 6HnmAFmfeUKTeR+USIwm1ULuGPBst94YMmoaXUY/k7s+nBPo7jogyx1pCxQ5BCiFfR3Ug/I+0y3j rdrXAThSGQz7moZWNLiTh4QIkDPoC7uBHmeJVe5sFYnBd6hPZAcgtGjN8YPPj84fnX7JNXqq9d+B 9GOSJBP3C8DnyjHH2KUfJOEhHg0O9MGjnBk3gDjQxEyFelasUuF1WqELrA53C0R2XzI11bd+2oUQ PGHrqWXcb547PlRLnoRfcXEBtuOqpva2I4PzSlikIFaIek8+lL7AR9d9A6PDFVrcwHK/0Y4I9s6n tGYxd9KqeadcJFELD3oioMmEuE5Y5btnNOwYCNFjrIbb1KHTc6Rg8PSDm/X1Hu0WlRfPeVWu/+kO Nlh1GMpGQryzu7KupxLqqIaxNa8m3UuNvoexwPLYwjWd1ihN/H1U+77rkO9Kq17qWDYGSAb+AesR gw9JU+bax+lId4zWbLVKBaF6/V3CWNmjvIpfHWw1L07lmg3OLqD2ZbZvR0xKy3sOlW5vgJGXZLJF fpUxPaHtpXyFJ5HsghTpU9kPhYhEm99pYs9Srn6YSQw3PTRanM4q8w0yykGITF7LR0zyu5ZK+bzd IQVpj9Cfz1hHuhIMEGaeCGRRiaD1/5CeEsVRbcx03pjHfXEVfKG5m4DfnjYPIFSDXZ6nTypPSgd4 fq9YDb3RH3OXly+wVlODDcKDyynvC2f22aifmrHPtuh+aGWTXShqMRNTum9b7QFVS1qwv5mRkjtt dH2hpKfnjaaN85ei9YcJsBpcwc3SjWoK/Dr5GOOQgTLM2QyKc9b6Y/wbvPmkrgiv4b75t+AROZwc HHMuQqdUUlT67P8bbbKHrTh2m2XwOgsBfDkEXz6yzMr+HBjj0hkKdo5hE2Bbu1/UOoyYcGIsCoLj 6e1Uvx8LsJ4KWa5z442mRMd6i5LKVj3rW78uB1MJy3wcAlvyeQQHCadP3rmNdDg5Kj2gJgbvSCq7 IUu5dSY5K9nf/n8cG8rgsEDE9evLMJnrK9GUNPgq8CmxuOQ0SqRsvRcDrC0H7MKhiu32zs+hh4Z5 m+oKE+yXXoytnz13IrqX6p/uWgeGV9D8PmZ1Fn7dR4lLt1E1ns3/lve3t1CxGVnNxczP9g1ApYpH b/wNqb/o+/g5OdBLAxzHhF2HImH+dMvmZUEOO8Ad5a2+wsVNaLfoMdWDM80eqcaY9zAp/JwGarJQ d0fefX/MO9RgZl8eCHrKgv/eOohQekvToh45eNQ6CITkmze4NsxTGpSoLsbMNx1siKxaJlVZW+bm /wqTUxtkUpV6wD9DL/Ie8rCLeC66RMBrlIgUnFRXI/Om8quJVKEWjXtWEybWV8B0nPcIfmNuFuFt +GaighWyJu55mud3EDDgXquXI9sgZTibmlDQ4+eSCcAvGFEPu+B4AEJB3xp388ocNOeUQUS+X262 ouTfr6PUvrrYIVg80kehoHvRzgwMErtpdMUDqi2ZdwNzg1pEzYkDid+SFCcuh1Zdvsc8BsnJ0GWs ikitNbqGd8W33fU5wcGs/9iUSD5YieTI9C9HxtrRJSzGshwNeE4Dv8lXf2HTJgPhhXGNNs12NcDI Q8P4HC4lGzAiNcKi4ZyQ/smqgULp1Rsh2yG2KpMfnTpz1CkwiQ9kcBBoAt8dErDHXQbkOZgxcnrU 0CqKbQ5rH8R1eIcw0sCjkgXo+jlTMOSh8K65csa9YfXfQebgLb84HN7NvG6jpGvsaVgPI2H9ynHs AWiqxvbvDYP5HIScXIkEQFE2iTuUx3qv2V0b8TlMLmtHSu8RqyiXAnFgNWA3/UvKKVLAne7rgxID zFCx//ERLy7aUkdzzCe/Rx5k6noSMYvl/GN8kSztOxlxo0CLxj66khk5ymzPs+uwUGbw1qryCys9 jBKs9qsls9L/F6J4Y9y/Sp4D6Mqpf3l8QdWmcnpyPyhqlLl0Ue+9kGOTWgcJ+Jg69DivdVLv10Ab Cd4APD73053yTHGZ9TMAm5beQUGfD1/7+4SjOQjEv8TZ2AKkgZ7FSX8sWCi+AcJ5E8c3GnM2oEkT JCvvPHGB2wE+qko7VPfLPn4NOLV6vkIC+EHsO7fBtwyPpRlSlevLm9h17R/TU7VP5vJkGKxVWnPV kE2wHeSUqwOD2kDZ6cW0HpkSozkn1XlvLINSQ4DycXce5Xh7g8d3IS3Koe0wkRUMmcaAa3A+Nw5q iJqgr6Ym5lsBKHnT+2gXDqh14CDf4pE+uBtcieeqo2LgeOdDDXvuBiSTwWMlDJocMwLNXvGdAVgS l0pEWJDQDCr/HZuIkSvVjbpWe4nrYvI4PH19eZuZktcKaRmyNBPn12Ma+WAxDIKoVBlqk+PNKl/H kH+7ydNkEn0oPPkcokHoY1PYAZExvDsmV3A4eFVuvgkruiXHLNkypKj/UOEWc105UW8V4sJaMltl hXQze+jIDUpw9NB1U5gwkFWjyO/5wtV2Xwfo8pEfOhd+12fEJuH4utOQS6hLCOdDLzTZaJw6i7hs lINZEUFwpnleNdX5emr63MWDxml0Gqr6EcybRBG0/0VY8Lymn4POlYBOWFS/cE0M3fCcOeJboKIO ZHzl7JCeHcYhqwKZKcMe2CTE29w0+pXp7KkMKBJQqwTb06JHgrC7wi9Frxx1pJ/uWcN6kVqSjfsp QY1TLuaZL4scUEn37f5zBvCJ5V/9wqPegPVWn4Ku74R+Rn54iVjNkxDPLO+UpuD19wXSUgjAzEJo Pe8YNWHN4IkuI6ofclCv04xzOlC5dCRnUe440pbZVfJ7V+DWVs90NdnYqSpvkGHsiQjGM4VeZK+k YijH+wzUaVu7HJfNyVQtDD7WEvYoTnI7Kkma9/puBybP2+Dtsi6/KP9RU45Hvo8MdHlhd1tY4kN9 Le2eX3MVr8HwgbUBNVxqGZR5e+MPo6NnXcEOqjDXSEdrC7fTnCgy2vkyLWHT5qZ3vpCFq5pmVEFo cU0us7ELWeLfbluwi7tnGfl5tPgEtr6kAB9HiPaDoZRWuG04HjnYYKnGeS3xhkR3hDpum1cWq6Tq w+TOFxWOEA4vfpbqXKde84VROmxcYpJsGCjK2FiPcUmw2FzFpPY/fksrR7m4xkW8GBRFbqGFW8ns QvkswlkokT5boSfsiltaBRSEhlpb9k8gEKjNADiIM2ZAWcDZbzDAZ9Td9XrBo/zN9FO5l9bygJ0W 060RHjEZaIbyu6ssFjSraiO7s4XEvTrGC+YxHG4t1fCXtS3nx5B8JBnh8Q14ZeoTmV+bGBKiE9/h bydceh6l+3ZQR0B87sBGQVm97Yxuof4voqq4RDD5kWDRtJG14d9mGEqQzkOXjEp0J6PZ7W6ul8yl /SNCD8RVR1GJHsbnxVN6uKpWf/Eg2qi52/LIBfg4f2rTbOX83GPffJ9A6DOPTs9VHOhee6l1qz+B SZloA/s1d4JxNgbi6iiG/NRc2NOYUhdojat89qbBOb+uB4n9ABlLXO2D98IbfbaienbPBPf3n+uZ mrlhMlBcUlaJJFKLD0rd4ff+jKVYmbA/Ne7Xc2RIqQDHvTRQeVjY8YpUJWUVJKnDxtwO0/BZ0/Zt KwaipXjaoAPXZveaSvMrndhLn6RThDjMwTt7zqlrsWuhCv8JRYy2riNGnEl1FmUUIe+ciydnY+/G cqNBk6PgeOXSiLvTbrFHA2ZJF/nYbo9ZXPz1/8FFC4CrgpsZPPoHN/TlsucQeXlggrvAIJ95bkUd 8GshnN3CFqE9I3IAx1WFpT4OncoeQdYZB2T+DREmAWn8JBYRMwf45fw1L20eXMGDXW8MHaRkHvdw nqkBci+3V1h1cq2mkuB9usDY3j6r9ZiSBeEYmXzQBDNzsEtjmmtFj4tHL8ig/6ZTHZ8k7EXhDCOj GtALGaEuO3zAJfDE4lP/LR7EFJlepGgy61f+6wvgNZjWaS93qlPDnpKSeY1+8J+sn2VRcE9PLWew hiFzkCFnhMAphsyhpTGvy08KhB9G2upq+bYgTlU8zzSSmKpkpnw394nGENvGosvlvTbA5jeks3y8 aMeH5D5xJ95h3zZZ7qRXw0VDpbtWA34rbKN0Hv5Zvw7/qfpH5jX5isfIQtJvYrWtiRiuqVSNEzXa uhUtTs8g5sYxsUgeEtgilzWw1VmZtfcxhgv2DGDqfNO6rV7tCVs08uA6A3EVvhBnUlsklYd1ZMGz rlx+2nT6eWxpCrfzfj1rln7dC3j+TlE5/ESeaQa30Db+I32a8FDrIe5/zLEFMwS0Y2DLvDzRHkaF 59s5zpJtO5wHezQNAoQFpSSNgotRWUo8ao6ElKv17Lvk+fUGDH10EJ8jjochPWQVR9fLbmc9gApZ CdrZGXxzfiq9I2C+ADsIkB5ZxUZQiAUM5n4oGY1FqUtLwYlhFZSKL6AScoDkrG2fJRnxTdbz3sin g8oJS4eugIdwHb0W/Oamw7pkhau962nAv8w8rkHANoOwXoriLitR3W+pKWV2SjlZ1LxxK8lvemY5 af77JkBu4pDWXODeKwljS/t8JpBGSPD1Qnu6A69FLbSN7Ml59OV9jcTOnBc7R4xRztLBzHenXVeT x+L4+xILlDg/LGuUmPKUB2X5rTAq7ZCsFuuYqqOc37pBQtkI2/01l1O+0bsUmUWowY0MUB9gM/xo fjoHsQnCKP8YVXMckz0i0xgquRm5nCThCA4Ke7wul3aF3c1Dq5QYKAEghz8x5zQtezZonz/6zOZ4 frznn17J+T1D6/lutSyFW3hzaMRrpaeiPrVLVyVcHDOVJl1VnKfc6l9Bsc/rKiUtpzYlDz+zvPTt KRSFsdJ3hV/OEPyQk8Zp28Ay3to40Y/kXxuLrJs8JxUuW3oo2BIRwY9KswDOariPre82yD7SVqFl xrz/n40W3nijAYiRk+7vbac2dwtb1HDdiw/BT9l8ikrRzf0h8gDjWxQ8XrbOwkd9J+QbmPHHl3Na zSXxqdImqmJKxNKtGDvs3vkWij+Zj/SbaBI4wP+1ZfpuUPODuPvtWmuzGnEyP3YoO6U+f5em16CO iCFattt+DhZ6t2bWOssuI/zjvItFVfX1/gTCOtv/2oTRrZ6aCQPCPpuiyIyz75bG1MiiBe2fOi4P k53TlZZSr5PnU/v1Q1UT/QLaTEU+GsgLUfRIkjDrjbZm5fkXBCch9UUCv3jcXxWunNAv6DGEYxtF Fs2g8SJ1f3iBoG7M8UTvcd7H8HQbxsnD3v6zN9oa/RaM4GE/XTHmXQvfMArpQF5tjXNPS5S7lbmO D2zJU6OnZu/XQTpNA2lGZkV2tDXeCiw/W29sRpaDYb4iFnNYg0uVXHLszQbsxeo48MgWUWpFMkKG BupZ0CI6peYp2xxkMIyi7+sKuR0RUVAgM3fYCCjCh0DMo9hriKbgcrIcdR7PLai2cdfq48FHUE1O qOjl17mG30PZHVu9eoFm5BB1eXjEQWTpFEDaYC6FGoOAfaOFgjZ09F9pOvWAMKTR1LrbSD0L9ZJr 5N1HI5hLxOd6rvslxtXcJPKNUyufisKLeO2yA/ysj5FtQ+qh8B+p6KJHSxJ0WsrVtAFgPWKd9UzG JcYCoHDZ9PDDK+bZsqil+ZtOVlhpG/Px82jFj0dxFvEHMYhMNRhFIAVkoCv/dhDbSZuSCihVZaKJ yHz6AxK3shbXIDgI1hOlKMTBIJ3+iKcW8wRLRe9PZOgp3a5O6w5aM1ZcYjyhwMVVc6fAlFya6suf bgO68cA+KZuAHd+YoXUSrp2KIljmsGmlJ67rAlVJusVS4P+8+iNS/hnDuwtzf2AwcgrV2NNDxOBw j7RigMiH2lel5+/+gC9yzlt6sLauPmZtuZ9b3iblpc6JOCfowJLGZEd8Fnly1vD9MF8m+8vcHcX9 IIic98omcmrESSpcaWCIcGzmfC5QQbh9oiGYAiD5SrfE/s4luC7KRoRseC+mi7UO4gXOGt79cbnC cHFXEOkV73XAquxm/Kh7HB1x9k5BWB9ZwstpNTj/uibo7fhfTt22qjUSPYG8jnnxW2zGv9zdXdIC aQd2XXPsCi8BR0DSGWYHMA2xFJ9Q2xhcjhzaG08bpCchXULGJTGtclrOmtRWBHRtGrpMXFI6tvs0 5bBpUxI5GPR6FOGBoKW6JMy0HGKH8mtvFM5ZRJfpiEGchtjlJiFF+OWu7W/T3Esn+tLv6NHaAKaO CA0Z0mevGMi3wwFbksEiqCw1FR0mjrPOQ8SRx6GIKB75Xe6ztzkmA4Dw8yJyku49M9nu+L6mTKMU LJ2Y+WH5CxdOfNB9n4oCGxrsZtvQLcDgkyWV723DJQjeylC7J8VCEiQllzwnURhHPZLSTwwd3Axj L74LUKDSNWVflFOkzaNg2F44TKbG/yyKow5atzLk3GRtITK/RVRLgGujzQENKO8vqCjj81oBkd6j skKQpH0jsxynpVakJkPf9ztfwX1MlMuHtZ/hqOq2TnW7d66Nr1o6tHfIIreEUCXr/VoLaBDVIOuN JYF8JXJM4yL3jtkHVDCDxGqdNyIrbvgenuMFc5pr2w5DlYqLMVdS+4ZkolbpleDiMlstrw00zWTY PUZiRZNPU42Zty1ivm56AUkSkNyL9UHR6tMca1Qc+moc4ZVIt0fUvxQm0hndYS8nI6fpPpa+2G+Z fyC3MECf89vF9PuQtFVNvy9XqnuRBLLdq8GHQg4Y5xNRrRj3jLR235vlPkcAJ0SjzLAxmCfydheP nnZxUtqaD4X1XKmkEN++8bDwkiLxFoVOICjfKL7P1kcjYHs9U9AiAftb1K8A3kO2BNaV8r5OJ2S1 uCgHPTAVKgPBreD1qE9Y7TRv1lIM5NaA26jGynjqGHwWln4wQi9DB8iEqi6UQ3VJvb+0i2kpj1pa Kxg6wiyLtv0RP4KbFTVoslj1w8yjJg0wEqoc45+M70IzaysmTCguo+ZX7Gg1+hzyjvw2GN4NpeDr WfRK1nkbfHpChkc4kl6LaRHxJYfyXwD/iB7JMIikghPv72BJuzmKZjD26o+leLyKlGJZ2XLqnQ2p 6T8sgoqsmYueUEcz3jdOiVtXhlSelRSRlOpW9PxDNzGpjsK2129aB9ggtCHjTRCqhTxYgAxjVhWW 8r0eFwRKEES+34bbRiA17G7kZKNU8eF2x1j3P5Ze8AHfp1ETV/05clkHvCNkYnPYMjwkKiEcx5dC ibYu+XrFMoAiv2Hp0isPHlhYIRFH1OdQEdMOlAOSn8deidaMOfP08m4XlPqIuN+CgosszSyh5gD5 kr1J4YqLKDf2DYggEwdZZypwdkHniiv6wfc73zSgUAUKpWa3qL6oPFBT4WqNqGCagkd80y4635z4 bH5BV2qyhSQLNGB3HSS4RIfl/ltdlzbj9v7/Hy34sn/HZyJsNhZlpSimSZCMegxYmoshZZdHlkMG zsZVb285nhW81XRtygX7xyOZhvTSdWyuqUrygXZ+j7ea+IEJS3WHWd8rMwmX8aYNBDmGmlLl3OfR 1QVTheObOAFMEFVJUXmIbCW+Z2hR2YiEDMcyLG2mALnmiKDxcUZewoikpSfDK1NuIpga24EiRqig nvsM6zz3anLtzQ24SGvngg90cOwuqYUzwODs1JXO/UmKgyQNnHjFP6Q9FAqPLyqRobRDBeSPuJ1f dQgAjaaLSomgAW5u+HQ7YlDbfXZmEN3jqoyk/ZA9c4umby42eNGvlwWUMcEJHj4V4jF1ZANKcEQt 2Ah36JoriVzBIukipoBNvmQ9iTTWFJiDf5ygo2QPNxwF/ets/kXk97ww7xhZEngGk2V6HWKmpQVT UViLjh8Ndr62YQfYkXtH5HNl8hQXsjpdUdjqdciSJoFbeZo6tyGRnf6Kgi04PICkjYcFSawgyho6 YAyZ8DePhlookH5CMXMnOHKXaZ9PDvKGfMelz5QmLfYnK0eEn+WC9lG2o0ds1SzsYU7ykquzSfN4 4FKsHIRVOqAOUWp4dSZSS7nonBeKxy0S1Efa1KG4PXcQ3o2M6a+WHKleVmf64nixYZ1bCmEA7Lb/ U22k09GR14L2O0/dgfrXvTMAQ5x5SuN790HviOS828uP5YSiLoFzrl/gRImHEzesnXLqpJkxYikb HIiaWVqAqaV++3ealRoqw5rk4LheDxL15yJxDCX9+mnVlIlNp10RFArS/Gvvb/mLqHOjQCEjdXwc +nIY4rJTwimFGWg/UeY0q2RybR8EL6/AAmDNptKs0BwVP5BSBIEEUfZZb9oh2ZVT/3vyzoHhb4cJ UU2nGvK8Ze14/BO9E6DzU3MJ9V6QimXrTLbahfLpThnmtAONf3WtVxKii+H8c4NeiBmD5t098/06 /3AlStUMharR9vXb2ysVTinmPBG04o214LAQhi5chNznwMBZs2xnqNVahFn7kUI/176+cVJLMjL+ mQ+pMZqCvNJIdCFYa0Up80lmzy1Kvx3S2qVHIC+smtPckHBTtymzJtMqvgoutLblehTkr9VDxWTW 2FzJSFe6i6ud1Ks4MbDMBYp9BAw+e0rA9V+RGYvnVaDm1tbRCZRTS7LWsvA10MfpcsXPI1uPNS1S otlDdvn6lkkwXU0PjVrpDO/fsGB+oRA3zu60I8rUNaPc8Y3/x4AKeHgGP+55KWXa0Ijps/bQtMgU xRcD6leAr/ej7TbjIK/lIVfcncD/SJCdYKaG7zb8BnakKPWLDYCpCGGO/2cW9jv5WgcDeSybO0qa tQLq8TUNiSzPR2QokXhE72s3bwhbijxUMDv9eMLltm8VUeeyZRs3v5W8SlsIXxMANc2R80ILp+4V VwMl66CWGKk+iRmYMA1qC5jmwQfTnut2YI3ZcGYuqyHprazNRr4muT6Tb+HwFb2Odpu2AFe8WLem IFfPmEXIVHRqMlmMPZaqDuchIBo9Qip134y1sPqqijHbS8QeM8bsKJDPZLl0/LObaokfAhn3bIjC KXEOZZyLVzWDyXOTG4/S28UX/IymQkQtrchAa4MAGvkG4hVwpnkJ4Ws6uB7v1QUPuwcRbXw+HPE0 O9oZUEX0GhbdvsKCeW8Gh85U14ql5C9spwH156xgscVM0rLpNjiUCvXo8py86o628QV9hfU7dPRB tPo2obolMpWJsKuMxYSGHH7ey2b7lhvoERtMRtGBpt0J/nzwtdKcgd1f940UsCUeQkBXk0FH6Qbt kbtV+5kyKK15FqScqnQU5dPkPv2JPUjj+zSiwHiTZ/mTH6luIC8MwdGVEacLPxUJTjZ3lCJF79tH w9bNbUxMSxTrKwIhSImdHcQKqsrmRNJWKINeyhgmzcqM7zFjXS8aik9pFDk8bk18lzKfOpwxHwSt 6iABG35XFbVpAcbQ4mI76mEtQaIOp6uRvjrtLDZPj5eYnHF1j58hn6xdKyXWCjymf+8eoGshU3t7 wa51CKUWqr5xMZEwhcfCfdW6PTURUBikmR/VvHR0ArJ8XK/Xucy1nj3jXrA/GqKKVliR68CC1fEA ViTBVKqwXg009AnLhTkUFD3xzjBdmGfybm9C0vqAkxtHmms2laiLoc9E4+FLkf6OK86TFuUyI+is +7XSVFevBRiJrGBOsayAqvOeKg3wcBaIzL88HJkA/Ls+j2tt6PthTmzeTuQTeEgHhqVwb88eRBwy xNBZLfgARUBmMQt5Wt2xCAAkYwFNMyUFLopZtSnEWTWGVVxxT9IVINFX3S+wDJAjpc5e6nsoTgTh sC2DW0Uvg+WSgvwJ+cStLhYuMOQa54LQQQzlAbE8wIhFzc2Aq2ehqBTaJCPUwaH/NoKC4V8cFORS DEOpSUJzEmAQOOvp8TKFj6iZ36GWuhLetIenFZiRh/KPjkDofO4stPeIruF1vtEk7dkBPr7N3Ew4 9zsJx7JQqYOSzmSUYemQpMwFOsQwN8mTGEqYS0MVEWuJYqKgO3Ge92Aaqq3BTcHS6uX4S8SgLmlp arHKzh3zM9l4sXwOdUqjZKMMz/jOYjt2ySTeVRdM3J90nE11KmmQGKgSLT+7tD2mkev3ivvaYlJk Tffn+JuSzEGY3/py8MobWelNMZNYHZa8jN5yADpR2WuLHi13Rtg1tkqr5XGx7B/HW8wVrGAm5v2O iACHKGAuSs6L+MA3e7QirsLdi9hbZzXFmQgxmiwVuHVJ1va29Tm7KOrU6u6QdogzR2wRaKkJRlU0 dmXY7YXpY7qiJ+3BBSHaKAQpXIotqZXJm9PF/cdX0BBY6Nru5PjxZ67TinIAnzENgi7IsPRuJ+cr tMZQFE5UVKT/tGhb3/xcS4nOD42zB9lizjxeGp7YASRA7CZLldvs910MyEbo+siwQnZk5hRW+Gnq j/iUgRgSylJE6WjpkPsYar/SSBtBAZVydm0uBAKuGijmhYN0ilz4wI/TiEIRJ1eSj8nka4Ycbkjj ZFj7FS08fjE51DQDsS7U5Uf7J1Tz9Vx40prxxPQ1j3mnQivgXwCW4PAud1Ka24Nzar94wOz1BNq8 eiV1c8ZNoJJc9At4QeiKj3ZWr7/xpojGAaUMxYsJ3j193/SFLclEpJWwBxJQUKNWh0fDkryDvQZc ByWZr8jG8dw6ykSgR/RbZvc9GBvfj/fqhOnw4DADOxBxImBdHjAS+Fe27kYXI854hIHf0pWKZtQf tb5tqHn0gS2tkXq95AIBp/cQs+LYqjWgSA9Z8hB6AYh78RxhzL01RkmyFqRkbI6K42LmVoAoLRXH i/23lnkiUir/vbO/ujR5CXanKCWD0IdSldXreGXBPE5R9p3pFeBe4FrXsQE8QqU3m4356LqGG+FC eknB+1F2Bmsj1TDPR9UVzt6sw8eA/4RLxXM3xsZLIO0DzddC6UrrKeuU24z2tU/ArEESNhULA8EU sA1Pq/j0ef3IJk4XzoMF/EQ/5y/JZj5Io+PADT+6zdz8vkAC9g5G8P6VjOuNb51h1wMTVb6cpXZP 3AfqsTcJTHhOL6Z9gPJp0MoudRiWprbpQwaeEutrmMZtWGdg6czpwfkBOBLwUr6717O/6gH8jyDg /z+ibpDaGVhujYb8xv3x4ht+Pm92vjVxKK9hmGqeCBFiXa7WDBIOpax/iT8K8y5Ae3jrvB/w9zne VjY4asxAxbpImv8+gEk1rRM+aeyQlcadZc04JCGCv6wN4q+Bkc1gIYDR5gk+ChMVj7bahZ9dEGCq HWDRJEcg7kdE8f7sOGR1KOojmoDmf/ZKGJdK2EojdJnpQDfRoFMyW0225cXqqYao8XI5NrLMph0z 8CQx2rJyxJUAw4FKdLJzkze8lhjyh1SwSFs5q5nkxbbPsPM5BwaD3bHuvzgv2+6ua8Hld736/eD2 C3Py1ei1d3NQIsM7zad53GmQKHkl6Gdnn5YOqXBrF4G3nK8HK/Zvy/NO4xNunHhdDGi/FU0UlHH2 IUXcdEON7eUgKvPSbiL5jB0/aWFZns0Y0RNEQkRyhCbRtbTBcV/3BdStrC9FbMSm0U8y7g7BV7qi 1vL3nKZuX4QxG5iqEmKieNZ3ZTjaih1eezKMgCNdqVzjTWg0AuvT43hqvQcmvj92cfJ6SNq3JRp7 bLSA3Cq3HyYocQSX57sgaar3CdArQywnWGdxQ2ShDeDzOif3aaE+iYyLRbhKsI2YSzICZuPrQG6t 4ot5jyrkZNWFuM7JEBI1pV1UmKe9Arh8zcIfBs50wAJrDJkLoIlBkYSUSPRcTabAbhbi92rvENYS mBj/phes1eXAMK0nhq1A+UcxbNX6fdvPhNwtO5y2ApqzO4mzjQoxqBAnY5dMVfB1Zxu9vDL4ZcnO ApKLQQ+mL+31DP6mqZPvH/ZWPcRXbTVYqippO0jYCQBZJMrKpPxLgQZbt1ExzNVYNwcQb2TI75nQ slGvl0TDR5gItBZhpLpvtfvR/JGtPjZrkZpSatOi+uGPYsNGC/D/3HBEhSTBIOxrINBTGbjxFt2C LHoadyyrQxB2zyLVBxGT4NpNCXzQri3Ay+ixLvyIM64pz4wAzvWvuq5WccX9wLdJyTHr7W+f7OvL iJ7I/n/l34Rv1I9KmyPI8Y4wRHTMxptwpUbXKOq6HndDAKk2wTlhEtwRTA8wJ+kBVfxPJ0tQXly0 WujqQhOY1gsYcfL2ijM48tf6827w190SH0pvtoXh3VsLbWenVmYLRpy9vtWZS0mFHjQzcOFZz/U1 2qSUWbL7GDOOM6D9Mbbc3d46yROBG7pF2JwdvO8U8EUYL/YvgcNdaBWpRvXXP3e2vq0CwJHSK1R8 yPO6zBowXtbRaGSKK6dgn5oRDBdYHMiMiSvOxn2PWz5swk9vcJkUtYv6jJRdxTChB/T4ExdPgu6R WRa8jyYnVQUdekA2zn6Z9/YcabqdpsZH8A3XadO/LW8PZAReqEeU0TipxF7Zlb7UD112WmzxgTHp 0sDTkCjpmlljLnlbPEKSfpcDNl6RvR38GpixIpyTKAl0h+yINM+OdNcDl9dACLnHX/67AyDPjr3b 6NYLMPnhGmMRvtwneyndKY0ZEzzLYWHhPthCh04Rzj2n+QhuelFkNnPdm4NIg3wIV9eHUHDhGkKJ HAComoCtci5KpFV3fd7g4JMZh+0XCIMgEyQ8c583aRu23wLwz7YVVBPdABx84Ruu/McqiUdPBVLe 9j6xltC/dMjxU4F4wuHiKBZzLZ9WiryeYU2MPlsc5V+XkhniztaicjmlkGRrLffJi9HTHJAEXSuq hZBfEWmrQL0lP8iTzFHHUFeXYIvKE2vnsJR1t5BzHf6ZES6XH3pHYbYR7xpXSn0K3uH8sQeG9GUj FCXktjHYa7CUzD1yZYLYktVTiaoVnIr5YZKDZhRW7MKpCRpuM7ChcB1bPYTvHd/nuwlxxmOw9PHD 7NGQg0Bn8l7CTudOdIt02jyPK6ygwpGU+M2+RH2NgWChCZLEpu9m7tMmdmhKW91Z1ePKyXeIhH6U Ie4HZhtRhQebVqMubE86rkq1xj43gsXJYzfcDEUtdk9CjUZi4KNtP5SaOk+wX1iSsxazKO2miAKZ 9zC+EarXNyCyavwO2FZ2bqCJcyWgRUT7a4fFvLuj7oQOw9TfQj/MTMUoetJ4QEcMdaxIY7h8dciY pSaCseVBB77HaeSu0ZpyqYlSepEKz+3horcG2mCey+7dpv2HRHaQxxc/79Stczvkf2z1EMfIOrTv ITWkTVKP9bvyJ20cOChsxJoP/dtq41y1tzJmxK8ANjQJnR7YNuH680mxhynERwxyxbKSWm+CGyrl KC5H/MDt6PnGyXc1OMkOoNxBuMcNrLFJRwOs566FxyxPBBwBZKz9pCRmHbYzqguPcgyDtnc1VXno IaADgUZ5WbweeoWYRSnH4ZdWgDrqkHkp26P+8/B27x7MH7nRSzFwYQI/dBus/ZD7e4AVs2EhD+28 H/05/osi1Pfb2xns1tDfCS3C0iTJg6pmSPVo49mcNrNjxFj871GLh2qBKm+FSUT5/96BC+gUPBx+ p+TEsZ7VQ62M9LNrWEBfmWj2M02FFtWqCVkpZXiclCMKFbRf/whsnYkYZnqvHrV3Jw4V7VOJ5q4w NTiJRRKcEyhfmZpaIcc6YIaRrp3CZshV+MoewWtCrkQfxZnJinFy9OOqQBNd/96tBiUJufXlb5O5 mHDxmdM83QRsfT806CiSovBtrv3jsiJ37jFFU/TuT8UTHzqJbA5ruUa5YRY/QghEOdw3cQ2bI2G1 Uh8hOxEyg/gusnZEcA8ExPn9D1x9IYOPMexOMZr6s1nK6sk10+gmVHV5G7arCwDc37UCyJAz1HV8 YT3jPSwSgXpm0vFfbSqt2S3Zco/sDh0sTCXtSH7/LSXLA5M/FKucmwXrjuaDEnmDN268MWX/30k0 NB7smsq0hImaqBWej8yyLeBWhLt44Ah0oOqhZF/+RhfWOnRPluXfsCjErfsHZ7P5krdaNGaxyHZg YS2DEvkcGD5EOyxK6waOqjrlocYuHtCCzEZnseeAq1p4na2kEBr1XKZPxHdnl8GL6smI4CQHE9Ie 8QeEnmRdsMyph6XVwlOiAloWnfisw/FMzzuEdpU4nW7PZ9fdcdZ/PclPxDgf0UxgcPBCmGO8i/F0 zSUULu2claNtSeMDr8Vvv0Wev8caIfT3P4yQQaCNwZ3UFMrmGMIKHdt9SS2ZCYke81nFv10jDmyw /nEX+/SO7UZVCnbHEKfhXLMJcDX0pkJfxgGZxYhZUXjyAMTVA5i4OFp+QuxEvskVSxHzJjio9crO QQIAJNIWwzZ+Ayk2/5+LQAShRYDKBa5ZesMBJ6CcxW+AL2fwBVS2AZxDEdgq3YBo107OA+Dp7xMb JkQYHVBuQOGmh7/gya84mntEfW5p4/eAgeaJ/xR1lb0/x6Z/JW1foU9yBT5iQLZe5XDbYN2ysp+G xHsFdwgvdpM6Fou3/Xxoq7O2nEoNwrm7jE7WygRVVtR+bOWw7GYIFjnHGsnllqghKZtb8WQjUEN2 wr47saf+8FGDfm73rGtSdW1d95yj36rhHLlcMfEnFMWReCh8JbIHRJCMlmCdRpDuforB9rCfN0f3 LXElhJHZvVNu+PYs1+OLnS4JwHJVkm7sC6ZSD9S+NncPZ1T+ADbzBZ+evNIl+zPuMSfgkICX1iPZ Jk7k9Yb9YmyqF8gCTr3u+MjEihRSA15tWH2gyDTRDPUBA076EAaE+LrPuJ4eyFTT5eKTFkQ0JQI4 xE95MLfs9P8a/cziEKxbcl0pXnABbtq1L1Ban9Y5rgdL8nTXbR/u9Se5i/aS3LVdEr+JAj5yCsXk 7VT0zOsgnBOr0+hPwx9RqY5AhFbc0SPdeQYRbA/RDgzltz+Uop8Iw/DKLNSzIxHNXe+5gArYZuy+ gAVcKIwkFebK2rBg5+FleiVlaj17ZqI0WaaMX/fbGHMHYFAY5qAywCwKZiK7phfQsbTMGt9DgXhM CNEIn78hTvmCe90eEgedIC+3j6rABJDOhd4OMHjkIiq1wdURGvNAxJU5ovPTgWPILHGdBA2jC0Xo 2TlLxBmeqi105x8deCpC6ncdhgz2XBggcqwZXgucTp4lzXUBbyBmxfAg3zl78B6ZMeB0mtSRy8cY 9c5GrwJWmUfmzKg7PX8LKh/P6YkJVoA+ytdlmx3Tk5nBXiBTmCZOv08lBMlI9HwlduRUs92THNH3 vlFSQv7zT5iPr5FQcmi2tKNegfHtFYaZ79mwlicsHxCMAaNXE/sIUnrGBMi98kRTkFekhxr7yq1h sW0vJt3eDHk8EIu5rmTjaXIEReYZZU7VrwDa3gQbWpt7rhdjMxe5tuhZ7qUzjJDxFakyHAN8Wq5f /FvtEkTWJEtE6zi7mm16NGJ/Rqk62TCTAWT/4DU+MjVoroEimgRfV57KqJnffFe+dnMpih+IBRYv PKU8AL3ZL1mUtIhnmKWliyzlt6eM7R7+tWJNro+4UH81Btayg6jMy7Lqipp1kTxCp/QzW8hQiFw+ 9sX8tu7P5kDnV3mDAvL1LV/LYKAHyPUkksLEjWEtvHXQ8beKMjP4ma6/OtYZrB4GA3hDxLdEfx5j nPUkpsp2iWcUbJ+dRyIPGIteYqPi6x8N/PRCevYNYsnx6gccV09LEWik/fU0NNr3i9n26bD9X1+s amD2xuqoOQVw4gFcEyN955gYwEqj3cogdb2EeplwJcQqfVhHJ8pxF4a7kVx8NEaNg9tiSJbclwci kwbPG1MnVQAfBYMkuCJG+5pm9kx4c2vkbdt6vapBh9Aj4MQ+O4aZ8G/vTNdfBzF41qwaJnpraND5 d7wmoDB7xvCJsdEreCZdKys8FqgM6pMi3iBSyLtLHOqACZjByq8x7MSW/4RvL6njS0NQKWZzWIBo eC5Q2urhE4FnXWt05Ce46cCYHEbXoK+CdzQRqrq2zxIwhcaZmzUzEOOf2l+cZtNcJXKfUMKSpheg hdzdH2ya1EME/xOn+LSKGag/23nFwDBATsoe8fUgGfV5/4eCuAD609SYFUEhDFCZJe25lJemAxba 7lOEDHSqzZJteVxYDBvOZbGeyOivCCKn8FLe4p5QwoaazQCTNEKUHeDTMp/32NW/qCC7i1MFsDRA BOcCZoacQmyo1n8Elvmc7qBbzn2lTJb3mIQh2hFUs9/n+MSpcfOCrmOK70XT+LhEtSRxM+gc5Vdf eQGBLNywAE0rKJj+uKade4tiG1PAWKyh7z5pPvTWT4BmFUWonE/M9PQQwkDKTthFeduaLgH9iiwa InLIYHqjXa/O6rhfUqDCTMLRg9r/Duz+Qg3onT8SxM5Hr/e6SEKUzrqytPnR4ynkjcROOKOv6HzA ZC152JknN2xrWYewIBF5JpwCaUEqhugDg8F1IoMiJ14w9Y4kQxtPJA7Xk53ITBj19+miqTyMokG+ kg+JSEOZzKB5dRKmFcGeKL+sIfsw2/86LB11Zg5grfVhvA+4Wjz49eSY/ZS7QBjSaFTJF+6nd/Wa HdvBbKP1YUfkO/pf1RAHGlZNJcj394P4C3sjzWM+Bex1zyfiE3NL0MfTFDHSsdDlx1oQwAy5FkKB f8FXIeiTjgdQEj4u57sjN0Xqn1sOqYMaF+4/OPreF2mG2trdOD4ftzICHCMy2w90ioGGLoAJTJuq 11hATo5Gzi0s4a6GGWXbqH4/T3BHDwJQLctK4GVk7czCDJ+OvcWlRBRuWgtuEpZwCIuYoGgI0+cM 6ABi138+vsO6CdFfANMZSaepO9rnHx6OsH4cV7Zz7o01B0GomexCIBZKpDdOuzL4DfMnAWMw6uC7 oE51RoQicBVQ5/6azypr1229KbWRO9s0duWLn0Md2Bq1CH+aJ3gRoByOUwydP7iHos7jM4G4joJh lCfJC8pRp987mGbySdaWrzt3DsuY69Zq+1nfRys5S01D2iVBu+diAyGgO6aVTBeye9VsKwFp9BRt 0Ola34WeDggRjXPaLfYNI3t+Y/XWZdYEPwUgEHB0kB6N5okLqIUNFSE9bR24rFSyZ/7/IeEPPGBr laqUZ/LUXyLQfmiFlfq4rkXSgoFiXtG00idVhJ6WXnhrRi0iJyTsDNB3bEhHgEIR/qnlXjib/ScP 3bgb6E4TDfPi2BWrsS7x927a8ujU9V7HxTJw4lw7bILygrFGWeW7DJr1SNOX8zDPrQFnKSe3tT5c gJIMVMlORcUz+BdVAfgzuC/iZbQhF4lDH6NQj7RPgGbOtYW2M0mb/upSUNwBJ7+3Qmo7Yb16SNrd rn0FV+1vPNncz6WhkKh2cTSKwALfB2HovcYRkWGH9z0lMlQPGYeHGsk82FYnrLEBL64dBRuT6U/8 dK1D5w2DYwVTVI70T1lVuCAtUgJPLgVcmKzBsRRRyx08REhYQtQFXFpU76jqzhjAF70WzyTDkegO 6xFdq5YXayP527tyhlUraFlYlv5JdJK2F3YPjFMxuQ9O7lCm7FEyAjQLhv+W6aEoxR0EBsYiTgH1 O8fgdk/8h+w+VAEvWVkgfVBdQ4GngiyPKq+WDIV4uQUF2KLlHURaq7u2vDXaZplJBIKsxlG0lV4I BQqaCPaPQneSF86N1CRoAUrOSAshg+Mzgf6h9uvRmXwuY+n78Y/tSeE6FIzlI/jPb2OmwIcPMvl5 0MvC+gpeDatmPQQv5bUPiDEIm2fsNSdS3AwIyCcLn5ine5LDyho5L8UEhC/swEDgI+UFj6svE7gG p4xKPJ9Qv+o83A8DdXtiW7wMWM11G+YYsB/joCsBcMy7roweP/PEXC6lOVa74aJddnEc17SuqKJ2 0NyuZEyWPUsLpArzZKKD+mvkjqt42erLXidrTtk0wR3aO/4kJfhzP9VZS0R8aKRbd+meJjQ4Y0tQ Er95XiYDrm4mwZIPzHFeHteU6VQ/ds3uiDRnPzeXwbww+BenFRqGr7AK6JhylAdfnmuZNm0T5bkq G1i3KNLPXPwpCA4yC/tH+ZJ2rNXwGQT4hiopJkf73kgST7vI9iywN2OWGEPYYlGp1Dv/j5RtABYR 62mlGFgcGdz9Mq3qSWjjg3ycr8JL0LJa0Xw9IXOR71d95yq1gHv/OwQBzrxE9n1g+O3BbjWYrCxe fia5SWoGceZIZRcUWlBADsBEvCO+75hGIDzQ7n6x+Db8gMYDWjTkaCq3ITWC/gZ+YM+68X6rSkMX AJe/B5zYwjV2LnAwlBGJuUaZoIePEp62lYHrHLHmFJHXrdoPvmEVVpljowTyRqgfILtYBCKC6Llm qz+XGVxzk/dMH7n5NRz4GI8Xxony8jqM44UCN9YlUPlTZwvzGiikLJ0rFaue7pHE+Mm9kMP5zUa7 8CS22ibhUvNPkyPP1SC7CQm9YAbPlT80ziSF6CjezR4lVkPWUytVQ1pKQp6NblqwJCIC7yyu19lY pCPBfYzWeh/c5ojZUt/xSVT/CTctwIICyIRlAEGp38lhZeLXA6jFJNlo0ISEovHhHHfwYQtkI2p6 wgOUn5ALNQo75/vmh0esXN57ZUh/kOhcqvOmvIayDmoDHLjhN+XFeECAM2MRBinQimRlwo+8ic0W nsE6oy4orxDb6zKguiDOsiIGO3p5QiNP6CDdTAx3x3+FVXhlz3rZzVtcM1MWmvaVz273XgOYok2p edKS8tyKdVOyn9AKnR3sTdUbmJ8KjnsVydvBVw4qfO9feXnEGHyBMbXJr3yYUKTqGleowOogK1bu mIy5miaIRqTA61KeHIc5D6tb9X8UktP/pd+5jEst7nPUD0f3FLYIcNWcOSBMqnMeKDw2eBx5Oo9j MXty5J4F14asaUMNQMChgEH7UBd2TMLw4VopxBWZSRlsINAG20F4AD3MNauYAM07e/DfHbkYrdDD pV1gkPZXnYQ/qU0Dnb+uVOZwYFSxNUljvzwSxtjuiQ2xjrwyQRFO45jSenTC4dHEVvu+w8XSJ/TY ZWa50wCMyQj7WNLtyp4lNWzMhfKd7Gt3zbvy+uTeFEYIrIYgvez/yfPo24YpnE6K1TRRb1aLkZZt 1VPR4MF2QL4ipC8hxAX7m9u2XHCCHccbiOIis8sm493qnUGsQ67kxL8yA9uN5TO+X43f7ewbZhiP WTBBxk9tSDIE5uJ4zP3Ugvv67KiP+XXd5kNE8DlghaBYfB5U5f5bYcKzOWH77R4iM3sX3GvcfIEb YVLbp5n1spvsrzKEvbjHTlOg7+d3T7MOBa//sqe5vldL80b7D++gG/rvyMeDAH3u3rH5+gKu+V6x brbkzleCYETNEp3q88xZWecoOi2Vg2IRTpZWIQHtMH2P9tFkf9288vuFfTasjz+4ryxViXj1S1BT bpHaHrcqm/P6ovNlBjSDnTKnQd3hmy4IqapEmJEtZLV1L0n7dFIzVEd0a0cOdbwPwyNY/EelxGi2 GAfHXGVxXRsgUX8rOizQWuulHXJVLDH3UsOCo/2cStnK0fboQL8KavRFQ3c8//v5SYUUz4Ch4LmB pBmR5uLOxybiBLR9k9mHpMx3TnCMJsqAWqncgWqg+9oh2b/FiXXANC61LRfam8hD5RfdI6HJlvSl i13HX7kdHdDY/bSRj/rRcgR1lu9NqG8Vy3zbmqgc2stxpCMQLyH8MHD0Sp4w2KCXJADRfh9cfcoj 0yRhkzLhffxFxmZ+MdZdtMz9fae+PTTD+9EN/nAuxBEclLpkEkMNk7o7FzoiRC38yc2qeMJe+izL PUjJ2MNHjqsA+AiyYJojzh/bi0r7y1jSQEqul6iUXdPslNtIVAP8tuSE4K/9bK7vmKIzsLBmepCj ZkKDPUhhbeVfOpMA1LV7xwalgXlrOIHyCMJttmhK1/IB6rpwsQyRIXqKve8npVqVYZ8v3BluDoDK m7LzFTcpzWAWzBXoc7fEm8z4M77eIJjlG4AXGtbFrrOmVhH/q9iCJ3ejjffvOAKgh9ua4I+pxFpT m45IpPFCdQWHKlgxfjsKzboWJTX5xP1k9vIXdqqoYRxkAtoLk5lZJUuICBBHVYKrcR+cQxkq0Ksy hS6QaTE1CeGcN8PH6s1uC7CTkK5Qt0AKFy90SMYILB8OtpajOUSLia/PRDvtfewBxXyfz/iUH0df 4+32Ai3x8mQ/JDVVaxNbnezAFmxdUnjY/n267JhNF3tm6bx+Tf5rxzUmJUOD7lnjah6QkLv8svog QUqMiRswqwsAeOkvWzvFjD0nOTofNdVG+s5Hm4tK7iKzn6aS56g7e6IHxpzGG/FZ7HoBywZkfDRL H2qI8v+Z42zpNj8VHROXC51qojiZmtcAt6CIT0bJifPlM5nAi1LxdyLuZxavCWP9wP/GsBaKJPbR BOcYxzCdA9HZLvwfYnYwINQAcDxf9BvxrKt6B7zEFlXoCP1bfgVcj2AfLTFluj0TZlJirISuhn1n P7TlABqhPe3tJQqJPYEFhiFalwG40TX+eCaVrhK74bC7fQ3uaOWaorLsjzQ9fgFbbwwyd68d694F vDGGqI2gem+mTvfpmGULYpry51//17O6VBX1CpTqm+diVFskaGwYqwxfSwyeoNs0NHncY0ZjMdMK bfrAD2JnZ31tScTVYF6YVnSjy/p2gGiHR2b5nxUS2Lav4SjzoK4jvzVFICE6UMrDygIBGZIn6PBr yBtITb9UzsT6QilR7058w6jL/U5l0UKNG+7ROE9CAiUc/P2aF1TyP39DlmyCR9k2rJRSu4vwpog9 hlpUZCa91yVqPj8qjcmzUE5VyWDl9PMB1MD6yTYvD+UULCRFxY2OT2cYeans6lr3vKMQQ1NPlXx+ x/VMojZp1+ShaSpV9fftSaX5E6hxQsxGcjcAkIF7d19kNHhVNgaFIZipLecipVaAqVitTANEO3J8 kulZlYwd0WGoxRzjXepzEXx/wlVWo1Li8k3xwZSnRZX0PvCDdALOiHyRug3N3rtXXGimynb+QgfS ttn66jWbuI4gRwZUCNgD8CYMhTgWkNAJsoaKgHdsZa0xicMxhqm3flWqFe+9/VHETzvTSy+DhN3F NkL7pYKfk2z7SM2D+sdN1nLDRCNui7hSuW7nlPJyUXtGADIsE6Cbo5TkE+U9/vt1poEM1o4Iej0n rlHvXRh13lcEVwpLS62gXqGgCPJLOsxPhYcwVC+EfCUxsUvHCaEwPOV4L5zWvH4y19mVdDRoOpdQ 0e5CbkXm+933X2KogjEpEABlpEcSkgBJ7R1pj2iiis/wf/Brb/NjBpb2kt4LY/Pbcm3mxRS2CvAQ DIX+6Jy5k5opU9JokAGMekVNgABrD7o9K1P7FYHyQjvbPNjKMnEDozQDfgmWft36g4kaHUzjH5CV 7f5VjpwiaAolf6Am6tjjf93g7R3BUr8enbPvi4rW2U+VAPjJvDwrBd1ZRtmkKo8NV4KmQLi10bKd EVrR9WSF9aZdQEv6yL7cUdSRLYSxh93hVoIWEv2w26OGLvC7tPXxtj2Xxfd+7RoTO28Ooa7Sy7pn kFGYrhfrwaM07r6YdeYE6q3NtL2mGFyjRb4mSKB2sZbRBBZPadKNsV3udkewtOqK112C9iUl1YMJ wszepCzXy89zO+18t7G/HlYMVA/Awe7psc+yakFvuD/FzYOoL2SZQ9RKf4eEPKS2boivDAwIPb4g q1miBip+TyKDN2EsHz5bLdYcwbJCS8eQ4fOjeTg4xu2uwgyeoISJ5FCIBlaXSbnNN17tF0NNNC3O OEESFmcuSrG9LUKPjWgKWqX3hgfW8mqI2ozkf1nsBnDmmQRTVBUOr+rhcg1a4pecoORp5bs0oKn3 EtcIVYTetrM6R5lkpnDSoZz4YpAxih7JmUxsgu6ia2HnHtWpgyRShUwwRFrDws91XIURIFVpODbL gwpVS0okehJFk36jnoWn2xPbuFUBLwFA+oECS6/wXi3xkELUnVWOjfVs92DyHIFSLKtafotUZDR3 fwna8lHrZFHPVQQ5TBF/hrUn0m2eztZ9P2AoCx6mHRg8IW6s9nBG3SzYcqsRGcTd3VfVCbCgPAhM mm8ocndQSHO1hQ1BYsj/d6c7XLvJHCJgo9oN8U/nXXuSFpT56zTaG7JV9dmmEcdI04xCMvrqYzmN 0zTt10s8FjcXaVrBZIUznLqHGTEj22LtRRQKXQr3nRN1Fbrc1UpgyfjptsXvWH8v7PeRToxVVY6d Us/DDJdjV81rIp4cFr4q8s/2LHTVDn//Jfb/EtMSzGDuWVxn6KU46o61nE73I/HBTT5rriHsPsse Uuv/7RlOBEer2mqVhJ1WJFR6TlYDWIHXTXbZOk80YNBS+tAlZzsrK4z4Y5mL/IRItf0UjZ18zm3k 0yy3+NpyqC/G8I8i5V+oQxvH6KGoXmOzLdlYWQ2ogrMED2LDO9121JCKDnX+xdB35WTh8ugypfoj QDuQZdFuvoM9oyrCUw5EDubrOMX7f2Ngz2+8ZSRWU5kpwKXUMtAmcLssUCKZXj/7zrtxa20xrWSb +o8US6h+phl4MSSv+FEYT5DcGeEJhaM5uhlgkJ31FjGYH2WhtlpK8Q3f5AYYI0cjPEflIDgpiUzc NQOfQ1+LyzBAiRMqkmkc3o6wSjBgm20HRrTOSLWH1Bt2lSWsFiyMfzhOpj2JF2d6DQZMGNpwwVUm 9Xo9Hf8lZR+VR5pC7n4BHDalZVi8qchdZcMhQyh3968UqpTGOZfFsDuPgDh+GgwastzII/6nCYCv w0fngQkz9SHkSY25WMJthPoFRGEo8hwEsQcDMf55QYVndee37JTS7spISJ3lVnU0ia5qpoes1K95 ggjL9RgxfS79oFVPK27+pv4OdjqGpymDrhObiG3Jpys3CZFi31TRaSRt1+VIK7VO9LVjwEUXUrPB q8QdyNp6NWNIjMvFOGqyzTfJdve3c44PxIr5Qod0MwJGobIyyoJIDgZrjAHFWf5dFUqIYfhZgcmF Bidt2bVPRmWX2FFMe75eqYlk0/498dYfA5mYy1fhg3JxMvJFl2Pf6SY1+fxMMOteLQ5ohHJgpB0j d7iPnW17pA/WfGF7/pPYeReeqa9DlIEKprymubqcz0NsCR2e9CJyACEyeJM34ljiv0nSgyI6Csyw VOd4FdeucGG0k9sVBs5f2N+hAGRK+Wy0ZmJJ6XtU49jo/x5SUXldF5gReQ6q368dReQUC/2Vkqan 4bgC1ghQ9V0EhBkFONwP15RUqhkv1WEvfd0yJK91/OSkIDY5Kx/wz4sPRy/NJTInbf0w/5B81+xK iPdJRR2MYRtZ2vXg6m16EahAlnIPFO0zkbYoozG1ygS7d4SqYC76LFd7CaR7YWG4CSG5y5awqPP3 x8mS/SFbWufQ/eZZJsblxBuZ1FUU0M7UN/JH4JdcLWn5EVLNpReDFYyd0RjqESvoLRyU3zxEGWUN 1muxYNFga7a43UK5t4zX5/piqz5Lcx5+ZFJKOGdgVSjDYim5afrp4pWi/iQJs9KGMKVFsYkqX99H bRPen6L1hd4TAqqtk6eFMfyazS1fd7vuPUR6uiX+hCTd0bQzvP3hD8o8tz+C7djE7dSHhArFibnI zcSQijGIzDsfi7wFuaRHb0cNa1bp0fTLmsNfnXG71j9AIME1yCDgwFxs6I9Q7IBhCW0fyDF6Mc7U xcw0Gm66yRXnf9XhnTZXqjvENAgpCIySlGDjF/oHimMObS6unFcD5qk+L6nrqmAVGmX4d3U16bKu 7NcV2HBArN6/5jUdYOCMvuPUET6lhtPFqW2ua+2gmwMXhHaDwnGjj6ZJmOU30CaTE9EGt6/qN9im jXfoVieKntnMNVqXYzyWBIN9/7DMbRVcHeCjFghfQt+8nJolb0cn6q+ltXIYNBlYScDopqvGs7LT dZF8tQmn6IZx8V5DlD5MH3o7x1btSnr43j6If6p8rNzgGhIrRD3P2PQ/VWMJCl5Cp+F1lsla+M00 gGdAK/DsKcCLEUlnHBhus+qDUABWOBzd/IFuDE4yn+pKXlo5+NgbhZQBAsR0rhXaZqeFUtCETb7o aWF2YC7hjYF9WxniooD1TSbPQSRKOMcJ8atOSZfvsvoJ1R6hHs0KwQxP9zdYt26E9MeHelmpU6/E fjcfVEM3bgEdppvYXxGyoJdsRwcRT69OxYh97BvP6XC9du706hlONIwgSyCQGBV3jxwoPjgSCcsh IklpKZ+/fvDfyS1TcuA//S5Nfngdx7lsL3uO8yIWMLs2Fvngyl03s8wz5BOQqL47l9o1SQuLJe3j nUgZHGHuPwZ/sJsBWJNFw51uXivqo+f3hPK80Qy1I0gW+aZe2fS435jUlJbZWc6KJQYm3+3nEmYz CBnZ+bOQ2BHLiKfd06qm71UhZeSgcahMOy2qFI9Wh0R3W7xhE5zwRizM1o6e+8piRTB51beJN9Sd b1VLfCLbaC5mknYr3InIZSKiHMAYocNeVIz+NnDh1ErdB3UHOXms3kHFl9bEPxhEfUO+QzEUgMPZ JdboMif0sm7O1q8erkgef8VgvpzAcUKetrTDqfUgogJfhV2iQL45ROT3vTOPuEB+AHEypKzLrd1F Am+hhln4n+isu92ID1HK/Tzm6kSqoC47VL2vNsdieMHO8of9AZ0rfaGfg1JWmQ+cFRryt7ekmbgq Rj3PHylb9F3Hrt5Vsa0lQD5rri3idleINXWDaWT3tzEK3dnmW/NOjBVBGfzBjZhspuOJH2EEKR4o sH6H4Bde7rvE9eMxVS35RDeLh6GjN4dxKmBD+ZqcoWm8YM5Wc6+wa7WzRYSkcwaOUsmhKJJ9QYYE +L+gs3JPra/2qy7xlh34nW3YEaCpJYIDOEdJhh9GcWLHCLsVnpbz8+eXlDt2Xtgz53WnadrB9nXE K7QxwccbIUDv8hIJGSFtfo+/lAdGKScQQAq+vkDSSTd5c678vUBn6UuEZXNCn/HO0Qzpqnmp5fKl sdL6jtgowVcnF/gLh96lV6ZR4Tj95da9zMBdzr8jEwv/Y4IJj+Z7Fzyd3rKqAfwl+LMKup3+dJmU Hz8SNLtUNM3jYYHrIlfIRjVp++bp1s6Dlq28u6tsG0FDHR0iY3vZU+5aGEoCr/xHvmYkRLqxgL/t 7KIO5qwullQxoqmaaGy6aF/xk/OaZctDZ77uk1DioYUvNp/r52CiK0VUqp0OYz/aMlisuMWWiW/d hgTVdSyQi21h7MY//66zamh2BF9KvuyZpst5NpXT4aQANAKMWiA1EdaUCQEAzWU5nRoRa3/s5DsL mCt1k77uL3pAqCdwKItSNqHdqqsYRf3OtNpfCfq+iF3ZN7+kuaQjf6YMjK+jbiBWFZPK+AuMGzVP DKdvdi/dLIV7u/38ochaN7SvmMPLr232o1BoRnacD45Z7Sk3o0SHI0XrE366HKa82xVLfZybKTiM 54lFppu592UCczLwcHoGkmb8Noy9KJmCxP7WzmDbQUNiA/HETn3dCXcYl7vjs7+3++3OSUA7Qshq oR0l69aK8/T+uTPFLTsZMtALBkOgyQZMrhTkn8YVM+MDJAnKqk5foiDt2h61+AldC5dGyadWnsEf uIGjhWzzj4SVPBJLKINSwwVvLPTGZpONjioE5KdTJnjJC5fmVajvtBXvFzHW11CGXJW1FNbxfsgf s8p4ExVq8ccdSF5CQmIdiT8yxD/uWJXmAgqhcniBLAa0Skj2fqEz6okf8b4pjWxtRVnu7/nvXOGR zLFpgIm5WH9UJvDm/dHGeSuIODRhtUckr0WYhyW5k0dPS1fNwkTGOkOsVCsEDcmiHdDm8KHeSSlj xhj//7KwNxEp0c6C3zPPs//FgTIDnznd88C1kkQOCu1TYM57xggAgb1mx4/pAxKH12yYDB1E0KOo tfNOj6aWk1CXL36umTUfP/Jrt8Wj244bExV05f3yDofqaPgnvhXvBzFPw+IYZz7eF+TBcn8PsefZ WtQORcVF9z9znvK41Clk8psH4mX4PJElPyNzYsCmy4hPgydSyspG5tI5uWSSN3uSe9VOqWR6P7hx IfIUXYDmRpODKZeRAlwtcKVABL7i7NFKlsReS9n7J8xLX2mmDJ58W8vLkMKDhIpDsxE3I9r/Rhqg Xi/7exuynZjifsF/x2C6IRIarUa//RYPTL6yKyp/NSAX9mWTBh2NFcdux6ZpUfPN5sojBGh7HS54 EXVjVvQ23FPUeeve3IBFtdvDm8cOtre6I7xY+YToS18E1gWj4EAkU2IwhLeuAvMQkThMFxykITXa NrqJKcHXxCaZwgZZPwDev+ZeUFdVmg46TxqPKOy0ht1KH4Uk8Wyvl9sC+7EfA7MIYM3ef+t68FBJ l8BQukuo0+G2haitke8kAPI7ID9cmfJGWIpvZCy8IB3OVuEIGu3yuFWMCDjQSy3/PZy2X7fdhRzb 4NLA1HMhyoHSawfhfk/fLlEJh9DGxvnJWghKab68ChB3O4NhwF627qUccxuaMlOPrFnNsEE/vosm zlSPnogI1//qUKiMgvFx67QH4yUcGRokH1rPXrlQ7eb1iq2J8JFLOfe47f+rALxUxfiB3J9U3RiH DOvNvdfCSAt5QXQMyj/ESByyMPnXNLmSdBcihfLibL9Nw/drLN3umxGHDAiocuptqRVuhVvnvzfb 49TR5cG+UbhDzBu9F4maKi+NfZFptKqlKDtuIohLoUK5s8x1aqun9lwlH1DhVgJ+wLmR3JjrcRlo OaIuwwfroDqiK45tYiT1hBeYkH1qLcX8x2FeGYkq5vJLrjP87du/Kr7TS4GuAYGTKosdMPSwMARM T2btHWtOGvxthHoJc4Lym/EWbkWVR2dOF0xfPSqLj5RSnO2/dvcv8OQnYRvKWjH/AmGphKs1zwFJ +bOlTEyxY3sDgrdDnNKCWXak8dbKl9gucATWcGuWiJUfELkO1IJzJv37GWvf+kUAz2DDOI1BhH/A HUx7XD7CnHgRd6mr5tNeNQW3u1cZxH5LVpWajdphrBJYL3vQ6wBikS58uMCBPI18wMKn4YnHrsqi d7MRaHUSZi0EZx+opVav24ehRFGqveGL4zBw7tv4IYwp/1Su3NgD43fGy8tyGku5/vNzzcFf6rwg NOxcq9/h69rPfhO1V2lpHjQjjMwSg76GvcTWJ4WbCTr6Dyi0pJJjUS4O7L+D2GRQXJfAmdlswxTW Kzga60BxkTECWDppECZYdFXBEthhU5ZSmoeIj+nYFPkyqDBJECKx5n0nrVxlJSsfK0mp5tegbs/U abfMzsByQbHNUEe77FG9d4BjvnXZN8fKGMg4YPAcDdDWS7ifCyOAyVH6Uk7Angh3jhjwYnngAEw0 t/hwUyMAvVOgSIX020OjiwoRetZQOMLDlbSv3SbaGgjpyiy+pMjYW8WOf8ELpNgyOza4+wPbOSv5 gneQ4eIyvH2EKItBcFWa08zcxl4bdg7PURMMoPewlWbUwln6IhxfzIxCA8vOuCxPmoWpSHhcvqq6 fCu2o79LfPUczZYMZrsFlx9AAASZnZPnnwXsYIrrKmoHHzFTuMYJxxOKc4kZRN3OyS6hKbPV11hl IGsqApg7kl36pw/1B5p1rocd55WA8CVfzXCbhCLpbLascrENdTfi4zZbVLWLIc4NhvwUxXvxNp5O A04TZ84PcPoVxnsJQ3+0TBp5fZf4c8mNaRA6ooPoP3kHAASBRmN2pk7KACzA8PvBidWS/59vHMhA +4PafdD5583oMKETnkavNqiN1C5XZ4yY0XoRWHY4GgV8S1FkiAIppHTiH/0M7C0H33VqvV9IBJOq vHzPlKalPDhLGGZ2eS39G5yWvBEamK2nhKYWuBR6YIzv09mf10djsoUTp5vYju/JdYcvu+ACypvq pBRA/2pPKlShDtM/vuYfWV8rlwFeuGV0qnzID2mGNKmhrR0G6Av2orzRGBqGGs6KAb5dBgxhF9VJ +ngZb3mGypkF2oEsryyZOpC7b+XWZ1DeniLVkJpKNFIT7vdLiRqYR2F5caAEo6B1DLKdaSs3ztX2 H8/Dkn4ZiJedn6EmO9zBhC1CYWJcozdZzeT98XvqALjrx3b83MM4Ir6ge9u99gghE3XE3b55gM4i /Ijw+TJ40E/JcYnREI0JY3AWe0w1aNo0+Ckdd2uG3w5r0R2BEroCa7YKQ7SWjXgmg0d7YkeQEaoe cxuIbMGJTiQ516Dm3N5nCP2Q3czUe6PfSAX1faNyS9VGwe1Sz45PjyCR9opNTFzWRDOX7q6n6hs0 cYoUAeIOjvWrcMst/wmj4vv8o7VMGIJBCo/YPbA6/hpnVFK00jpJueZ7SMvWlcHR0JvEq4q46rLW fO40H8C3AccYHTBhkocTIFCD/LHM73PS8psMg1+zFPlFxWO07a85sfRq+GWr7Yi04tas0+324dkT gR8Wn/gquFDckDywC15yHvNVSnrv/EvMsBzPAu0zGsGkVdo5VHWkPB5z1ErREwSx4uQMAA1gIPAg t/ACxxhC/wLNe9kZ5iN0emVp66xvvV7PSb92jNwLStA9Mqbs7vc3ZkERcWwO8td+rq26kwj0z4be eBt8KzKaIH+4HWzWt316Stt0fXyPbW1uTFV2sc4yXoKvG6DlkMxXzs/m0cyDtuFYUl3S5tzCxIRp KE6Qh7b/dSPXNXK4iex1ttUMqwPY/7h/WNg9C3ZP6DjtpL8+eG4u0EGlMUiYdf6R1/t7EqEE4NK2 1Qzma2HxAzil7GrnUfynfdnDZM5TuDZYafHrBTLxgwmIgieWNv3c3yfo0zJr2N2RIwlJ5yKb0hd5 1wRFybfHqsbL01V2hOl3P/xdsPQ3j3spUwsFYS92xDuJBdwZmAR+wmBbzFss174KcMyc9m1ADacn HkpnaUTgIN490uUrzYKpddh3E1UzJP94QIiyzI9fKSdgwLod6gLXmLnNHTp1tSEecjOoMIEapO7J 8zClL+7532D3xzPYLwB7nxq8ASzqx7X7Wkdf9sMNlhmhLNoEyuvyjWvDceT6IEG5/DOL6/YVetMm 6jgulfEnUc3ymmRuKJ8+acIFItmKwMaIJW6ZoLb+s1hy3UFtyCgEuDPnXQdIz41+KtgCosyMWiNB JLjeP64jPqExdZFTCNFCEnnwDh3Ha/aE1XzS6jWMTPaIMK14nMvoMnoOKk4WgqReTlPap63aC0pQ ttINxgzcPo8DYH+lXiby1VVP3snyvd161rnUV7M8KZqPMHxgNB7D/j3JizAlv1FEszYCgVaYx89r lkXThPwRx9OwfgMa0lwM89tKEP+wNBNjyFNzwmIuJ49KumxpK3uSAHw4sFT0WWl12iJt+OG+rxzF ROI8XP3c5ZJjmJnSmSzHIeFv1NefDY6HhsIEaX3PBzQKj2I6mrlpqtRTkZ65sj7WUvBtYc8UEW3e lETz7k/o40qqiCRk3QZqXL/ZvCYQKYyKu4qnjF0gd2yNjufecVN7kKxkUM6mdHGcOcwU1FdW2csl 5y1mIGqi90m8I5AtUVrY58b78TGmpAuIlsMvZQnD99Qrte4n0ru2/N1SIc56u8Z0692Yg+6lcowa MdFRX3pcVr/dMUX7ZvcdwW0mjYGaObWmtKFPEMJP8UuKjQyLc8oN29MMNiyIU28iV/YizZTKk4mt Ai24Zi7r13sqmlzWUUlRRMim/Mq4W/bHDW9VAcF+WJmelhP3arFGR5PgAfgAu9nELyIfr6McfcU6 a7O0MyNGzUiKj7pWjaYFw/e6w8XvsArxtnH0/5SPnRO6z8qp3BUJU1sLYTT7fuFQPUQdRWrAfEud pCiuXmnZu3OfjM1avNwbGumTRXvFXF9UvBVDIYf/O4CrvebII1eaAwEzqGM6F0pJYmLVVaHJNcDL zVN+Qb4ac/AfIskEDzdpovQbXj91RGoicDVRX5lqFOWFBVi0CW6kDxS2klSM9FJSlRWfQ/qwC0b0 huRIhkPhHvr17dUmCv/wwTzuzaod019ahsjGFD62Jw7BuSqiaBxOrcvSZKxrvHT2xhJztfZVSdAM VsERJv+R6FIX/meJ2gzHctY7ToGFCAqJ/9gDqlGJY6EEdvdkmE/akHTbJ6pJ+aqmmPKDwSYIgtBn cCOYdwIeRUDlVqXNvzRRxbE3Bf9TZXn1NTjku5WE/uNF9d5/rng2AIqrZR2zILTYl9GQI4dOhbPC ZoKXr2wULSI7lRriV4fKBqNM+lbIT1Jlkk2PaGTCOKu4xbmJPFTTzlVJ7FesA9VmmNVQsI91lqeI MGJvcRho4kvZjRY6MYDgh/3m1s+7IopUJlEB6nsqU+/cyVT24zQoJcHEWNC+VFXB3WUUrSJb7uGW 06IYmNbZxBCq91GoooA6ZiP8B4rrcUwlM/UcJWs52jLlu8aIsTt4wE4K8BHB8r24AG8hDjDhuSxA GXpmijhVRMNy1NUo8QcUzSZqirpVUeyD10lkhGQP4qJ1QV7iJ7PP66UH+2rW9MwnkXKHkb/1lsaE n9W/nsOZMPBT1Y6sMDwHeccyyR1BG7tlB/6sLEWKl8AIsuy22XvmIl6hCyZ3npBATGkpmxyM3JxH c5E8pu+2tPAKoCLqI9RzQL7BXbH1/5fjAa7qMSRdroQYuFasSmx9zawDiuWEHRIUOnrkbCKlxFou /Z9jCSEHw7dCfdpgwcdC0jC6RU9MpwvfvotboRI+CzXvyxhcZjI8WzDQ0CX+7RhXjmxB3NTf67QR 1ucntA6P8tBNvFmNOxszp1ncoaa287/S6LRBSmt/vn8EuNvfsqrfmAVBOVLvCLYTviYXfVBqB9P9 6IE+eyeE0D0UlNkrDDjXkCwOuCHjlzSrIrEQiNIfWEPBqhRMRnZOqtp1COYCOrGGR2qvI9gRiUx+ Mi7koSh0z1NcPo24n14xoquHFdPsi2Ws/TtySqmkk9dCOAl2CYfk5vQfRqxpui+bwvSikuy8WmSs D08xaPVsObStjINXsB5iWp0Ih1WlW9HPL+aGMM6CIh6/NH3D003p8L/V+Muj0uTO1hMuc9kVSDIT Tk5QxwKh7iF9vcR05kvmcLRaiHGuEKeosWa+z6IsMzye8+bp3X2TVnCfCVxi3wongNcBO04uYi0D EGKon4mW4Ojwg7xROOQMSsqAq2l12/uQwocSjI6LulV9IJXklaKXDPy1akzDrzRmM9PXBuWeJzUS +XHGJk9JwAPC4TpBsj9961cdpUvnWVt6Mta7TkjkN9UwgbFJprwI4kXpRA0fWM6Jn/kdfvri0w9g tSXb5nUIucGwAsF+7x0TJ+mKbR03iQRxSr2kw96+klsfACsZyVZ0STqI3p7R61EkT580KmJeoig8 uWKzoixn0V56JtMa2tTSJEK31V3qQ4acpg/Ga3Y1B7uQ2oToiPyQ1pMH+m3gMLVvclrymCNVmmsd s/UrfvTZaBMce2GKa7pwCxLCzXd1TMvPxeHVANCM684wi9NTG+blgNg6SkmFn29IXf1dLBi7HeLt fuS03SncLiYQkAXQp7XLl3BdoUXq514EMSXs+rNktP2cC2i+4MaEwfClMYBu4VzO96plcaTAbU8w TtiX3P6ae9jdrZQ1M7xTVAXX8Vyrt84loPfJhUcJ6Tt+cfkBYzrXzhMwc0vs/3Czjolgz08/UAhY sqJ8c7bSvUxZgoMilOQVvwEyP+Qkh6IMJWYbv7amaNLk8f6L8g77oVH6u/KcCkl4VlK+JGg8bznu jQr4kTpVOZhmZ2PJsvW54CF6leFaEOELFxC2fk4Le8N0JMgyamyEbW5xDisifNGH381lxNBaUH77 ZtwRoW0pQBoQ/sWnst2BIMN5Np6DlvZvxZpb172tJyvckq41eJNpXrP3hAiXNQyslE6pUmTPtCrJ GxqJW+ETDwp1QuvwKLG59b159aqEEFk6jO4LVChM1175a3tRQB3hzgAa/OVp8uNwQrsdHN1orFet z7JSRyuTEa9ERnbINEAp7aspE5KJJoI0MnIYMLwe4iFTWbbHaKpalwtcvacKvDR2GtvuZrDzSwCb TKo1shC5epUwJRfyM5k7gGg+e8Gmos662UFUrJEGHGKKaOGj6TYIRsBKQRIw7T6SCd542Hr5ORXK EI2kTLSSYsNfBCIblXa62djJT4HjgAdoaSk/rGFdj0LzQl5OKFsiVh0py13q+PdmLtcpvbmhs7J6 HZry+z7rN3BoKL4nhgT91HmPkGZghJzv+oOBx+kovG4JtZC9C1DhdzDt2E52AfDnp1eRbCenaWYN U4cwCqzCtdDwbKBHiH5CPI/kAvgthIYUBNq/NQSfSwvCxB7wNMvF4cLlw6u3SSPcsdS8xzqTSHxR sVZuZ5pl1dmkMofyZOXjwZD4IEiTCn3NHbC8SdE5rAmCamcoYQXBzksda9aLg3jICzCWoNAcrckY jexEs0Dnm/TPTelpVkznm848aM4sKJa4NJfIvwT5dvWdelrH+G64tjLWsuoOxH0keWSKFVCNFTUc 9D+vzaLiYInm/TqW+dOngNaJTHjNlvf8E6BgNDY7+EQB6qgRDaNphbJQiCZyxpNdpTsmCVmM7qFl 3bf5te76lTz5m5D+06ZyjC/xwwhtrumzLmk5NUqopK13RCb/ugYdNi4beMwWcuNj/jhB0eqhkqwN ABXsIGHiYDQ3wx06QJ6myDyFdLgMeBxspKS1oWZe+SWzSwNCpy8xXm4RUL51gu0m8HgivWXunJhL pWfzGo70vnzQpBDoFEtVO48YRyQv74DMpGoT2Q93pLW8B44kALL4XxYc7XSZNC77K0wNFwf+vb0h Fpx4RpYEeB3YhF3Vhj1hvjIq7iEpVDBEM2ujYe9d2ItsOrkqNrSroN0BSBq82wmYhSIsiqWvkP5q 2lU7kBa0gUmVWQxKSsyrlLniZDJXf4HLOz8wbeHTJmukzIsabuxWT9gBjOzBMDRzN8rbcZlJFC0R UU23vT7d8E0X8JJYMy0I1mYQu3QmO8cwpcieNiY+3gFGBQNReK3ozcZShkoxMHRWuq4Ff7zI7l0y +fpL6bpUQvP8KwzpOMgKwLvvNn9sEPmBTBixfQjRcW8VZLgMPA4OI0f6pcrbLzIMnsP8iury4aPg CrsVFTYXODSD8lklbzM5b8y5DOuVtwP/rxI+kkAaABO6xC6+5ZR66gUYZCgeqOuYwunJdsiLLh50 TzwGp1jX1kAgbof+h5H27FZtqKHU8JZZTafH+6ahc6GEmJxMzWsScgY+JqrkTfeW+FhihIhTmwjr RwEjnYWW/MYIhkORIb35OiR+mtfBYrGJX8RcMIEa8dMe+XIfTSTJcknf9bIk561mjr+Ir4Ayg07O Au03Hph2369D/PoirNx0N2qUo5xcWxQOqkPyl8tdWN707zXOGSc/a0YAzJXjEX5/j0I/QQmjhMkL ecnQFICbA+i0O7fPi+bvir3cXHBT7TtqMEXU+0umDbYllIjl1hux4xVlKEIlUKWjcH6PLo/eFafh dRxZemOQtsgBfNHND+Or/z1t//8hSp/jHw8KH5h3EehziGqnOUSKFc1DSYpt8Iji68xQh3h2OiWf zqw86I+JutMx5NNb6gJrGurk9ZjC0xwno1imTxeOSxe/o6/X5ZrHD7/AgdJz+UR7b/MGpZwUJLQj mq73UKYdTqSfVVtnJefrC+0NDx7svKCVoaVpbAeKrhVLghQrbOy4APXIRsyOflU7T0YXlyl9jigI bi8LOiRe8KNxvCAmRVMdMgQV1sBV3VuWGoDwbc7ld0WIs2//vd9MpSQ5n/somaRZFIJTMRiYl8xn JJdo+9hHuEyjFexVTyTcyQBeebA2QAy2TAQgP5YA/Z3QUEoQFyW49SFREs4wa6+n8XYIWm7wPmSX JQGIp2+0XESXOLoYzdMI0a+BsE3vJ+IxDq5t8tdnqgOTmmhH6qAJua5rGUFsDMqqJpSt7XgtdNlb u7cUGuckGXuH1g88D7Krfj0/B95dnTn66U2/IqEkdpGLXJtqDgH2xVkgLf6+5UqfPf8xQo84m2qA UGkXmK8n9m1ti8LUtaQi7q579+F8La7/O3NRch6B/NNEZKIwlPnpM8Yz0K2Yq0yNHvDe4BWck4ZT V/CHbVIsWQGtojYUQbQ3pBEPUdFiKI+w3mf1GsArGPaswWp2W7zQFbQB+In1c8K2qWXgfdSwBezr BThGQ0E71Vz+50tCDuh7esqJq1thNG+KUKLiN0XZ3DTKc9mc2KLbNb3wDJ4oqkqtmu7k5UgbMflP uzdVdEyfMlKb0CDXJ/3MoRhjtjKpZbkJM96ZKCyXhGZGkO6jgyi8Sf4nl6IkEAGhX52qE4usVvjx QU2fgJRcgxUM88kddoxCM+Sxx4/BnrDI8R+qC8T7V+r+qnQMFYabWPbiMNpPy5hfD9j7emYQ2di3 Y8ZxUazSKB7vI+quhD5sTsjLlgjw4Ve8RG4AESbzg57izfnKOz/Ru9DodDWBoidGwFrAs+L84Ufb /F+mZN9WeuKEa/B6wtQxeXGbztVVU5fR2V03LcZDrnaA6JVLkK1cUh7oP1M0zT7Fa8Bd+Y+GTRnm S8cl+9jnu3eLp5JE37hiDNSCAFewbFP/DrsrLiU4lJ/9jlr/Fpyp4wTSAM5N1QVlWLMk7lKITjho CR2JvjRu9X7tm5gZVESfrHuKdYNG+NJb7F04iURLinPV5cfLzYHp1w/FY+ol7my1LhvrN3sWsXRv RAWhOmxvAKkLmVWbleiVw6BuIRfe1+rI5Y46PvGiFJEEjmgAJL7DvPD2T9o6xVAYlz5PH0XK9HGQ o/xDDtvCBnQ2fEL7FGronU97O/VYZbtb05jsAkFTnlUrLWzL3tkV6GgyvfwZsx4UYT9wQZvmBHPO oInZLd7pQMARj8kc2iVJR1qBUr4jm9UcT2u5xVyckFJoRcpWJnFEbP6rw91FTARIMQUu31Gn/nGM SUUnsOzhps7i3o20xk7y/FY7xdam+4/INiP5Fy97yOMZGrbat5dqnhvhj+if8Qn5Zl2OKjDMqXqf 8R+B2VtkDiKvKNV8AZgiSJLMSko4xmwObuRlDQwV9UG0D4q9lor4GDK486escIx7Gznmpfni8NtO s1Vbg8XY4uZ1lV1mHNs6L66MyB+nJXe4I/K+yEiaD6fMsDvkDJ0UJshrnWsBu/TBIxVvxBUPQVR5 qcsOVJkRAnXHBot9bH1sv8hTSGVd+bd/+GWdEtiU6YkefnW/IvMdA7o+7qwUcWZtcnamU6+1LJEb dNdqeAqrer6t8pN6kqpm0XIzd/CAbv3Z5CmVyKyyLxR1tzcsmq3IxF8vzH9i+I/UvVr0a+ZSM/SU gTm8a3BATXyoOtfmJ0dUIUGAUvOxDJWXeJor0atlCP/JsXXfDCBWJRnxmf+lAjrvdOjp+Z4cSl3D qM97XqO+wXsdheWurtk+6X4B4SMZAhB5ZbAOEp/HYakl+SlzHuguFloemO7t4oRDnLxpB0sm71KD whDfzIpWWYYHiu1APBO6far4tX8DvHsqwVs4PoZ1qSl6wAPdAH/n80B3FZ8yWScOJ19J3prgZX61 bIhrGoHzXrtlL8VUjWe5k/dbimIlqs3e2tkuCPlqVh7ClZXxTk19nLT14WLF7vsXIjKxyhX9RfL5 bXX03JO+D9pob4KX3+O3Y+ddwy+fCQCBG5OWJe1nPfM7mC626e6e2824G3MDb8IC3HQDV/OejwzS bvmJpbCPqwotsSibH0yzkuB0LbXXPF7Pdg7rZqA/+1nQswWiwqgf5lRanqNG/890zxWxqr0wqnyC 7bpeOxQTRmBYFFsVc5A8lL0qjnPg70m2S0g7Mrt1PT5EzLtAnJ0JqfSQlorfpYTHDkeohuuYjNm3 hMGrncMR5zuw3+JX01LWfRvRFDLWlW7v1aHMEEWi5eGQbNnMP1tP8p9s/uJ8/1R9K0SxdfSf4Pti 752+7nQGCjiMajQYNhE2whhWvCHUj0DpV1wZbQ/G2axsQRHJMV6cjBbCQkQ7z1QbFiAR1UcVU9Gx 11nozMi0D51G070r+HIcs/Egahdg0Z4YGnf9yxGbgBTJVMwmLvEZ+dbxgmrQc8S0YZsOh3QovIgN M7qFOZw8lqtm4s8rZcxiNBMJeqhqD2Ngmeu3NhPxWMuXDXQ6ArJUbn50nnsJDAshVu+6j6cJEpom AaSqKCGzVp3LWu4u85HUp0k7L0AJpp41ZP6BVsqLeNAgF7dkg9FTx+xSfr7I6in9vJ6hRi53mBqs TRRvp4iTbd4tsEHph9ETUZK5si6mVG6KxAzn1YT/5WhsNO7kZDvY1ctzopf8cyQWe07sctK7YVKn LXABIa75L91nTxF9s4zp2pM1NJFXSV0On0EHUmmIt+ggKS9QwR6EmC8NnzjDZiLexJmHDkyOOb6S 7fh1IPrMrh5AdnXZ3nBNP/AEgDC+OHoW0uj7rEZLp82ZslN8gfLLtIcEpap+5FduZYCGRB3SyqwK ta9OpYBEHVmfOX4g/iWFFRJMJfVR5TeLSXaQHfdVasJiifFramR00Xmlw22rkt9jcl9RWy9AT0xv 0xvvxHz1kl16SjOHscnPekNzlEAiceBbqU7ez5rphHI/JnxveCsoWXQZNOyHWfKw9qnbGFr1/6sl PzQdgQxzwiKusIFk+kjIy4bNd+/tZokPhfE2yPQHbLYIyttjtPLN2NxlZ7qBOxf88p6TDgSaZTHS NHqwmdIKPraPmNhJ8t+FTDepO1i4ggwT6OHpOkCML18gq0RpJGTZYGYl9HBmYG4cCn/jcON3+/ql c7sTdxRnpAqgTpf9SlC0QadOnYwWSqdCnc1NDt9hhZYWiKvfM3S7RRfQBqMihkac0lIfBOBj+IOb uZvyWLexQQsp1BlQCZbwE4LS+9dDSkiFr7j7O7Gqadk3N12JIT+YGJt9N/u10B5uQnXSDNRKWWBj fepbcOVOIPvn/0e2KsC0vB7HwB0/Kt/P8TmUaDKj1I5Jc712ZUAs+6UGe2+sbGoZ1PTnwrXuTP1F o1iymYdvE4fnZr+OeOS/6jcYwSU+yKeccEMRD9D6inH21zLgYW/Lup+oj17tGpM38aNNxKmDlFV5 Kt/RIZMqvIEooJ0U2RBfj1ImD6Zk6OyBIjb39NppT+8UuC0Omr1tbG+WLXebc2YXQZght5cCA9j1 kkAB8EdnUx8lPYmSVIJxIzLfD19vGPZp5V/5Y51VXBUv8wfkCpZjegvIeVhex3M4q9IFem7n31x+ rIFTpWTXqk2mRkt2ARsoKEF5PypwlpGXUsfIfqiFfVgju73CXqtbsUWcm46D/KuqSZdQ7WehQ6DT UPInqZlJmsdsxS2jk/yJ1wZWLdyKG3H57JJXF7GXTFtvLmkb/ZE8gJ5FP9lvQ26FfYyhSB/71L7x MOFKbI8Qs0F/3QGm7IBGerit6/oqATXHqgv7R3pR5b/hYLCdTAjtSfAQycmnY+peY/EwJNZhoK0S rIPN9Bc2egcc8EVjIWDTm9jzkIHLReEfNzfcNvKq/dOtZtRI7lPlQ5OcwfHpbqCusmvKY822iYmi WzVyVfTLdbUZ7mTbSv7xdGZgMryI6cSWjqIcKBI5wTcqbUMlOa72SMFI3STrfkDQ7I93Xyjbwgeo Plyq9Ow5q9rMDQfF19+BoXRU+fxVMi9AOB4CVEONVQ8D8a+9ZeN67ZWkTJFO8givk2FLvtSGINXv exdQT36BSGKpJ2Ow/EREYlXqEfxKr0WodzjQLKTNScDZ+qfLDeJJCZohjpTptqXRuer61wTqOYTx vDZCQbI3dX+JBCCsHPaXra3rf0fvbhaIm6IDcQpwBkWJNXd9QBVSMtZgz9EVn82+BYfVeYJk88b2 g8nSCg8YeVsbt2oLkj0dOftfYlJLIlWkSK2wgD9BGmiEN7PEjvKeAAZTkIMsTNwLGa0lMZtYJeJJ BBQ5PcWhArqYoME/9U2dJAznNG5ikVQO1+0307/TFW4xm1jl9Gts0Gu+55RjCHHUQF7BVkQDpkGp MKD+t76gzqPJL6OPoGz7TEbbOHko0dTbJTUYb6McPDThEHpmwf74UGcKoagcOoiCURxwDzYfUUML KsZm/R+PPXz8N0gPbayYUSDNGgsFu52clDX+A0SjZyV+B3ontpEd6MKs8R8cSP9Ic9EyUH/vO1aS lB+T9YKV4RVklFL2kXZzl9ay9B0xBcFI17v5S55ldYbzQnREltdMNFr4Um48WiFbXVIQ9iMEDvm+ YOpy+lU1hX2T0ZTyHOt5CHLwVwot+Op4tOFwLJGoMpuDB+Er1A5uRaHNxjZZk+LsfCQajUydFcS0 /p/RPERNNu8d2gjrFTVNAmxuT0GwQQO1/hapAByMc64gJGqi9mOzhDk8fUsSYDfgaXLrwUFHUbfE xCUCPQQvgS/bpLpx51dtyUzY2fJ3ZuyhDDBXeuLvjH576u02K+UsheqsPVUj60tOp/cII6BkhUs9 IbFnpwZdlXeSuXj36461jkSBTVHwWo3NlUwhwaBBHt3nn1i80YQ5ZzOjOVYlmRTg/N+2QZQ5Ci7a b8IoB6Kj0aOW4sopkU0lxyyrGVkHFWEuIYDFUspROQ/PZKMGUylgjD2nZT5/f8A6xdyjoDKnxvwy YJT0wJBOa/g/qMM9O8ICukzdmA0ef6kYitIastVChB54RY9+2L9E+ORMNJcVDaSzNte8BtRPSbJR rFwx1irZU5OfYVqZr1oiHSJUm4Kqz9T8iI1mreOu/U5THDaVNRkobEj0QG4e5n7/YIYUi6jbXdD1 0QLn1UeiI3Y6bns2zx2iY0S1s1mPtcLPCjZKH4M1Ylz1KfQ4kQmNaMUDx8LxmK/XNmflZO82f+Gf rYBuJndLUcimiPzoUrWC+1gfZdC+5VsaqzVNnKI4tDmibF/pSIR3U4NUKj1RRPgCxi56VyiL992H Y4W886sCYrF10wcMlDd35R9gI6w4vTD3iRFoTgGymcuogqaOoquLrwkHFY1XG8cSixUl9D2AVyXw EfgYpCEBYgpn7z5kT9kSVU34XLIJNqSjv4zkyZFnxlVXCvE0B054m+PbvL2Lb0xLM+QBSAZqOrmm /+2ChEfBrFDCfaKqXl3fkfuX0JpN+Yxf4+5ZMEV4Az8N1jQc5AzfBMNEzJvLC5mBoB780MVJPou9 VuRPtljZ2tBXe0QmkuL0vGRw/Jn6VCwtj8I0lMJ4v1OTl+ZfMZEhVq/cgktE1r3IknoUs+tGv4Gl 92aB1K6EiL+VsxxOQlsmOKQDRP6vMTBAw/i/bEZJA80mQ9ibOT+uS669/wy4BbDJuAtujTiS4CB2 4rpimwD8HV5OJ0Fp0iChtkLHvY7csw6mB12bwDXl4drxDi0vlpeIirabh7eoymx1hxP6pkstxukQ +Omr/WgfTXx5PdqunxTAF04JiO2pQwv/vfte7wiax3zMWu9PryLbnCiE1N9/97fdQXxgBE4zzOuY jMqpPlhZ4u2baZjJZt9DNBaxtvANOg0lcAN8Mo5T7JRsJRVDuyWzMbrlXeC8sH35emTqRU5nM6eq CkZvxrGajNsDygahSERv/lKh0E5kJ+E49gAFmfDiBNjHp9BAFm00BNWfthSKSIWVQKS9t5LQ49RD jKOYKRBuDYX65dZ9PSwca+ZJHuMPBy1OCoguZASfnY8o8tKALzev3QgEugWCvtS/B9YeY+1tZele F3qagS5JwNM2Br7wtsqyCMaDAe9PlyTMU8OXbGYriIuvvVB3B1T+qfojF/XXHcgDcEK3hJKr0gXz +bX2jc6BvgKRt6EOvw9o6EUGv4cVxiPCBtSF80WakBCvmJkqL8yf+M5f+sPLYH8nc4UghlPrrKFm 2EWanw0CDtABEBx8gs43KKhIOGpmh5CoA+wd/zykBpjUz/ivh2417bNxoWzBec6cSlanh4hThw1k /5PPyLReagH65LN3MINCXeVj08s/cOuLg+SM972Ql5jliZLdsA+MuGaVYHjXbL7wcYo16YVGK1Dt hOF6kTw/iMYzwg1oh4xs+22S+eJmLJ+5jdGKkgV4g5MAvRf1wyRwjbcX1vDTz/F99vG0r2eGU5JR aMWaB2THoLOBw4w081lWNQwxp2Zyg6FTEAAO34XwQrwjzG/Git5ZRMJUBBGolfu/Ti2d2rvSY/s4 76B7cNP/Qptw88jkDVU77SoCcrbQkFVoJKeNrRGiiQGPHPX/70OzzgerKbRerSa1l2m9wz+sY5ol 2g6zasN8EJyB8XQ+EmNJukh5poo41Ytq2VTyVw5lFDlR7BvZ9xUHw1kR1Mm9g5fOsu0scc5iuG6r f3XrETqG5s0ixPvLMgbRvnj4CHElxsia6YtPgDM7RmKwsZScXKYUnPKQ33b9SXodoUrsLat0846i pvCO7dMqaeSJdWB3pPf4XCSDoPGdSlyLswHkPl7KmQlLJG46ONAHas5cbLhtIbQrzX9nu57n6r43 DfrXv/sgeWKVmhEI8jPX6GDQJVo+e1YCweqnl7FGtX7CVa2+mTXqLxSXXjGo/XWg9y0rw/XcHoE+ sJbU1AyuxUDzqSGDyil0kwGiqfAw0Ge83KQdc+ItOebvRvhw5ck6IN6bwjY/K5kUbTp1D0yeFyfZ a3JzuefjCLWTHL66RMg9BlhoRwgdIRnTMwolgVgWCXK+VbjMFeeSg1eRT8c55lHKtrg+4rMDLNa0 uGz6jBBGLs51ulRDIpXiCbvv/XsGdAvD5zZVcG+HHZ/+R73m7/u8LI4HNhMnvcHy73VeqV39Df5y bcGxyUKLuOs2jECqzztD4N7EdMRrsYDzakSCkeyWlucohYFSdrGEOi1G+ZSecVjtTBZxxXOVzc5Y 6X8lCxjZHn4VL549hXRSIeKCHIBOIiKmb+bPDe6mYvUoWHihY9QFL0G1MFIIQCAS9S1M2A66flax pzqxH/eNZ6dX3wbH2vzF5fa/o5RnAXWxCuvXLW3qp0GnUbmZysm3WiwDikDoZ47EalPvn2irH63R sar4KW6x+NJJt2XnctuejHfmxSs1yMAWwQoCLlsZLkbKQTFCX+HzngdfUapzPgC0BRgXy5Z08aV1 RSWjHySERY+OiGTnpre6dhORBXCVXEt+57ODeivvOt6uW5+O/adFzzC+raZK8+XIeNNztZuZLi1s r9G9CvnMR2FZxrxI/KwRm7Ft3PmTZifAtJPsO4iYZmUek0ON/TGWrmaE91f4RF4hQ3EydFHSnoW3 VC+4ZgK9dfUaSMQXG0QFMU7y111h0cx2zFuNX5NycrcgpU0Dcfz4D2U3fG9RSjiM128cid/sg/YD /uC1tunjASz7FmWGeLIUesHEQltfdQk92oUpcAq/KBdtHk3HeyauzEfwECOlRTTwstvLThuJS2n/ ksjcIr3UwzV7SgcEdbsFATMMGkhIAyd4CvXIqd3z65sYGqgxdVkubDb5D1zTq+t8Me2SjhXbZrNV iRwE2WFO5zA+Hl6K1yH+xpz2xj3tn06oaMabzc3kGQnOWePsrHAHRrUIuBh3byOdM+eo63yVDbdO QFjcMHWL8VM+XSUEULxVqRcwkS+lNiZdD1vFaFVx84O/c8/+TtwXqHL71R3qjrW4cMtIQ0NnJ2FT lYeVUkUYMhbGBzGGNmx+kt1l/ADjzIt0RxTvK3/e+EWiGSPl36u2m9d5+nen7IIRHijzJc4Y+7YU +gGnH4unSNiZJpAHfkFZ7FrJcMRIV+9VYvvNC769w7tKKWl0HVvvwEfAJFIuaq/fmqsBz4j8b9US wlc+bZEjEvIXtcipo5UxqyUaV7NImZOr8BD6EfbGhxJK2GGtqK6q8/yVwZrBtAzcXUR5hGPA8Ibe ZEeVQSX39g8bRufWoqRPfjIlvkRM+hudM+fHfbpZii4CNgHU19hjsYwNNY7tvHt18oODhHkMFEKs MXaEwMT7QVroboUVii0+pKYZxRn3RcQ1iu3ueasHW5piaXT2q50Hi5s8mxjtVdhp3B8q3HbhIqEH vjzv+NDHb0jI6CYhIhJ37lexysjkQSqrKY+rk42WXZ8CjpjPhzMikHzkCPVZKksBBqWqp83kKuWC tfiixBBUiV/px7WqsfIhwT3t/7H3oc3WQ6CJYweW0ESq/DRxQyE/uWNrTmR92uqn3YUVzjR2vojP qnQJUyMhXiZ9uMS1iCC81yr8hxIGZBWz0+/Bbpg3FkNx5Uu84rzxhGVGqlGYeTQa2hJCHfYK+T5M 7G/dCzoKO3t6bo7MQG834nhlyYBcDPsk2MlFGPWnLqfPY5Czj9eBru2CsYPtlj9ZCvuagqheV1Ex C3Ufh+RjxJdWpHEl8Eb7KtoL/79cPuRdTNqTAQ03aoesKmzF5gOuZsP9OSOb5Kffm5hZRCqk8k7k scOJeHGRiw4M1BG3AqJheICbeAoMzvQD+JgOZrHruGVxXtXrfasE1EhExYDbtUhSsNCMq9LmJZ/Z dQmd6HPbjL2nuxNZC/7nxbJ5rjgdWA/X++vMFWC/QubNO7+zH0iB7/whk4+w49OAc0j9pvw0NhR8 lHgECZ0AfcqCxEe0xxqlYajb6pqnJ70Fn06I89obBPHrn8LYxQVzE1ihAZv1l9ErGi3yyS5Ubd9V 6VlGYR7OJ78mYx+qfLuWPg+YdDUT+FtKuVihJ6CjNv+hBnqR71nvsJwWn7lpyGEAQpC1b7gCWK+X aWUgvETSHR+bwmJ97rVyOZUG3QXlckWskb2jQJ35sVQpJFTC0DSj36YdF35Xun0BDiYJ0MRtvve8 0U+SP6nCmUpytL7+yztjnJ05F9PWn7i3pCdPalK9xl7kA8rxXFyLw/TV3VeJW8rOAF0dg6od61aX neXCZPxYD6GmBcuVSqinoWkVaTs2pMKu3mtbmqF4/ZklwGvxvJfRRxobbeTRE25P1k1e8Pqxa/1P 8UdJzhaaVUSQwzL784pUd3bqQ1PBWHWCda+IQBzsSv4piwxe8qDS8s6tNaSQRr7buZGeXPRplzyY kUCzdIsQiLb/4oJhf6O5UbsOORA5/29gYXqZ7v3+OCphoBkBx8lOXPDvdk3zMvYaoAanIO1Jhlp6 TsQAN4xhhM1FeWmxXm8VLyX8+WOyZmsyKSBCnklhZRPp1beReEn+op/oKoGOz5sMARyShAEdAuun lQFWpfhvH9JdWgZ89bJftG2Rocm6qF0VBZK2sYektEABMaUzIEp0tjmkbCfXm1ZuaydI1YVdaFdi zCIxOCkF7hYa1FFZN9s/cWZiacmG+Zh3Kt0jhh2GjJ0jMbB/GskJQTzZEdtEiBYM6iLgCb2P8n+q 5VpeiAw89kSLNdVeuKyElTt1x454wJjZxczg8QHjvnDXJpzk2qn/O3ukvNg913riain1JxF0epTn 70mHaNdW82ix21sQb5WeeW5lroSOeH07WHEQPp4SDy7xeNQDMkNoGTEmiKXhsjyS7nO1+sz2i+8z 40QVqWlwxXD7c53X/Z7ZDlGo2WGUp2RRMRKTnt8y9jTeuBehIAsqn+fs0ya4L0Gjwdv1mft7JuVa 9LftL8K/Hhqpvy0IRyLTpfCIKh73I0DOT+2qOvJB+xGNZLvJ7mVYCzIWq0M6Nil0hl4ZYy3y1d3F 1eHiuHVSiJO964aBQQ1JYIBTgX0kY2ZSdBNDyJVn7QSx+r5jpNu8shwRtHaYM5ipFdUzCGf2pg9C ddyXFwt2wbKff/nj3RWaSLU+X2aEsbBX4B6Er0ByuVoO+Nq2Melq9WnPK0PzT0YeNYqTvV4tp//C I9ls0r+L/9saowEZBEDthr0gIYPKyvZBVfPfoGmAxfTAZ8WPUf5+1EGRHSX1vokGzLhvLuOMgaH2 YqF9FaDhHy99JqU3OgUolKxdTHN/swjZ4sPu/GUeHRnqHp/P2iPu5//TWGevIAO9SmJ8MWy5WoRU 5Gv/+UU6l60Pldw6LDns4FfPG2UtlkUwewDQkLsQJnGLfNsypSRXRupG+1FixMfkOV6RokVJZKUm C2XpRy8T8RjdB7S+lzbhVH1147RKFnJ0VCvrCFj17BCwsZMjlQL15mn8b7AnSueE5TisUBGPT0Am RobjQXwTRgD0cl3E786d7UcvKSrT263feD/VXo8oMjpd1lJq92iunl/2+yKd7+G52D5oYVEF1gXH 9Mdp3PW9sHZ/lBsVC3ZifTTW9fELNreDeVMintepagSeQNQfHB0Dt6W/7+W//xyyNh9GG6DEtuXc 12qA12TDDIZuoBKZnwjgszDsEjaXVGWuLuUagrDZrXozGIiDn2ZsdIB4IeueIPWO6cJhbiyUaVSP KQzxK6AyjqLHls9QzqkUQQz4Va7h8JPADNXg8ZmxeR7Sqs0HpwNPEi1mJ4dDEb5fpfkd2YErAz1C oRBZRcIbvsHLUeLvcz4m8ML3wAPWhKcEyx98Jn+DoGeIhFE/SPTvrzinxMAN/9c89O/EGSIipuss Z3ofHGQXjAtmjuMuZ6/DFynoc1nZ8hPZjkhBQcxOkN8mMoZw4cVbrK1H5owpvnPFDvKhxCRytsai tdjr4RmZQr1l8v7t9f4XDmOsTsm+AEdN3/cBJdMtJKTUvh+OroxcZcV8uikt9GoKkyjmxSVy8Y/Q 5L1xoux2W49ause+wawS4Z0r/RbqYxrPHJkM1lyOLvXwzxSUeAbQ6LR2gGGEH0CIaLL3bqOIvG6N 3/F2Q5rCdlxL49wwlFpb59zoQciXEFZN1e0RKqXg18VkaCu/tDXNyOrp46iYzOQLJxPmYiPYwRDL 9/oMiiqi/WF0TAmHBoK0g9McTcknmH0+GNBfyya3oPLV3VIJPkEfE+cdSxP866VhjRS8arSgybgg auDeA/ueYGSbHq/oKU/Yh6ZyEGDgayYnc+23WT3e9RSE2vcV7U3Mqk4Eo9J7yxhDraB94JR+cKXc gI/Ug19oQOWYfQpj5NDB5LsGWGWGLnj6WMGlp5oMVDSVXaig6LQ4/FK2MpjWPMKjDTAssSn0fvnw f3pQZAXwJ6fBA9r0NGChMPW+NK5mI2kPn3JAZgNngzutwbwFHY1tb38mMludvGAxKTiOyTBhHOF5 mXktR+VuWHdJ55LM5MRKv5HgzNHWv1+OMvIB7ukxtV44PUsTyULZswQ6h9Tin4J7gIXGgdytrjO2 DuTGfXHTZv1nZK7j6c4nZBlyUiMc94CFy/xUJvw4cOw1+z+4IkrnEO/04zvw0bWvmqNcQjkbdNZA R3tkJhnb5N7YJGMTRbwF/6iM3kHmjtgh5tL2ldkHKBoIgJDFVRwYlDM1507kbyL2NI0fKa2PtEqI mVIh7zSIX2LaYHfH7Se4p4HlbwW8HWW7U8WLu3QkBh538UjN/cvd6Q1Yr+53tSiHS1huLd5umAj3 V6Hu7hqE2UnvL02dqytnkDgu7bUH9hBrpMa9iauF99qklBGPVqY/aKl99Rj1edWZGDQwBqUZmU8g lYfJ1eJ7QJ7rKvhnJ4kC2YlUuadRIytYnLo54M4FgG4xHoIvMFIvABj2AZXY0hqkfLske5oI/A/D z46W6LYNZ0foHp5ekO/60uil9d4GNfqeDzkY1A4PThN8e77CNzRE9+Txupe5I+1uAc5NOYiExDRv WltXManIJJiQpsBJktO3fB+mgibDXYdptfXPuamUH/Ilxx6mowYRM/gMMhIQxRfxksITx4fhiZy9 O6CgitnBeLVLWbxl9QVreGuI0WKGSW5pUoLrVMVInEqCl95o+gIO7OK0G/sQeCudV4107Qxn9rrs YnaEJ51JnsV+PAd9SfVFgc2EA9BaI11tCI2ylfllXFX39BvkR7yx5EeRCmWIo6+yaycf08V9YREk Mw2cvMsw7YrkoD3GauR3IEwnt78+NvdpIM4pouByJh+Paoqt9xEBU5G3dRgW8vHkOcuSllWssIXJ D7z/p+1djr3ul+OD1xSEhXd40FvuTnsKxZsOD1FmcMGKNDIxGohu5UvXk5V5Yg1ONI5LZbNp/mza 0NkQoxlqau3gNqLGDZnPDDQvuPR9ObMbVI8gLKg4ntHVZ4iVjAwwC6kkOeJVuR1JCrhGdHtDS8jS uRMluRFdzpwuq/7tI+cLqy+vCShRSUw2cxAqqmE7ZYQA6CSfey0PBCMLjLck+mXxCDoZHhgIIjpg ziN4I9S4KXKzE27b7FZ/tqPngO46H9t5Gbgg8eFsRqiDocONPX7xGP/p3AT5yyCNcTbOaqBttB6H ofBWUHqwJi9hTbsVOUefqhQKPiBq4bSvascjyEFPknE7xpk9Z7qltnaBOjlGGj/Y87EeQCF+uY3I 3h7wZKjVVJ0kiGO+y5gYUB4cJprzTf/3nZbO+0ERE4PocVGXCJ/nM4uecER/m1aydOnLwRegzodz 6JhfXOZSvjTcpsIrYNiy+NPilRVm7jZkXd9+ZMNmbTu4y5T15oUxMwnnkwgTKvCT/HpBaaulgCdl Fl2T0k22odBSqcjYGDhy43EiVBDgrlc+J6rU8nJxcXLxexObCHjmTQj2WK6yX2sQSbnxvChUMJ0o t7IAX1A5OV+jRFJFyjiDhvZAvACCVk74WnltWL3ERGRYBBZxVeFpoXUbWVz7oJvi84XcGOR/OP5g kfa0lEAZ2NHVq9Ul5ewARAOHDcHlGbfHWhKni6O0Q/Yl6qEy5ptrkEApkd5+VlziTlnTRFlTFYrT hWyjwMP4Up3YYily7ykKpcCulODimT+rqDLR3sY9ARkGaqaP/fvw103pz9kdcT23fnpnTOMRxTHH t/LSvFxDXHt680UbCz0xZbAbLn0J+O36hlpjEiLyNpdv8AdjpAOa8lDcw/hkMsi5gLnwiyHPtQnF vQ/EiMDiouWKgLQe2NrkakbmDWmMCfdblMj13QrWn8PEiGnjufZa+in2bgAtP+C1IID0HCGKALVn 8tC+HDM5aow6ysm9CITvUBcDP4/zOMkdW5wD3ICB9KJai9Up0RDHf83oJq0390rxwUz5WECA64Tb rzm0EIrChD3914kaBuzHX5fWHgd7lK5GiNJH47wE92B12Or7zsvD+U9sFB4oiMOSK2CXrKaM087i KPVjB62nXQ7j8uRjf+wwsgs+7JQqcCfTMdA7QCwvWkl7Bs1j9fBpstDl8MW9ZdgyqRE8ofSYDywF MVtiVp/LMpIJIXTuh/wOql3xQA3VAqABlS7baR/c5Vjpx1SUpNfFsWxfqIdCk11RxjbOfvuqgswE MpauWiZGIdkZLgkuiM5CjAKI9zyJlm7t8/miLUxH3uUzb24aBowSYG68COTNsKXDhlnFcg7W42Yu WFx/Phaw6RCJlUMCmto5I0zhk2YshFkCPa4rXf0L4KzhV+RqBFrl+udvemXyS0s2jJh3ODcPEbpF 71uqpu/CzQ9XgqCC+2y7bKKS4R2G2lUIjYxPTmbYiSp4poEsNjWWUzFq6y5r7tk36RjeZZEICmKT n7VZADG8HCmnI3HowwC2XWNC1eTuWuc13tNZZO5IEViUSgkA9gUNPHKStMwXceNRK54CeIbKBqsU or2/3RYFLIrMyIPnN+31YDH6Jd6CC3Ado3yOxSZc1Z+NNchkAJ8/ZN7RtJn53Ex4mVFjiNsYAGj6 mI6hO2+/JT29skAzJJ8az8VqcSYYRs7vC5yfqInp3Iu8ncxRG0/RJWPaIHry+ZX5Ynav4MXwl6En fjbCFGzAh4uANKUlpcEt08fw96eGjtEmKzkGIHf3R1X/N/HWiTPHyhgnv/5sL3w10E9PSYccUNlz CyM59af/GG+9r1dwpz02q2s/GnbSW7pRHpIELfNO0cFRA5Ejx4Jup448qtGwtLYoEGdg2k09HT0Y AUJ5yAKxDZWFqYlgCpUk6iEXUEJMeagDRAHO7vtCYrZyewvkYWEIWG6H51CQHoH15IviV0SKR+Z5 y6SkvRXwxj9xQq7ylruWNRUUuaDlkaaAyuByAs7In2bmukayU7wopmn2dGG2u4w6me42vO1Rsy/2 MoqrumWRgIo5jx7LzxUr/DOXSci/07l6tNFSUH8L7Ym5XqHaKFV95Nb3Py1LancYZucI9jq3lKOz U1pFMX8OsIXGjASYSKA2TCaFtrRd2e55Hz6Alu4qW0ZtT/lVe3L4apSpWs3kWfUL+geCpgWao96o woSeEVne1w4ao3EYrYhcPRmVcvSJTDakW6XtstmvXdptcg/PhHI1b7zWQj1yKJAnWweqM0k99Uwh kNUB/2xqbbyeCf8OcAgarmarVV96NZlXhxEBvyIJoaU10X09OMELd9IidKBAAjm0/F211wAZHGii tCpSA9ivif/VwMfOEtMbt5hZwoqEc0oGZ/FtiQUjhDTZ4dMTyrm2awGIzDQd9MkQ7PMxVTc32TIr RkQYtKSZOgIlWKeHiWHaT3PmGiWxPE1gXiVxlR70URfI0hLbaYFLjhzzACuC73E5yoxZu0BFiEpK ecq41ZM8lYa0Kb0/iCtl1WgwKqrpgR5Vy7+gTat9pqDnkbzouXZut2dsxU4J+leB8yTFWu7eo95b YnoJ9pKX162rPuc91veQkFCi2ihyGRUPgybnIXoOypK8b87mA6oM2RVd54ehjKtg7yJAf2KmAbFy QeWiygNe6h6vEceoeMj2x9c1L+p+JrOMwHmtc3ZG0dhrmNBpd/CmVjOTytAhTqXd7JHqFNGaTV9E Y03B0oW5PTslA0UpsnlJtl1sSBXH4Xz0U6SCE7f8hCc5RO/MgWNGPURuKtP9hAUlrTnuk8EAOfJ8 d1f+h7PVOYsJPIDHULpxU5Hyo7xVltRl1U8MfHP5+HAXCh92gAcB0Qv/bv9tIZePiRyZWermbyqo RU8b48+C9auggDkGhGddDJDmez3kQatfJf4W4z+n1dHKdtIJw2hlMEAYHsBR1JK4X5U2ReHLNyT1 YiBsYEa5CZ0ehe2B5hN4KffzMf6OvfuJxe/0fEBcgxK5MWB1k5nd8Iy0lqOLhEoiFdEqbq09UYQa RHizrgGtvWePRI7ib9EkDQ87Hz3H2ycz1NyhFn7EBAs+Xf6wWhSgsTb19C718eW7z1S1Cw7Yx2jp AhLvW+1xE4BnWdGdT0fftElZSSLyqWtkufrQbCY/+eu7Hf/cVi2VL6Yp0HK9Hz+zHmj+LFkQlJf6 uOe6wF9IzGZC7sxX2IZ3H52gSrSm08+WZ5YWhh9vpe9i+/uryAykwSMMIykdffj/Yw0SqqpT/TkR UQcWTbENWu1bZjzMPSZ+hfha7rtgI7LmEjhcOiEr/aGTpPQuVh499lajBFYR2XX5sbyi2xWzh/hx bO4xWlKJVGD64oeip79AsoxNkHmka+1wjE7oUn77O3Qmrfhd9mylxrN+F3BR5XfLbeboOSW8COMA ubfApjdqSD0uySJJTY+er+Ir9TNNhWijUMKGybs9hIZhEzH/53X/I3tc+1hBq58V07JWPZMTDdJB gnQGlSGDlHfCjWNEQD+N+hTvlM1mLGT6Y75vW3wzY0AccKgRMmE02619nkT/mQw8amzUzBu6q5OK raH4UVlFbjDAANkbKsWKBCokT0h7ooUVg9PCaRtzCjY2+/sN6oZvRdLjodHBYwqMaVpW1AC7n84H HhFJ7WdkmE4og975zVS6HQ2myTjZvMB7MhHUnajvxgP4IQ3qJE885YEVUmF3C4aDvEytaPpFn5m7 +hDOBruM1OcUhAor1kjgqd4nyRLGSbU7oq5aNQEjgin1aO0P41G8NyZyTIR6UykYBt2KhnDZ8Bra kg9pCW57mKz2iXyMDRti72LehGSt6T2MYmbi18WBFZHi9BJp/OvjW6OHJWR5BKALLndjrEINLdu/ O2aA+RDeLPB9rt/w1hhDi7yz7L65D5H4vAxsygdoXF+aTSB47NUzK0CZKdGO3/T/K+4TIsxCeKEr 9Aw7SnIYR+NMVsyMTE3MbF/IqGO5wZrf/LLcJkGGXs2lJwPXd8cseOy6BgPeHZH4ES16OxLsNBJI TgsNXiDLJ4MSDKZtTbTLn2+R0bfjhZY/vyVc+YKVMv+R0ySoqjpyAXlL5gupQVIECJOgPUdJZttH Clv/njsBR6RTliMQjPqRvnfAUqUSm88Q59Gfpr8AgkdHqwqhhlpQFdrzjt5odLQq9HRIs+1WrfLT DyqBtpQLGdO5rhO0HLukZxyN8JKT+WvDhbKYC3OMF2WhKQe4nD4z+3Xdf2SKRmK5V+oxUdduWC0/ HFGCgzIu8XunpoPmkInRc9gQ1RBzkE8hQVfZe9Q+Ny7JwFxYFvCNJATvC3vI8uFDiANwWTaK2KDF TJ+sPePOjqbbDsSndbnfuAqnuiRNEnBVH+rcWBSDDkdxDFh7OL8qWqJRAlhWVnCzU/ORWYMtDpDa TXAQ4+aDslTUMjjOAev+F48RF0I0+XohObJpDrx2w5LCO9nFMDhu3FX8vjDoZoMg2nIpdYIOP0J6 5AmGWWAy4QDgdHePlEUTaL/7LoBiv+zdziVXzUV7TZaUG4MRWpHB0pl9MW5Q4QKLmzTteGcwMWlZ oX7BjdLIG00LiuDb+strw/s7opk2Q2qcYH84UzSXfK1gb+kyS3qhmk8jGJTKiWP7AjgHbXTOYBH8 MRvuP1A53GnTdNM+q+awjw0hGfKJ96V3JnmqjeQn+S93LvrNTEpcUpaQ0HQ3CQESFuuQHJ3cvixk nEYSC8/g1G2UI6c1isNe7YyodmrYWJ58OL8pnw7Q1ZlwOa5UUxOhQm3xVCyOzXnWZN9fGX2bOkob r2KjuL8xgIYhtqOTEwwHys3yfDVHUuSaE0s//9noEiireHROUrTHufnzwnbQI5MnkoC5gVvnN8yx lkLMsrIHzGFPhEMQaqxfSPxvl0yB/6WPwlvcHkmY4aH41ykfBItlEFfnWbugSupcdWUEcuezw04j TazN9MdVzHtL1rjXdv9LSZyw1fVlssLgRgp6MTd+6tDaBxtKuVY7zNtmaTU7zD+Te9nFJ6r91vKA xKH90QkQNVmc/RDewKcVlV1zd8CnT9e9rMOdTUnbm0Fg4JyE30TiRZ/NZ1WO2P4Nf4n4qOk0vUB+ BhSe7LiN0OS5k/5IPv3KOl16/WZ7S9cICcb2xh2iHC1opWLYSFp+rqbEsj7jdUNIkEZP0HuyP1Mw DDdMqLJYakq3LHNX/Iw0vw2mux9rHL57UXNBLKH3i3/+MGRq8L4hnZGCyVLntXOYmrxYSdwdyf8w K1pvYuzKLFtYOkp5t5COb8RDaBbevsXQPnDJ1CLZ6ixlWTg2wiRWRaCkJzRH7yeC8m3Lzp2dKAps 5s/pCAURpyJgl6MbvPWeQKoxI5B8DKuDvZ40khbkDSfOolzgKMsk2LozgE97xvpwT4YnzrBt+YpF VCza8WUbhLFK1pVsh1xMze4oBIA6iTLHwwX0cBrQxo/vCli46kuyxVSNookkaUOACyft8eoOwB6E n5MLm56P4ImVTSbQ0BnBxkWD6h9AB+IpUMcsgvAiR4ecPZZEGRayxfbaRPqkwXOFB90H4xs4bL2w uOC/YGXyiCP9hEk4I0d9lU1ek/7xcTDJjnf6XWiTU9F1J6AHmlOTeyUFGOGwyZRbFL0Z2rN4vgvY r2XPOd6vLhbju+xwsnOFVHphEy6Ov9kx5NQfehY1DLAEzEmfSZnndkD3VAOeI5pNL4hHLA+7Uepz Sj9ck2YOzpthQ3Q24GzOxRT+V2yn5bkeYJOU6e8a8QBIR48JUCxejb0Rfg/mKW1/2umUkmFIG0f6 OXBPcX3kjc+fNp7tnxxCbsKMr50R5Fynz73ONriQVtrfqZf8cGISGdtculbCowyVZlY4f6Qzzncl UhG+9KOlCzl7Zgap4UsCbUX6mCUK+U7gYldcN8KbrQWPgXDIfM+v5Dz+YODPxmYdgWHI5O28T5xF 703u0Xsdcw1F10iu4ljubef135W/VSUxx3AgMKExZpmUsnlNuD+50NBgwZTNd7Yz1/2G4XP3+jXt dKSx3hLfXgV7yNx/1zQnBkOTsOuviY+QSluXLZad8FrcR899QTabGj63B+l4eWHE8wofMsCMBahj 6E2UV8Prn4+Fs/+KZxIqDb9DvCJJV8lh4XhIUvut6vkYDjqawm64LfirjLlo89fz2fwAxe7xWHrT 3gapBrJ1ZBAKCoNH73obW4zx1iDPDajj6/NowUbXEk+TXGYWMzedNMo0b0InxgNo4EV/IBCCX9qG 21XYRlr1wwp8kjQH+Hkp3ZxA8NNSlggB++gSL3E5WukGNIH/V5saUPSjxd75DEBUAPCQw0clq0nx XCpdmYmDaKOpuDnbBAah1eHBnVoHW1Fd/GCYWJU6w+ItBdjx5R2iTUCFdLEVyDo0SeX2d8rFV+ck 78Z0an65S5eBSthMYT3tnPMbDcBf/h2Voa0WyDJYIK24hEk8xqwKrLyac8JlErQ/DryVrZCYuLum XCPMXthxc9lNe9Z9WvGI2CMLqROEjexrTnYp+oZo8DY7XqFe+lELkmXkUxnDgfuvu7aJ/OGOR4pj qkBsN6qqZSWtEAzhe9+EFe2YrWFacEm/ijC8PneMdiv3ZQhYSrFYVZzXIE8+j3rrTbe3iw2rErGF paJQC2ke+3iHYFKMdk+Cveq64JzXHdmx+Lhf10dBGNv7WCexEW9YhHt72Buvj5wIRc6osCIeHIbF AxnBI6NduojYV9eQhiWdHmzCYMAxYYkUvTcSY+c+Y2HSaBfRi0Oq0f4fa4nJcbTrhLv9kj7z4IWg zEYxO/MK8shE5mQLM3rWAbbhWuokRAWDViwuUhB0tNQDdKSvZ3XEpwQslzVGW363pDcMVyeRKL+O nA6NXN+5gPV+Gly3wQEKA5Q15Y5K0CpWMfuH4ibICXy+I9bIMdmSLQxM05BTqGseiDW44Cc5xyra BjycK6gMS7zyU+so1nDI2IIiKR7JNQD2yWk15V5iivd2qEpRITMQMUiXwJnCL5dXy5GTjab7XVQT qNjQF3IPteYImCRnD1M5o/cqhN7qxWpHXbj0s/H1GZGi1ILELvn3EDkKm5I3v6wFH0OIvidzbieT rIu53MBI0SqsKsADD2Bj24hpJoI5hnJ1+PkuZ8Q3WzD4TUEirWD6q4sqcPBwteMZlw1zUqaq9Z+w dIx9J1tRbF5N4jfkDuX5aN3daIFJqd6qPKse0AH6lsczHy+HXjLiEvmP4VdtV/WquIiA91zVJjZl eu8O0lNTab80Wm02AzwEC7FgtObisc0lFTf8o5z1rdCmzurdtsi8khb4T8IBbmz7V6kIZe33IYwM zXeFGQwdhsH+rBHt/TZYKzkGoGkaDsrJBAZfnaQnmpcC8A3awpUJv59DToz1ZWmXtfZITApOSOgP vBiSW7OixymSkyGsw+Io17nzubmufxDjZLJP3Xv3gtGRJZA3w7ttLPCQjhmbCHq2SYUDUJF5PVMl MjNrOZELMmefG7VEegsjxdrYTX7ajX3LZw4z4Pzm/yLQNLFf8IgbU1AakCKzZ0i4JiVUr9tM3jLv sLVB7qPOlBTBdD6a2K9a3arU33zTWCaJcAd5v8W5reAEKfZ0M5LxXFlZ10Xm6uc23qFIJ3r1dTUP Mn/UgHWlkOrg9uBLoHktX1vqSOEf1KNN3om8NCq5xf5ZuAYAK2bCH1n3iAmOMzTBl09wKYf30Mw0 4zoXZSY4Tg5bZlBXqYCDDlsmfSJpenoFG4EuG59fkHTAmsdOj8qe+f2aJzq/cp0k8LwWGQdb85St r9ERY37hfcEcD77v6LIdOPjKBa4PHpRT2EI3MqcKaRVqlgsLn+oQZ3gBjPTzmGhB9XwzLpMU0BPX 6SHUwLdD5jhLB6KSp38WuGCPF7NQMaOUcyYR63x4GUAiM8oEgoV8CR0IG99/8q14MJR5paY7zKoP mfmvisPUrLFzrOTA5SEONZ/q+iR1s18yeL0m/4tgFa7kytmcc3B7qjb+JR5PxEzwYnICRV5345ON Y7S82gI0iQbECG4RHU14st3abWm1uaoF/kipdfopvLoO8BUn9yE7s6ThIxKEvVSSKEsbueO4uYv6 6LyE/8PTSmaUTMBIKoOVfdQ/h7nuhMHHZqK1zlkzdXprCOTzm6K79E7FDSUM4ZdLMQscZm/sasUW PFlVZ5GfdIdECYvdAcNv6KsZnQ3K4kpLJFKq6fMFh5j4heiaOb2U3O3lfcqu34VkL7Fc3+uaw7zj NucA6qUEQAz2FXuS9/EkY66eRgXoPn4r4DUDR9N28lR3KFdsrU/NXBT0sDYLn+gpDsPhaEoCCoyX v7dnk9kRvBmg/Scnipm5uAn8MmOAYcB+gtDwXSfbFAV9P4RPy1bZAj8hbqqmwiB4VkOVLCoKTN20 F3BjqQWkspuebfYglskYU5uAuSnd4B/Z2OyNx4KtIY1oTJDjoHUAcTQZRRwk0uG1Whr8l4LCS+Og 1lUSI157JV4wpF581ZR0Cixis2S0pMpLfCUt1d7sAyvgrhOi/EMOH48WZxlXD7YtMl+JDnWY5kww ImB4DWEj/CiYikEkQqtuTEsmFm2tawbg/V3etpD5k2p6DELtpAeYrGbmbkl8ObrjuwHPhmps3ONW bDg1tKTUZGYmvH2nHHps+VnHa68vRd3bHTMo8VwjW3XVQCJiYisBGx2PiS1vDISOrJIkIzm7+dlr UkZ6DyaRn+Oo2ytippDW4N6tOnS1vu4YlL6iB3YfC4o4C2fhzRD3WVzze5VDEM1tb5Op1MqAniFg JOA2NjVrC5F5pdwscKRplEZbKAg+mSLgkG6Q6AJI59ZU+vIjGS4OwntFOquRrDu4zJrj4MSNmhSU XvhrdryRZG2PuJymbAlKtvwLTWV4o9Mact28JlkoqLbHB3zHsPDpJ5e7ziYv4WHLHssOj6+QI5uB WIla/pU+C7b9uW0g2nCasTIY6DzJxqip0OvIVxcm73sNvf5F5LAjE8u8WJPE9UuajwboCPCeD+S4 g1a9r8FaOSVJfIOa5LkAfY71hUe4TkRpWEQLEY8CxXn67UOgrCdh8lVqAxN1qFICCsprk/BP9xqa F0O4V8foSjSYBM7M0PjnyE6CKdoYla/w69YUJ/KdABlD7OjfFWgWDXrDoW82uI39SubLZQoMvlQ2 fe2fKJmzbh7cnBwnOhSOnnp2n4QAdBHZdllq0TR4HIT9R5wQhEmjGjsDDyfAoDi3W9xfVplGr7qr 74Ixo0fB2NukfGY9XiQwwrP1cgzcwvXGiaxOXtyTleUxS5UltZoUS0mG3wBo7h56IJSAS+3TTddO Lrly8WrFZEZkSZmX+f18g85FryqYfRddsvNyfgz/5FX0t2mchZXH1+hE/hakwvzWIgnClLboKkeP YNBSC4QkziOOMwUBN50UjnoKSVGr24XFwIUhtrjnon4CUFUrF9/gn50N7HZ+oyUMS/X/d10oYTvz uhj5diXqXDBYuQjJYAKevQuZ/e7/oAAN0hZY2vot2ubNMX9YFIKSuC7FpHFey4z1N/3FzsRszo95 QjxYa91DZLvK4oQtYOaJf01IJ1LQdhvBE2Pf4d7ZoNx0mtBcJMGzuufD1ShgxGoZ47LI60jJBejJ 7dv5BO3FAsgUUOD3pTuPuemsp/dFC3tCRNGiH5eGQ+rMZBCGYeYFtR9vnd8M0i5IYv+4fVyO7ufh 7VeNFWtBD6QzbZpjpqn7U7vnAvL6dR7prDA+T8DmzyS5cOPmpXJ6HJXK/8SxFaZz+yErb+9vmqsl cNAK0y0KZ3YjxjqVUlGzj/0rYn7xBLLu+5MHr424dGf8VveJhwFs8zIRZClq6UVbP5dVygGjv3nb jsDT357RPKOQh0pNiYRBs5P6CbXwS1FOQWOioOqHvPcbmeB3LZlW6QROrl12gnT5O4c8sUwRCBVv imSeigwBeYZsH9/6ymN/Dws5TYoDr3YpXHDGAptTTrzGqkr8vDSXjnM8jvIbveVoxq22ssoiA+VI 1nEv5yNJSIdNkDaCsn+lGFsW1J1IyA9z8FuVCfC1j0ebwj4Dt5pOMhHJef630yBRhcNoKUhJCM5G TXFHUdT0JSX4PY5xyEta6OpW2MqLabuVLHgiTnt2j2+CLqP94nDQjEku6CQ33jVlWluHKcVS+3vY x/B0MbuAI/edab7rEyE5XBuds7AiER6RZDIW5rVI10ixiFwJunjdQXW7R+e6Uhr5xYPcvGvtAhmf 4WfV49OqAtYpvyuOw1c3EWxqMUiN08Kmm+0v5BhBwsc+03GMyczqC1DTgI6/uNDE3NNPjKjAEwBd q+Zawrf+3cSkh5bktHnbloOx4XL2UN2HLjc8D8tBbvPijU2fTRglLngUi894Rl9VLKr4bz8MKKsz rTmQF9Bxw7cogZhbXicpem9btCBxj7ZoM0sOKpLwBsleFFCRxwnf5EFpS0CvzpCudtfkzx/4e26Z /hup5dthcX2anUoI8r06dFNDuy06dm7JUjjUpzr965GW9yiPdQj8ROfZLnNz+iLHyvTvPq9ghkyJ S5qKcwzcqLyye+mHd+NQw9lU5hJn1PbAilDYo0cA5Sr7OuAFDSfbzERq+n6ugBbJ5pwiD3aT4rwB jnWuzsQ0C8yiNwss1pECMjQ1j9flO5jsoPhZ9HrOikdUTBQZ7DB6U4lT/kSaiM37U3y1plHKX6QU /dp5rTnAIFwbqdXei8Bv8vXnb3eU8WfMO6K2IAW//YXlYZr8ajfiP9nPeRFqMqlKbszaPZMyk85C /WKJj4aq29FITRDN8J5AuhXvOg12euxhzIIbfn2ce/lyQvEsPhTbGlr462SMUSsJoOcpMr7i8DSP 4dLoLWMfTCjYYNrfBM6ca14awVfcxgYVr0kOGVJKk4DcitMLK4w+ujIZXtlJgMRDrmpGo+J1s0n7 gHTYRd1FY23pWRjZOvXOEg1xVo4wGVTV+MaxQ/MWqI7PTLhwRvh+lnS+1P/sVy7O+2qyNYly5F82 c4G3Xt9UZbVHT1lbkby0lfXiZsJec2pfku0atkCL7SGO3UCSRjXPYV7RFCRVFD5W/zTLwPw6Eck+ LThlsPbze9DlbvG3weK9U3LVN+vuKlkBsnDrhp5uDdu1xiI2w0ewHA2gh+d5HeOP0sGExihXwRVf cHGGXx5eCwEOaJ9k0g/0SlsrKD/0Lxx4Hm5BHAMAs+BuMz3etA1ECXTEKQ830E9+GsD3rsCr69g5 gFpbXVWqjPz/U5V8j/rMT472ypbWDpFZ1mRWd/b8XF9KmUq4WLfrfSj0WIbdmZcyjnl/XKIFupU+ rRL4tzwlfqGyb5gDE4j43LQ9pkoRMfq5kww6GMowP/vKlf3tiDtIneKZVcZ7ae3HucQY4RoRaunY QHkR3TiHgZ1xW/fw3TSN9libmYR3ougAtzji0zJ8YlWO+6yAOb87aPwzP/nqxQFR3sxXfuTxTmBC mxtCZO2HhF3+3FprykDq29CkZkmD1hMy7gOeWC9wmTj2vHpbeS4jmURguyk79avMTTbEN8A6AmRp 5+SYMmqAwsquY3TykBKhXmcnPliXvwufHjlZaby1Xuw/xgVgL7ctEnoEFNXDL/+8rHyOJ0UBkjGU jOpYySlbJBlnKx6L1rrCb1QNVhGNLZo9tAcizRhMPNt9lNRPPu5/YQMPiPtNnjvWu6o8OKLowFOy Yd8V2GVkEPbauUxjYPd7W3E0+j9mXZWRVA1pRqCk9XNcTsUG4uEPV9T1cvC6EVhSaGi2ytz0ugI6 TXIBkbfqcqbrjS2442odWZVZpwUx2nFPu2sQBWosda9AK7HZnvaNb+5dd9GN7Qf9OPNll2NzLDAr XCIwGRQyaic/IHs7dISf5Thk8JZjQVjwBnn4Ubf3ZaC8b2UQtbbU3K/Rk478GTgDk73nxGBA4PgG Ck+3nTKyz0pJ9owZ0ioGin3Hy81Pq+Ef5I9C74MMsB3Ex2weiWpKlUVFOqLkFV0vrTjMf3JAPjWw Qfl6PxFBaXhD2Iv7HL11F3+rFlbjQtmre/uCEdVpC8vsws/WxxjoanY9lEo76paQqHocaqaexmO0 7mjTfCbjlj2hOM++rdJoI/B6FMs5yz/+JAPuDhrwXQ3gA0egx674j7gdquvbrh5PBjIqWjemu2Rn vq3dmOP3jaKUQGafchQwY4IPqUueV/90SnPvMjbs6GWpOiJUrmz+Mj8m3uYQ/IwSvhrB4LCRxpSV 1nhgTCJGQWEO995mg/LgFHXIYwtXM5IlajyETBuBEhecyyRP1+6LXlR+HVEFBSkqpDb0FxpmUxtG zxIP1/Zr3oInyQeHz34ZiM/nttuMco8Etn7sl7a2IX5NlDgczJsAlCXOTGJbysV0AkjUP8/52oad 0m8m3sg6Q9s7uR0tO9cMO/O8EIrj18zGalOMw+HMpXn31dblYFcl0dr+3nVB6w7CbCOPMhYZ07OO WQ1HiefL/oPHSxa77Hjo8ya+/LwAdLQJfzYgp4uCu7NMEYYKN2vVOdNggBrQyRZgnHcW8HZpjJQl oSd93N/6jBMRIdBc4VOYlLpEv4qbv2nvW200+dT5W/aiITgb7QM84q6n2zCZLyyY7pMmSFQSmWmt lOBYRuEuBFRYKKgjRKuGz0jn3jwhxvxXdd68J7UsLpJSgRIjg5MSn6tUg3JdcAE6R7dEN8A3xNB3 nERpkWRh8LDwaDlwv6oI/9RjdIJlDh+SYICRgU8I/ol0CRTwnHPtukfnC8wCeyAzcj3tRQReN4Qw 65mWDjB6MRG6b8qLm0Rv5OzpeCHSJeFDSdwshKigb/CAeFUpGgW8MSpKZscFrLb46kyNTeKT0Zs4 zk3KW/NMep60LS6cKe6r9EN/3g80uRHqzOQcoLAmWXOOtKKK3MsTyhMzt7QXwxAwniWSoWybLF/G NaOko8epFPGf3lapQIOPiFZQYzBDUqcAfgG22GXKjyESKXkIrCidhlwzAVOEQzjlpHamPXBrrqaF emmWiBOtE9RqkArcl7NQmZNN/rnDHjy2VOiw6s8DDWyvhNpbu3Lgjjo2HN7d+Hpwzzk+YK5D0r5j zQsig53Ruq7FDMwU2ry+Xf8hUaBR5boZqoq4CdGPD8dqX56ZwjmatPN3fPlAVQPuY2alSfxWIpWL /FNkm65vgQ5fbhzrhRhLw7nyiAJMr5K9u2kQN1TNzXcDJBiucwcrsvj+0bjkVueiEh+8ILcRXyiv aQzZC/diFhRcRLenGTsRZn6h/TrhzgL1+2IBs1olEwsRZO/msg0YdlCExc4N81G6dIsiwNMlT50c cOEcS4QTTkeoE6Fe/1NS2WuVGQ+DhUiRRO3NKHULnS6todeiwISN+CMMxQJVXu37hAvLhWDR+BD9 t3ubny07gvOhYfzV8fld//jRS8N+Scoi7VDoa5Yxk/YHW8de9N6s6I8hRyWckFS5Rbg7lt8jhZhn OqTT6TTH7xlhf8iSU71IXuYrkJ72tJaNOrQyRh24kSFCrTAHeZCUAId3oaeC1cvaihroFOgkT9I3 XTeMTZw27i9Eh0bUZoqbB999UAhW/u2ip8Xk6lwvv3P+XUkspqcy4rGqe8XdZlxO4czJhyIopgZb AfmawCDoPmNwQR+2dDAS0lhY7U+kNvQNpl+lrSUaFAdhWODyzpLQVaFLIhbO1xRxK4/iQOY4jvB+ kbdj613NcAwswUgkL1lSfPxiFsjtuvZN3G6+692kbrEv9VC8QlDdIEc3kiksJjgeGlO1bhmaLsFf YcmJ6OXl7ZoYVdqgUzh7g6wjCutrCAR2AeTOMu2g4XnBwoT+zKrq3a9gQIkfmgnuc8/oDkjj5xuZ LloR/r8diMP9ykpaA/bkRaBEGrzd7/bJWciwRaq++3M1d4ckqTbExBo6n8wlm3P7LXyVv45c3L8m wZNbg4kSRijHewiFFqGJJ/ZqVjwfATk6w84ihbD+HiKE2s49+Tpsf4EheuaLOTg3Quq7/5aP58O6 qirmYYcYLpcFky3imnU4z/T207K3rl6r4cmuiNgESJ/DMV7l385H2dWE2Wqrdm7z+78sfTNGIjvr ytqZrFNoemZDVnFTQGy/H9t2FXTpRBzHC38SYO+cTv8dHkPD4oQ4xW3T3UDV3aOE38cT7Z3Jg/IH eR9Yi9qf+CD576wAb+oh3jLqurPIA/k1/0+6Xg/ohwPlg6Yq0Ss22POZoHMI0zCQx/3/GvnRDT1j 4WyvESTKVyJreF2/D1ljT2SJ9zTtf9QDN0ZicgDUak0Sgy0E5CnisFHFeCaAuR8HwRRpCqsnPuzI yGW2NF+DHbvRLZjxs3wk92BgAq/ga8YGIBiWT5uumYMdtcEKL2X2+cSBr8haCQoBnHqMUlkKQLiL Fdhws/2dnA2/IxeRrJoxr7HahyuK7xk6uTMLcdwAfTPT7xFT9hSKVsPDq7jntf2pQvHqKQoy2YNc BFNDZDrFiGIdkuoWb1th4jR7+4hN6BIW6VtmF4wwlux2AzvAq7aC3BC/QLioow7klHyBeo7KiiRk fAIzkRGx3muGwPlDPPSkIbmWgoHAJYjPHjRlcLa5dHFcrQ2IcR9X7DILo9Nedngp0WrZY8AYY65L Zv6ov0C/M/PruUoftrd9XVDL7WKV6f0keiTWc+sCvCrtEldNDcLsUy+YLCxMomGLo9t6ORqfgS5w z+Sk5TbFIIdm0vr9h61G+KG1xbgya+qNWU6c+0WuxRH49ior2amFpCHPBvMOjq+NSkOF3grNf9FU ZsBNj9eDYcA3MErWTOjWis02oNHdVnMnIHwiEC9VEARYmEXDNsmxNtpb1ziJOC1tGpa4zE+IcQA6 mgsfPzyaObUqCsg8KgrtDdMwyeaW/V5EW+B+Gm6bPtRWIqkruKpKQRWx5tFjujVqF6FGCD3b7vXi sE70IINUyUEiWV15yYFNr6fv4btk3T6RAa6ckM34s3K/MWORCanSOTF7JHChtUocfL+jBkRLoIlq 3VTlLqBbrhzDRVBj+ye66mGQFUHUIbnbHb9aNjpfjdAmiwGKffwt5HNagPOcACPbMga4YFqYfbtK nbHhUOZWMVPGeTSDTwRA5jxjv47Hld+8gSt5kKnWQHxdSNdM6co6hW2UxK9T/BPGXxrU1lG0Fx1d AOfz4CZUh/2TLWDh0RLMtjhEXwnQmr0nxYSOOGrrtRE/rOWcG+LAsh7XWtSEJfq9nSrTb0Zz7cIl tELBomGnjpwCURyOOY1oMLEGknvCEd1u5tPY00moyjYAbHfblg9j7s/vfb09nyvZTAU52ZrcSUyK atBR0Y2nFxPjKLn54gZcsYJuzyItLDHbqdCWC4rpi4hYDVvAdQTobSLPbc4Zs35o0osT5oGckAxI iZCT7hCKTExGIudAjqMmEGTQH/CC+z28q8uGNmls2e8lh3QKTIlpScMR09oP55wFjaWLcmbQd/bf PiqbqfqGIo70vmdSXZs4sYcqmm9e/7d5pg3br9VShrayv1lYJaweP0RdtVPrS+qDrZf/Q+v51wQj +DebySAoH3phq2DTHPGJf7/Hr+Lx287MidOxjqhgzWZ+29Tyub4v68mvrTv3y7LAbdv0IeKbBLBz 1MiPhmVvOv1wqM2C4BHVtkMiEVVH7TKiY2NOtwkYsgdox8oswlUS5tzECEZ9kkIA9/yGhG1oo3e+ lvWX0+osv5fRC5wbEy8XTkiDq7cF/fG1D2jXxMcRd+pFC9Kx/uPEpiNuKHAdTHh3xhziGFqzSNVk 2K0wZeV89oPLKBCLJBibDEB/3AqoSM6vqkeXnX7U1ERn/Ba2QKGM0ea+48/bzb/Lt5vuzQqAeWb9 m8CsjQav1B6pzEzuBon9U3Q5mC8CMuMgH8Clbu2pSNtui5NVNiPEatBIzfMdY/GXCJg78wndyt74 yeTdrdLW2Nt6g0dFfvEl/LuafobvsxTzLxrNJJ0Q6c7NP/ZNGzSlmbWA1CeG6dHnN5Gh0wLGTKQk aWHweXFxrftF5rcWRysutuWZ9HRLpTTC51life2Ns3pU8URl2ofIP/F2gRn408itlPRkrad378xL SNboBooVooWqEuGfEOTuMOTRaOgtEmX6WcYsfUjs1z+NZ1s9DP21ATHOkxVI2SF6qhevxdk9yTAJ XuTBnU9gjDEu5o9tZrM1QwwyESPXEa1iXW4IVt/Kmao/+Ntd9hg4JR2YEt/XP0eK4A+Vrp5FdvRj +4WdIoQ6s5TyElBtW+qk4nYZEkKSVOzoZT53qGsvkC+nAR/1R/Zqwg9ZPcTpeBBDS71fmCroRfva 5MOLewWGSzaVD37UPxBtn8vOE0zhcPzpPyDLHk3lUY48CqLMCLkDrtdZC/1GYjOF69VD0vuPQ3LV 8kl0mALRfyqefuXHoGzrdD3EbWZafTC1SnUoIeeV0YWsMylTZ6XVbqPLRn/K2PYdi2UgKkAc+SC1 J+zLd0X5WzkC3X5L4HTMufZtuhGzb2uBovU22ucT1zDeNCHeyNSRWNQXZaTIam1YmnyatkcKn55c bv7wil+dTUynluKX5Ey7t3XL9s0ahWjZehHrsAoK5GQnws4Y7qviwGMElGxYNIBQG7l7rMuEbtFi 6oVZAJ3vHxq4EVzWX3x2TH6bRa3j3XhRaPDZrnO4o1hbqShWoKFAiWymh8CSX0dWUQj5viXwqbi4 9m08/7eBfgpcYJpud/025X3ZDftqRC7BG5Q6Qn4D3r4fY3ETtHnUFImk0q+JG4xmJlE3UxzkNFNp syo8mCNnXPBd92n+Oz1B7fxR+CbEIk7Pww9+ltyiVXx4tSsvU1cgfKVpyotAI46BsIbm4OMkJ3L7 sgGnvo2ae/Zsvc9YaRXZN5tQB5Vldc4CunlSx88499SXu8KLWOStnhx4D/RagmTGwkjKBXX7M65W WWg9urbUy0vhBe6zRSrb2tTcI6kNAlJlMNzdiL9vtvkhzDrkcAfFC5QqwwFUR28q1ebOBt2pz1T2 z2qpMhLLl3DLQLCaEvkTm9xKL+FKJaCvMlvQKYXmrq5YKmxKcQdcGZWyyHjQoIarbQJnJ3nTbVW4 ZOdzsGG30wXbbQed2Br7nuaSiQRJSyrLDkJ0mlrMiruTZF1/fAfeGUbABXaLAQxe2EBsDb/KcOz4 BsEzpBfD5L/qNeosfL/1vcH6IvOhXeLvST25G2We0CHJhlrrBtvHQRNfsVsISo2Yp2sz6Puh9ONU Im+jaz0CjsG8Tk80mZQAEQDOJuwVzXEgadP+GeEvQ7dtQHx15S1NZEKtI7COYMTb6WkCDg0rU1YR EybVQQV9yT52bW2WkKGnJyfs2JIRE9TKASzc4ECc5WxnSHjTugwGOwnYQkJHmwRm9tZp5zm35eQW o3fz1PO6xKH79jX3Wl9dPtcKDpbAArgdiTALLqgPa5GGTNBnttamUFU0iU1w1Q8DGif8KsA6oQwY MkKMtw87YUDzHNbR5iVi7YosuobKTWzWB2uM0YwZ4ansDvat6ONeUqoe9i+V9MNM0plzoEl0jE4M wDmqLCfrbWYxMUwSQM42ekaakWd57BFZcCbGIPnusaPdITCzBMyJ+l7M/hBGQ4mLctRf7+2+4WCx 8z91PyX7xYOlkZXN4eNjAOMWjZuzzRN25tz2x7piIN72n/FX4f74ivzKknZCCsE2exsJAOy6Gc6Q c5OHfqvjGpLx168+ecuPrDQGTofyWKlSH+MMQLK/tCXjscFnFVhwn4BMWHwRh1B0atjKHtXjOrv6 w5bQvqay6Q0OE75m/O47oZwyQ8Np1I5KJtjCnnhEolnELMR9eAFe2MDzTMz7cklv/OUIEn4Knm1S bXJj9bisSTtfNVSBOoCgTVEl9z9fHdytpkgFTBG8uOz248UWaB1tTc6tHGmFn8J8S5gY8jaEINjM woO3RJwt4/Vz41sMFtO8gZhJ2Z4L/zGx5yG3oq8qjNNuCuNb6OOjAAHD+IajJ9FE7qEB5v+BqA1P 2ZBXZDok1UsDXx6sAbkB9b0/4oAba6D0KrN4fCLV2kM3BIOLGdHVeC2Px/Xw2ZCfwBy+41xBX1ga rE6k9PLV2nt+88j8jJh1bvs/aQIh/1y4ZvhGRP8EoeDSdlBb9NUCnkr3IuMiIHJEL9m2JpYQH3p4 UExDM4AFeMZefxvhVdu8obQ+fa8jdFm84h/Dd92YwWUZN7D5n5/LrG30eZ3T4kIzfw/8XqIT5Op3 GBsNqiSEF5eYa970TcmFHacRshJNIWguAIw666wZkSH7IX0uljrDTA92CRfy0zCmBmqapqUleUCr jDIlMefGq1Cef372wltK3XvS7sZDvRnG7oc6q9YNRetHCzPl1u8i/vkhtXQgvo9g21CSukUzE3PU xOwvdOPER7l0EWB9YFbXWeRaAaMmo1qL97wIh0Ipf4dP5CxKFVtclMfCy+TUoSk8BT3UD/HMRCG8 jl1Zk2deIQ6WfQX7TgxTa9+uhje6qbEAI2fWp//cxomkUcOqJF0tgiPKujThi6VOce2oR/MgfMBt EPGStiAIe6KCYMfyLm2QjckW/nTjgCdLi8jATEfrMEtxL8ysxfVUVm9W/FIc+cGSegMSXU0MJ0dw AxdvNBE14urf5ZZPoLkwvmU2AlaN5MUHuquMUn5viw2Yxr4Kpa2D7oWV4lhoGs69G1JntWnYJiJW 9mOk56oMyg8IJLyCyGxAwJvVac3LjFLJemGPYB5s40WnpHVDYenR0cX3JOrxwSc47bEqnSaRaqP+ 87fhZg0yrHTcWkEUU6UmT3dPosiDZG8m3FlMsAyoBrYz+e8QhrbQnfd+O748PR+72YShH34nL2aA SuVm7orL1TCV7sD1sBaB3w2yHhjL3X7lTeoaraW2hh9+m4BpP15WBQ6Q6jWG080LbvBzUHQ8ND7s qQSzLwXQqbOdtQ3yOyYfMoQOJfkhAZLA/DtKHjhFE7gg9Ju6FH8/MMSxt16qXrXKywIt4FqKFzNi jtgMk2W8MFG9Z2w/cjnZ25iik2stIQLa2KsUe43nbOr9pL3fmt8cdu67+evDVrntP9JPfScot7/L 8oMs0A3nrAb/N01dDgjGoJ3c6lWfJ4lM82D7UcmNNmiYBmiuROxS9lvKLoQJ0OXAeIu2SnHCCELE k3d0q4WqbOBrz9lL5QCLewmgAt7fuVhFMDFu0+bqoulT0xkqwKefU3dBp/AD5aFSt/1fMP645YnS XQyRbQZImKcJmbpM5okXNv4bpM6xGu1RYW3IZ+Nf20EbHRVZcPuviLh/xRzDWbzjnpSKh63R5VMG Nx8eJL+Uk9IRukTTqVop7AoDdnMR4t6cpaDXNYZH9ec+10FJzTuupCF9kbUrDGHgZQ8Bu0zYgr6c B4pRvn4F/PMzb/A+S6TriLSzTR95SzuOSc4Is6qnLygBrlsoBiKfwEdf83muY96AYoZo0jIfckJp luL8zv3KksuEWySC/vMsTcI2chYi+Z1eypqJWV/6k58JlEEKEuJTCbqBgS3Ykd3Diw/iBTEdfAHE WvZ2gS61rBzNfoCiBebihxLeObJG6vcUI4UsXFhFqDAF6vW7Zg2M7HMvJvQEc5Pm+xsXaZEULsed Wfj9q0sOB837rK6Do9V/Pyy039eQLot31yE+nCTDyU+AeUPOpsk7LSvW3gbDqwkLBd5eJhDlvGhc h8VqrBBeaq5q0CvFQenYMhE5WQpuC7kZxnDBsRMDionW5hV9ltQ1LhyqzGnSR31hhwFYsAFG7d3D H3aGy1uTTfe6871wF4bbViycKYS6XgTqgk+2pwXHmNQqZiL5zEvdbnurIsOZlVW+GnVEZz8T4kUG 3T/lMuj9yU8mo/WM4oh8O96qv+5GsZrSnwYos8fOoiTQtOdnIv/5MD2Ar4x0xMQgT/H7wE9DUJMu qoUs4Ucnen+WWpllNGujHNqKQuxcTG7QLK6rCq0TkX4ibM8hjlIAMWPBBVW8/iiAGJ8L3A9uW2HB yO21b+ZluvboMdW4fCQA0Xf4T6SSc7VGL7+OuDgfTWL8/xj/bxMv9Fs9peuBygK7FUlWPgEwO0Ks m0j+xfeLkv6GH3M9BeXtJvraX68GwX7tKlymckj1x8e96GwOE/T7Poqs3Yy9Ypvax6TeUmRPWNTy NSlIgyZiw/cfPwSlLzINyyB2wHs55dQcHA1lu4WjPdnMtCdCq9uHz56xCXzr7Ct15LulKVcj41CQ M6HKCX8iCiadHBvShLe1Yu6gC9ExMqAI4DuoG8qAHIVJ7C5zRuTJWcnvdN/9RyJ8ClFNmTzoXgrY 16BKcrIwPIyaKBgWb9SZXy2Wa0yeE9XeX9ESiXxDvqOpToL0C6KGBG8zhhPqar85cdc4kdIIHWWS ZDvi7C0EEs3+6WUv4j9bE+/Ad5m8M/Eas1ztEzqnxdirbj8CcgwvYvJlpGrNR05/licCapsRpWKr vWxXJwg99RXh/bsaA1tTX03o3gfm4wmjjJE0b5+dwnAAy4+ysvi07uIow5EG/Gws/F8fJ5vCZGfV AmlK2fBnq6tUl2/XVnjqswl/L6xsARFfLhK59zLLeE+5Ick6X0X4mMefKrnQvYqIANYnC6iaKwDe C022jePsMynATgsVuHQtYL3GG3A/+sndnDNvdSRH520t0gSrx6KRGa4SbvH5OvjwMND72x3dM9uL CtM8xiKQD4bC0Pdf7qIuvre0843vT0ZL7J2jl1Hh+xMxaQfYgF9U2P3f7jVOgzetRoRvSmdPRglk lsF11/tRRTHKKI36YoDhWc8HS6j7rsRDUpp2GLwx3w0U0v8azkyb4jWtgTM7jfmdGTCMluuOenUH Jc1GVYLiPi3rnZBmZ1AcX6tC2h8Mo+UvuEK3gV3Puh6JwSXYLm28gjx0lNmaYMeUGLXDRVLcTnpc rO+N3P3eisxWz8WHldWPxpJZiSkbTyX3mHsR5jl4m3QTqrqhuXFSY+PkQI600Nv2KAG9N/+NHEvY HxqQxKoX7iesOUeVXhqVD+gYB3o3UImk8UcxVx/jRoIYMNMIlHOu5C19pPxzA5TnQlw1wbALuQlV 8eHk9XEsIp/1aIwlnQ6K3CfUXDrZen/Rb10JwE0hCinKdztg2BPKrwDIwO+PPdbStQ3yMW3vdtIO EUUgpFfEJ9xjo+j0DimBunmY9XHngQkgWa35l9Bmjwsks5ZORWj/QbQCt0y1hYEXYAFY7gfKpg+3 JlqR75bHE5V9iU1V08W0bvlpOgXz4iG7DLBFLVfS3cTQIUV7uVtMxQ96Apd8eNNoBnzARoBPgvpT MLdeqZY5Ib9My9TqIfe8pyqgK7eja47xicZC+1AFbabBVpq9YgIUluKQzr5ZH5Wll2z9PZp2vntl PCzYmy0tle99CSGtCN5GQMYNtfR/QD62XvrOFzyMghpwxm2jqfLrgQ2ACsUaEvJjyrHqIGxAxXx6 gJz5boPBqVSSnRZ0lYYgu+g4pL88ToFMOyxCDy60EEvcRU0KHzbX7IsxdTvvAYGWd0ahsyY9LWQF LGCazjJfjnILPd4eVR1psr3ZUdJBld0PlUmOfgfgpM3E7sF0h6EovoFWZ+QbS9MQyHRBwTuvu3f0 zxnCTs8ff9rU1z4ZmeMTQ0OkltLYa6y3v0LNIGlVwFg1BV7fteJDZy5vok54kN6Z//xQf2OtjmTc p2WBr9c9Zo7g7RkKuKrKG0Ualihn+WaB/lFelCByk+2J1sM6fLrPsUaJPRfalRknrtv9lsBih/FS pjC8yGCdLFyObbvP+uOJ2724csGGgFS9iLlsV9A9iBNoUD0Vtg3GvFxjeIWMbs7cWUUby/mH1iS6 jGQfxCpUQLTAYfIkUOBGPzUVBmeiMvoKC5u0RA6/Ki7yVnMZ/ZbcE++e5FKS/bbi9VJCYeWFbW8B Gu9JjVsjbuQ2neqaf+bOlKVS30uClvlEUbncQMvANPaRP+6bixPRQV54WAUW0hGBsPzGmAt8ZLGP df4U/a7qvWhFfPOUxUdovjDhhoV2Ev1rlQC74xrJpoQtUGn8p0qJWAaY6vCZ7rg/1T5JvQwWsJpV xaUlsKEIUGAsjGkWsNoOxBkecYuP++s7t3cjsmu5plDwa36I6RX9RSVDlfYe43sXW2b+LODCq50I D86YJ8oz1+yX1XYL5ZvCWjV5ObFv7Riwv8xi9sv1G6lIHUXVOQ1Q9w9UlIO8DAKBRbQCkfLRHaXj yYJqzu3iHdk3R/zX2+9x75izTLurdHf8YMAVR/nDG/xd7yrDenLkmyIutX5UiXr9wYzbzkQGZWtC Lkb/Nm71DrkqBDtVzCza876PmKfqUILIJ716+T6ZJkMutcP0kMT+eN7kKN9f/B0v+nBjduhEmV3M AHuaXhZsupsAc8JG1chCJcRkAwSU/IcaATWtO546uwTIG/1lHDrwXxLJFN/rMTWpnTgjTfTJEemI 64clXK2pPZO4Cz4IoYaNYGyf6ijghNNDOxz5r1beXEokGL4D5hHjQ+a1ioEYC9KaZIAT85XmubyW wXM2v4CZMen6gjXxZcGWfmCoxIUsKPCFEM5qCwkOYCEMxayHV5yCy/RUl6CB3YQQOsGRL3gK66mv MkrwNPEersF9iugI0Sb/UzzxJ9LWOnIqF9EEvSlvMwh8oblysYot7RVGyLO2GjLVcg/eQh1+id3X Y12/c/iKoHavT22ZZi8EXzHUJz0p8ex8XwwldTZ/o9bdcW5lyr+t1RBy06iH1r8dY/CLHFBXKDja OrbQvma3303Z+EPt4X/I1nJ9JpYr/bSHl+UFouFpqOiw6cntqi7+Lct8sbE8ElfMxpG0oD2NWyu9 UtnAt1Ogm0jra3qq1cPzYWmkHfEwcSTn1g7ZzgoUD1fywQ9TgKIDjGHwwYBqwT5DlNRSxRjic8Rx E7a8k51IpsXInkUOPmtubMAJFYugoRP28LrbSEuZau+zdFraK1xwYeeU6bsv6MBmgCAEMCHhqOeT cz/zyfx2bwWBQHUMq78gHq5fkVGKXU3f8JRwi4bli2xUGyk84jns3scR1nNCUTbqbedOv4lFd+Q7 8lNsSibr9yZnP4zD+jvacjobaryYzDgahNS6r2vLpRYvJY0G8VfbIHX8C8cF5b4Q7wb0dZvCSB1d rPVfFiQznJB2eL+UX1plB5YALYPyLIhy4rf2Uyx3AicY1OAh8iquoYh3B2b/kMhIRzTFIlmYYaAL zI39gHISi2AIGTEmMePqp8LoRfANoMp6de+LSDI//SEhDJaPy1GtGZmycBZbDmYJojTxZZwrvcQu /N+y8AmlKmrJ8VXoZi97fDd/iQcsjtdeLvFfOIVi/S5b5JxyX3A9D2Kmbci5i+F3jkJxE64YX+Jr e6aUFA9N/xAj+AbszMYdjrIlYdk9AA4XD7mrMSQHHh4BkY08B8PvbFUDkaO67clBX5wGbelDE3tz Ok52rbdH5U8ulvwj8HYEqBpMwT0GFGfcS1hT23j654Xj4LN/KLbmvdKwc8T7xiPjU2SoJ3ifZiRP CK4x3g/OpgSBL16ltZJWaDJRD3XLeq69Hf61X59qPn8ESf/14rIBtJjjp3aokRfilgEGYU06W1Dk pIAvTnVAHDPgb7gn3Q1HUL+7ZiWOZgKnt9bHlrAmCxs93Bqnhusnus0Ci04kpWABOJYu31F5KdCz i7leAqe1ZdAa0vgvTk97zy+S2fbqF/oF1Ouf+J9iE3VIbe5AO2fTam7GUDGB7nICX2KRvjpLgzQS qyxbOIVXdaVRRoFsGLfnwWnqpGsHYbqumigFb4ViMMt9sLDEOy1zyqiDp0MpzvS/KnGpJ2kvW2RL O0B3G1GizIFs7T6lArOwIHSmIJthudSyC+juLgrl1FbyY3JChf2d143O9uHara+rML50+IdFjtwG WU3EO1LYYkMzET2l6bBBo0SkNs3gl+3MeBZfI47k1JLu+s7FgGLb3HnY6FrHIXuSxs0YHZtNBM12 0IdyplOJZH41ieranKrSClqlyQ7oB+wUTWjuEeUOFfU8jxt19x0TNwRdHluJCgVHIME9R53SSaOp tcWsZobB7U7fe8K5J8YJCENKTUf+vOcHWFDCWIe9h8XJEkYx0stuaj5fW1KNxFjfAieG9SKxk/wb ymGCUe0SHoXLaXruayfIAVPy+PNRSPinaF4/hIl340SX8UIt6Fq4aWZSxBZBEEZmMpWlteNuSMU6 0CHnUwBGaDO+/6KQQQCP2VQPuEVmfCxGhbd12LIpzFmuOXubi5i+DQveLlVrL8raBf9G3O0b0SgM MV87bSE3nZLh8b8d4BG4/zQEDgZkStq8sctNYmtVi7ZmMuVV37I2gBW0ASd3DYedNewun9gvpOt8 k9HAatUOqlBAhZRC7djhDTvq8lz14tvOXKb86GqbFGXYDFQWuqnYIHW3gy23P7kH9Rdcvoa+UacW 2djJyoIRr2LHTg7Jeo+AF1/5fFcbATQ/dsAnXX913xiH/vgG9k3GFMzcLzWNZu5xfMxL/E2ajgoM gqcOgamJT3PLGyS8mxwBBl+zgghFoQaizIfcyYz5mZ0bhlxC8bi6cHFTr/MJ3zFN+p6UqLTE+BgT AKTu/q9cIIP0SExgc8w9qcGBRnljIBsL4lV/eLJy1KeeDlEXRjRgxJDmcwnAG5PA3lxGkfiwFU5W 7tBQLOe71Te1hVUMffFFnlkiODY0JRJadj2NkDbPFDi1DBu2mtLCsxclpf0K0noh1YzR4gttr7kU 2Or0XmmJyDdpw7lwoy1c7sWfU6wr1gA+3SiqZg9SKaw6txRvj8nfFqFvAaEl/KcjQVtAbP6DcSt5 XBO8Fgp1Q9xrkSf03K2KYxKCo4KuNc0uh0grQ9Kfhh1tyYH8/WWM0wxm+r+4odOzH5c+EXbGbtjM mfE16i/Dil137bJZuws+U96YLJyqQ2g24JHIDkwoUTcLr+dp/vEQ1uilctXKeYQ+MXaUC0JphdhA MzE8tnXOcjmvEB3+14/aXPeIDYbXPNTd2Mq0RQVEF639RWb2UZi0nDCqJHD2pE25NgoZswMxeicT LJ29DWozMeVoVBGHhQuPUsfLWItO4hg1cV5ZEjtfgIPjgxTyQQuB95H5nhiy3mRjcK+F5YK7Yr7y jNBxK1EqHYQIwV8otDxlS+mEeiY33FX3ge4GEVUrhppLP7hnjE+t7E4KiZyoNkJMwvjpdzqe3sPU OLznimH709/E4jMvjJtZ33LJz/dux73DLAJmlv3GERc3Ldm44XQc2xTJGE/8i92A0u0f1DL+bIHB iRs0CWNkAVUw0lheVzk9SDujGGcf4H67EYMHxKy3/1iAoZ0G1CYYIE4h/rDOXooD8lD62nd3H8Fl 3NXbcSM/1Fjwl14p+XVSvPIBHwyOjtb6f2bpg0Voonwr9V7nz7cQGQjgcPRgXmBHsqHigBb+a4jG tfHUuyOjZMlUIxAno6gsP7dAUfvJYusLWEAs+GL5hPsDYW2bKQ3Js4rYu6AehNIkZs0oZ0rP1Bxi W0bxaKe3lDpIEicvwXtAoIVBnFPZA5ctju0kC0oODEbW09Nhv2E6GDMkHdMHgnFvSsd9+v/rtXDL XGiC+hvgGbNGx0hvEdM93234O77Vvie60tQxkfcX0KOGqjE+1DbaQadN4a/vZvtS8hicRjQErLLo BQymdnNLCnUiKutkI2Z7/sJzCnlgsIAiCQMuZ0uwH8hs2PFPgkCnFWxW0fQv0K4Dym1RJXfCtVPx 0PPZMYr6lyp/S+yThka7ifmAe25rAZdAE7d34jMB5C7YuDtM0P9NH9NhWByyCRFT8vPHHmt8Qp8S U4f/X7w2cgkOs+k45yb1TLlFKYhNm653UcYs44sX6EbUiMCCVtE5HaH2n5nyF1dMa2nLHfDpoA6n /a+ey+Ght+AunfyBfGmMyDSPEk9Vc2LxJMGoz7uEK1MeLuAPOY8VqsK1k+0iyvDeRl/vfCBJDpwv eO+J8Q+YKaJCBiJWszmbjrhkX4sU5hUNojVbvw2P2UrItaGi06Le/AGHxoi8L6gvk/7ob2vuw0W+ g8rsbVRO+En4+ab84q23etiO3XLNZO1istrAcTfIqd+Tuos5mVwuWh7/DWWaL0obBBBQ7G4wLT44 9ZT8S9rkbjUa92tovY4aKOGg+ffhOoZ4YSP6y3yFn+AK6+8hNrIGmtu1RUckThEJZqgIh8WZxlB4 6nxOq7n8dHdDSTXg4U4oCFZsxS2u6Z5h16oxtpUNImP57K7m38ejrWDt4ESONb+XbG9T/FfCJ9VD A9m2tBc41mV81CFRdLS3c0WKzlT37MBkDvTYUlpLTfVK/kfJdNXP8PmvhRERvd4Y9HCyUnKpzdEw ra/oj2NrmEnB4ZKuwAz2n0ARh4QHnUS9IwfT6E+7yesppqZgy/PBjlAwFLEbE+z3vVGgGOGrppLA Eu5CYtftEizNKYgSysUAXjwrA2JkfJ0fbco/sK7lZeiPID7KelgDhTXbiwgCLzUYDp/IkOcodc2L v5684KGXpAqg8nCO5pkE6H/uddEA5N610leAkaCEb5k5NDWCWFQijNiW8DqT2AoZ/PYmYSr8eeZQ 3wBRpKAhTna87HfHDIAg2Pk972+/K569sy+9TeZJI0vr/tAxYgP/TH3K1g2Zcl62pjcZfMioOrTR xJdaDhvtYuDfov73f4oj41MzGEp5G+I9bUE8phubR8ycxx0drEeCMkUkFMwBvoJs9WO0nbwnWGir bt4z3CP/8i27wHxMSuRCCPGuFsW7MLhtq6eGfwBG5yuK2eNLIauU89z/6xRo1ADW9DFUiMSgMw0J Rffv1x+KziAAu2hchhuebOaoMCR+U6JEP7xx8uT9GyYaSC2dLToegrJZjEYzO2rPT4xOsAsRaO7j DlTwsYy/P0mH5AoRW95H9IGvbVq6cE29YIy5ax5GC9xLLAnrXx8SIpdOZVvj9E808DawXpuYI+Q6 5uXXn0Bnpe8QeyzJu0MwTM35D3pW0LpSA6bjRHwoPo7qgsJ1NF5LWtCyu+ynfSmau7wwhMFijeJI 1P9cygfqBjCOS38Bwm1cHBIz6DUXSjU03VihIekExpbqb0J7PD+00CkJU1s9vYJNcbPzl8DArz4p BsUs/NfZBn/3ovcYElsmVB9TqSGg+hw6fsAFHanfAEVb2PU0RxUaESS0M5HUp9MUQ/ifE9mPsLqz Kp5atXu+/wddt751KwoddBLzZaHI+WvvjDZCzr390tCezGZoGlTCk/QdfRy7K+gG3c6NyjHbMpzH wG5aCiQcL3b7D+QO8zGnjgP5j+8gz7GSKZd+VzNXoWBOuHcvDCvf9YdanNRQCVuoA5PzQv+eZDVL ptycPMsn6bSnaIErlYvRwYuAWLUiAlT8lKJUIBST/QKRBirP/tH0KfBzUP/9qUvgQM4IEd3f4G5C GpSL076VQLhGgPqATpHs9HTlZ8UBWjyQSoVJJmDpu9dcxVfKhMQjhr10gCOdtr8u5qJgB2Akz002 qw31KypBGw66kCu4EJBhqlHd6NjMD2fB60tbXck6W24CDRFMgyi9zegR7zZwhKI9556yUUW/RXcy OymGF5Uava2uZCA+ZBCt3Akp74/0qRlTDx9oD/i6Q7//IX9fXBsRec2dWmXJWDLEEpIWtV4MA6hm 8hDCg0s+7AoUAb19Cr4BCdPJHvYEODXFvN53TWzrP0a05kkpwPjnPugvVPcjD93aau3+I2Ofkz0F GeLYadsQzQncBiVt5MG4gf8hctDYI7sefEzM8GOwxs/v71s5Ra+PI4rbnVvQmhCDpbwSEmV1ffHi e/L8hCUuSvVz13Ije1OPujPCiQhVFQplLUx1zoH1/7em2gk5soiAuN1XIQ30VhBLaQZffoBzR9dE waBiFSc7IitRKGbEAJy12p0Z1zaIjxW0toJc0cC5/ueeJKfZvs68GDybg4Sd5i6US1+CrRj/YHGC ty6cXPpdF6fxzbT/0H8VH8iAhM/ZLUJRc20Kz+0Y/RborqqKL8x/9j0caJLuUUms6qlwlO1tlsRd 43Fqzcoo1dOiWygVUz4StBb0ndjtywHfDqs+gn1bJSk3iy5VwY7oVhkeRKKx9o95NsCfJyOH2R1h Rr0hu/a9THwbOwa9biQrMFe4kwNdXXqtE+ul8qa2W8RIHmiyscBPgasBmdzzINs9fn8Ab+ghSY+b hit19ThFapHz/EmsxNLjljal3oXsEv/IIXd5QvRDdwPB7MF+kgs7U/qcVvKboiM+N0qNy6ihwQDK wh0iPxUTyw4WQYqe/BYCw4z/eJMkYtjxseec8JkqvM2YfSlG4RH05qOvgDCxJppMRAWxilCwyEea xbMhXB8R6jXt2EW8bUp+MmGMWdV1yVdDdwEf3rTRzcsYMP+ZnESqC11j0G/DIIP8cDA5qoOvARiV jNgHCm4EbIAHCJB6q2wZoS8/UXxgWXYVPmRR6fR28P8uicTCJMtgL+B1cMSNKwCHzgIakpL978HP 5cdeE3NeS8gpvHjWA29urUbBr1pfcqsglYcSgDhSWjghyywC4AfY0tUGHt3M+onX2lm78SWWsJ+m uR4puk2+cU3x+iuhivKPP+xvtAPFOGKpuITI+hulEbLXexTlS4ovLhe0BQFtnYW+o/RMmKF7ThQW CUSy78xm/sDO+JsqkJn5dHy0tJb5HFBTBrL63FMwh+wub6NfZzB3fbNY+byUIqLU96728d+7bXg7 G84kIqS0yuZczOrUYAyBFxOuJUwKf/55SBMWmhA+5ee/l78Fkl+F2xLZhw2xZ9yd5zeSTsQwqsNi eKq7SMV5w/2t1Mq1zWNIE/nK8hQXsEUCl1E501/vsThecuX/40XOM94oDTcYBkp1kfkF2TLyKQRk iDZZa+Pw3rrsNCufKj/RQhSxcndpnqBVmL6hSh7P+Q+nEHTEaYJtmnjAL1Vv6ZzPWIWXkikguP6t GdfDg41FSPImdg+zzyGI6Y2vnfx/7n3kfQtWFbCRgY7D8h4ttn9josPvjsdijtNaRsZtcoA0XIzo DbEoISVYnFcj32BK/62sS+O2Rw3svFa6j5XvaR0z022zD0Ia45ZeMnjL6fOcZDAnvSEsoiavxCO6 Wy14FkTepz0Y7w8aWt4qVoDvxG4qsNhm01l78yKpsM8+nI+Q2qekAdaHtsNvk4pX8PRK5Dk+FwAe ElCsHkkJiZfS15W8IXKJt6Y3FlqAttJ89G+drZeunYvzRncvRCQdNX8Hh3mVVVcEyULr5ocuv1sv aeI+HEnayUfgKTpQUVS4xDr6j2QeGXaFT79apwomWuRxZAzBJNsDB8VC5p6ighSrjPbTvOMmK68r fwkQppygamXqNpm6tOIzOOOsoVXmqWgI4mJNmXjlhQKbIiT+HDXPrkpOFKa/Sl6unaSLEUph081Z c9qVVxOAl1ogONC6+k2G6PdIz3GwhbvSkUzDOl4NpYARvW6/rtaj5CYOniujRLH+e9H3Jd7KX/dX Q1dyMh00aUj4/deFvTuys7oS48vmFxu4l61CTTfo98ZiK1JIsHj4bxMDLvrEgUR4K5/TQWb3VmpH Y809Jbj1cmhdooyUFnydrDEZmwVzyg7Me3+wlEZ+Y/9YjXwXeFL2wa7EORT5a8bKNU4NBQFWd8jR bW/+033pUpLtSl/kq6DjqSw/fFSM0bhNx4p9KfOjAEYWuLDHh5G38dwwEe1eo3JuLXwRwGX4oty7 daYpklWmZpAaGrupQ+vA3ZrdhQvHQxBuN+aLw4HfTNY+cEILlAL3ElYyOe5LQbptWVNjFt+gL6lX y8lzGG/KWJtWYfP/lZoCvjgTudLal9MVS/WiaI6wk1WGDLRItdeKaj5MQUuCpFv/kvHOjY7u+0X1 MRSwomxUWHh9ACI6nVD/aaG5rkIpyA5mAGDgWiHC8//RlK7h5yQMswuKFu6BkCq7JYHN9qBq3NCp pacmu4o2TxU8daFGLW2tywgHWK6KWQiOSX116mEa5OCQ5eglpYk92lYZwjBvJ30J7P6aMSWF5aoO XB2jXVZoyslqbHhKXxBhBrO/ER8gIa2nVPN/t0iEFF/IRSEVtBmiLPm0qj5c2QG59BQ6gt9Hywwm X3XgtjKUImbwRHcgsjnFGTN2h06YmjVF1stUXZuboWKbZylRMpyEwxiyywBKSWU4LCmmIawcjB8T uQ32whRXVsUGvstlJAREiwBKLlY3dScxzC0mj3OCneRmrj4LpXJvezGbNOLXudZa1ARQ87vCqKv5 l0t7QhawrMXLPejl3KBrdnFgbuFtFLuLJsqs0szvze9e5DS1znamizx/TfdiCdiw9GPisG2/lKta t7fvuMe0TJEbD3ZDZx8Ry5w8tZhgBjou1oByh3DuQxhzO/oiaWONr1Kp1ebzWQFPtK2h5Vd1GJ2A m3YCKjEsoNlefUPoC07mj0C4giQcRKv2DTXD887iP1TvR2bSQP5VNKe96KxKXlDpHJ2QElkwMebb 7esh2JijA5hGtWC/PI5szezAOKTJuQBBg2pVx7v1qSgN+RGkl//Odw59zdKy6LyAwRp2jeq6y/UZ C0aVWjm/uGldCZG0oHK594Qn1GM6Y6loSclZmQTaVk4WVmCr3CrwDMELnEYfbs5jstJ6EbcxcePo cQ0DqM1vJOdJQd4pSXwD/xq8vnohFY0nXwg/Nzb68++2d7HQdNgyntYxkEwNm4jtLv6NBQQsnX9W mB1TJHZHESRq+ufTnKrH3YG2ZIb+GfnPBhPVAtfwRJTy2zeLlfZ4wUzB4k7/ir9Rk2h8m/kw5HAn PEe95PhWJOU6kKOSfh8dznPQLqEKwyrLFqtZacPA5+HSmsHQoNBd/dJJ2W6m+zySwqo36xesNX5a TDcggHZcCZ+5Q2PmMIaxjkleCIGT5HUOSPkV7U5hLfQ6W+VY6BvVizzcUZiW2jxUbvGyWydqJ+Yc v1jHj2HDXsUD/PnuIiRtjXoN8hbQ6b6VgGa06U86lxRFS0xLaTZ+YlwRXwNwKk92ry70yBfR7f5k yCRXqM5NA3f0R6NXEO917GNKYH8GZV8ZEvWAt5rKLcOAgBSl2MGwnpRORMWAlHRUzzJxsGnAmA+e PVlpY+CfZorez5PAq8NmfUuJGUo0z9Rejz2MsO0++Ct8UAM4O0HJ4t//iHB/D9b8Tp3Bg5FkTPId lSRRY/Iev8wl6BrxBJ/K5UzbmnpHfU9l2z5gHLIYP8AH1htqZTPUgWcc/kMemIC0lvz5JMNVzrXL x/YBm/xLL3LvsaowvMlIRip7araP/IWIt5DPrYpHJf9gAz3A6iB0e3FDF/qOlXXi/UNz3VniaFdJ lvYEn+CkORaMMOilNuD/OXb+3UxrgccyToxmadJIP99x7LTL+7AiLPt3LOPzMeLNJNN0EYsLMBdy Ul6bqL4yxAHxCXyHgu4dZJRHY12TspteIF10jF/kx2Ydn1QctUl3d5rN8ctHWTvRl20AmIAvvsHl uK+vzqa1AyvMJTJQumrbeh0LoS9bVik7b6IhVRrTAyiXZ3i6OivR+7TlUps7IPJUDQ/qG5Y8IJfP 5lOtWpINfglhz7QFz+LW4WuRh0fZrQtfzntCqeDyVjJFLspn3yZWQVjWGVZi5UK1egpAAi9r37ER 2Pu0bZTswHLW/Vq6aMb08BjV103fjATv/foOeLk8JdvLFkg0W9ib0hbXNE26P/y+uZ5Q0GVu61qO K2GG+yTjMRtHjm1YAVj49gU3qM8rSwmST3j2z9ry3xI9gad548BeSXXV5kqfzunjbXkcX7sWPG0J DCTYoSNk80IK3KfUgTAUhgP9FwgwzP2oH1hZPMEyYWeRDRDxoaApTxM3mNydJBuNY22dGrCX6Tp8 gkK4NCI3K8csU21e3FAJ5J+WjKMthTzRgcjxL1/q62VodkHM/IV6mzXXmoMA5K+B+Z6z5RY0Ojq9 xH3cRvRf4nemPFjiaHD5kB46oLBs516D0Fc9RFx5xtkSfe6ULZpI7Srg5RfmmkPz0wfXgOB+plES 7wLkQ4yoJQIrCdGp/SOgZDqItvieI8ExXECrV/xa6fxydFQTunvKzOiZ64KFnJMzNLzDSEH1+LRO ETedBwDA/+FLUKFfEBWBJJ54x6GfIE1KXgdiYI5/cDdGXHhRpU5Tl35k+a4iRlx0ZXFbkTa5D1KK 5PoZMWdtt/KuxJ0vNJbE5WFatsQrQH//brnRZus3qOAAqb0uiK8JL2c1nd19TTpLmlZlVxI+jg6t RJxO8u0u7Fcw5/NIjxznfQNEc23On9ZjDNPjytwZXUoigQ+guWlEkKa7TvrIQAWGSl5dRmM7jBjc akPraY2K1AhE6Xm4rxKMJYFk2UJ8qU9jvBIwPAMAXQY5dGHPs6ZhMIYOANb38ofH+6Gig6jriiWP Oeel2HdbGCQpRfFjONEF7DKz+B0+ld/SELe7nodHT9IOfcA/rOXjKSvPHTPLpwMSNnblDa3faoHS 7Ldj5sqHLD6ZqprkQSxfwZD9LpsGIUrGx+npyXMwnxr3ixqIQ0P+xTKpBTdSIIPayQXFAa+8fEtQ nqZtkEbjbNCK6tMVGX6n9hElvAbxcL+xSh4upCzfz8LsF5uidIH1/YXa9+B6eDW4Q0YzRS/rmbxU iVD56ZJIu+nS66vP5bWfSCFgvQSvFPYgX3ESn/prVeCRsTnAi110kw3EC6hoSjmt9FtwXkFxYOeu UY4XIt3Domg3b6WGv7j+OvEBzhAXf7mj80/YG/tkeG/dnw94Z9nnsyDJL+1XRk/nYFdBP7EHdB0O /ZxoCWn88ZMFvwtMnoOZYJqxje94v5fpKAGA9jkf8Kv9HvGuiZPTqE2L35o0STVivUPGRdjaZ6+p bVBg5zB1cQhrS56Glu56ktfw+iXj97LfTWcdSHLI8depvGSfme96OMmhTauuEx5iOkvmt3UWWzV+ E9xINbG3dVsmDNupdaRelfzrwmThWNTnVAooB47tpd/Bx0MsCyrUf/RBs75sc3M9VsrXRk24qo+Y UA+2N43jE/XRkr8sHyQKXgyI5RAXgdNT3ycND3XFzSzvs9bJpA5jLy7ZgdDxGtLluJseDlwoTzSF Jgt97p91a4t7CMl7rs1Jtpx+Y6GZ2RFDNfodq8XBNgWyBRJFygEFs3HDP1Y33FUkZMkLX5gP66WC 2FLRTKCoHc6gb6FPenVzHOtfw+dUqXBFMcvHS0hEvg2HFj3iyIO5vlCxWmcvDl6H+jMeNnGA6ccY 5wtPcyenvrokvYXyIe1hJ2hbze7srLikobL+U+hKFhRZY1u4p2cphcsQaY76DNs1qvIwWo2ueHc8 B+dIYvQ+UyA9slpZE2RP2uLUdmC+WO28gRy8WD2Q7BaQ4A8rEmKTBfgqtbbv7JlZbhgPPsZ+gTsP 1I4S5TYcEGCVWTDp/h9ecPt5ETvxIye6i4THpn/bivDE05V9ALjz2ZQKAMn/hdekOffKAWLYWd9M ZRfUdRXDLrWrRoB8KJm7LAV3j7t+1/xpNIIiZtG533n+qTWHVCTuLlfatvj1PQu8ic+c9LqTgcta 6uW3zy2NcQVXnIom2phw4wcP9JSb8e1jE1ePiNNsQmKviSARst8yAvKNNKik3E2/adl0d6ELo25i eId9viJlg159XNdkxaQjD+8AcCSbeUJ8sOZ274B5oCjbEu9dUpVUiODbcX3jBW08QtNEFUjg9Ns2 JuUEKB2KlcZxWnF4EkrEeaz8QlQ3r3s0DyUhJ1KUmqIWBNkemJmll3o82R4185nfk5PfB/eUwUuX T9hkdNqiESsGK9bB87xkAHbiiHU1/GDn2VpAN02tFI8M7LgCowfXpu3qnc1spGFwWewHpoC3HmuM LSw8RH0uy5+88PU20OsH+0PeJ/PyDnZ3HMnZXpyeemol+Er2ftbKMQ5sLK+FKxZ4iDMdidZLI385 bmaQ9PFqNM7WM4YrVzgEf/KWH/hRzkUNKyMOjbBPXKljIVgAS0T7hi6BeP3ze6ISoM3nY/mc1cpS U0wv1vrZoeVDyTzaCpwtWBFC8tix5DpJJ6UwryA1ZMVnv/MXfd/4gqp16kM6ua1bvYEImcwM81Td zVcR+7n4Bb1twtaSBH0ff36c9slU2i/zn41DIh3C8/3CDwM1fsPuaHSOQu96qB1c1IBGbRh8Gchq 2k7BsaDydEl6QyPnazk4mpIv5f+sHpbt5R6x3Gis2JzscFLSinSqat4oi0GOKOSopt8NQ7T+sIxJ 67SoDdQcNuNAA93LyFsRR8LJL3OeXtTAL8RUfrgp2v/pUl3AS4JzyU/wy7ZSSY7drSeSSSPQkJUl SA14is9IDZ1FAfZKHPeVajxTl2DMoG1S9j+nF8eIGApq2a9qca7sKP5tcYZ9eqdon7jNjQjhz1PY RXURwuykuwc9qFXpsrQ3y0h6Gmc+Q5ngzEp1IzoxTgf+FQXBNxRHWY/tpS6a/0AAwO0UEGZGr/8T OiWTQF6R8kiVk7dvtX4rduksQTMYlO95mpyR9g+8qIiJUMEPHABHSV1jDcFDIXo8FGwixf1+yXE0 QJu6ev/EHgiA6g+sKliZA0UxigdgSSRnx0xztscyWs+xMT5J4FMm67Q+OuEEUhozr/7/JipFMYUs uoQg4oX809M6bF6uM/4AuGRRxCTdfOc0fkXYW3qLqZ24duUbyODSlogwyH1ml4IAZ9SJVzs42R9j UEiflAxdyTAkXDMTLb7RxV8H6dcTzeaRXOhUpnZpeZkfmm8kQY4QoefjLhvwXS0k10FMelkYxiIY VkZCf6K3u1MOlJq2c2WKMd1ogaqKKgTFRxklHFmiBclOvMse2XCpt6RQ1xMUdCpsjomLn0L0Y7Ta 83aR1L5X8Y/e6WPVSbUp1VhIFYIis0BwZmotbKpIwRybMwMEekjbv+QqxJFfzd5Bczmac9MfpgsQ 9omePawxZSpcBFwMF1J5NmLXV88560oFjD9KkJQdKNmAzfNM30QfGNCARH/Q2p+kVxArqdjtIfu8 tOHoRYatNHxSotKMtMEwIu9491bUtcparPbiGIcHS+eU1JBLNEt70pfMI+2w48uZwLi8QSsLhTZd ziNfjnNKqHUqV+RDx/3SBte8iTa2Js+AkIF43O/Ra6OaEtqlre0mUfCIoBuMS4TmL9XQ3NQg/d9b b7gjEV0khpxISbyxdh8e4CHrT2ViHpUG9DafnQhZvvaffstCIOqPxe/XBJFDpPBUJ+p7Jbj/MaGS h3/zn+ia6f8ougnnzmmZ+gh2yNNNfJqzb9YXgFRuK0ekYwej/fAs7B8uU4gpscP/vNxixp9WXUeA eeHDeMwr8wmZDeQJMO+PxJa08eIkStRCAUyRUntVmKvgqWZ4m/isnCfzhVvaJw2eqb4ASkA6iR3L dbFbKEwn0kJ+8C/6zT7CrNuR4VUnDHqQVO1kDg2Z9iL/LD2kGYK0wg/iUmvqLlS0AAIAo406x0tl bYRftU4q9n74Ln54RCwpHeUz9xzRT5KHO8c8p4apS6FknniNQeqig2sBDuZ+HuWcxlXqFzgV2nQi gGb9nA9O1CdAogn4/mdSY1/MFVAjYbeFUXwhxJbH9ocmpQl+cuHs09hTN+TQJQynQAivVw0pzlfG tT8Uj0K+f6D88BjI3P4Zh6MXob7OxmDiRHutB7+S04LfwWKjx4A5USNDac6mIdjO5NfmoxBsAU8E 2LuB1TC8g8bGaG6iJYQ6YyI9ho2xL+zmncIsrUQqrDZGRzByt4gFhMgeBoAuAuGM/6K3qyS3QUGI nCA51JFFN71PI0urYmAwbQPH0ZO5PX2McxWIDP5SdWid32HR1tcPN7T4wqM2zb9n57sqnfbAaxMl c76pVtNgzRytRPAM5/ueGU32mIuZOlqMQskDobhpJxoMOD430zwLpnOpRC7CtZWerPNmYd128/F1 RKe7NjyrSEMTM6VnDgi7ioSwPOkU3pBS8eFims9AzCV2xZbMjgSRzj1HAhC3lsxmjzLgmJJQq7Co D4knj08vJjbDmyxbggqjdfB5isiNcb4TryjL57BP5MFiW+fL3yvbgcCiE0WTno+cE4TQPD5R+H14 a9t+zMvj0PtbQknDoxr0MeFWRLO50PvRiPiaDLm7AV+PmyvjLaGPuh9Me5BzBVTPIiJzmm1ocHCW tVp9tu+DqEFx90j6AR5lMCc2oQNhOr17wAO1Pldbctk5vgimPvD7Z2Pjf+HA+DYXSUUnKns+K7UU Uv4Kmy2XyVX6YPZl9BuMpgwWR00SGvpTSefzKgVnBN7J4JeVjomtfhmPL9a0LstNuZK+zpEdvEu1 Q+LKkIpg542JZ2eO8ZvSdPVSL4XCLapxIYjsH4xOl5PNEBXms1eNedcQKoDuJy4J5IZMHf+s23OP mG1d83w0q0sJBMU3ue75upcdB5Yrcb3uU/fTcjCXjc3KqloMBUbtyAUgIKNvHhHhm8awUUFRiU/g GiTPqa/8Sow5pvaTrq8xen+SVwO3Nusjz5Z+1QJTWO4I+HZGI8fcz1Kno523K7AJfvy+VelIHS7C By5qHTs4GIX5SBV+Rpy9V2r0PgcJeHu0I131jFiAMcTo+N1MB86R/9xHpY+SQAwUazuwYoyz8l2D G4KhuC8bev1cEiC2Pxcxqf2yGnmZPrkRrl5xb5qGdlV61fv/Ove0WJydbRT50sYpqPvio1z36zuq /YBDPTW+gpxlPS7huODyEoF5SJ4lXYVrR3iCHyi6bUiLoxboZ0RanOINFGozFNk3ObmcvZeBcazk 7Sc4jJ+yaXhlwcnk/m0ttgWFqinmBt23g/d4zY+oyqCJPakuz5yvo/Y3NQbCKzXncWgmSVGBax7d zyvJbLZZ+2ruyLjuwrEb+iCiHV18DwQFx3b+hzbZ5jJYoBhBmD5nctXKyWZmrBXLMvtfigcp33qI rpR20rYK8hTQuiBO6FD0u3IslFkd1FHSEyFLiKiMJ2Uaa9xBMEXwa2Tbdx+cldBYWqqDE6lz9ro/ BcdRUE6d8P2dqon6d2In6JWzLM7xRYLzAnUoFo1/nj7S92qaAks1sz+0p0pRv3tTk0Ay/fvlxmKF l3Zauc92bPUEvSSp3+INPG95Fr+XILXsYTUbpn7MNI91ceE+5ozaUuwgsvLrdxjCppULyuu3RUNl b8aXtjGQSb9fSpN6wb+AuCaZ04Wwc+cWQjCNpKWpSazSZRKiZ7Ji4V9Tr8SswhE5I3hRmP5psi22 GO+bzATAbZ9CqMRTxHEWhzpCGYtF9OnFjPXPoQF/cbdaT8eVSkZAiT6dtfOXsJvPZ3RQuttZ8Tml d/P0h8HzLcHaJbro34xLZx2NYpsqmd1Y6VfUrm0t028LTA93ZVIGqjETF4/tWS9PXrWaHjLL1Qkj Hs5ONVVev5ByWJioeMwEp22J4PpvEK/vrfE0yv1pZ/rrTI5kWKH+l4VCP2f4e75Vg11LpZxWmnOq 3JzvhLyNvyo7n/d7KhPN0S4PdsBtzEhV40roeBd96D2xe9Nx5qfGzQVoOC97FPkSv6yYIFJPn+Os IwY58CaZAsiuN1lT+kUbhgSY022LkUs37HxMRX8a1lRwFiF2+h9nHIj3ooyv1uLbdKwddLcDFZus +nZHS7zL1YygxpVZMaDsf8Vk48kdeWw6dIXHrrJKCpX2f/WdOEqJUlJN7RcyqEd8e4jL+9gINyvR ULr4JR05u2LLpJ4cAc4mikgYySBrsnnqHpwfXm+AejZMGuFzw782bb+qMCWbct6OtUA3YewU8Ius JfDEXpznxaatiQZF6Q7CTveBhDFNqKfGVr2wzvb4B17yNpJI6G7mAjrD96BRcrwii+X2ZXOhqXqx toe74Lgj8JPOCdMimsAahgGuwvrmED3lmsHBYebmOP+ZJ01DVhuKMpzruiQccPW2C3EzDoVAzBkX j02JxjwKHmu3xKx31AJRGSFte/mXg0mPMRui7xLuiX+XvQmJaVImMOfh1w935LxXZICL3CG+rWe2 yGBydcEwpWM9UTb2P6DSFKKYo9cAyEACKRhZtQ86RNf9l+vBroST0SGfjWHaVwR/53Ie0lzz3s/j c8r1NLL7oCRVW0MDdwHyNhmVz+3STH5zxMhnD1D2cNs/kgdfYgGy2haxj7qP1ohWr7vf++sMhTWT hMUoH3UEOqOsj2PuhUTERhcyRJ3YwO+hQjIO81JZS2dx0ktaECsidPbzJIrAb9Ck4nRNnV53p9V7 w0SI4zucdB7i90jSVZoLwZ2wcVFRIxP1MBUcmcJmwee0cN9mGSpyGlfQdAWIdGzcYtvDNLMI/BQ7 LngTHyn/OFayawPuRaC79vSqhvDsXD5hyH3NRPTU5fIFivpPhFh26GpUCRKkhMnBujCv1l26gVD5 wHkg3wxCTmn+r9xMulgAKXY6tpNrvY+xhWcY6NxI6NpvjVeAX2vCrgXFiJzQueCsckyDZ66Fyx6B t5yTVJ1CjJCNMTq+lbcA+KhQP2OFI+kUn8kEWQK4T6rXSbpuKUI10r88emSxzpT3ybh8qb0EW+jI Ubuu/kyx47KufdSk8Iyr28Z7xClV/ecmZb4PLk2IjwnrAEyMuGeU+D6oHDLq6AKqaAqxRGiAJRm/ KucylbKBHAfRhmNFyzNSQ8IcMlmnqtOjNU3EfkVP9t9K92W+61SCQqS57PibQIbVrGVN+pV6r/4J HO/vT8PXcsx3MmF4ppXNcnKK+uu1/Y96ERyBuTrTmDye8x2R27Il8ddE+cYnsE5dzmhJCzgQziLw aXFTCmO4zt8NoNjSzWrjTD0eFHkaPKe8LwGC+t52J3BX7Tep6wOhx8XQgBQDsP0GCYy0i1oOlE9v 0tvBWuB/UeAtGEerPfTtymEG/TngLr3AxhyoLA7TwgVsLnOZfbxp3JH28IreFgzODcGWteNDKT2N F7AGuFr8VmC5NbrviPbP1RS42lYzCahTI5K1Z2/qawO40p7SDeOzxbT0GJRdWD5RAYvcxhL2mRjg oJW2flz/4b9KH80fK0EJ+UYZrAFiCjtlOfYtG5+0JO0pqMRCGtJ8RL3yaxJ48HonFqnKYoSige36 quJRo2LGT04HH7BhzJPU6pLeP0v8QC6vDuLH5gHVCx07paCA+LeXbV8tcxYyJGItP43t8uvU9JTT XXn9WMGs++5nmJee6ouBE1cFxZlIMrWZf1G3cE9T2Be7/ZFwp1vdQqMLXAk9tYPw4hQTMqUTgAKy mAxscjt5oodKhwjcvIt2qrM9oNdcUr6fUHWj3i7oN3DAB5toissQEvI9inPPsSwjuBiT9N+gSH6m 5K1qbka5ivwfUSTO05d+fXMY42SXJGFHUhEh2IhePfx8sQ/0GN5tgFxbcxzkCt9TycrbSk4bPEyv 4p0hM3ZfTUjB2wdtxi+WbJSF4szPLKBfw1hcJLuFdzO12SwEX0+m+szbC5HxUuYTRfEUMrRiUTMC EYZrz+FrABl9rXZs+aZdnqIUkIBKodbr3s2+oBojqAHUAjS/tvAStR5jV2J+YlDt7lZAMsE+cReV EnrXK2S77AWvpv/iapDcLoj6c0XKxBM3dwtrhCo3yBZ0+DLJPrIChGGdB096IQ3UziDpmEZgVib+ 5/jhpybZi3hww1fgwyR1n1vxn01WdgdK7kNueD9kpZkBnRLXI7pZ9XaqWgwPYPgksATsYqeBr8zi z3BiKKZwh7cT9ShNzPnwSrI3zMJNC+FkldOjEnmqamX2rpruDRvzBKC3VrCbLwYIKftED19HqTnv Gx6KDt088lqOe1V05/hzGsR/1QspgjdTfXHmCQtGsHygeyomBM9hjz81vkMUbe9Gv6UCf2cQYuxD O4x80NBkrf/mWuaspEglNBXAjQzwG7+eHWIPMzmvRrPD9GSeqnsjRTv1ij7rCum9/D1nDontiUtg Ec0yiA70yzcrFmn6uNbJLLqwajhwPMNZZh+Ihm6goNCwOVKkjN4qE9luNu3+jODL88YusXgJ6gIX MJS87Th3rjeKtwpiFd44jR3SKrtJJw3D5L7/tmobk3RUKbAwpuWkDwpPjnt/lXDZ0U1Ker7znMip vKDfBxQn1wkERefegVASNQebhraZwvJEIXPkyVGu01/vMtTBFGylhN8S0d4VacXYHXg8aFG84oh4 bjr0msT495AutuZf9hlrJ/OJB8QLgXztVHGLGG9Qvj9199HxjxCng5jntDcuGwX+1ZU6PLjQvXGY oVipJpM6jH+IWqkyRKp3G8jtoUFZ5zCFSaVDq866XoTCIVi4Zp0/8zSYa0N1o71mvISuiIGAHb/X C58DEXv7dlPKrm/QuXhlOZKhNg5NNy29k28Kc8CxuKR6Rsp8cthqdAhZMYZ8c9N4GPejVnIrpD6T SOjiPJmW/Otl6wbTiPTppKdBTWlvWzdwRKg0z0lnIKs9h5XLINavLDctChSQo7EUlARNcYgU88zM xr0WPagZqKkyJu0IEm8/C41Q/6lPQyXID5Z58Kfhfe2Ryw6+HHOZEJY8BzaL75avkH9xPqBxsn4W dvnK51nWDqj6jlYep6M07UpTxHeIiRJRo4bsDlctoYlfyO0jEnqFE2YbVRPtFvcK2foRDyI9BoD9 H4J5vblGZDos5pSt8LdND5zCawJJtTOokjv40ZHc0MuLO1h2NAB586Cb8RaLkePvQWJnoWsR9eEn mVKGeMtIqQZ9f32RDOM+qR37dxob6klgapHt3CnXhu4zQZEhSgfhplQMfuzLcFDCR7d+8ozFnxtW j05LXgDXg9C7j7ZSnqCYBavByoK4vhepoZZlc4r+lJ+d0miKEenVQKw7dpQA6F0ab/ENgZjNqF1Y TD0t1P+Pym0PCjvyYOpNTFJvKKF/+LH0w+2ocz8/F9Av3WNRjqutoXxDlwkL0po3tD5cxoQHRS7k NY5BS6lqqF/E2Bxs9/jjOOPfUyPN+j5ahbW423Tsy2RsRVv5rYWTacgmKuMnAHTSuXfehGgmH5WU D6NYVh6ncfWt7Rxwx/A95CG6p3U6K9lhv6nvsxJSeR3ay6XosO4T4RPcneNozkctzw96j+yzr96v lO0bg48lZO1K5Tr741I+dWyFhENr/dieIg5xWPIQjgxqTuXURaB7JFK187yipmIyIki9f/Kk5xJC ujKcnxrg1SGh5WROzMxcujRXkCeV8blBTuXreiqH63GvpdO6bbF9ovcSyFiLe7CEE2vPVebNNNAK pxEjv1KGdGQIeYJ7xrU9+1jWgdpksjfwscGo7kinpRBHY38RlpnuS8WaeGvaGJ8oDpPYPltBrBef hIY4CEkR50B+EAUks6Y9gk6DJw9m8YdpeJEhlLh1HuvMmuJ2z+LI0oV/II1SlVKISZqrPDNTd5fp fsM7FGKZfxxvPpvOA/umyo3NeKO5HyOCYng2XHJRyQwZM2PPKuhgmAYQH9GppGOEwAS+rfsfESQl HqnWIbh/EZPl6IGtBG7RQ3lJD1kCOfRMPSdgpX4rrd1Wm67VYBudV9IwVWvmqtdZvB8vVHigpYDg bvw0RvknrE2WxE79NNXsosDL8Qz1BCmqLRL9Y3nS05VAJuQb4iDZlPpglq4bIgRfbqTRZk3vKz8D 7sHRn//SFGDIi7bEdFkMfO9iABXKgo4TPPU1tPDbC3ARPttPSG9qXT0Ac1GtpfD6x7rYSN8tROYf qMb2Fq6+tTErujjazwrV0zcz2Us3sYKDwndXKJFRGijYUgLFFRXWd1qLliR8HUAwi7XINuvgg7no Egh0ytZkHnPQZklTDMWCRRtAP+qoJAXLQqajdSd0Xmcoyk2kV5dWzri7Lohe4FL0+Bzv9YoChHQD HzkpROKMA1HRnH8LTVzjmFLqnZVdW8crAURsy8+/+iqzs2CZyhPfaNVP16Sz51cyLD1W+gqgfgXl UWESTufPRpbBXosoETTKnIzvUJQLHP/5dIvYMUHBO92Am+0HXIuyQc8ScAZAg9ccnLgi6zNEkby/ Kgyjz9EjlBAcLumrjxiGjGGSzU56pn3IdA3tAuDykjTPHdDeCQIwJgvFW962G3UFyHG6ob0Hxlel VhKfCdOGAbLP98RfoFTu4EoqxZqg7Nz8sewedZBydSsJSgbVJrxO8RnnvniCAt+8pboQAwTWAbhi mo54soZDR1OZ89lzLZW/8D0ntlxi2tVDkovFMxHnbqilsLBjnk679daTJEQuuSUeyqyltC7PUkde bKHuv41fcfMHMUS762pPjMZ3X4B64CCykQbHpj+S5DzPmhiOO+KxrHAaHCvk3OxQj2QBV9hcuNN5 Hp7s76Zukp4FAE6a4//EEVj18yJWE8jQ1pFeGsETljjRDduAhv7Zkk3vqMvO9GOLdZ0dvmwvd0Et MQGyYQh8i3yYvHCF00adMuYhp2HDuGuq/ZTva1TMPDMvmweferLPY2aqrIPXp98nO2hX3940Hczl 8xvzeow6b5kXXi9MmczBAr+tuy8Pz7OGM4xBV5AjVgGELzCeUgsEv889S/cncdWJlHJZ/LYPqas4 YvPol/B0m3S0GtbjcFZ7ytuMCUz8lLz8kojqNgqj7CiF0AHiXkR7ZOl6Xm6/IP+0h+gyi+t97bIn GgIbEEzkBCbmqKxC/uWmM9sM8DrqPqoMqKn/xbNvunBwqV3G+zGHyXGsTbISV9+r7vaWE7PDB13c A/aRiVRsc3viXd1tbrNp7FEyRW0/YjXMidKejFXCiUhagKQlE0/rxWqTRvhtwz6VJ590G0MydmNc /jq2x//mxaMdhYLBYu2gSSbyMggfQMNO7zAfeMfwDyGs85CT1E60CFusMHwwsd9CJRiY8xcsEYeH mW1UWirao3OKjyX1xTKPR9whMw/UlF+wh5aegjAGjHLPnfFyH0q2Kml8xTe7jAWGpOhXJ0EHBdR7 YW95I04jMUI9DoNus4lrYswhvk4DuTVA0okrDzP0odDMi6Ezrgcni8dSXVdG8GJOs+thEk6/U0SE rwkfywbHxYcUfbs4ypuyybN0/A1/wGgephQdCoN84hdufUVbUxLHhaHIqNWxQrjT2x5iu+mcpBET dIc8Xr0xJvsCKIUu46ywDrfjrWFHs2Y07o8f4DbWvkXn27OhVGhP7e0zuq+OCpdI34S0NztLHPBp 2l3KWvmSKN7/fUpipnLIvOlK5VJXcm072qwCxTkrtCJ5vAmjNyLiSdvB6PLzdQsWty1+XEOA0iT2 lMh6f+nKzhAe/1g8WSAkgr8H8mRDIbHUauaniXXPEcfrIxzJhyQGoArTp8B8REv7uvlmm7bq3ahs xykbiWEjV1ZBiQX5HQ7PG4dJzZ6au0sCY7k+TMdXuhw7CL2jrzY/RtMUaZBqICQqE31q3YvHd8Fp WID7/jBHtT2D9bSuaJQARIziy0EqxijE4LF0YmVAHX/tDenFOe12zm0PzrOvUP1KY/t9dJs1TvXn j8vE4m0Zl0hJ9pElGkn2P1MkXyynOT9dZRGC0LZPEgrUGv1yY/9tyFpbm628C+XqcAswKlmPThAS xzSHLXokVH4ob+gDgmdSM4RiHVDhPO2H2gNXfxhECyWfvE3JP6QgNENKft15KbXNp2tZs2PoWaJ9 X4y65c83rNVU8U/vZJK2FjJXilLXcBKqVOl3CleRkgD3Nh4iQHJPosakpXmGm2XNGHTTHZHseKi7 m5AfopOrcWe/ntc5/ZaV9ZOXmiswjAiQohTfjcaUN5MQteVXGYWDZEGUtueZ99uDHVYYfDaBOZkt MVayzBB9iOaJbIKWoj5lKKAV0AvUaXL4sysPolvH02pxbztQ0rtZbv4aAd+vrUruLASD1hGhbm27 HJgheBS0L73kQircD9aR2WP3VFuoVMN8l8bof2+YUPYIrp09Q398+FfUMnM4N+LtYeeOTty7vxl2 jN4t444hOfKbCxTX8ClteOWDKlZRjG0smZySrvG/irPR5el2QIDTWEZgAoe54DoJupoEpG0xM0WH 16VPA2KwZvsoXTTHvHFdS4KkLsAMzmyXQwoauAIxWgTPKRq6AvJtWlTRLYt7EYOyzQQ5e7WpQ244 9UchZdocrkYDVjuPbqA8S9N7oHWQAxtjoiX85Kcawz4FaZW1RhJu6d6YVL6DA7KZ3S7cpaLRO/KT abGI3rFPKskokklPfHMiUYxr9OLKMf58rmaM+XqrE45qG/VUHvOnn48KGWl5bSPEFBT5XFtR0K5u bxaKXJE4kRMdgxfrFKToccxYK53dwXGX+celbwjAbXfmbeSlJRyJenITOFk8c29UvDxRWtJvDs4i 1jGut2rPg05ZAre2afIJ/gZ3x7UA+MgQ0S3jxIhL+qpvAY9y0fIn4tTV/EEUduNaYGl9ZTODLJ3G jG7UYp7kQLqA/81mLmKyETVU4907brzfeLyRkcFzX8BxE7qraq/7BKxdUJmZyPVzSZIu/zicityg 3xTrcCZ1qVbMo8GimC6CJ07PpIjgbQpCOXaM0crGurEpEVTFUtfZGkcqa3zoi3KSjx9/SdzOtLri 33TmamW0+R+NN/pZMO+DxPN6njc3launsHHrO+g+9HAHqWT6iWH8IredD6Fhi44tbVZjWCdD48uk 8JNYUOlgS1Wkuy+tqWfsoQkkQureY6ivzEY4VJ4rqQajuXFpWcHP0KVMlX4HM2Zi4dOeIaY12tjC An6JsuZjOJNyx5ijxivdmuGaEHEsiVYiFZf6TzQc3qbdjX7JY9xEek64TO1zv4KUuY+qxSt0rWkd eG8cGDRftrxR4cyKQQUDWoD35B3SqExK8zELXZ6QUjTx2iP4N0mK0ypzZRqvEDJou4bctxq2Y8Vf TMTksc9LM7i3ZxS966AhaPqULNR+S+k9WyiJ0YUTE3IjSWq4DYrU8ryIajJIZQDUw2CpfZratmuH iwF4+dyy72ynvez56n0WYDksE+9bhE/AxrZUeCeCwehd8c+6GEA43Un+/vHitYVrEY9c9etSaeJJ Og6XULhzL5XR8llB/cbHtuIO7o5HyWdnYPRcWL/nqV/mGiDfg5Kccw8ZwOGX8x4hKjCw2c9Jel/a XbYukQZpfZXQyCVnKjVRDX93rQV1emRII8iygUPX932PLLNVCATjl5PVCQ8dKD01AM8yDFZQoWj1 DpURpH55IW9XhQTNgQrn1Ai9dTLiFMumczDyGq1iHGUL4ItHnYM4LbMFifvk22UMPuAZVoHtO/Xf D+lOHM+/4bHyEmKewLpQF2S6Qo/7q7gyvafMr8xZ4EgdTyxoDazVQXkWBHYhDCItVfBtItCfZjMj 2y5cvngwEKcA2HBMx/5GK4WNDUgFG8kJGMqLOnhSCTtqUrfUkXWD47xLeQupxoqGhc38UJxGjelW Nhqsps0Jp7tyHYF3dHlpd/bUT2YaQ4mOYnxRPAAqjfI8zdQfJiw15JE42L1J7LpYCW8z+N4yjwEV QcOlaC4SZVB3m11brQqYxh3sJKFPBKP1ZqGRbLaBRYPFD8xFvHwicQva4RebHYJfYuMwTZI0rnGZ 8cdO6Y836IEaYn5J02OrG075M3LL6h1hjGmHOQ5KFXqKF5k+c8M5jd71w5ccBTg2TS/C0xOd2/vb otgkPAtcaIJT8uRcD5vw4Q5wgl93Xk5g3gubD1DoGXgcifXmpPOY2DISAe7ud4enrTZnTvMv3Tun lv2iEeAXsOFzPoCERDrt2rL6PaWAnB288iH5PQ2BXviXufYwTg7R3TDUsxFSU/B4e52hIW7pkpBL VW8ZwySVu4jYO4UcJDMPHdI1qDumF5L5SBSLmWStpwKHK5IkfGru8oHl5Lw1Anz5pQ22hMbQYPkx WGqLoXkdzyfc/NEP3KTE1k8M7xtjRntB8QoZvapv0B5fBENb3DDsUtK57qIPRfZgwTQip9ZzXnQR 0sherIeVkcvTUD+fPvcc1XCTb6vqqVtlFJvkl1NIH95AQhoARIZ86kfLm9Kdf6skvGkbTzM5Kaee BUdgGQC//8cUC2wK1xD0K+H74jYy95hyjsQB4QiZUSEdEAaUiWCGkjobCnjyQpxTKndJplxQoiyc 9pgCR7yaFXwB5evKVDxMUa7/B/TnphueXXIauszwIVhC59+QG9ISe2pHN+6c8fSsAPv81l9Q4zxc U37SMdFDQUdjMcfR7+OlVEtibnOuoAlwSb1FSNNGTjKaU1yda113rvVQglAGUnwQvAmJWjvcAH9b OeMk7wU6+ljbgl451v0WDUJNpl4kpGvhYA/SJ3zi5tpANgKE7PL1PIvCBu+IJlqkhRs/9t2j/AY2 q8671/MT3qJZfhAOTlUCm+aVMebxXvA4tJVz4V9Pp6n7NAUqr4Q/AmAfd+iqe6oLmjXQn/Ww7I17 34gSN1GoO0MergWI0MA7oD/KUPyQeSNzYGl/vzTCapqS8Uu68RnFZ3WL3G5ItcUWGLsHfAdbBxgd /yHqTTwP6iyPEbM69oRUQPoEgfjcY6eg5Tcu/H2/QedpDPVIGvW1+rtDM8/wOtFds1icCM3letzW rM46W8zWR+23+rBuYJm3bUXk1Hz9Qh+cEnk7H5u0mG+NeuynQYOwZrCQfUMGfP5eaf1cV5YSxIaT hwMSzBw9eBvpwU0K7ie302hIllx3V1ztUPUwFn+A/+ZXDfJ8ocKeV63+Z9LuafrOybMJzy+Kd7Cb jfly4jvVlh1cDAiuzdd1iZdD7/FN0S3m039nKi+L7J5F1Z6ulrKH6YDAI6SCQgX/m0okluvbBCrB uSbe5y6O46Nmj7Jop5SnCPfIpquLiLx0MmWLjQc7qFaM9Aq25GvaCgfNkMxcHZa6ZbgZjuvPC2jW qYadK0yfo94u8IjH3d1vJwLrbrgeW92bJH91/0n/1ZLg8awJdgbo19MbNDOOVRVsF2NOh8sYXIiM asnwl4MsN1Ss1g9uHQ3DaujEugCyZjYlsbgHisZqe6WoOHdY3E2r2tfrnvGiQbpDdvMWF+xDVJFm dApZLJEbWqVI3ABZyEOoytdWDdP303pvfLTEC1wWvCq/JUS7h0WMSJBTfi9CmZI+DkAaoJFCvad+ KOXns0VNaY8gTTQ5MkKdNJcIKX5nZsKI+1ar0mPgxGmaOR2qzu5HX9oL5YomUb0+JVW8MKtx913E TZTFJQtXaPCDQvbEICF0hKGe6RtxpNjy2BlQPD0nzG5AU28vUf7e9T7bLvAkBZFX4ykQHeQbjT6M VCvqJD/fCWMuiDZi8mO+L7S17MThw1/+lG4Orp3uZKao5uW0lEBdNZnt1U35I8rr6dshEJekYIYD eiZYhzW0Iv7oTElDiVE9NG3bS72Ipuyf9YVNosg3w2Wybw7EshEGsYbA20r2s/qwrQChOE23G5ru +0wZxWLrcS5IrXLPcyF97gnGIqLARjNkFEUpXhll6XyQ4plX6OlTosq2gXhjeFZ7ZAdQRlIlvLOP PfzrU2mgkkMpSNkUyVtR3kMdD9XqZXwC3lezc1QP1Dm6Rte8eTjOnzHM3FGE0nwL2sGmjveDaXpc zhdqeomTA50zEHOpK1oCgESipT3hCJLFpaJIt67nVR2Q67s9B7ELaGZeNHxnXSCZuBBmglJmRJw/ iOSGeN7MITM05Z7LA04BJGQWFp0uLxsWDXkrSJSzYHqLGGb3U3HUUL++p8BqCvufSD8Z/D/0BJwO K53fR9vY2Ukvpym4Z9C8SUt0eQDZjFpsgcVmNFjAbK6mtFPwxchA8HImRBExbhrXxr4lpCH+iloG FE0VsagMFvdaLWAEwAsAhI8/22wzMslke3bv8Bo3frW6knz1C1udGtNSF5Q3o5PPrBW53/UemHcH o1RXNnfU+nyRdWiV/zXWp2exmhI1xM2VZ6LHlUQ6iCqD0P1Z5rZ8l/TroQmYi52YxQkYGvz8HI0U JKkxwv6QvI8YEdztj0WIfk+XvuwHw6tGtfNCTb7z38JYUMf8R9LMH+fyp2C9RRv9DNhRVpxv3pzL V/5zrIFdzheA+3SZUdcKjPY7yk6nmG7vJexmF3t48tpGtaa50RL7WZerfE6fZPBrsdjDL06LPGE1 7ApnIqH/fwhjNXxTKLGOxQp8oLVN/i0Vz0q3dgQs/+ldrQn4DX5X4irbLvPSbMOSeZ3i2CWn0Niw 5kiAQaX75xNISsLPHRMjWGhGGdr8lxyrbD9btpEjXqofNh64HE7AmEVXfhII7TEHT9HrI4QqZQff qRT46c0UuyAfS4vATINpagWFolaoFDvUWBv79q+R8UZum3rFuxGC238h2ny036oM/51Uy/xjz5Oc PojGUgpv13fFR+QALioMQmtg2igchBy7m6zAp3WWwHtje1ECZnmckLfE4cNG309nds7Os9XRSDrC 0tYZRMvzKgdJM+CYitxjXoGvpOJ9GjFtyX6CG2YbMCkEL1JVsfmmUTihW00qUyaKh0pHRKlPhdZ8 YFvYCHCma88GRuWwn9eKL51IHHnXSze2YlOMuBLYTHyuDsoZ7vbWbwatTGXAbF6MNIoxo0NA7i9b FdWO/8+BUm0mT8mq6X/HLK+a/1tTuLW9Axv2LW0Dkd5q1BqtJAPBqB8/kPpTNnDqEGaCl3vK98dQ IwCmtZje3OeZf8hTy63YanWUJESho3K8wAZrxStThB6cycxy1luRFe9r0veAZpdeRRusIRl+7fks GDniwh78VAtdNQhbGeTzGABllmahMW+cIjcW3CAtcuwAaxEIuAOnWZjwOsLUcMfxEYIO7qLQ03JU 7zgaN9KOG1q7j4qrh1MsfxY7rKK42m82Z9JvT3Hq9we7KzPHEARCe96FJ4Ytq+CjAZvQGCX1U/9Q AbfadGw9pUidCZ8sj9taWrScAJOfSNlXvn4zPN9e7ntI2Pe0lOwdibZgoqJcrUi0BNIauvQjetTM L5+rXm0Xp5gmHUxk27VqJ+bcdQxaw1W/XzhjfntnOGhSEAiXAN5opgJOP4VK2CobSkdss3ZL6sxe 8JjMiDZ1ZHnYVE5zZ+1fSYJoNkxIXI9kOlIOb4IBsns1rIKW+w2poLeBUSa9wyZM2Ps2F8heXY4i vRiFccBdcqnjICqLn1/PbWzLjZMKAm9b5G4TebfMqErAfH8X5a8Ybb90z+/6lRvAYzelDW9pcqZb pOHBr+uKvcES70+x4QJiq7qt6neGStyeRn5h6yX2HHb0ihbBfjeOgI0zwZ/0g4Ue0hWVmsjHupZf EEGu9u7H8PXCrnDgxhyAzjp9+a/BiyM0T3TFIvt2TrOHcYDDX6UP0oRuub7FCiwsESCebTj2M7t7 sn0SuJcfSO1WeeotgJEwpSamAdPLBuEb21A6nHdVUOE/0dWYoJPiGTPp9tx6hdYz4DWkHFPwsxrc AcvpNp3zaJwvqIEuXXPXVRXWdJT5tOCDBrHHa0jf7WPf2RgnNmaRGkVvNeFxfuQJjG8iQMxBNjbV EtMRYJDcfoeH/obJUjrLXOGoIjcDjvnK2viaBc6fRfAUVbKlkgNmy9ytb17sBhnEmbiEfKqQoY3q KJ9+K1j3Uhbb+vWr7g56V3TeO1vET2HKE8JSZw/aU/TBAV4x74vDLy5H1CXWh5Enh3OZIEHVI0ZD uVwEFYWfKec4HutBoHUzd2fMQBqxHcnKFBWR/jMX/36xlAfdRrNr9A5SoiePai8//4IWZjTgVPUG hftVBrIpNe9UPrq49wjHXjbJOlVopDph478M8n/YQEXsYbuszrs2kTMgLI5nOZjF/rkM2vnvYxRV U9DualOx3vDivWTbCi6POGtY1a/TjWYSmDV0fOq2/wseRxv3JXTdUDuk45vbfxwaOKcWW5et03s6 u6cbV8S0tRQyggJ5NdQ0w96l5QKNLIj6+FNnuyIBebL+ejDU2Zgi0WAQAYg/dagjEq7b+d3Zwo4l h8k72tyvIU9Pkze0DpRqvAHLzHt4CO5vj3ec5UDwSxq0e8hq6q/iMSSSTZIxbFkRvYzUVu0yOaIH WLgxaZh9ULrAF2HPj/XojG6AYmttxz2wvEylU3pd/4tDiKAqeD6wxg0AEC4O2aPqSKI9Q56o8oFT DklrXhar/UBzh1z7RdwAqM3NrG/9HcXsA1IfngSYAiN4BSDxRQDwj/MoEBFcIzO7bpQA1oeuKnKr zDFbAaGslFMuIhnekNaWjM+tkAOK06JzMtSsmUHZAaGc4/NxcuD9nJXg/roGGLS1CrlDhcvhCEpu Lq29XbT2zIGNKzGBuhPkN3hjUU6KHRSg+brqdIWvKimiZTvR+73oN/ise7+vMafdXozbKZ/k0xjz iM3Ozk+n7xWjnJAW3E3FEm9dImgcsES7ymNYYJAoh6vHzM79KD/mjMtFp/F/o7Cx9G954U6lzXwx dFCYUrMcUWGDzVW5AN89uYZxTT1Ly3wwml9ux887wo4X5i5jEgRHaurBMiOUcS/Ej8aDeCdcEA8K 2za0NneZ8RUPK4sFEKr1Q9zEiJXfHVb70mZq86mXOT5NMUfcxRJQ1HcHiGv2gPGq+12KjyU0HNej Ofo9JcwIrrH2WvHKY9s6K6hhsA85m9LZw+503zDPfNH515SySiDV0GzWqaB/1+QXTJO/wEywi+R4 Lkak6HmwLTz4lUuajLVS9SaLbHvD8F2rRnpu1qSMoascrJKEyKQ66I4zJstehW/v07uiVFTLJSD8 nxLACALU+xXEM7IMRZX3P69GUsdLTNThoHfNnSmxp7N99zYXZQPD+8NW2Pqx6rOIGLBMXtQ5FFEl 7TgOGbS95286FDop6Ot41vPEn6IQfmq7wAM3u7hZtyJzEpgMkjJcFLSItfKCWcLleiBGy7qiTjfb pSiZ4R5K3JZFCURcsZyxIK1+p/5L4Kr6zTRVhK365kuNT12pT3hmnDYtgXDZKAfnJ7gvxA52dVo4 +x+S3b65ZhRA3kYP3avVLPITFH1hrVugNfDI6QT4ZZk2uOyla/h7avaSouK+fznKo+x0mmAIjYED c6kV2LGP2qU4i+fZKU0tAfLCFAVDIfzEFoFG8vGAdlIJH7VuIA/h65Ir8r9MTxbik1SXLpC/+6L7 wf44nt2pvy0M+evtI0mliaOCTeurlU9WyBxiRYumPv3PzseuB2gQP/VgVx3SKi8PGFI0rPB244yV iHHTK1fBEoHWVueO4PQiNkZiu5nHfdTAqrw0xx22nIsoRC9e1Tr/luXvQrsIbQuneCs4XRjcnODh x9ZjBP6UnfMOA0nexvOz719/CSw3tgXCayDbFW3E7QxkTalGiP6dBGrEn8As5mdP5zGE/TnHJtPo 57sOv7XgIV8ILwveltGUtcwfn5C8q5lNFe1LHtyPmFOJn3olQw5XS7AwoZaYRMLxYvJ5kpndaTEd JnZJnHdQsHj+kRXiAPAuY69IIYZIqo+CZUHdHyYEzd8smrW+/VsD7NwolV0bYDb52nhkL2KfY8xo MNw0O21pc1WqUUGNxzfQ3BMe7gsBTIxIaFbr9/lHqOVf4DyUgbqCggEAX/rnwDCiUjaDZMWwgIO7 KkWWHrrS10Hu2T9t2bFA0kxmSvyw45F1CTmE46IJLBcxXb0s/17WpFAQBoX444xSovptdMQ/Zz2B OIKcaEOhqD2CcQXydvzazZAhcFdcqhmjnh80GV5BdZ0aanpznanAdAepxkzEveUGeaKFEA7MVFLo wwBp2DKeOLT5pPQrVhILBIskwEOB783QyI4yzUeqWYzS2hwWX93Ytc5BqlrxUS/17+krT3xU496w LuRSRiimX5FPvXz4PP4AnVr1dg9sYjJUuumx/KP2T04eDYzPRsMEZ/NxprWttr6ZHRpfNdlq2WsN OV3NbM0Pt4UqU486O1/VIkm5vawNLe550WpMbd79Ou7cJEI17mKquQDf4vr3WsuWgppy9rU7XapE 8oz+cpUyIqVz5sTgjR57JXZMuD0YKCoXmios2Wd6R++PQV5rwipBoS7LO/h/0DnTOutPNIgwtEV6 qqGnYSByWqnsYMEMsjzXm5F+0uBNl/uFBNTRpBhMVAempIuzWLnp3xxR/paE49Pp1InDQXKH64oI H58zlMMblzlU6vgJzwzZL88VUa5MM2e+CILm/4q4QRbP8l0GrrLLdi1YJvYf5wzbrmCSLoz1JegF g8u9jVt3tTq1GMzthiXQ8fYC+sSzOsZXFUlMlXgBM+VNSUYl4/FfQSrZO9CfLdZ+BBAb7JCRJLV/ xQJpoC/bWK+3vsmTQvrTcQoZP17KvxGDKVXVNSfys8WxFqJXli3DXD6HOh/zMlpihVAdPE/a2Pba naQqSjQoyTZv5STDm346HPE3QBxddgJwqKfCqT3N2MVb+6V0ld05p0UQG0zmq6HCCPgYNaXnOZop xClXsc1P5TbHIYMqEMdt6baWE0G0dWDhw1VktUxfvMwlm/YcLyy6OR5EOpb/MrUF4AO3XaL4KzyE 9a6VEkmDMub86L3kc654KIDRtvYAbCYQsYYWIiUb5yWrvOym7oXbntp5QK6FNIij0plGZLdCrxL5 y/lD8uKWcwS8ys48Ty+2cVnYZR4jajvfyUxbekY0OqSC/5/nIC7BKextu3eJVZU4rwDxhpi8F0yT Hoe+pZjQeBzaauD43DWzOjOfrRtBzRz6CLSg1gtkCWK9Nyw4+amtQp5a5YZWugaWwuFxuDE9v2Wi FX+uBwRKXmitWkqt2W6eJFwjQ7ohw9cGlQwcweXaCqNuQ9B5nQHttlyvwGlwwS01YdaaCbcx02nv Fm9a5izj2yCTqcX/WthRrsgmY65WKUtt2fhGddWzNrAdzWfh26I9UMN0sSntbHwLgLysdmmDJuCl kO1ksY7F/2RcNuX6KPiEjaGioCd2tXw2T6zB9ZIUFEoAwmHr/oZ+dolEsVGGmKcQhJ+1sBvUtJXw HNcRC04JksWLkFOvIZsZ1CmZ/I5faGfX+yP4IFRdixbxyrp0wNY/ATdRbU4jS6QRgLmpMWm9ZsgT 85OzGLArqIIJ9mz/0mvg3nkJhuDexWyzxF5Vqow5xZ5UzpA18ePyChTgfSylDxDsHVETBQaDyQ0o Y5fNU9kEyRm16XiD/1uaKbNvVI34mnNoqFAimaRInch36S2vOETZM0dgUBJtNdiMk75o35WLQkwW MBZeiHgYHOx5GN8SGCZNbBkHelUaTht1fwmy7r3DOCugYpFiRxocrKJLBL727lU8qDMhfGXd54Wd TLcSqmcfAF8MG3H4BgSUCaaZlyL2xlaXKRhxOYceYSkTblxKxks4aTsIgcY3A3uGc0u5GKctfJId boYuIvskQyYXtOUxp1lDp91aS6f4uQT2a+/6smQbVTTVmdshHxlalZKdbNcSr/tWCpQ3ccKCwTrm +nXIStUAc+FsyzR2QItl9gvUhRfALTazqr4euwtYPMu5IhzxBu7danklPsFuStny01e8N8q5aV9y Fev+cD6LRzZGf17OKFKrMMhUi9DsfsA87bAcSU2mdTNbguu4ua7YWhzGEDkLz8v2bvgjVgPYi+m6 5bzwX90PlJ0hHHvbYkYPm3GUBWhJgVAw394zUXtS0o+uwZWxBDl5ln+WlReCUOGnr2sz3Vr9V7JT ncH5sfy4GRZIXG3WF9ade9XDFRjkXgy9sjUJH/yU44zNX4JTpBkvjgjivg5P3RjHZAfAnKlsIN44 Q0IEo4ptYiDAiBWL+7UjZkDESJ9pwKY+6ixsN79WrsTutW4S1/TmMX5nbOhZ5COtxEnbxZRVL32Y TKB9KO4A9sg93Wws5GzjrAnMCTIlpFlXjj//ECuNIPGIR8VKv3lqpXpU19Lj3tYJNYS5s4jAgc/T KYS3ub9hTOIIqF8KUkIRz4H4FvO9WjeLx16IdDAAG93YUzSfo6E4m9KYiJ2xLkOO0H05UK7Wj8xq S9TiC5oie0vGeXYLir48UlswgEPcyLhhjHzYX4lVl+9EHF1pVNn+RUF+jOUK7auiWZQwLHxgn2nn Uh5WJ8W86tOFZVk2Kjhlrwi/bsqhhCeYuEKeo/1r4MypbKw/LNgVyLclTHiQafjPbTthzdoREGiF G+Yyh944cG2dqr7aTSLuauRXKAdQlYOSNCyXDeNYM3vkLoQ1ifofa80so21MKPSCazH7aebF/YoB 2+mX+ZGZC6A+ZEwFEmBQra6GB13PLJWGGi3KBLbt8t3me2YzQNdK2kc2AajTANi8lrQ6x8b4FqT2 YZgOMm25roFWoSvcjgWLEBpvxtoMtfwPmcyZWqAK7QlewEgoq5t/VsIz4hsxJEkC1TbA5AjBSLOT UEUfYPV1EcO4duc4/IFWFgPsv0u6O+WBr+wZ5rmiw4LVn3fSIBNyVObSCJr1QObAosKty0kMBtSt Wg7L8nwyZeZcG5puVEX4yqYFE2dyrk6fEE7+Oi51WZJmwJai7F87SKQiEeWkhqhXZm2fR2dJFN0U +cgVHveuc2qa1ZZyB8j+haJMkuy0e27ZgKOz7CiTbDN+PE5amoMRuoaNQxcwrnF0xniXK0iLi/ud HoOfdI+uQFA6dAQIkMdrcgGt6cJdavk0otHveApS6U8z/mycsqL4JuVHDKGzXk7SnAGcV0akZkdE /K2HdKsOdarlV0m3K+HaQepMCk34wjoN8KD/tAWmCTsvyosy/H7pinGnoWogDGTQs5VWh7dpfrh9 gV4+6ZQ/+WM9aXHXhP8uWLAsFZqkghhH61aD/Vz5tnPnzXOt/bIv/Sc8nKdgTm0/KKfzfsxZCeFa Yzt650q+nklTgZbYLjek83Cac0n3oPmQ7O1ggNGg3297HRHDQo7bULzwqkk7rPU1aSdIPijrHXKZ r3POfzrgYXA5TAa/Nj2dbRvMPDMdK+x8LghTPHfeswSMKEaIEBkcKTMlKuAFBg+A6vi8sojK48Yl gRbGw7D9d8Cf81QghbJDb33OOaz/P7zBFgzS1cvircdqkqYDH/y9xCsrIsxH0Vm7Nb3gTBnSl9ET rUBM8MFcbPO33yAfXn2/50FfsoP3BECKMKXxwM5Z+yO6zauPRQMckcDAdCUstQTocaYLZF1g9Pjq tBoaeyeMd9JEZvwdBiIMnNJdlWJCP0ygo+Xm5lfbv6cmmSRsF6L0p2EoT9LLTZNPPD4SJ/r6Aar6 3AOu2UPBO8OPiVKZTiDDra/pZnqcm4c8JVgBNf4oAGAK/ykX5QrEoHoVHgDoB+Foh5586huyxs0w 1ZMl9R5o/8PDSI5QTKxbCPrtibs/7BRPiVClR+kXd3L6sXDqsynQ3VAttDgAgmYcD0bEdISGSxcn v+GQshK0khRXgm2Z7WLzd5IoeuH2LPDmDiyxbUlBJkHYEuP+aUGvOrDPaYSgQSy4bwb++fJJi9On w9jHNcnggbjd+00PW50PidyzArMdgo4wkIwYAn+8wUW+dYF759qyspZdKN7KMCTIrV2yrHoEbGym b87YjygJVl5yBafgC6ZfCwyqykFSf8qTAXDArEcR4CvG4IcT+TPRAHPyvy78mtMsaYTTXbySmN+D 0x+s/zi9Az5BEJiKdtlI/yUCdtMvAtMWQ3Xv1sDYLm4Yd/GeT/tzm42sETjgNNiADleq/2cfiard 9OMnuJaL3ccmk603gCSMagsF5aTQSRpvkt9gjbTnJ6/Gl/RvAROFLye/JjrYlqsQZV0vHu10Oq5R 44p3E34RD32cCqpotVZYaBE77FmJcChXIq84N60BTcjXlnl6UVeGrD0TDFls2bjbmP0vrwsK41fJ cl1SdDwNaFV4ewWTOAGUj8yLD9Ret6Yd5yCSktPZ/iIf+ME1OS0iONjzpUW5UOvevBN9vdbWqBo/ gDzl8ISskLS9erYmCzADfU8qkGhh4w4ijYoubruPlrTiKHAtGAmiLikpSQ2hI0rFmSvPiM+cezC7 4s5/1FajcUWAZZiwiTwO9ZtRfwIXbeU83PxQfkoGvlSf8/BRlhnV1mm/lhqdlXSDC6TeQ5+92KQD FG+1qQuDuuhklFrADsXmYtsVR2QbtnIleZVWqm0fq66/mtWOv0FJcABveQdM46kiYd0CDFIYFW4C W1WLG5L3aSTHgFJEnh2Xyy16gwaW4OQ8YF2rFPkWGgawBsY7d2y2DepOO/t2FJ9oE4lZny43Qqvr PFE3Tb/4IYSG/tj5NVC3gsfMjT08AyGqNNJyQh3BXPDsx93opLN2R/cutRXkkOmMd6GJQwtF/S2W rfg+XsbuuLBGaGFJPyGgew92B0hciIvqn84VEMbwJknFVnrrOL2VPe+CK7Zen1EfPwODWyOnTGJT 2x1bBErZLTeO/myCIVLiGF/9UbLab1/uFN2t3QykDE4UM/GtprMgMRN19wXd3hEhclk0AuuCqXTh XVbn6qFkIjjoIW3NL3qSgzgZBymgxsF5SQYSOhAYV4uOtd3njJb2d98Ipg6kMw8Zq/h1yAr0k9g+ VrfZF+I4bqhtwAtDD8Y0QBxtjimSYwfIktlVdm6xddGBZgalKehd/YtIIuIdvxMLr1zO/gS9StNw sJQeyxAh8h71GpQ60Z6oyzNvOeX067QWmMEvYb7DROkCNIZQUyuFAlOvb1lDx1knNH4yd2WaZK8r r+CkmikoRvzvI4eU/o+jOsvVFSWgrydlGdapIL99qPTQneQcc611Oon/Ab3JGfqeMX1ao+o06umh 8vZGBYpMgKlvfN3niid4OiEjPn24owe4P/wf0BcEtzsUH7lWsUWB94z498es+fxDQnuoDh/nY4gE ajogxrVEIHqfTctwuMob0UDFrvsIzKwQf41Lv1n0nRBcPxJLM5UJ4FJlZIFS2RYIJdrd7gF+hih8 YTOjIoV2JrfBdD0xK//SdmI0GUXHf8DOIxI5kGMsMA2C3TGy4lOGqx/ul4Vad3YQV9RCGKNSBSmP swelcc76ZHQsfBv+R3E3Qhj5zVjf/K6AoZcuRmOR8oDZH3kuE+SAguAzah/7ESvKGl/2j2XOqDzR 8iiw4nialt0pWtCS6tkAYDgNFRgBuQ3JSTGYVBXjfuzTxw2isrqagSJPHE99MTW5AL6pXUJymVgE 5paNBZsADMcR1hyMFUpH7GPeGsBLRgE/AKMgA2GARIoaY0qLY7Q2ngQkpZxzDK+JTkB2d9bPAKqB xP//3NfAVyngOJmT505S7l2pkRJA3zrYI5j1lANxGFxyNlWR9ZV+jIsmSRw08iQNYJKeIVFvFn5l 1qGHvHHovG+z6dzxC01rj9zFwVtlh+QuGUZJ44LULZ8d9NIxqFBTw6hzTFEuL46TS4KITWMTa2iG iawcNB64KNCr/hxLVwfmEPiO7V96PBbe96vC5j6ZdJ9pZkuDE6tWqujrPd9sPbGbOKfqfCMu1lqr gnQGK2zc+8TwEh7mbOGCbkbR8rdZ+ejP/5kyj5vlz/VpfsT3EioUydZUsNTWnKPuMXaHjUC3y2/b GmPmlTvqKIvOTpMd1gLCqFjYWWqhpTrAlg0gDtNHHujuUhV37JUpOgQyqvu2yfL0ys1KBhX+KKzc fwwwsbhs1XqR56X2EuKNYJNJlfGn0h4d68iUsAfHFhWSxteq/l50Xe9B75wleo0rPLXaLl0cZ4/D +TtblDQbPjt5GD6CqdaoLwzNDp7sbMuVyDrKgABFbLepWep5iWe3Gx/9AEaAD+qIz0TQtdMLG3Xt s56GGUY7kKfin1p9z+rCo/yd0eak92VLYq1YxTUoSy/7gKhZBLIovInn1DYeF2RcZSBCqIdzpQJ+ 98rQYHmzURIhcv+960/FqHzeLpfZn/4+jZ+K0qq++ARWl56UCMS/tsJWH18ASjhi3yXtTN/dMk8p hr363JRUnazoPzjnW+sZCU0jaw7fLxTzW6MNxyBoD+X/7zjjs2QTAfKiYAfG04VO3HS8raJF/m49 XxPD/s+Yn+z9K8/o3QiY/hQ2Owp6xlB73PLx/Ldb/KPzdc7AsBfkkQr3xJraAEhegTtvnN8n6oXO vRF3Rv82uX3wiPSBbdaYW9949zkFf3UcjE8vnGI7jymx5eK+9sj/L/mOK4+TluKQDEqo6yIHAnq0 GhXokouz+YH/biv/1C3pYYmZtYMP46TSbHeTg9Lhu/ryEUFxRs9tGTXErY0InTDpXqBpQf9mHK6P 2jF7bW0saKO+hhkzIRYnsVhMC41X+i1uG0CBOfDFgVwiXx1PXdcUY3Ez4N4DDDaZZ8lgUhbTvAyQ vaX8ZCRa6AUOrcqYzmY0xNkF2vkalIbqfrKwMkpYQOB+740RvNloTG/JSkfhyn4cBdhsahtu91ea NNcFiukrOsYLZKcNdJ45Mw2NjqFrOf/v4RBHpRrZh9lgJn/7ua1KojRK9Shb/LC1mdgBwm4ut5qC IbuNjzS9FRojFqDP03lRHGq2/iEdHIVGJGJ08AW1CU1R+RE/psouENV28DWBBZTGfc97O0HqTwnW mmdM9wjCsukKN2qX0U6w7ELJHDTzEcQ5PcP6FZVEVoF/J4LzSwcN/07lodkHJjQVOBy09bSBymwP QIi03OlXCj6VclHKBXXmuRLluQ5iYY+6KbY1FV8ra1GBaJKT0AOSlGoamYWc8oO6xCHOKG7sGpeF 8wCJMwwQ8RJ7jyvvcot+FtgRD22B1nO1yYoWSlyaYksIIDvyyJhSaUOyZcQY4nvJh4mgvZq9WZd9 MPAFAVLirpMKVk06BhngHG87iAJIS4VLaGq4T142hdx6ELiOJ9khhoKcF3yNDGZBnvbwk+gu7J6M Pb/65XDtDlI12Cnzk5bMFf/GQLhNv6s6MLKvmxj6J5L6KuSr+q/BDVn++YqfxqNImu3kEBDwVBuH Dd3NiFcUx767NF70AigpY2oH0AC1ZlEq/SGw5vX1bsCM7QfGyshRT9Sc6NBeE/hsEPYj7ILxy4Ms NDFqF8UK8Xz2RAFmlDgidkRzc+8TGyiT/micX2rDw8NJHyKQcgHDOitN4jL3dPvwmmpcrpckFLGQ fH1WLGzW9XDZt9DvTmixYAMDT9v2pQiljs3DP8jv6K8yG8SEK/knm6eGOCKXIK3Nbrz31rA0RMjQ hXPUP6pXE2X0ip4wyYPH+mZVWJbfCum6iggLnX0+IlHo1eSy62FretQ1DKarwuuBIDwT5TFv3o2I b9wLS7pSHXvZ1Ezg4YlR0fwpS6NGbJUqya1KAEEFau4X5/julyKFyZik8Ymji16aK+ZFB2Q0SkvU x4TZk7vbIW5+fQaNMU5aZ40/8jjF9mHUwLdb+wbhYbiPCifDEpf8HpUin95IbDmOeUgVLwSMQCg2 if98VusA6LtGOrR6gRvGyr1fgVGJKq5faC7rEWJQfjjoA0dfwUP8VtFCtYNdF9uyCSOUGW3JgHia QB+aEgcH3S/CsD2251pJYmuUqcJl/UQ3pmj/HoRRHPA8YurEr4364AJZbu9d1W4Ngzhej4Rk1E8j YFELlaWcBJQBz70sR1QSHA5icHPAc7NNhdfD6kg6v6vdizL1Ke9Fg9E3GciCp08XdjNSQyzJW7ew 1mk/IoOn9NCX4Pg2XFLSni+Ar0wGZjnOvHIYafxt5Qu4JADtchbzzQT22asOMpAP8IGbBtuj4ZCL cPZq19fb6qMemofTQ5dyIfyjSk7oNXijwYi9+CkefHNgDugJjjyPRepN37jTWB+FmEI8rUp3dmWo 4IoutAZtR3C625LS5AVO41NccTRl7bIKfcgIQGVahZr+JsFfLwdIcqxkKLW2Mt0Z1jQx3NhHuKQS sDbI5XD32gZV9gbCGPv95bxaSxAVdv4eAleBlRI5BdTEwbAwJ8m31S+CgjozBpbs6lOFoDzk3g5A IjRZI8Mp9sY8SVmcTUwblKuekhm+o/ODtJJIVGBfRvkybWQnDHJeAAYxOD2Lgh7M9j/CKkbQ1OmK OC1N5TpOtydid7c/9ZAHGVCiIN8JTudylhGjGn9ERrx4JhCzUOJLe63zxITy1xMtts/RFemLoxBr nYv5XvOJyu/5uvEdGBNUhY9WRI4FgpipMyylbYBAF3N20V70+iRd+Q4+5+lCxVOmlQkZUij77akB ABXy6j4ZZRGkBaKgR32JmNp/okgYZC+96nxKgXqEXtXi1XwuFQO1haQxACKKf97haV7Kae2scOAe Fh6CExqPzulF0Q0bfPTAOJuQa5qW0w67nTGCGnMNDMDYWJp+m4ML/cW0NjW/Hq0jyLs1i/PlbI2B RlNqvhHtm7Nf93SbAmMj2yJZt/PK9EmA6ptvUNT+gicCys1zgPwlBrQU0Ttrb6NWoz5g3MT7abQ1 J+m7lUINAvzuO+BD0ZYFq8ZNa1SkKsmSJNjYBuYhWKjps8QFiUdIEsO4iFIxbooy1VUZQsmEmKLX AQ1zMiGShfkA4GG0txsMrh9kh2w5BNnhxBtYg49nVPhBUaEa/e5eSNGTnWn0ih/A4Nj3DEtEHqvz XFVfyejf1DmWbm7tHWc/hiMqDPVpdFrhpamF7OpD1mGp1cQY0Aou+K92toeAPD/5vwv5vWXC7xqb BpTEXNjQJOMGWgXFniKJBeshlyMVolIP26ykx++RNTVYL+P3iTP/NYj0NeRhnivuAj1NL6k+M4tP QnJHMwyD3/Ql/VL1Q988nbUAvvl7KiUe7BUPnmM6zNNFN1WR/2Dq8Vb+CQmRcMqEeyUHoquZFvfy NbzqWK0m827cNsLwg/yxJAcBGBdYMWlYrePboHA150SVw92dt+HVxKh3vHolm3nQzruSaFjLhRrj EgKQ7Cj70/6UVKkL5semU8AryIWsCmHge91+vlVchPPfh7oMmIXThB1306ScRs8qIkIPniFxSC6w 2mEvdsaiE3t5hGRJb6SUYuBfY7nJ+0B+GKEdUFuEi1lQAoQxaFJdzoO4NAqKZUZsq/jbViOHFpZP g0Yp+WPjoKX2lwMfTpsh1N5HXy31VgZFz0Ueyh+kn8F/64bkK/cAd9tACAneVPmQepcziLxLSvLw GZVuI7XclR3qicvG5zRgDlwM4edo36/mKE538dI/sMPSon9qcHt2VAAUbk8PRh3uWm8fH2zxrFbm EmsYx4BrQB9KV6hronzkqqvkUVZSi5I/BI35PJ5VRMZ9PMLn0QpKLb81iCpnELmbAy/cBvLzQmVR ngDcyCnjJo1jqpIBkqYd41YVfffD9+w06qZnEalyRzCQEDw2Enze47ZJQRDN6oF7fTTpeQhbespp CIejKcYNCGZRUUXEWiN3viM5vHCDqncmBj/9VxQeyPfjFL5HlK90Oy1vtrcjxvWoAsTxfzCEm7aA a70qytqehAOOtTbmOMunrJ+3YgqMsq78Dwfbw0ONDrEiuSh0EftOdwyS2vqrdn+A6rpuKZbMZSfz vP9gh3UPDi9x7rcclNyKQYhvvMCE+2sAd7gN5lOLe2B7OhfI1IqDdYv+7SwN70ZE7kKmYG++qUUy YPbljlzinfsg8ayoe3rVhE9UJoX0rKoUTFBxY2rN1H54dCl0rki75ZKGwXoM3i/sKf068mpy9ofA fJgGMQLKU+SrjNurTlRgQiPiaIwHf7CjK7RK3IGkMPWz2tXvXhQmCI7qXSVF9hWx9La6K7Ut9bf+ awIvWVT3e5ZoOob4A+liOvuFIhyU1IUpoK6gPeKBRTVuf+T0Qs3zdd6GPcML2GqEZWsRmaf5NYsU mKvY+pXMYzssGTL54c+cpjgaYfcqPB/aWip2G8v1/Sntgi90pm3025Ahba85I5Q/VIvTx7qFjTKS cZFv8d/TAcQAbym0njWDHBJP3AsSqp/fuqDySba7wsG/kBq8VUCOGV+EQ1I3JTqbGpcvKDQvBWnU u2FEzOkFM9LF4uwL2KDkYtLqa69kKZhEoD7BdKxwBcJEyJqMNwSP03edYXqzmvGAmUeg079h0BMr B7E2SdcBRIKd9ql3ZNzNjvYJZNDvNnfytllkLYii3Q8qUgoRL9nvtUOarCxHJ1w1s7WwMoer01Py L6AwzNUPDjeNet2E4q0L1D23JLusiRQk4DDYqeb/wTPcsLkKfkN9r51JL0WJB06rPQIGFvSZl/vc do9A8CbBIx15IMzRUnjdOeHFTHS7vtxBFMYyyaTDflbjYIIFQg6csG2nPa78gqBCGAKTPNQABdxI oncAYCsUmyC3tZ9qN6RXEM3cOi7ttCnWuC87yo8katcs1uBelK5PfQ2B/LL8rFxCNAlZUDMuUqEz yzjfCMOiyFB7kYj04QUsg/4viDxrYU+rQnT2UfL8VRIx9CNOORJP5/HdJHdNZ5tmVJ+80bUw6fiC t/TFxwmEz3agivlEOsAYBnCwJRQtuN/PsUo4zRdRTUGjUlhpCsqf/w4FGl9qqtaVEUDGs2v63aem 4FhX88EPrZV4/zAu4CNKYNEVbUVP0HuYSLlw3S+vcWVEIfZIchbFst/RjLUH+Q51g7RxUoFSrTwD u3URUpnaFJ8QFzUPN5cX5Vzlz6oh/9Z50yLd7mvecTKvJF1YilYddw4FNXSRkPKX6ZQls/nOtn6j jKGqAhGRSeWD/wpgz8AaZz//k//kvh17e+6GolVg2JRgjOtkbqLEf+I6sR9BbwEBqVS/hocJjQUQ JAqKKRXi3g+tZiMJB6nbmR6K3yleTHGccMolIaVLZH/d99xNjgMOZ29pWDTNjOgX9w9UmobbjSdG jvPr7O8z2gaWFRlgcaUdt4Vtrw5LDly67o551/5hOm6c/UPgBurbW86XOnQLR4r450tVSEsj/w0x PKpqHOuA+nz/uqm2SPtbNEgi7DSO45tXPfebtEV1uku6qpI5ABvey7ob45+2FJhaCca0eCMV/2tb E1rTrxZUtUKuGxI7Q2vXCQd+dt0OfaATVUOXFahL1kBmRlSW9M1bdsyhgn9sVZWK//8OV1qli0Qh sC0zosAWXq2515iL25OKEWgqmcd/vUm3tXNLqg+W6OpE0B2IrdnZmTI02vwR2zGKhzvhT6n+yYx2 G57VvhlCv5gZ9VwC8hY5CNA0sYgdAAzOCqrS0LzkgKbXQ1Nhx5ntXMGaHtUS5jJtES60+Tk8avRl Wwuv52VKGasaKkSbs94/uyRzPj9HWojl0Ab0mOYqtrI6EcinRLiHF2LJo7uzETjHd91aP/JRo8MT 5RZOf45W81PQAKfi7+0MQUzJ1O+dDTTeR7EHk5+Vmfx/0WjV2OBUny/vS5zlzkaQzmQbtfePxDht RPN/+VwW/XxDW+/6IbHHPMxwsYwyJWQZVvt2Oukj9JuVOaukuED90S/KBYdIXbiGweYbLw7k2KG6 cfE1XkyGXQoup/yViOW6vXh42tFWkJrUHSWtqaN4s3Y2CmbgWosBQ6ZyTavmLbCq4r1e5rCMZPZs CgP1WWRPw20h2MUz1Y9Dup9HS30x/dFPg9VNGrutlb0xf9jNc6MgSW4fmiSMJFLxHGxaqe7v6h8N xtM9748y8Hjgax2z7IdSJPf3i00p1JRD6dNBXBdI9+FeYoKu5XWIvJ2zJNE6+F5zCRpze92hka3Z 80Jz3d10iLqu5URwhbFIYuJPqh4UJxRlomjNYDLXvYAZYvqnvT5+XuV1UfgWMUqwD/Z/ZcTg1fbe NPf9AlnKg5D1FTuOGbRRmOGQj9rX85Vu3olusEFbNN+VvfoujTWUqjRaIbcRdbDJrLlbBNzynBtd TfpgZ6fmSOaRVVYonpEVeK5D4fbfdRwl3xO2fm45SByxoZTcYztoWyFkK4t6VoYDJ4Jv0WE4rAiN lhCBCrx2k/ROjp0GncvWPihlhQJuL23uGP9HZilkf6Z1fOoM4vQ4gQaJY9weuKVuL3PnrVf01KbD BO6S3x7d2kDO690UKd6h2RgxTbC/qh3hljSQxGf7YB44LEp7V7WHbGguWk1NlB4osjLTcbU6DQQ8 h/Fx2bWsgEJXWdGjJLv9CK55k4q4VdfkBH6ZqNYMBpz8At9L5f/J1May3BFHhCuQBnulafnChy8s jYJorwQd2mLSIxJgyN3m236BYjb9BYFIGvc3Y1nlaIrDTRhFD8K9ZPVR/vVaF8xuBkw0Uta2LEn8 shPItqJNVwuHzRg+JxjjUt6iCfxXlykS+uIz7il9yBSinjIeQrVcOsXfJ48G3egLNFAEjTVlBMgR DSVBJifBVoGR5xXhXlkK0B6mtUMueAvYRYuxBNTHZ34461OIJj3h9UU4HtXX4hKMu08TH+tfXGfT ecztcAZFKqhAR1axvazM+OKuoPeA4PNJnGhpdlXe2MmKyd2gs0yzwDdzk8HPKOn3Q7CwLKv5C7da 1gN3oNWJ4k1whneKqjtZx61D5tiPCxq9wlK/3DWmbyuy27zA2ymVgv/2G1LUiK9NiG8auYPbd1Cq ifnniUfag9ZIXvh/wfpNwZnC6YE0i/k2SMZ4fD0IL9nam1ZwBVy88AFe0ngk/N5MNccD00xONIKn 1i0FSzck8tzBZHI4loa8igSPMsRJFUtOU/pOJyA81cDSRzOeSQs2rxds4ZAIqD8BYhHbuqJ5VRUH jaOLHQGZb0JX+bkQ1KQlQCwXjlpncUjPXCYULPvgUl9tWfs79KgMSdVceOF8Go2hCFtZVvGe2lB6 Ul+Z3yLmr6Rt4+NplGCi5cj8D8LTHrYS9F50YltiuqV1jmt8pOE28C4229NlBsg5/1OVZTZTI4hZ 7lizpeWV89wJOPE1RTkAXjhEc5suO1P0AuQFXA/p8PrwAHJTd8My31haD1lHlTrPZ7mKYs14mRjq PMUyU6MDim8AJqlMBS+mMYm/INGuWqmIu9vDjDVT2FUNm0Y2IeCyWUMZzgHTvxjnory5L5QDYnRv GcuEplrVKo69gwPRycLGiTAiq532wfndwp4On9ZccmL/pFZA2zHbx0yJNe7npHFSRX6g6GLD2v78 XWLIkoigV0U9ggzCncZo7j/JJcBc3tWeKuPG3sOT1KHEkkClbGPoFOvo4jhDG1BY4D606udd/aLT 1ZRUgXlFtjB3c1isBaQxBg0d9qwbD9hHJtGGNvWGBs54OZUM5KE44+pob6ibI2WrP/qhRJvKsfv9 ukfTYEE7xFyc3xHXaDb10OJA3Lime3h56UcKYAEMZK1QHz95LEP5rthsI6SXcmmxlkaMNmaW8OFH V4kzQ6VCsgL+0awpNcu8YZd3h3ZuKnNdpOsqT5tVnyKIAo+dGHPedplFMmR3ktYGNsiVBjmDyxBk eB/5B6VIHLlOo8cAaLUr4FHT+ErwAaS1rwU4YHVq2a48f8jrQTSLj50zSKAalyQfNdQTHKjgrbss VPFKwbxdhb2r0jho07USQnYakKNjEEsDILGm8QI9G3Tc0zArsDRL11OKD7RhuvU/fzPicIMunvxD 34jfrdOhkYEsZ1h0TT0oWYOjtCqRcOBMghJ4juiqRSl94/fqQFLMtHSFQa6hfEnOdDRLVAJz0BHW 0VA88f7S7kg35dUmFPzS5d2peLL9oBOVgDOcCQcTVJXDxmjw90yeBzttGlsqyVEFr3EhpL5Ei0hy NKJpGuWvsNjcRTXKmVmYXAhrz9cyx7NqShPTc/+Dyhjr/wMa/9bixyZ4qe2s/FjsXWz1+I/b64jE uHSpL/YjP7KRo6hyZHwMGw2VrebirBJvzpvTztWyckT0uW+F/74qqEx66q2Op5aY6pBvoS/yUoPc DTdfUzlr34hxxtl1jAaT8AnSVVeaTgFrOyp7afJYbW19t3ocqunrVhS00V8qdvcZYnSwi32AkVZ+ oxPcZlkoLKlBQLaq42zSlHRYMOjLaKeRVbJtco/SELZ5CJtA+wC/jX60r1BA5uSQ2h5FTPFYpZ1N 6K05k1h7tyTH89KyhsCkW9k28tTQOYt/Kwo3zrm4IwKD2ANXOcxPChDQtoFGf1CNEbRs6FOjRxjg Bc7WxLVO7DH+jci6CxXZbJr3ApIrmbhAvZjqqcFoVe/0VmdstSpCY4DlGMtC/GBbCljdwahAJ4x6 /lotxf2oIhy2HjU5RPL91xH75hOU+02qELDs13pBLyg/R32CvrM8ZAu0TN2VmD0FjkOy1m+Dsp52 LRmu4Ze3412kre06rL0F33qHa1QRUxl6AWqLODzToui8fgizJsiTK1q8SYIgrKUiPIoRuFb2XZ7M s3d7HJP1vhVeYCE4LnaBbiCElk7nXstmDMUwPMYwKS65uMIu3aiBSnQl7j7bh1nwf2RfSUNMlPaH +Z1gF/SYW4HpHJpuCOj+rcNGurltSioT0RAvpWOdcdBeC3vwc0z4ow1/FiogA1EjCM2IT2U5A+8l tCNG7mjTYPJ4SQzktuqPypC5rMtp3xMxI63nB24BUxoUB9JBwM8twGWIAxCSk7YiIrRWKgGlHzuU nsDKyghnxYnLInFMPpBg5gqfbrsNk+2fppi1Uv+b/2MacRc5lDwwOtJ02NQ5MdbMj194thv8ggEg UuL+OOOxPtwEauWa8JNX1TGnP+pZaUR1zU8IiaLqhqmLbX1UPU8N6251NzrelS0RMzO6fohdqWnt us2m70aU/RmlfPkR592ABuTxUs60z1cDx9TDGkRDkbDyTTCTMmwJbYyzrySTPV8OpFXCfGYdltg+ cZByg48+RWiqDkczsAU116TbW0iakJWM0IWcXEK+BHWxDHWwar3MBGKxtfOFaudV4DBO8iHcV1lF LRtNH4YbcZwv35Vq0OaUaY9oXzZtjaGt5yjltblwhPhOpTUwzj30XLujqU2nxC4IKq0AFqdtngDz gBZnlD/PGRAgbct9FtCkPpIbzZ7PNlfm/oDmnEfwIATYOWyuB/tUSKMnl1d7Obisv5KP+mu98mO6 nKGnaBCxRfgxPwdNpQgvzI5r+Kq9ho+C1DNDI8GMFfJkzrm4WxI+N9wt3Ux5mJGzrmwD01hBFa9h 5cwj1d2k3Ce22bblGh1Tj23qYnAt5uf3qU4BiF3AsEb47IVQwFCwHy6WntlRCEYutae3mTEY/NQg bXA2Di2o8Z/43Yc+jPj/+XoFhgVjelWhVsVetUbSkUwTvBSU5Pw3leQDWDP7SCnbm92vJDRNtnj9 t/mOivwluGXbm6J7qtEjrCIe7rv2ZVyBfOtThNASkwXRz6FPZYl5eBtRscWc95M/8H741D9lSZdD bCOuBpZeKl2GRQBiGpp0+OsDS8q5KvKMb0csgAN4oWASjhWk4FJcNHX4MU4RZVdUBSxDvxHe9U3f RQRog/ZjPWPubpIAk0FUwfjm3BTwsE1TA3dWRn1Jj5jfILKTB633MCV8wpi31zJIEr60hhWXvmmm k36RW9QnLmsQcHioK854dTZ3GOXKtC9IDBJzIIoqfOFNZuSda7G0f6U7efJb1eb0JX10RAGC68u+ +LCVcdk9Cs+zB917nLjwIAa7ZjyvLpHlb1PIMQYEMHT66NqBWMwtavsERIqV/Sg+PqZgHT8sWkUR fI3dDjxTx5GcicEuty/gH4S56vVWDPF5AGR2qWF5rCZJ/xpJZirj2Nd4By+pS5pK6e+Xfp3w6cQk I81/F/MHYJaIjUGRLX4h6I2ws7kOdrT5nBjWD8vWtFbRTfZpiyKAVxDA07yywOSJ28tZwi7iLFIy aXpHv6vBdkQk4P09HrafE/QkSYjYgCRF2niiT88I9qVo3mWbdokFdEipxxmrO4jUjrWmEjaZYL4v TlLDAwdakjvSTwwDBhvMwHCUsWkVnQAxpi4ZOxL/OmM1NTVwzEvnzIudsne+VZ6QEW6SOaTQQ8K6 g1ELzw6Ygy5kpEXIs/BT5nQSgypVMGV73ngn9x12K2vRIXYiVmoSDvrQqBfW7euij9x1DSw0cY/3 J7c6iSIqVjmnWZejL0EMd7Z3/e7KPFBJq1rbd7PZ4D0Rjko2HHglPMTNHx/Ehai9DBulTT6rtMXY jYWc5Rv9nnwBnE8pc37m+0tEn2VxEJJ1/Ms3A6xQn9htGsIC9y11tIqhZdFY1xs1cqbSD6/v6YsK WhC68U8nGWBNuaMNqP3x51mDKTKPqA6wwQqPUuvxJ8fdIj9AuWpHPL6LzpCY38WiUTfZoDdJ29QR u07WOMwUPNQeGMdXRIjLPFgHTF4x8YDkMmDBbNncJdiDGXJDgtxon8vp0DY40j8mTftwoMqbSrKg ZbcJTSt1YtpuH47Dds2SG8gwpT2zhUA7dHgWPjeYb/LWQXbrQ1rRLs6j3JcB5569Hil59G1GyMDP Wu7CSESqUgVZeW3dExl73x6yz79NcqSUI5Sy6mxetbwjA1v8bbT7LjvSY0VYjGeTJVCajTKsCNU9 F8CEJzjOCWMvpr2027SppQFkasDa5/XdBGKDtZkLkCTjPGv1O4/ljKnkqjrl0UDttrNCGJqX0fkR 3Q1VJvnlWQU5xlT+4B/8KiorSeOI01lUgqiOMXvNO1r01Se40NXx2oqEWEiZOSN/GPQqvEe4LCXk tSyORYbdHFlSWQQvvCWH+ubyBb27fCfQIgr1s0af+iqQ3hpddoRmOYUPv3VraUGE6c24az2ggN6L 0MfO/xilHSrqOPosE4wHS966ciZQbMhYmO/LJUlwEh2QRwnCsLKsIySgr101deASV3p21wHKHbhe BuQANxIJ4LpLICrJPaiUno0r4H8x26YlRCqtTPFXvXjVTX+kVi4J1w2ZdVhqf5/1eP/Rr6RXFF2d UIcTEAA4SQtcMGP+6w1sKVzAbMz3SkH4OSHWlFGPvloV9wvl9bLi+P1y6U4JN7VgVGlVat2+6tHl Qrl79Im/H/oQqWYBCWLFnT0lu+diee9/klFngY3tpySC6shRNcN64DJmWKt7WKD8oOzNQR4P7JVj MCo6cK3ZEWVNqOv2lRsEIhfuYzOWnZ6K49RNwP2EqxWcALm9tgDzyxy0LBIftPQfYTQrdbobMr7/ ooWniCqfRp1yGKErpqrFEHqPLmQzT/CbN95M+qwCbt5cRQz6FWbvZPJwNHFgDhaq94JlbjzCAohB PyRqeK8kjcLOzpNC0Gr0wMtVEUjYvd4AYyp9K6LJjhUcLa8p0i0hSUsxcWJfu4Gf/eeVnyxPkoYU lOv8JsfBNr6tNhaTg2X4uuOEkTT0Eu/xq9G8kMnsYm1DxN/ZgFEYMXuv/SLdWXzNoJ0/FS03/lzF yx+JyKVSxXHGgNfyaCnY5F0gsjMJwok8pJZfjVItQKHnk2SBjTr26cIkVmQNck7ujYvmjkHMH8OF mGApW4gV55Jj08rZiDjzr3goGxNbbQl0sUSjvbrKY5cL1g9CmtvExSPwaKu8j/mO1qzdY/ZW8NFb Lau5TN7gDWlAJegXiel5tUBI1mrRI9YN75qLYI7urT87K44Q0CUBWfvSUUHMO574b2LWRFYHHC9Z R1MHPXph3CEbbEnr0MkjvvlW5h2v19Ue/98EGkQuXJ9lRYhx2FGxSmowK8jBd7KN1fBdrdMsxHVS QdVmbrKg1YnEPwJQ/D+emoccPdpNb7vS1ZvsjgkIirmBJx5RBCQGrQJqhWV4fPbranNCn7d/MFDd CUDK+CkUXvEtPD+bSoUBg1NTYoSvQgOxsNJ6lkP4xyP5dpvlgOx129C/7Lc21CxOpQjVxNrZNelO FuWd7FwN2WbscUN4neq/OlN2SukKVTfPZNx/Gz8AsflgV5vtNKZpZj/ktRqnffL28raPLPKlTi/E 2VIBF20WLHndOj+95k/LsVObfNYuNTAeMyemjV8cHKeB8HsTRtZbZKP7/psRs6adcjnXDr81r+gL r4cbY6cZPEVeXSHc053rlKxihhKcqkFbL07zXeixfRrGIMU55Ae82x1Qbn3R2mhoRnH/7fDevPTG GViMu+0gwWUTJtZxT3SCGpwX3duVE/SSjKHktwY4Qji0j2t9hphrYOy/Rr2E62CwlzrNdg/pjqrx zDVNoEtGirF5OK5B6TOUXyS+0YFJnSVnj2aa5i8U/bjDq3+IrF+Bi74y/hR2N4fxM7FN7sOrNRb/ XPg8z20tLbCgz1hnYTpCbNqNBnu3BqNEZOQ7fmnz0mrvZpPiG5vsxfSL5p6zFKpAEEYWgueBiBs5 mMAoTwsJTnte3hZ9cW3uPwrS4EDKpKGiswESKolQ3BGQfybxUdMP3xy0HpMVth26RDVlgKzgja7A qlLrm4SpJTGFvygb2r3icExdUoUO8haTW7zd4W9VePpcj5Cjn4OgXed5sZeQfFEyzRtvVM8V4gbh w8UBJtDDp1zyIJljW0KQhTMr6EPKIsZ7hgAcJfoA8ZzdCnvQ8aY9PhxDUHEIz5m2q70E5XMsvGJq RkPLj1Rk27VC9IrjfWavtZ4QTjeVVwX/5XH8p4BI+O/zD1NNYWcAmUQAKiG0fztXnlqkuo2Srx7S oYdoZ8Vmm0G8JPmHfIpAWqJZjW3lixV1citEzxxsKs4Ifhuj3uKLTK2b08tMY2umuvbmYO91lwKt Fji0wNG4Mn+N7BksnhpVFWyD5SquXaVBJtH40NPl4gy+zOS3z9f+uIVC/GZDFStBgE1FwAQnWXTK sRhdSJKV6kJjGYIsLC6on/V2+WzxwMzP2jDd9g9J8pEmF9MIjLLSfu8UEP7jqsqI7/hXAuwdqh4w VahqCaU/EzYi/smY0FTl3wvQS6V59rKhNJrRWv0Gdm7GP2VJQi1zgQ1EHM0krEL0g/o5ubsc1IR0 xuvfpiS9b7RDFkqXoQmbLUE1grP+yS9A9wN0BXP1iAp71kJBzVrFPJ8icOmq5o6V28QLOU1+rl7u SIffALLoEO6Fug5cuO0LcB/WY6wo72UidXMW88PLchCeZsutotWovvIQmi/nsuJIBfHVkAzEyzeW gTNMSZcq8fCwjR7n47iCEeNG+tRPdFUmsWXYMKwMreEByK4kUVBRHNvO1u/uuLT01DuP0jhwFbWb qNff+QqRZuH5wOv/2x6xctBb1Yr93+LEkwJB0+/5JznjQZPR1nblWWe2MB5TaNzR2If3ajRVPwrN FBEgtCU8zlozjF+sR0vot3NMwnI89jIl9zc7zHwoNf8pURCWnYXg7htc2a+6A39/uymBpKBq8OBa 4eBGkCt/UtXRVpVfWDpSbF6QFOEOpBqOwD3R5lBaWx+UfkpWRSG7CqX0yRD4crRBmBWtibpV6tT2 rFPxkdoCxnynktvWqYV0LKM1cB4h/XSkIZxWFdWPbj61BG/lhvFg4rTebS1losU8WV8loTEnWA4b BnRry9VyDkaEI0NCblbgIReKMBRQEUJBqPyCNYim3DTi4LYfsDxem8JVxXRSuy0qy1FsGWL/sqSm UAeOsgMVipGGEA97oz5uPn67vfy93a0v3MsdN+AfCV/G3H0Pk2eApqzecZWbdpGcyyOueK1FN+8n Us3z6kP4pbSAr15W9GE6EWBrxv5ApICUJHLAj0ryWnY6rqB35yD5ALJI+1UJ7B9sZ61RDEGcKiSg 3fw42b7ACi0Am8x2hxIlYT3/nt9lnK0TqbAzRsicRjvMK2Kfk3s5+tUeCS4IdMQWqQlYuilifKx1 nFQTK2OfzZQ2+lPdqPu2D+tt963X8+wRvNf9KFfs2oyQUrIU8jHG/5QvIq1mKSIFE2Vb6hDQtJwd efIktbahhhCY6WaGA8u2DFx0J9hZcyMS8EbHq3yuYfMvNwFQIgoLvWUSiG/wJKWW1GKHmHrbSXQz 9fGR/ZSbLr0A/LZImgjMdhzkI/vxsMjSkvbGHsztKnCeTLjIypOaPx40bot4sq+5rPOi2GYDWmfJ FXvs0/REVBDDIhFdLtDSS5QRRtMOnSAWMeZ/QmQz+91TjIlS5zDkXxVZh7tCj4qk76ptLA/03aqJ QIhL2b8RqhtNRTEgs9JW/Fs/YnGOANPgR4kkPPAE79R5yobi/5Blof5eilDQGiIYRH3d6LeKjPwn 83G32Z4OCA9gDFOOqKHFB3Y2DHk8GHK89YGtf1TQCN3epnTph4qCM6JPQUjyEjRNpTI1CgNO/HOR Lj/UnsSZV0Uzati2jn3ItJ3Lsm4/b+6in3ENDSTc4ov0LiOUAmbmZUUl2KsS2cBa8e6LXPXFt4Ds O++07e7ZPi8ivL06i189D6b9ksGTnUXxesww7XeNhRm47tmXPMAzjeNCEPFmi/CgRGPrJHGJrfy8 ngKtG4alNN/h/L9AWLxkmL+YmKKwyZ1ykPEkyjcCBFRcDLgzCbYEWMdDPKOP0ddNEmzlggTUC64A oLIcIbuhY8yF0ylJ4VFGL9OftFcc6GM/EojsR24UzVQGFFQ8m8UOGRPGhdi6wIhtDtyQSeAhrapz cLeDHTpIKnzbIXAu7vbFznqhshTpiMFEa+ADHuiJssWtPk4ipn7NPrXy/+WA71GVGxjNeBmNPKfu IO4t93FDgTLcZILPR4Ws89V9uLaa6OZyg1/lffe0Q/bsVOZ7+m1uM4+SsXXk2vI77D4wNb+ACCta 4DYZXVFqwMQo0cPWNI8KSxgYw7LuBxqMdnAaJj1y7U/AJ6KyYt6SnRmiYNbAKi/T/k5ERevPpsHF 5xoGV0DCKubOpXP7c05FL+RlY6Aa5GdK0FlxbC5Yrg0GnMKc4554WULNqj9/9ZEzxJPhNB+G3NZ3 Oy3lupgf+khX8Nc4z1lY8idmNebjLiN/Lz39U2uQ/8En33Bj0cM4BKJKDB2KxtT+A/Ue7PP0Zgh4 G+JhxeDrUlmBA2xpBE7ig9u5+XQymXpRY53k7dE96OgFtLPhazNxXVTIXXom+WwWCsNqIosUwWn0 3ptjdnGHaAAd1tB1zsSAz1IQTn09IIWj0DaJmF/ITxclil8D4qNoTZLXck9B8T5CmrX133GiTl5N UpUtWxWgp9a3kHTQHoqlJF+nSU5cw8M4I8OoElJcl1eCGUYM75rrFRv98GOZJbI1rQr/PoBMqhRE a905MrRBSE3zK/Mdq36BNPMeW/cXiP/PzkjCml0QRf4huqjo4P4lKQ1GCjcxa4/zIznk4ej/cKKV c70nN9T8NkSHXwbffFBFUA8T6ONaAJ8n0G/1lx2QxXUJO+NINr3ABuZomL0J2dWHjNg/OpK1o/Qg kjkD8tDyXEEBWFhBugxQ7PpKOd5OEy6aVtuPC2MJsxWJsBg1xP6B1BNRrWNx5vK6zutBl4kzwrs4 5GmP+aqUHQgcbY9vGYtSOWmrVg93yo76dZCQRaScgwpvfPzY/X8x7wM9T2leghy671p83pNrjDyH gQS6FioCqDB2GJe50dnD8IBkHUwihpZLuwbMXyEJdh5fRTKWJsbiUEJt0QvQj6EF2nujfRtrXUvF ZNmTbm6jsFhzEo82xD2zqLIyS1wcu2f1iT2anImwWbt7b2sllcUZ8ou9zXwH++4L7VPFSrs0KPet 8e7mW5i85/kTQP9nylaO3LTksf7lFO9rZ4m5dKoTKh/PRhEzvFBfVtw8cuo99OCulvyiEsBYOCmW ylZ5IN7JU62QTUIAN2Z9XdgNzhFIuzqPRcTh5dl7jFJZ8+RXd2nr7ORuytq7Eqza5lMUchZ4t5PT gJJzhyFriLyg7q+vMhx+gf+5a2gmjwdAPUNn/g0Um9rlhB9lkt7KYv8208KUhh/I27KAc+6XmdfL 7/bubDDCF5gpYz/ke3G639eY9WVuYbIfE3k0+Hljic0fT1mcUdS3YhVuRG/6YJhVNOQOI+xosunN 3vLjYB4CQSzwi+nL6NpU6sa+y2getWgwuto9K1fYGUwPHRd91B7reo6my/XwM536deLmCwEsI5AX Rka0/6g0rUzw+sVhVadDQKcSQRFvh5cgv3yCU7zEcbWdj8odXPW1y10ALT+LqYTYnpY9mS7fAfXg 0kkiPWc+qTGhGdBuGyQnZ+SYN6qB13+oHpP4BDGzqdTfsBDKadBlkU9WlG6TaZrndensaDLu+dZl sWF8+FQ2pWIq9MnJHhppFeHsvKVN+8orrgxpg24UEeiHuvYqG37hhF1zqwh5E2rDFnSa/DVyx14d zinZs9B1SYQ4MQYtiwiuhcb8bsqtU8X63vELwsDcEwpQ5mzyESkeWlecgsiIaaEfFdOz0DQY+Csw AxiBLdeLhh3rwUmC8zSDyWN7/U0CSzUumdOo8uIoan7KXO/z2uO7AqFWfexyxHaqzgV7HQCMYdbx XgwIg6GfgPk2Eqgw7UiQHhMi8qSKqhrpvLTGcNS540hKeiKFCLOiyG/4Xm1Rj+c2j8AnwtMdIp2D k2AwNd/7UKvejUs6tJb0ZzpVx3xFhefCPHUICOIQhbz9GL7Wi74vTivub8yzVyeZ8o7hzvjn2sD+ JRDkStah5ASHviK5UiKSd5Ux4QdrFIhz9s8LYQr1nTvnoxbOah+o5+KAK8r1hhChSoO+bne+ut4j Vi9FuSmLGiO2xkMaomMY1QTRigvxkCVOSsWJMNBbWsTERIvfmgaml/xcsU9grj4Xr/hGqvX5gHzb 3DQhteMMaJJ8M6E+VzXAdHcNIa0Zakfy8GbAHMra4qwL5Iij8HnkirgXZk3ohnoFBDGzu9XyXDIE 5tS4+56PP5axFmvCyvDlDVm7rrNHhOXf2UgxwbuMD4DmHE8WGBxktz+Ajkqf4QZX9nGLlKdGoI6J vrK1tDrvdYipfGNT444ww7M2qAeNJMx/PZsuc8w+zHkJ1IOR6xe2R8weiW6wMRZXqYsWNsbObiZ4 O0vTnFRiF9zb9nCz3njgPfAruyM7eyeQyF4R2Y0beCC3JJAkF0iuL6n8NEKHd3yIaxiipIsnS3SZ e8trFYouWq0SJXOsL+SnXXZgzMDss31EbLmhg3Yrqe1Dbi1sc6Pccj9JBahDt8LTKOiOsolRVMEi DFTzoO45in1O6Djxl/h4nIq7lrZ/4B0buK1+N5ldvvV28hau8W6KZYcNKXURy1NBI9mqTDhXaEJG rhvNkKqWyBBqBv0/R09Yojk8/954JtcwnWcgiOT14ttbMUL8AN1aVeOpyFrID/H+1aJqHZoUz5dS yKoZLsy0MCGvgd15sCveRwWKk3Q7Y0Sz3X+iDu8VPvWpr0j0uFhjzic3XZmoKktDDIgoj/w9uq2A seP4uBZGeOf/riKGeyy2MzBzmg9Q+ekWhwx11xkSMKGxr5TMw621l6bd1OMUOHehYp7Wqaiz2IUc 8iiGciCPXzLRF1hyAeWiJx4hpY7+eqSslSEurHByQR7dubr/5QetWhdzAlo5QQCP5TQJnYJPSfJK 4PXInEjdE19foqnrdFitUH8HJI8SVZzSVpQ1X0JS4Ci3n/DjRd6DS8uPTY2CBEitQLUkhFPyF319 /JsBuEpd8gypifYA8NTT8hILmVxZHD519PdgJGidi1xoYbaolCcE5H0qmMkOLgHOqC3TTqUFWil8 fFdvXaMuAAwPmKxpVIXQhAodABvkwXz840dzKmhQJfEQ1sUWHD+QwVMyHvs6zMFJJhyjJetjQz3l i57C6FEIaWHZpa7m3lOyrqT9yFOEkN2ICK8Zgj1fgBPW1ZojKPhxNJuomkJpE+o7OM1pGe2XUY02 hHtChPO5ABIkxRcmOF6CnWynyh0ITa9Fe7VmS+xZ9sqfIjViYwCeLOSwPBRpqLAXeIJaFPLF+Sy1 V3us4z7gCmP5MC1eZOs0aDix7qL0t10O0OqgfqcDT/ZO+AYYsqvSzHbMOCYmasXo1naWv1DQ1mC/ KZWH1mRNxXKdEe/O6uiChRFmF9DYDDeAhUqVt1oXNtOaGKC8Nr8umaqPAWCgHsZe3t7r+0sBZHJg 2jaSPAOwcNq3/cfUee23+VwTEJ/4XIxqyvgL1b0h1aI/lSU1yv0RzR7mwn4thv+ZP+J+/wt0gzzU dyLFhQUpxbFu2TqUKpsZA6DbLscBPW8pBi7T0lGgibK88Ls4NVHCu3790Lu7jXt+Jx3WQmV41QZQ i+O42NVKPtDxGRaa6du7l0fblR3OYitna7bOfkGaEs7K932DEm8HgKVjgKAYMtLUfKOiICXv8JdA ny+XvJrSE4kvROdMao/T0FEmNDnugNXgQGLTSatWKovoHg4e3N11ujfCLdjv30oOBSWdtfm+YHLy QYydoonMtTDCJ23TMotu06rUjrc+dwV/SZFXflPIwT8JWaSkxquajbD5TU9XR6TjUwtMRZh5aO1X i011Dy0T0sbcgCnI6exWc93znsLLFL8K6pIs/5cizdhTyZNA4Y5UQDXUE37mGTc8LHae8E6V8Ukd GLEUN7LGEpbHB7W756jgMFE9shaSIdusZ15yLnMIXQCAzcBWrSzSXFLTgLgzx77csZMCR4KCJseb BvNKeC8N+zhu3+8aisnNy112UHCh26gJPqXEs4oRxDoxNxKOCdcqIAuKt1mEnPB3gbPbvG8Frgxv 0vtloTdODuO7m+vl2pSR9XmzcvQZT2bWAZML+2jgNKrJ599QHG8op9uJ1YJDf0edVquRTOkQ3tm5 3w8nY8EF7rDME/3wT8H+xvde9SEboyS5MZXkOrTcxujfmU/X4i4pdCnmF5WCDneRu9z+vCY5OFtZ vRaMlGWqAXU9ZiqfALjXHCk40jZCKSJXJErfsLvpR85ATiq8HV+wnb5iblsbGF+R8RWqeT7XV78v hYIrFJ3bbGjsPHq+sJwlHli0hYjqmyXFQa6HHiWNZUMfMo5b/x29o3JbTggC6KrzeK2+knXEIzP7 E7PwyakLU+In7Ylby9D76EcE2tr0EDhXIuMIdMmFT35KprShxZQVOQe4+C4TLiXTRXEIroAIDULW lCDXuGw+2uFSrIXZ40PWRvEn1O2TZ4rFnm+IWpEYFjOuvKMsFMnRVXVD5JYpl1/N71IikOMuISOQ KJXAcA11LTadF/jOLm0YvnMrAHdUfhzwmmGWg0aQ1EtkxvZNvX607ebEZSMNfp0qE1XM4xjWgtM2 lDEyha2Dw3zjDUjwD5rnGmf8Xk3Z1S95flT6yB5+D+heF52h4PyW2RB492UJVPjAQyLCO7xtIHtB sepPf3/8tPws611vXVKJtRks3+RTm/UlAlH/K4TZ+gKVSeN2os8sR1AtHVkKbo4/jMw3Wmfz4wFw Z3Y29v5Ey5wTcLBlU3uQRd2Cq+Cx5gRNXxvoio0doaM86LEghX/KcDIQAWDvN+NMfPcwAHK0Q1hO jfPzzdsfkWfFxN/+ytYiyEUonEcQUkKokOBiUyVbBSKjTWUuIH0y/hrvCGuSsQ+EAnw0F8Up5gCa VvkzWX2SHnq8H34P6jrifLXnMu4TTNVSsUGn8MSIZOH2iV5Yv+hxv/56Dt3LuRu8Fza+aLIrZfm/ 31TSinu6vXl6K/g6GUwYRL63l9+cG9h6NoluGJHJXqrYGmL+OuYdaoLbQQjNgy2DvFhFRH4+x2m/ RdWKpbS6+ME1tnyUOxur8Jvt2EvNoXFmU75smpH9yfW4mNoH2SV6+VMCBe/TAJwQa+B86axs6a8V ckOiJEJdocNEll4NbluWhKBqy9iUC+rrfbtiAtz6ETYXjljn8VY56SHpZYgKOp+85oRizvLQmIyS qJ/tZqQUCjlp27CCFL3AKxRzr1rbrdAbJrnILvPm9SdgOiQWm415WCm2Di2D4+EJc1mgmbDcZcU2 uTl4enf4XZ1j8gh/fnvr1Ss58PpdNLkp6W+uWO5DbRlnXa+H1IG4EUBIj9OorGbeQlWf6gtha9O+ k+wnrAnD/2hLhQNIiRZANWbp4j7JeAF05Np2J1kjB7GrhEPH338A3d4a9TGgzxORa03aP0Viouha z3hjxgcLPgZCZqstSu3XaZkgDOKfm58wUH+F1L1GjGOuSuldF3lbCoX9d4gpk3YInS9G2zg7sIW1 P64WB0FAV5KELc7g61aw7S4DZ6u9Z8OjXgQDj6mxTr8gfxoVMBBtUovdgNHIweXOrunp0uxMdOZl Hn+imrB7Uy6jl7kzaxiwol8jT4E4+CApAoKuFbrSkCsNqpP2lKBDyrSqorRquoLxoOhhgSVd/Ulc rXOHESoaB37IEB7+Qmh9F8KIHqtdYxvJGLveyC4sU9ynfSF/VG98D9LRgLS3NdM4J+W33ke/GWRl 49RiYjBRyVuBdrTlwOKsGzH/6lPsSSzrGKuIh3EXmQtsmrfNulkC+Avy6CDWOLynvPWKP1OIT4Xh OlnL5iEDKmSozwtcdQrsX90EL7jrEE+6gQ0xWLHhBJlWtCoimtssrd3csaNCiGqug83YJMEHeWwM JZS2H00HMB6XC38gVL40LhfDgLbwHF6ytsw7955ORY06yEm//RyOqHjS0NzRXAWa2pP4YMPS9VNw agPU64P1ao8P3J4gmoLM4GctYLzWOfEetNLMmMv8Q58qGgdcJ+V0bbXJOD6Y6kuUdaS2hVZ6+60g c9RQalsJqcTPXoInHFEce9i6mFIe1AIQubvaNfjUfzWY+nrZF7sYhalbivZehNTRyF1SyZBdmbHL m5VomngpCVwHEOe7lbAFBZXTufbH5+HGkLG/sDBTLqrO+a5t6lVCZ1vDeu+LgdCaarh0DoZmgUwO TIcFXqGhLBei+B6/4YA/LKGBUcdHFwcWbSzJz2eeoc6UQmM45UwGPoIkAPnbR6PaGTgXafF6qBqf jFyZ7ZpWVeMme5IVIOPFgqikyE452fQMnauYiQju5WmVC6BjdX+O0NWwfjWP6x5Ie6vQBWG6i1v1 YYDmmMFbHsbDmvbow5vmC2QVwlCVXdwpqNAxBj1GOERVeIzOASxEaM/bpSnXmRtl86V3OA5ateNI 72htk4v4WikXrsAvHR0UhR1jS1RsftbFq4cclHdnmU4tAkli1oAUiva3cP5iidClautfrBXMHHyN Ppv4QVDwng2prRT94dZhnfKRUI8PIuLKQCrZ5lN+24m+UCmJf0eH/deEbbtlUcD5wf8sjgGmuses 7qTitYcQpeafty0y7WVRlRfntrki0agDZhmCJTQwjRxMQ6jdMQPSx6DEmTZtZHveyHtRCxyysu8m oB7M1znC9cfaB4ycJzEQDgOdHgHdqn2qif/7vYb3g5L14ZLotRD3WGOnwMgK/vs49v8Cc3qK5bjg 3/B3+uyO0YW2byIx/k7XVZ6N59E6hw67DiNiosJsCRpaRsSNpRsjQX+F00NHDQqkX13kbURDy6v5 N5dHFLIbYMW7m9cyc/HGTxfh1oEsD++gHZVlpr16SrTM+i/IywkvceDwwoi4DPQe/58ZAY6xnrTf tn9xeSu2YZeYzO613kjpRFZs+IPe2Ni0q9VleaJ3p06NTO9apv+/RU64547+jQ6JWWJpz7VgrkbO 3AbLfvjeMvzYdTDb327FiNr3pR9dLsSKRE/8vf8bk+k256OXqd2OKgcRT7p47ULkrcFRD9ub1sgR kkv2e8aNBziqtMFwAubkHXwMlh6ToVWdMLI5Nnhes3wJGgyFXUv3jZnRL9QJ5QnQzzJHx+GKMQRH 46oIYfvUHEDPVtDPxQvLkOzG5nkSmBUviUppQ/Yk4H6uWW45a7uYuLvu3Wwf3yz4X42iOORUrIOj AgTtLA/G+aa6VCELr41MeFTQWQL0fbuYDjMmG3cfI3Lv/AGM35+H1tj1OIXAfRfj/K2PYov4pARD 2VK2pzFDZQRo3Q5ZA72xofWI5kJIrjf83Hq87ap9eDaWnmUTErwlzUAOtDntU8zmcb9mv7A9BP9Z pmM9yxY240mfBftmDKTvdK97uVdF3rVMjvBMhEcnq30fc7MG1DE9MpBlHeFVjMk45cQstgIqY/qF Z4TezeiBbW0+2YpbkNBNPXynsUgrhwMINYMdVlsO6lOli8Y3nPHWKZY0zQStErpcM5Qot+fZrCVH iWdjGNm0q30/xX01TuRkR8mO5j/bTux44p3U9MONlLLwG7ZjPxH7g6HOaEkwOKIuYQOkluLJczlh piXPyQ4t+smRHUM0FybLJYbpEScQrww0SXf/hadT3PYDX3mo1VW9ii5CnOqiSV8hO7WmUsaGOcbq p1CH7gfVOz1bYs0+k6qyf6cks1KkYpqaXBMQVwvNdatmCUXxtgQLqBhhnAQzJide+Q5lpE79+WyB pqp6ETAZRnB2VFpqqe3T9tngkJYOB4tMVYcwZYDlHPbR2uIx3kE6m0NP9jKRIxOzfiqPuXvckTtv SERcYvyeOs/6B3ha42ZNzx0/+h8XGuUBooH63vrBNrQrNze1dFNzINGwCyqluslt+YC66PZ+bqgG yvUzBDBkmd0NawtHZFjI/mZmr+FLicA7gZAQ8oKlkWO+Res3LmO3SMeB/aBT8Q1czpSp80fQDvDN Lt8ompITaen+tfu87lBXOH3Ow3UPt9a4jEAa/ewY9VbwPxtKXcSeV5grn6CfzxXNOUXFDZQDad6i bT5GgRyYW/R0/tmb1NQL81HSnr2xjWRNvfZ2oaDWvcyCqz2HS5moRZaVfMOMxTlQn0iSfbzwFm39 pQv98Fdh43jGy1rDmDsgspZ5DpAc21kl18TK8R4+Gpk0TKNRHDyj7pIKNAEG7cYbmUghLCCBmUH9 HXFo8xTAjVqJrw0oBEcx8cI4UuUuF0o65dUgGaPDtyHYY5eCq9u28M9IHQdppUe5wHsMzwgkEinj sLTeN8/F3FxfOGnPEwtZe3n9ROPI3nTHqeMTsWDMdMTQdfmXHl/EfIDEYJ+9wg8/uw49vrR1Y8oN nr4m3ziTgmGDYU+4rJ5PvocI33foP5uJPEd7ZxV6hUUmYD9RONfylmRUo3joteWiqO4laCavhoWH UizabQgqTNr38SNAT+2USXgFzZmadgqX7gbOKRa6xPjDAw+3n7qnQLN1NCGhjgIt3U3o8nUuy85s ddULcUboOWiNvEgZN8H53Ojzxs1eoCoRnZ5xPcrDMfuyNvNfoFtKmDvUOKmQsYlSUZ+FkuQYSGiB v3Brfb+keAAwsvwoEMQubvdnJUWr/7ZUR1rZ3JyYGRyZFlt31IG9j+ySfxAkl3h4CohPCdjUwac4 ESt0SJsxCNwWYhZsjklHZlHoK8vWsdU5DAhs8udvg25bML5RmVDwz5SG+W9KVtFE/gVyjK61z6Lw UodU8mKjXkRGbYYN8SYtHSQ+RuFkh2o74/ZpE8mcbhj+22Hw9kwwbcvE7nml/fHXOOGMEfCTpZ30 psLNRdRvClLfT5EuMpx2u7gvD71ayxo02dr+IbbeNwzd7zSgAfAmmSHlQ3yZsOENlRlyBBzkGNwR uXB0WvGFS7EIjCdn2xu/KH1IBAYoxbW7Sh/91iMsLenUCCO0dycc0r9cgyfWb0S2jPFLaBE3H13c keMlBECuNF2r38uOYRcEd8KKhQguGdmWpM/8VbzZAsDyQ6T8CRuUrxhditHysbTGOx571bXKGR45 UyTHbFyGaxbpFDkA7Rq2YxDZXMljB+VMJHF5MGL/Y/M+srQVnSQragOLp/EzzKtiKbCixay8EIwJ tWsTr3QdI+V2cohSSkwr2Uhs64PQGu0VtDGwOOJcw93wnduTyWwjbu4f/aDNExA+tin/phyDVRWN jO6dKdO1xi43feRK/vSsVm0LDJbDD1bIhU2j3wxS79oq5ARW8NAC1cmw2dz9GwKmwUju8Vw4qL4A eAul+VyL4INu5UzoeoeCqug1Yq7J1nexEpYCpH94roXfRmA9ACg43JeCkhr0smHRAWdZwLGq5IzM Xmt4bX7M0G6PpUo4sOOs6o1nvFRkmiKBce5qquKUolKZNKYeM/UmSNrhrX0bwjVJImhpJpGxdtSu /qDXR6EZnNiNZxtElwLEt5meidtHwRuHcoI5zXDAzllJ+Fnyr006Ug+DH6auu3ng5DG0MLiko64P OqJ/dSJmAb42doxbdChls11mdwxDwFD0L5NPAViMdG8LqdZd9cByimbIGdHkTNBCa7sunGkj6JV2 2YkfC/CcpKMVzFFofPLJDdeSNQA/e2DhlO062gP68TMjWJxFGWB/yk8VMDuURF0z56hWLu5F1h0n cjiF5KkzTpwitDbwhKNkUjYF3g+/snvSh2LdCd47e3i/GHjnc/6DYnXYD2TKGJE7deR9BTcMKRK2 h0hPftPMav6qCmyPhqbkWVdfB04sUzCIn/CY8t+u+G0+ks+0BZ/F9XUi1LOJ64HeXO0L9pfpp9ZO xF8Na2GChwa9BxnTOPT7oWqf46KL/J13hvd5LGHKFNcHbwo0ccFzakeStCvncqLHKQtBqBPkfPf2 gHwPfEo7d3nr6TzHKnRE7bLmUA2fs0zLVtoaa99BTjsU0pgqAwi2hi+eBxFDmMsOjJG3XNryqKcb k0UgdrR98a4hy0O2g3mNJ7o4osXyMHftXLnMSkwwHBNVYyXMxpKfJ3fz6Opl00pzp2LeQrl27y/X qG8XLjg6OfgxxX/nb2UUSl5HYIX47GtuScXGX6rxn+LB3c6aT1uTI8W6WubnGrhkpD01Q/KDWE6k 2DtYUBpFVyLKdnNLf6Q/zGY7+6p2P3uybY8NmewIJJ5W8Pp8QhJigwpfMzlcezheM3k8Jp83irtC ea3qsSyebGPnOU1rv/dTUypahYWw40MgwRLHZJv/JwaGz9MxnSyJylbBWJsZ8CmLA8/ovnvLs011 f2hmCdEv116sM9z3hq1l+FZDeuOTS10D3bEJ8auREVkKn1rOq7N6VPKxx9nZAhfz47Gs6JKyCWrV vmkiztLKRjZcHprPjk7wmM5b1HP/+tNpZ/QbpNs11cXDKtExTFrREakuIcLZ4diGr+dZp1oAjPqK AeIeUdHIScsvPr9ce+FPIT7Bc8ATKWHNBsaRijodM2TvL8p0FQJKF8D7gFLGFD2V0GpCJlpAA8yV 8KIxofaawRTV0MpDe8IHCzkL7KwZrJ5VNv8juZbhvfTDd+vfTHqYAssFTJqn7cNVGrNuxJ9W8ku6 LzUfZOOQdYw5eUpMTTbhNFZFGGL7XGzXn6yxzGSpWbAzb4mIKF0JvOgg04j6aEeHmqV4X83CPXNq dVEg01qQcWhpYXhvvv5SKITWupf5J9OyRJOxPO1Hr3GIbtZxRdwdUjT0MPU26keXLw/V4dVCJHF2 0cP9TjyGMBDMNUztMgJHZPDqhBbj1u9KPl2d4gCkUBZQuFL8W6rAkfpOfzHEQE1ot7cCDkKrg7sU ESkDMkNSYwnkRZ9KypW9eo9iv4y16VJqBv5x2Vr6Lm/ETUe0LP4Ez6rubNStzD9kOCNPJS9m0PWV 1j7jLuwESffsY9BO1pfgvLY13yI1J1/JOjsFZwkbvUir+RmMOvR+U1g6lxmV+TxZDEC+QNP21rxd utEdnppJX7xfIMLmGqtU2jSSkSc73DPUYRSDDfLEHPailvNKoKlYjigCGFPAi0JbhFTR+/lCv5Ir m7usU5U2TW68QUHUSrBe5Af7rVTRUYPHQNjZmaHYDUmeowNi8+pBIs2wUyJHl5rsw5eHMUi6floD h2V/EL8UPtmiaz0DxgTs5Ewi/iEPsniN5bmm6rdC0r8Qp6iPdBRrI3b8seD12R+RUaQhkOtMvR71 3lQs2xBs448kU+0Ab131TAiDAQud7mXIoK593CJUiaKQiksYyKGsZiONfTshV/nUNi2MZL6EOyaW mKZf8xukc/dCzUEfXnmkN11PyKgYQZ6xzwD7cFyK+ku011XOC9ZGO13dkiTR5mkC+jPH5OUqA5ZX NlXv7pMAkUmAkeZW1tGYM+j1e6SNmS8eXiSRX8IWiXwc7Q79xBD9Opk6Id9j9wcVDEJSqeEuyHdJ Px2CSWETElD45xCpRD5yFzyV/vKDCMe0zvk3MzsG0/XpfgHC3LF5gJMUa/FhspY3lNCeDwDxm4W+ uAYmWpJEyQ32VFKtIcyx7CQd2u60qUMBP6GmOSNlJtxM4XY6/Pqh5tZjC447MsAhNswl7bT+7Qf2 jrSI1zQmW3y2S3WoNrD9zEjNVuymlp2rJhC4OIELBzjJ3itkAM4ZQPD4ev24h7w7xVtZHTcQ4sFT LCSUdHpghVVixcJaL7vAkk4zalM+io1PI+Zrui6tEYihOk8zv23HulEAok4LTlst4x1zROIpltj2 FFhpsYVCzhM0YbkZlyxCCH0L8KpTfsUKk1QVRNy2rgocRl/fGr651wYteufvmmTTn3T37gUJpeZP xmOKwvownBPmif40J9e8oXlgrEWJftjLc7sjbwfgsYyenJwfVyAIn6JiJHDktn9n3ngnMu6j0Rir uJnIszdILaKGqekMEpmEMSrvzEj3nyDlrz8YcY8hZZjaM1wT8Aa5W0WuPfUrL54KZ+UbDorvq7HF Gcz3y6CdhKM/O68lp4oewxJPo2DJ7iTduE2Xo00piHkW8ZyVYw6H9F9o41LYYMDMnfd6U7133rk/ Urz1UJ/GJ4iTe9xCuAn5ydU766WS0PG15tXCSPNd4QIWH1+YxiQlQEZ2fHzR7xWlttRS0zDXrKkb JJiY4otrQz+hu0AGuSBt2aN99tYjl1kNNzWcIaSJtoZfIQVy17zLazp8XiUfw5kJi1KuNW6GO7XD CZYLt3tUgVLHdE2kuzTdglkWib2r94w4xTQH/dJKVP81JIzPPuCiFeIEMKW/cECqa7anNsNKdeLT 4X3MwN6IWTHHULwJPHnKOkknWGyfKvzs/VLr4XL29HebuOWtFtJXtrXOjSqHYczX43WBAZoHCqXj GTUerbN8FVjU3kCB2xCw1LxDuSPszad6zbYfBc6rAnWRBjqFtCKS9aMsYNbwl+VH7Fm4BrHHXNxL JGkgcZxreWCWWzhhZ2R+3hxhp0zkI0j3oeUsE24QxUqPHm5p/y+4YhwHkPUllSOv2b3NCeuPcxGX nsxrVd0PLFRRraGX60FhYs7Hb6A6sVbI9DQaQI8Pd9FaBQsjuvNApnWc/wb5HbYG4wYhawBlMG8G 2v2uVme8/sR7sTxxlkON9qr5y0bVBEtDxqzvRBYVI7Z0i7rMs87zn7JbbyoYZkjunTjeK9ssPW4A u67y36tNN7tmgi0/QNA2k2T2s2gkz+jmidERxSUuXrPNjWfsUdarezuwE8pTFQyPaYDzRoalb8kq pGhA9kEQSOAFg+Us6YUwGB/H887Pb4k58QqSdEUYiPpOTHCjhOXS7nUKhJgakceCEpeaUoPxmoQJ m3wMpZZHcn7ed/+5fgl4sP+F62JU/N0WXlXea+YdJg3YLvveLOW/96FKSXzdNTl27UwWKrZTyvtI AFFWZafOe9YXi/VEJkLDiGVwc05yjDg9jb4dvU+5BqxfVzXk8G+nm3k1UpjEb2hJ6PP1zfnD9k/S 1V4IE4ebjMPT7dzWfy7bPIgKn1dr3ZfYvOKx+2CCYzwGG5OW6n06oQwdaqPX3pKF3G7U3dhon0Yd VLrYX/oHMtSV0RNjzYSgSa+n9BVUrlCtKPQuZauqV1ynedbwMNF6Srbj2aG+rVQG5+8qqvhVf+ND L1/DjAhuVTAZ0HuIHWwnbaDWv4DegVGYy6klZu5vaGORXGwrZ7iysgyHxlXrk0Efy+thibRvPl7x Utwp9XfY3zRM2rVhHurAWbpe+js+iAXmfz542yKmV8KMEwyHn8B48Kzp//mkm2nT51yBo5KjjdhF xIAx8XhglaNzt0/88AF4yO+kqqOPNHZjG4H6bX+QbmnfT5BruYdvY+ICnFqwwv2EGC36bqRUS9fC fJrBJ6dwn/Hi1cSkqZ6ZgrlYk9udQdUGVbyp+TpWp09bMqS1UTwldCgCybeBkHkHqrX04iU3kWCI 8qEXBP69RWQGzqrGmB4neOvR3sTBZ0owU/Mv/0upeeS1/o3y7rzcM89+MvGsKc6oKfkYv9qTTIVG qmS6MFLaXFX8cykqPVdODQSOcnOVoEDn0n+qLAMMVavkVCRbGIGyBW3Ky9cHK4a78pCzM6Ko7xIr IW2KHOpZjBKASkmRKRJ5KJwzrn1yME1Q+ThWouTt/BLhAANIBDVVt+gLF4IcHvwb1LbrXYazsJRt 5lMoTI7eX1X22Ued8vH6Hyb/RCedsjrmadVGQ0AXfyWdAWdhkNaT7OqXLMatL2vCRKUFGhvKVwLP 0y+S3ylbFbtHtxTyLD/R917PkwSVGejqR5V60Z0A8IQTuJsqEEDj/qlNGtrRg4T0UsKtR6RWuC7o wxpSh+EqqU/ZiHGmg7YzGRzFjRVO6hZQ8+Fn3Illz0UgK3WR36yEpV66HCs01LOwfyjPbpoHuoa+ abeuR+IZLwJKRk2hKSOxqAg+cWsbsaitKc12sjTx+5hK8zTHft6SfgNfSnlLm6fVaY6HvbZ9mYHW 4+SMuGCLQ66Hb99xUnirrumsyz95ymZ/RnF2PealTwbe8rb8E1C4lGXZP1k1FHs9fDLm6WafpSJU /tWz0X1F2g6VyuwsXUsgsm8qZPOg7R1NhPKNQc4Y1752XQrwAgYOV79D3l8FD33WdXNrLRNt43Jx IAECThr1dHsAlK0iinnWYwSwWDfK/K9Dw29vWGpGy8TTTO2YNlLKv/uJoXOrWN+CVu3FSErBAMgk 9660EzLI+1Qw4+6x/3e+RzzriNmCcg6aAEo3u9rkHAaCDHfpn2z8tluEtO84nhvLpqtdreaKdkym 3Ar8ciYq+7qWK7k4SOKoZHDKWrj3uMNp4npLA1xKJgYR/SccdOkkUzo9ome60MkWYu9rLFxF9A7+ +F3t7KS3eHFYljoWKG8aCUUxRueUKwpIf7QF0NsGBp38R7GgXkuad+S+RVGAZEHKfX6/1EoX87bN Ei15nXATPFjo+vb9RcbudrWoJ3InrhbB14RpUNiRBWob3Mqp0e8enX4poDJSGSgTlxA3bp66Om4Z N12qmzEVY9HB/yTMHQmeu5u6pp4sdkiDo9lvDbsJPF6I9g1hoy+JNdKwYRGgTAn9/ycP6B/Iec+c 0sics30qTFXEydIT8KSixWVSO5rfTZ8QggaYDZQAd918blAOmEl0L5s7PyxKiev3+N10KFQiahnO ZC8d5gVFfoQ/bnQz2Sq2ySQGsDkEiBFtxzltV42XFId3VoJBrgYRalIUwBGiPcxWZ9TDIokIOvDN U/kxcDW+SprgVGlO4NnyrdcluEhnsg3Chup9UooxStb/EQGNeaiqqSePygartWnEnmz772iScnYE +V/XjhNnyyNtctZ7ktMg7Kb70UG8x2oJBJcDNiCZ4GrNxeS9m7sIaDAmlQd0ubjmOXybs+5Kmn9U YY8SwpMS37B/Q+QlzvtNCvGoAejxABUF9rQ/sPFuAWz+DRPXCNe9Hy1Ccj2ef3IWQcWHHklDrjEa 7XcpVT5owDnGK2LCgkDAx2/ZsQdVYCV5Waq2codnUTzBr2/B6RaI9nA6dOuKCBo+H0oq88MJf1+x hEGMVuu3JXHWpclYK1hD5Nkx2GmEVIXYzI3I7uoFCnmiiAl0YrWfQfuJXBT/FYCSQfSXNjZDHdEm qicKsiAOV46nS1KSFZcn8ij5tJ+8ZOU8jEkmlvQy7EplCApCR2FQ51xw7xcA4hVnsMcVzwVnnoLD v8aFMrKZpp56q1K89bO2nBt2c+8geMAhpkOY3n2gaxtPUlK+IhqOqoluimogGPH7iqeXQlqnP+Xa zj8xZN+fmRwbLYFLJrfKTNPnoSM9fDBv5dQNrVbTb+7+CFKRB5K/wH5EhM0rmtPqmoM+tRHbf7Yd uSJ8wxpduv6Zm23gyyugJQSOmezF9bS74EIWSVjSM09KY86jfykJ969+kkGyzdx2vdEQZrtY50+D 7z4jbwACgMGHdmkXMZwHhR/e1yIARKhSdMdz6akD2DaImIJKmuPS4vUNeSyZ2Yq7Ojj/yZFxKdD6 GF7FAjIvPogjNkVh2Iv+TJxUZieYP/FD5pbQiRdziin1w8YSCG0X0lHmZe2SAB8XbG6E0TA7++KF vC7mQu52KxqLLmlPop57QA6mi8Banze/7UvwVSznl1Um2MWHhLxBUYHvbzv4pHEDVZOKzKb8v8Jj M3784kCs7fz0NEFHJhj1HMTgnbByCf95PQ586pkzD9Z+aguSspf9Zlf/637hhmyKbIU66ufqucPK GB2R1B560dZev+6ESJe1lWHFpwUOSrseyKkunNwTZ6P5NGuFqrHZKV6BHjsDWeQLrh13ydg6TozF cAEKGrgyIvB6MH+JipMWkd8GIo+RY4sO1ZrO+XIlxDxs9TAIpY6YhM+FG5KTqZpxY+06U9D77si4 m0USVCGKCj2LjglbGw39ysIN5nHdMRE/49WB59emfCAoMrNdAvdT8Ez4zbNoplTpd9H/s2Q841JR RNOzp68EsOcaeFhZuXm+n/7/4yRkiaavVFc9+dIAwmuUgh4SDQVJ0/eevvBoUgBe4TaFtaxm3ISB A+x5yLVjyCs+vpixcghbry6+qYM/wegvrFFr7UAaOs1lNv3qzkwkBo2VUhrIR/KZYPiAQyqPwJtQ llspse4THXno84Pj9N0QqfAZq9KRbjUT6ixNCra0bccrqx2suKwnyy/dqjLDBNCjbo80y0kWB+0d jre5zmdoFI7tne4tvLCigPsNAIljF+ounRcY5mnvULQXdn/Xp5wK5/Oug+oVUyGmIopvQ2C2Kyrl m8tCOfV9OHXFPRjsrD9TvYpK35SazGGYDVgAHarOHo9LFd16r28mHrrKdIeYUQ7fpWvfBv8Y9yUY 8j3FHr9N99kxyYPlg8jiBzxAHXNXfbq5V7OtnmySj7PVk3rj1c7dd8zYIq+WCAI3q1sk8CAP3xKf e0fPagZiwlsXpKRLgMAB+TFN4h1/mOWeO1cvaBsAycAt1knaq4dqrR4GCHt4BpTjtO4Nqm+hI2pq buNuAxPi1Z+zOVfezjpPnaeUJPFDNI1ts+yN2/nQqubWjGJGwUQ/rpGlGZ8+K0WEpZ2aUfbWTZqF AvKJtdd04m8Iv5KNUE/9PThVnM1wuaT9ZMO5+PqkrXs4YGGuw5xW5AoViilm9hrOQ0+A7TDIkLQt R/5Rabv07alHgyC94RTnqTGArN+hrm2IqJWcZAcqOv/71i+sK/p1dsgcpI4/8UA5WEjFnvJBq3YP rHZt1f0Wc+XGbUwEjKUykMn+0NogntG22ksmJ2W551E96u8X1PU/Op95cO7JC89AwOUOux6fNEJl drRw9wJVuz96sa05iCD9F0yzVV1FjjEz+MgjtR0/WIpg+VPgWVuxUMtrtwyiZih0NDBWuaWrFJPh EUnpnAX+Vp4mSS70WWkQs0f1giI2t66JtFEfM7UxSdRKLcliwfjNtbD97fRDwpwoPvinb/0tVy2c MFjiGIEYqiKkxOnOkAjZxQBrWXrGRZ8VUwYTEnOagufc9UcUyxq5ICkUBbCJdhVTzJeFfryZIpw2 xs7gsSmPIgL0yy3A9CI3Ne/UtWnyo2A3Dr40R3uuNEeNwq6DSDhCvWZpB5XHWH1ub6hwt7qfVKRv rWAnwvVBfjNj3563AyefzuDxUjVZ0/DlsskPSgAzph1Hdb7WbcPLoHp50MXBxhQzHNCmmyDB3DGG duPDISoE5vUXNOLE1NYLhB9XRI71EEjL8jORI6iovKaLHHRmLj0bGpNpG86yFPYkaQuAwb068dOY qq6TwYKJlalszNRLQq337L69iShTc2ubQtOV0XYYGReXg/vABJe/FqpAxNn4asYRk4c8rfFHK36f ns2iq7jkqI47s6tpdy/6JHdVjVfLYooUUHhOHHek5coS1rw0nd+XJgyVVyh5DflOT18jzoYsm2CH 3U7n0HCKBte8nMGKyQIEjJHlHBAlxSjzCawgQybDv7/Vv2IxXkyM6wv0wD8LfzrpNv2GfL95m75V ev4y2RVR3HmN0lL7SOjA1sDEgFnH/g4U9WhSKaxP4gNzdotxAC/3pxXl+80D68EAIq+Xhwg7B+UM si7Ko7M/AcTltyUi39IrlQ8002dwKsiFuWiXGhCRXBmK+DDkqiSrMvzwI6lxq/CPa9mDyYwExMIl M10ip5N1gBXhOWKRLDLbaDjg+CAfYqHjB60jFZHDEmRBiDV9ZtTS/FSYg5RRcAK2+5gwhO9j7xv4 gLr4OB5dUWcJQBlHWh2mgBYfAN8CLdGUwYw+XszsiAvhWpkH5dWohMxmcID+gMKloZS3ClHOA3G9 +ARmJofUsG3Nqgf4uv3QXJ17pkRoBp64i8k6K4Q5qKskefnnniK1DpGNAD1qkHBbOB3AG8q+/7UC dkV0oTEl1Id7NUzwz1ZXMG3acYY3IX90GBXhXnoJgRnb4t4Y/+AMnRssocAHjZ9vLVEy3PV9d8Ks jOTnMWb5I4iIGgwImZ/4e7713k2twh2eD0tR2d4NE1TFs6XPHlPhB6hWvKlTFHhrWIWYCm9bhB81 dv8m7GyB62lmNw7NLC/o9MDrRUcSO7oa4uwtDjJQ5Eo1iqJdUDgRpQkI2CG94k5JaV9fhV4n0c1l rZTlWBcc+AEioXX/GeMJteLbAU/Rj3iHSzJjTa5VoPxDhbTQFmfNFT3Ms40ws2KdZEYAz7LKkdO7 g18UA17Zy+vUikcV1cTk/S1tyrc5mjE81t/bKbzVGjFmQL6y4C9qfO2N9zqgbRB16l21o544PDk5 rltstS4E6btI7loRcLZBYgKFumOlMzZElZqYQyryVR9skB9xG0t8zeovQVrJIb/zsWgYqFDyU5hs BETxrcJewgSZECWkYLahFr8Sq0YznsdzwxuecPsaeE/myASqxEGTX5gHOOFLPYMhc/g8LxaEXeBk jQMRJvsO91W5JggC4j00DzFxTWXoW7uccjse9Y9ZlOfproISL6n5XDZ2VnZ69082NN6o6PPk35ru UWaM78Brr85zwI18HG98AzNf7S9Ubhi4rbIVhJ2XjdKN+mMbdFEvhvI2vFpKLTm04tdPVAeEPYln zEStjNi/U1uvUED3FBXqIWv60hABdUEHSkpL/bh2Tz5G/lZx0X3HHsXQOMqj6qTottkWLoCIaLjw BsLctqpuROGvFBwXvX/ddm0YIAim5MUMW5S9EajsgTB9dNBeDFSpyZUEmdFl0v/naoYe+mVRS2Xu 6nM8FwTmAWxq1Am3ZdbzM8SZvJxprk/XUR5GFeX/BnbJBo0VxzzUeMuT9PqZEM2OpxNSxM50pSg2 NCM1S3WU7MgGBlIk7bPJEEPVJct1B0EGA6fKkB7las0J2Y+DaXZ1HN6vQqLBSLrNjKXozJ4SHCUL A39a11W4QgPkLGp97QrmkK7+LRh5MS1S5o9pJaDdD1AZaNPcYPDOnQaeCcL1pZQ6Cc8tFu5JLFlQ D0piy9jSdixf42HycjrzeZmOIJ7+yZkfJdbr4jt8tT5MVIhkuQURV2qxbPAMJCvRv4OYac9SGcBB bCfMXevBEizOLMdUu4peQYWOgOyn/RUH9ZSdzOvkTH3Uj3/AqSx63thNPuKVdtiHnET75qNIIQPu lGdGi4fCh1P9aLMn3yoraufWaC339bvgNxfiST7lozM7KA+wMlm0vyxbnXc+Gu7D1Jm9zixG9NrZ 4BLZSA+m4P+suGPR8vQxZLOWs8JetF4RJIxtH9XbliqPgOeen0z0dwCfAw6ZWoz6D7FOUZOsbT5t 1oMHsv/F1Pt0B61QshXV9sr7vKYGskDViMmhrDVsGWMNCwTJ0v0E28DYth9+16X5SXSaNdtQnF/4 SZoQgRg7mfjYuc//j/CZs2UHGrTgz9KJ7VJoCvQLkuVLN+FXpl/2iRmMdiJHjIeeOiGUif+d7DH6 4mHxHlhE5KJXa07glPetjqjUfUxQz+cVwTI3Z+G9oH4X22SnNjRosXVg+M+b3zohnarZLK1qa0dP gsUGQlS997kHaQscvTJgjAlrhNM92AA6c61QD3gqwfTang0RBEQ1aDiMJbxalWOT25uKzN4oF6hG i17Ju5CrP543/qKsEQV/a1PYaKK6REOafua3uajZJDyQvkoyzGj5jtAWOpVdndjAKGBGClxMR0ML WgT9oNKAMSfZym5tjvAYE0eU1WfpuQBTpufNHfT0erxydhWeZ/xaPQx1diFiYvUxlSAe4FLsrxnm cz+KemcSrqGcOCEjL8akD4fXEyObLyd6F7SYGUlS58IvrvehCHMnLK5rYGDQVUF5zAeESoh2pdKr 7cF+jqnPZdWn2qkLeyomNKwFeO9e1gilJSuTFm/0OxXeZlVEl+APA1rFc/zSJIlO9hwrkrAVhTJ/ KhXnDp1C9fh07kFkrVKTM0rrLgVNJZ2Vr0eq3R3FakOL436IuxIWJRCBboNuW4Nsrp8lr0DxjvIn jNzdWZDE/0E1OCOaR7tfRUmd6e0SKmqdoO9tmyrC+Jdx0UNT8mZBjQX7XAdMzcLMa5R23ksy4nW9 rMTbGmHk6NAPsPsc317PBgnccLl4ivW11zRm/KYJCgAZaejWv+eIdPOrUQ0X/awWk+OFGofNmbai AC0kKiBQel4cURNRTNThRjuoEwcZJ/sV5yOD0xYoXmjPWMHG59lrXmZmJsf/9DiX58ULCi1KVX6w ojf5rlvIQxiRygpzt0hq+E8OTKsy/JO/leqdnuR8H04Op/otMLV6RoykNciF/zaU183/Fd78zJzL F8o16c+0Mo4QUbM/5HueOh2cZqVq8nrZRrxz4K92Q9r9UN9ZnPiiC1+Sw33bBG6E0igGcp9Cmi1C oNCNAHHhgQlAI9g4GPm4lnOUGfrts/7gSpvuHwhaQv16m7PdxUby1Gh1Eqrcopho99VCDUAToDW4 rlDTU2RMiU62RI2gDfI6cpJeUUnwKVsRK3hXaG0tHpLPtoz0U2MdlunDG23EV4Saal2ybG/N8Wf9 tXvswk7qmTE5S2tIZAGB8pEKhdpP/o+cwC+j4EYWRqNfmMBU+jGqgCvT+NU1T2bfoPRsTvSn1Udd EZRjLYQ3N+h4i2IRpx5KHwawzsRiplhItnNkktpxRv0NArvMp8Sh1Qv4H0Qor7XTrtWSmty++u/k d0NF/5IDnH+LlWRhdyUPVq3W0TgtAadNQgL6ZKQ9yPlFslJJ2vxZvDBLdgWC77ODM2U3ZmOaqU2C SiCMRvOJYxYcmD9V5BuErX28DNYw7JqBUfXAqNASQNkmK4E6JKIwP78TuieQWur6VRbma3aTO+c6 seXVIIi04aheDznIsD4CKn4tluIGZ9ziXGoDmV24sNwLjfmaX9yEmkZdMDjJxFXbt29suRHEH6nY Y4IeDUl1l5tXR89rWrchxfKEt/rsLog/XG02FqlkwEASCBUGjXdEcmliJm9TpVpbJUP+HND+Hefx DtBkCcmGi+UqAPD462mR/iDCBZZeEDQ0LfUQ2hgS5DgdtoAeoezpLVuPgM+w1GmN7iKbcAMK+ApL PbD0TJG0Ou73y10B0ZpmpVzZmnxypkCS+3g3k53wGQjkmLumgQ6FFszrnawHEF37kNCYexdtwHSI S68ACTGmUiDo376ldnMbBtEVtp6v8LGgATJaF5OiDT3z2gF9i3OLIxdFLH5mR0O0g4XNIe0BOI6b S58WlLdav+twgH7ZQ/rt0PPwMmxM+EC0EogTxlgAMvXVnamNKie1TK246+wN5arNHn70Gm/DrMfZ lXk6eTXFZ4pEiqPTDfiengMsmcWcVipGkPxAf3qB3UTnrSgV3r4n2D6Nk4iHmJ9snauzb75UU1JF a/hh2f7CB4aQSgkpG7DqLmYbkLD4brnQ8X+Y5DgRM0mcZ1+fs5rR1F8r4E7SeEDvX2z9wUWFVpQ4 FwAmBRF/h85njhb/jfywnV+DxmLPOg95Hwt/rrNs0bExXuLNAV2+qp2bHL+I+dc0ROvTkMwMsgnj 2Echu1QN9GWj8BHcVWYym1QHnuI+iLDIR7BejDfFH8mhATqpb1oV0O/TSQ85GQqIafp1pEcBfBOz Qq0GimzxvzsxPikQFqNypX56BjS5l6InkD1kADs0C8Tb+91ry1e60cqCa4zsHUmy+6VhkFbE/HNj MLwOFh+jNJVelp0CM+TxJTURDSXBiWkxkhLTpa8u8kSJOAqe5kT6SLQF64sLB6nUyGePGj3vz3wx T/csLiumXEjsNDWAsIxnl4wGZAkhOtC1gwG2lPgS6qh/vOfppeZw9HS0uJrR1q31Zgee18ekomxo jczXHIMKohWdpJ6HIGxbpkIDropMwfvQMQYTxQfpwCgN259/DVSaK4VIMhd3wTZsPmizT1LfWiWg L/Ju4WjYAF+nMZdv9ucnN6+yKw0k5e2WlYncqjlfMsS5B82YYZRS043XVUTmLXYPor18Fz9vGgxc 1iQ/gd1XmxMmEHZOWXMP4s9DXnTw1VHLcdT1jH4b5nc9yhGmePnZjghiqnvKmHsbf9RX9uBKJwYv QPNCUlr72bzSq+zjkn5zS2m9BxdCLVCrnI9vRowum0Y4PsPQE/xNShliqpd0lBl/PGR4M/FSpp7Q GCe56cEE6pgDWef8ouw6w+5qtGA01zF0pQ2UbFEo6es7Ah+hTZtNHpXh3dQpaeI1N6Hz2B6PoiSz SVvfY0x6q4BbXAMgmoIr+akY4MnXRMKGbM5U4kh7LEJ+lx1S5Kt/ie49TmvcF3oniRGEP77WQyiQ AezWCUS+JiP18JmTjXjXHlSfoZCJXfZ8zVCOlrmEMTnCreL0rriGEu3UC611vU/UhZtz4mxdDuh8 q21pK6EdfO5EN4Gc+2MWxF03r0eBgshCxDL1LbJKKf2ovb5bGIFPqIn8gvxVbLTKg4npBiBcUP72 GInpWSHBv/nffh7eDRbeAM9NSrt42vCnQJJo4SQmb5K+q3FMUMYIs00hpoE4hNJqbKhTMM1RNydi a95ABb6WRfDgcSylr2VkbwAVnGp0EYojJmyYMlWujx5vwU1mR2VDMavw/FwQJ61JLBVLd+CqEJsI iNCPik2HUMPtibxwU6i3qJ2bNijfM5b0903xNenozgC3bpph9fBXmT7WMSzMsQGQ517Y8FJ/8E9w tCoztM2DWA9Bq/bB4g6a8jSdRxRvqEx5C5CXR8Jm73YoInWRgzgj0HbxPCJa+UCL/p3/c9ydodbo BrGGbGC+Ce6mLx86svXuaAQWIQgy/yL+yTuW/RgbfFlBdXAtaSB3zArlHOtKWKI89sCfFDSp0XEM KWZewnmiwcMkS60QdYMyAx19PxSFEmAvqU2NGoSqr/OLPXdhWwT3lGPp1njIXjcBjv1YGEnsPDJJ xusAxEgytLPitR8SrlzQ4Ruuck75F5PyXG8C/VvCqi04J3ANfTIei4FoIMllSofynT1s3HLipUu1 ec9GykWpTQeevVgePshuuQMc1IKf/6s0PIG/Hf4wLWk28jtt91HZnRy9lWmWkwGpsBW9teA9SZSL /qRBgUy79i774e65KT+3Ydk2cDlIeQLYDQHxY2TpR6IYEapexuk8iAWJCBf0tmGyzB2A2rCFe1x5 9mE5jil7AuVf8PQBxKtPY04iL9+ehOwRoROa34hmQsImw/sUQ4wUp6RNUKwEwu4PHl//LlTV5QXn 5iHBI+u4QTfD79lU+dcKbABRfUnaJFYfwCtptLXo5z3PKuXZ/yTLNl4M+iU5zLF5pv+iJ3sKuICc 8tcGbx3DI+JDhN4kS5YeU11/a2Z+KOnGPM61FWVIFgyomP+YhJUKlEw9ws+eLKFIlpwqRoF+7C+f UB1MnfHsqp8cwFVWVxJeTJUiN3XfdYnfMfcYhv3d26hZT0B5K9LNW6zLRqB7eXv5phnUJoBmPi3t U+BoIFQpxy88Dq+U6vrYrZ9UJFXb0FLpWTwcPpERiY8NjJoNtm5XWet7H1pA7hhHgyK16Pg3G98d 5welaIercpO+zoTK41upz+oZj1B48QZ0hUUIlUJwva1ghDEeAo+KpikRuDOCWxzGyZ0EcA79SKdP CysTxbhCfTzQvg3wBRpV4v8KyzFLr24jjBMvszpZ2Ay10KnWiFCay5HVIUTe0+FJKVvnICtPHu+v 9oSkaBhXcrfnIWuejVCE4H8LhwEBRv7GrurbxHWE4+5e4o5psP6dN99B3ShzHkkO7bo4V3M550dP zTMy8FI/ghzYpB98t2bO7qagftn9Su7oDpw9MJWd3pxMx2lI0eSa1m3iNAP5m0aFd3fMzXRYzo93 keOERwcI/XfYDrB1ZVJP4jsRCD/XYuLSWsFenvgPK/I0DWkcMfH/QsygV28nQuRhmD3U+qfT96BH 2onoxZItjWfOhGnJIF5YkV0ZSqr6K2ibkj6XMCP8sh+tyTfPvXr9Q33iYOvODwV60dKq9oojk4uR ryVNXroUTQ5CojslWRsaNx9T7c7kcvJOO4fekHCc/kXhXW7U2aEi2tiV1xQnrWgy9sym/2RN4cLv VhIJD3uQTqqT6KBFyOEUfQFEtVj2WLE++uyTPevLu8/Vi8CJGa+OSOfXVablVE15cN3vcdc94BPt 4UYGcqvj3pUeegc33k/pyJKiX2mMa62L8TbRTdbirlZWJRvci/8d0+wvesZzlnGjILDsJtcyOkqr KcKDbkxiaCKwlsCXXdRl/xH+xtYEJuD8iW4kuM0zDyl8qR6KNZrvxBivbzXrBXDiqtSBFeA/aBWV AX1YPYIKMHFrxZ0IGm5RLQUMMSECZBKrPQd7sMSeGQdSoG2N+PeFWWtf45EPMwWK1woHM0FpDY6p Afsh/XF+OTVT7VGPLi3xfJ5IYhr0++LCHPmzooSK66MiUCidogr8QUtFM36o7bMzscz2gksrAd4i Zqnd8XbDzGKC+J0IZeN+o7FJ6uEmTzKsQ7wLutH2YIrdn418WBJosvXuD+jdbf28obx1+7PdHGTu jg+EgRkPDRf432UtCQFap7ztSHeaTyfGhZO11SYzkFtsphsgdL4QtCV4suHWvAfr+HQX9eqeWnQ/ b40AsboDQKqnkcGKfwDGHNlmO3b6fqgTp4XFCKYJpfFL8JjpMynyvmGlNEaYTggnp5i9RmEsWw67 exDQe/oUu01DIEAk2yCnz/HRKjD9g0qsPsdYq/eawtXsyCyM+n1jF1sVRxFy4ch/LLKYVkQoK3MH QKmi/RO1gLhlu9g9NKZmjILWUtcS/jcZ1a3QWzkLwVR7kXSjRJllCqLSW9jtHPEhXzYnjAQAHIi0 Go+s62BZ7sMvOzrHPzABxwPwGcLhkUwd07FePdTkqhPt8zNGIR2B0BTq/Gwe0qxhMgw5yAKxI2oh T/sKqlbUq6KAHI1sgXbEeP20+12cvBNb+wLx6DGtK4bE7F9ohQqxgTmGVcx+a7d+wP4geGuP+Nox OpHqCsbeNtzKdt8hcEIxZOI9GIDPatV627dqQcrXl0iGDfOuGC4ZWFYEcDKtGnccghRQzy/5XAzU 1AiSpiuJk0PODeEKfrprVyxjf4P5mqzLeLJp/cH3ardzIDyzSVGcsUX/vlymfsvoxuC0QLAKbr6j /kMO5SkQLYONweoXArXnsaN3KTWSBCimG93zoi9u263N4sMQNZHvSo47vixd1gBdZpbY9GFRy0jI 25jhSwAjrFrrR1auSWJ1Oe6c2aESEVwFgaC8AHf0TuNBrYIPwXhq49kptdcje0DvJqxEdO3ygZlr g2wrob48eu9qJKFaIoKQm8RPuBnNYrR76xUDrg0JbEwryTWjlXwXjM2v2iVYsZBGOkIa16gxL6Tt A+zfdSOTXwh//GASU9eIGlYKScwDasa/oIXLeEgRusQ9AYUU1MVN6Uy8gsT/2z91RzYtmVtIaRyc MfKWmw2ZCUyGzFqs2Rjqc8JPzhQU4D5MgbXUxnB/5Cd+WK5XpoPwULgU50eEpNtCB8FriXJoWQme jBBSBZHvzl/pBtW4TLF6Pwg9WaDA7j+RApfuZNvP/lRNK42TomMKTfg4/NY3fE9IjmnOzI8uONzv K1YR6V0TaDqxG0eBfAtnx/CO6cqFQfCINWh1fGXqTsTCkH73ONYaGp/I0Dz+FIN0kWcpIIkvkYOO /JKm/mxE8cOPahMMLthNQOK2YRmGIZDfAp3i40wOytqj/wqFK0Q5HG3LF/RR67dB5gwL09Ag3zpE LX/iqhjPOAoTQArfjBhfjGbr2TZqL7/WRc7awP0SgLmU4ScIzxqdGiJTXdEjsV3w79s8M0NVYkid 3KeyRbQcBs5kw4ObrPAoQuKLkc1ej1IyDH2RlH9746O6lyyfTN6srzkXb9vWHI43F0kaHJ6nz2cD Iy0xMJ58FJ39sBVdJCen3S4FrV1CfO18xriDJ2eShe1vyzIaxw3N1VFtP9mBGS46TS0Oy8kK4SAZ m4Ucg7wkMbDAkn1FjLvDL3K9vjOgJpEDGPyzx6cysf1oNyyg/niQ1/dSshmiLIkE4bEHKskm+/JD NIaIsw9Q23gNqmd/1wgOkPWuALZZa1fVsWT3sI+5LYq1FLiAMMu4/LfUjc+GH0RfTnzMjr4ICmZu PTtE3Eq67F3r4lazGoq1jvYAvRCOYDGmwxRrZ2T8GE/qWyD54gLp/nxhQjbaik4c6bXPiAecz3Yq Za8MzmFIPAe5lm67U+V1SMAD4kn/CX6fgybMG3Vl0WBm7KNmvjMRTYWUWljquJc+8aqg/i+VN3GZ +IQCtkX9y1tIuY8FHzI6Q2w2rC9NcitL0VOUuE2PkVicWesZ21SugQGVweNW9cv5gx8sKBezRoO6 flxNYMv0UN83wCZxqpX/GiZ+TlYfDsGbYpsch/AQhNpCJYnNZKVIr4lO60LfN+173doVnUndDOPg OEMiSFOyl2ksF7kAz8omrZDaJ/+pYFZkVHO/2uuMddjB9qQRBkEDtBW1RQzDcUPWhKCMKp2cQJcZ mY7iUzthrGRAcfutYoOQOMA6lIvbtbH1pz/6yKKsmaz/j5DedNOmcsZCRQEcjgq0I5EdYcRolcKb ZEGt7B9LvHcuHVswi1EeqNfxjh5dDum03sP24skqQp2O4xdfqtVyOBvyRjYPpt/10EKGdXB75y7R kiCDJ2SWfJNIKUWlvbN6FLu6QWq72zoFpzbAlZ7mlt5U+lhgPExyKn0KdVjT/uI2hzYYP6dViZp4 o8szEmY3TJoqjj0r5vY/VxricxPsgTGp/nWIQDxM7LRC25SqUMwh5xn0UESZXcW3OAgr3xvTQX+c 5fJttju6txEapFkkzeOTgF2wbL6HoRTnpiSa+IFbjGuSrn3uQndWrX+xZF/hhDefILNlvWPP3e1N X0ZKZKXLlDwoQWmt1WUS3dnlapGFW6/tz1VHWVnRosjZHGi2bYfCe2jE9CpVCuqtNyqaRqnFn2GB zyc8JFv7Dk6MpGAQW5W/MH3b1V28+VxbK+CXmD5HzgA7A2bZuuOHEIePmUVLCAR9SpeXoxUYwZyk BWKjE5KC2QV2eaOjJbdLAJPjcBdnp94eP3Fxy7dAap2gOyQblmT/h4FE/9NFEaamBe1Q0ur2gF7S OIeo769AJPRNPbFzt2ACkKmtA0L8BtsCqt5xA3gKYggF3TwK5i2/Px3/qT+w4s0SG9leJyJSIDnV 8xNMWjns0k6OEXzSSdql3hCuOQd3rCgbCKv70BrN1044VyboYtdW28dtAldk08XKNLSeL44XzAs2 7zp+KvZ2VrGE987MjuM47xzt7YZOr9xYzmSqKJ8/5giNh2Cg+M+VudRE49/4WPxbP4gBhEsNjNzo Upa4h5U9VIPbfJNM6FYlkpV+3K4UMcqjOuExbrAHc4+BLK7nky9INFQ4AY+f5K30JCpEe37MYsDP p7UMML+usoOFZYBME5b7+lY8Ii2iXTlTBK7n34BJbPKyZ1P4DFe5uT0W/aRxv4Wy4vUniEtqRDGc uRs4bewPBNQIg313C4+R9R6P0uwG7Yvqc41nSqAL8YV4JorgZNGof9mJLBrDVuB4XFzl0phwtN3Q tUWHCVWp7k76EM1ARTL4ib61hrT41x36Y4uRgkTt6dXvhwD15sxeE+ZLnz3ZPQyZuVHWtHS99UwM CoQbe5vuLzPd6dRteL9nypQY8LSkFd8Smj4TKT/xjjgzg5VdqH+EFg6+YwCEuXT7Lvwto6cAKaO8 8+54Hrx01rBpS1h5QJ7jhMuyL+ltsyuQ2O4KTS3ZxeOaA6zMAz9t5oc19piYlIVInU5PC7Yp9Mh5 LvhZsaUwJkv1PlvEfK8spbocU+VCt6a89SzNC7qcHCa3fWp3txDd3stGheXYa8w32WU5RhaeJvj4 VrvguXW2hoKz8XGeLhtP/kBJZHMta3lTuR8/lx4pCorGNkuaaRA81IZ1jJua8ulVjafRyZAjXVMU QvSRboe5qjNJOegBngPofBAogazzL62VdIxdaFhP3qMi44a40J8WLHEiqZWuBz0E1nu8ACaTJrxI Dehn424fJ2H/4zD6ER1lyc7twCUVapH5Sic9MztbeaoTrjXt5fVinRW7FVmrZ3IdW09QBJaoJCnO S4URjDuVblflBKgP6xaCpiHR6IayEtoAXijlr9bvxxPlYagkTdfh5psBI9E1fsDSGI0Jn0uo0rrG 8KAli9mUKGDv/OENz4D/riSetLAgFR9rLY6eIhTRSXE38B42ljJkeV3198IPvHPBNfv2G1XXD8/2 F9VAcLl+u8tG+B5NwEvCBTaDZ2mG9xfrng9INClENwEKg/Mg0O/RuS7Gd7WdL459TiCnTMZj1mJN Ctdq8uIRu8GOlcc597Kx23tsmftKkydM3BVq4YPcZcQL8U3tZzHeoIID5DMyR1GNhcTNa1R4ZriB 6qx9WcxAiAPwotnb6mOuaM/EU5EOnYY+QhUnecnRvOG5Nleo8rMhD/VNG2JB3Dnnp/lkdXdanewn 0fTEgTlbeiWA8C7n+wvYjCmqT8fAR6oausk3UfI+Dd7/0/RmFeDcJAnCW1Xl/p6W0Hhaw6zSM0Ud 3mtTz0Las/m9bdbjKfRXM5vjxaYcT1dZfzRBYW9rZOUBYwoRfyJdfalLOXNWriQ6dz54yme99Fkd mUNvsNTh7pec+mPW6Kjr2D7pCx+3/R72d6cVlqA2LvbH3GtQU/DWWkXgIeq2b7oT+NWWNZYdha3Z M/92wOkVUKDlWEnJj4qPwqsobsBZvkQksRmNVUy64Vwf1I8f0JfgS3HsiLNQHsNJUHvI8xkn1McU ZtNHZvI7qbXa1jm1U1o1Dq7in5GZy5rW5TjRYRZco7pIvlES02/3Xo/am9blmTJJJ8YGv8jHub6n aQIGHOLNRm5VfcXqVBusr+l4U3IGr9MHMmqV7Xuo0UuA/EzVOVjBa0XMNlzyyhcx9p/HaiJEbcVY 2eYkWIXOj60JPb3Jq69aQUMa1Zn80RzCGCQ0MkMcGddLueiLuMwqyjFQodYOLI8H7hw10OV9VB1a 5mjqeGW/fuqGTo6vCTNkS8upSLaqA66iQR8x6Qax8RRfVgeJKnJefl55Odn6jgR6l2puUySx4dnK 1PRIySWLnVqtC6/gI9TGutg3lnYUS0ezV/+w9K/8mFo+8Hn6KHRkIQRAb0GMU6pWQ5c6noOcrugl 8nhMv0o9XCnl/6Cmxk4EdcZ5898qXQRJMMv294GgBGlgCTbs8gIUC+Z7Q7QygFpgCK/sFrg6rdop ULvMN0wSyEEqeLYJq35Roz1n8XKNZWZ1K3CaL9j2hS29ZJ2Nxb+22oB7tLkzoTBp5RPnf3yFc9xj CP1hwk8KRSM/inBiPje30jXHqo8ONBbQt8c9Y8NNCqe+q/nSPspdNcvdj1YpAgBDwR7Wv3tzaFv9 fnhRWynTCG/ow0MQzuso7ZJN54vHvkVnhZ+tXppPVnXwFs8Y9uP3DvKOnw01P1kKvSHxLNXzMtlq iK+b+CM5HnFUcDmLQfOYn+Kymnu77s9MzdPU0Uyvg6IDUkYm2lY/4WBQsYEDWFDwy/hMGr2XRPUd lilc6W+qaFRjmqRUMJFzVNFeFgLMCmjT6Y5Cy593joWVysy6HnUakhaOQaoE4jJIye/9wQbtRir/ M8XmW5wiHAbutE56aauCbG++233D3vQIbp6bVNGaKBypwGCv63fQdusofovDoopUAVkGu79p07vg uod0WlnKZHWD1RniKLRtETCxE3jqb+bgCFqQvFjH1mzJUu4CF7uo4dzUrXg3HFdbwlPZGPF537Rj sZv1iFmGNbvM0QWGvzjbffSbu+/ObOzhPGRgKNNjgXaK1sRmnNg/WEJIzqPRh//YgxadN9u369Oq GuGf6279fW993kiT06ydrswJjPtvlFG4dck5JMDwEO7jgQZpR4Vrnc/iF0c2tDLTm2lKW/cJmW9a Gedr8CeQFzkEOyLqoMN4rue/cFLekbqBVo+P8l9pQsU110Pgb0uG3nQe/IVxNtXfC0qU/EO8qYPd HPImpSRLjNbwdZ7zy6Ug8Sva3ECDPr+7Wy7gbqtUXZW2kUtM8PwCqc0vj4n3kXmYmiPuvZfnLpJM ZtSUH7IX+iq645jOHzA4IdvTFBbgfuzzLqOLkfAx8cHVr7LL0Yhjv6jLlYcPuk2B50NTeaLcMIwm gkw71kC62G2EjMRPV+8KGTTMgfOgjRESIhTP7ZA9WZfUETF5aL6+w72gce8dXLdISIZoKrz3wsyk Xs9TAaCz09miAze2A60y4j9QmRbc0H2efUbBtqqg1SGmtThTIdWVEPdjUtyTQGXkAm6Dch5Kza/H HKOoHJc5T3T2B3fjLxD5c3Cyeu9MWMOtvmXac7/TiOtyMrB8Axy8GXLOO7w+UBJSl0ETpzGYIftD CgyTS1QL+5aL9Oo/GFjwTrW1QfWxywoe3df6YJKSxniOU7UYjHNtHNMt7krrWi6ePvw4hogbJcYm KPWANO/fN51ozQsu60r6CxfpLcJGDy/937EVmQqvVkMkKz1fVfN5m68Ji6FPBoCJ2ybMKZU/k22a rDZCzdFlK/q6qB4MhJpgRNBMUDF7IeM32kZ3+DDKxBqyskymupo3XnzR/MQ5KNhroCnLSl2hITjI H10I0nORDT9Q9hxMAI/Q3C+V+7EFtHfqVqoFnxaPRrtTTaMQbqFjUId90tq4Douq+zXpRC0Z8lWW BajDWv2gL5zpRLebGqiX/xf8V/kfXS84s2Xirnyvwwor/BdtLFhA78L6PBH1jCcqGl9jdDIhIi4x e9LTQYUoqwUZvTeHE2h3WVNZHlvrnfQZIF6pIQB4JlqxiSwKyiuAPchz/bh120seS3y8o4ihfbIT Gwoi30eX40dQ5+Z5RAednn36TahD5lK7G54keDHoV4+etXP+cYzx1ZrkAMFPg7hLQWdkvHW8+q3R clEWR+W8hUgZ8a9YpArl12k6LCPf+jyAqak6FxOPzc94ZeFHKxi+cIgWSnjjvbYiPhE5jY2/FEOg EvervGYFhDBKUMwZ6jX6iYvltuRFFdfHLpasHUn1uLKBsfjofrJS/OQk3fjQHxzJRS9tKsWZBKx8 pQyGq9gloIJRA4I1Fh2NlmHMKO26f6WEVsd4o4WKZDoUtBoPQwbXYSJlAG+TnPrF8yhwb3on1pVW CA03qI94if+f0OU2BboxBo3bYBaTJOtlAqTx4pt4mbUJpcm6hMFO8GFT+KDgAz0cYtLTahXxpgFt tRyg4GIdv+PGiSJiF/zIL+q89Tw8Ifxc8/cqnK2wgrz2bmtC60k1ZabRAPAW2XxVPQ6oXNWnmUnW 5ZcmsO9h6cQdJjhnQxRaBOHy6n9hmW/fGSlepaw9/Mjz+0ZaR1rfMVjedRNMuvS2cNfFtlyHjtOc c35Lh477qSBrVufJzYZdaQaJVtoubSlKl4xwozO6AY0rNjNtFbqs+Moz8cZe/xGJ+pFu2bBAofWa TH7bZn9YACLcmYtJ+mGR/ycF5X1h7ushyk/cOG/NwRA6eH55FngcjLganwWpfOhQ2RL+R5e7PElL mwM025tc3nByQqsMiPxeYSK744+2cyl6MxNSf2O2tnnrmX2/Og36qYCJdYwhvicNcueTUjn7hxp3 XJ+MuzGAFnPXe+KLMcu9261NZdv9JYViXnQSF0RlUq8JahbU7m+o9kCDilc6om0QBC/LPfcBaPFK 0PWq9CGlrF43qxU7ERk3LmEzdY7u5SYdGaU8DdGbOcHezeCY0524nmqJws56QzZ9ZgUCsX/XD1EA tsOREBkkQwg2oa6jgW0Er4AJzCvhhRLzWjZW9dFi4I9924rztrtaYL+vUhtwlAkIwkgxSxecc9JZ jF58Nt0GSmCaZEK9Crp9Dvf527xbndUiFrpBBIcwOoGlPA7ysh59WZJY+59M6nq7JtPbXTFzEYKU WnetFXFfDlfYUBPm2WHNvXgqYUw6SQKY8o7xYRiYj0sUWg1pqcDqRrWBZjUEVhuqsSggCc4/p61b cQBWXvM0eku5O8fRxNshxLXwUMl4ndSo4hgvaC7xTluLg8YIj+3MMDMmMpXEZZXG/Vhdmir0d5dw UJGlzNwyDY26CGii0ZmxTLyheVHHliBa5ESDwHNkRTcMvT8xWerfCvItGQ9YcNxwKM91X+PmIufS 3DZdbzt6SjAEPS6+td4cEsYZwkV0/UZvq45pUPRd92bGyLS2v6s6Z9BnLjSxOpYrOUsYUZCCxQcT xvjP1J18Xk+IoA+rUNtCBQFtGEMW4VtCUjhBkH9LnKfHs4yxu5RMu2D//uCuYQ+1fY+WFnn/n2z/ cmHQRMzKhHo8PPnUC2DkOkfUCbwQX1VLAG2G6JMzqinkqhc9Gsj17ErWpUtAHstWBvTzIx5m5Ibt 6FLjfk7Wtan5bkPoPhmLTLAEBfOoAv0MlQAb1WfGEQBsIP+yXqm6FqptR5RwT5LPy/QYCffTyr/8 43MQXHmEEp9ueqoyE1sTQGkNdl8hWDx5VAIKk6P32npjh7cEXnEi71J00qxTlLvw3+ypgjUZrDVy vb5vM8O28gM1yGu11Oj8LmpE9z5OkJ4a3iXyZjMOh2n1hkzvbQWwf+80IDV07ooIOyMSXwsKwWaz A8o05pPA0LSsRYM0UFEj+gAh2b9mxfDnBpmO1VmiNkyh97uv2tmRa1GXRgwNOfrgfGXlnGbD7xtg tllcaQRkmw+zerqEFD42vzGv+xA5ba6igpTfzwWjP2yJvUdurjF3cmCzTB4xqZYaNqWVfj84JYDN tYKFucMu/DuVzPuZUNEiMVAowZVe3gYnqM0Sd3BwgMW5qeFLedfNtB2Igo6XA4DzXhVfe2sQLZk0 bGg7zouPO2PXVg2Kx6GwhMafKLdBrjVBntrI+qIyem5h2Q9BJz2R+F6kseL+3qvoUF6wZ4xogjbp 05NlES5klfVhw1B+f4yTwlOK4K+miglHHscisX36BufpJIG/aWYdMGSYknX3db42I/mFKMZSXHeh I9S8ebdY1+ry2OqqlDwdR+R9Lz64ID5hQxu/eDn9cUcrnm4+sxFJPuK/LONlW+gaf54iz6q4ziBr yoJNPTb5WzsZBnmQ8kE0AOwQih4poy6GTQR6oxKBJinlNvEceJjVrJLVkSCtM1mn9SVbB0At6dSD 8b2aJ9Dp2tkc9LniJFGRenul/NCtdsY/HUBOB/j5U8ti0vEAF5ms3biCbU2pCx/337M0BGfCYNLq pL7vDaMQNHva5xZOGK/kK2YT79BsDIlYWfnrJSXYTExR5ZERCdsTfxFElLEjkyHVA37kOsNSRW9J hXobVOXIo/AixWafT3laH5/Wcq45YmLW7ZZ7Y6H6kuPFITJlDw0fgAtrgVqwcbWtiEZYZ1+gYBwS Sb6MWzqDNxnvf+6TM6xI2Q5sZZqTglnAJDD8nrg0myp3sRtXGAg9JFBEJEny7DvmRK3Euk3MGP4s Sr31RACvzenb+yOBilq//h2dhmO1VV8wSpEeOqcJSZ4G+vkEX2eQViy7Tkodn4yqIbwh7n8cqUAd 4HOlUKqyBGdsRX0HyxEsKqJXbMBU04rMV15xYekZX8qs9ExfvaQOnIAhhXMg9yefaARfcBr90/DB SxTGk6tLE1bbpxYe9FgqTVQO6ll73H7oxueOeyvr4v0e8IzDIyQRJn94k63rwLOzlfJqnDYLTMDK s3HeAMTt+xGL1zHjloxJWJ4y6BO3xCpglLUFjws73+CjKIvgVDNbtbL3+3cLYa/bYfGO0DdZMhz9 5vsU6ZEK2sxZgkzAYCUdwTSGAA+f300tDJddkfGAwn3bmxjD1bvz/uo7J43T94vLP7CvK7b6byYH VFtneqbcYmoc3Pldw9Ko45YDzhO9EHtFyfnqxiHcEbnBaqnOqOvr4FUWw9t+voOWH0UodxheEtTe omnnXFn/WdfNVEDQdUP+Ph5YmiMkv1AQLAfBzSgZoml5KJ1zaCwl8F9OKx00zKgTRo/zLV3scy4W MuNZnwPz2qxgXQ7kf9rDJLcqxNIrCvtGPCCD1pjyhFmxQNgD5oXRSStM2RSgHOaGj+TuZyydMlTH KT8QFv0UUDtPGsaK7DnUOsBgDLZOOYu50otI3T4nNFgtgONG7h/L/rWVPKs2hdCSDi7LiWPLM5rz AgEeL9/fTMAZ7iV00jOyhfAavpb4lxkhCXyob/1hp8Gg0qj5XEXr6sJdd/jP/7li3Hvl5pRt0Yy2 0VJSDQ4k7xO9JifZD3begtwXFIgDjrrmDeMg4P7A9uJZPpZduD6cUntG0VMM7zz+uboZKQdCZJE7 NnIKx6zDJa2Ky1d+GsMyYyMP+PLe7SZSm0lmPf/edJRJbCpafYlLBBrXqRJlWOK6UqwuQNGYrQzx eCW2T31a7Lhvz6KSd287Nllozd2USjYF5pduXY5kBza1uaRMY+ucjnQ61EWF+dn/jsDI5L770+Cv EuxtNSJxKC4HJ4D+X+zNKtolt7Or6I7DtHN+ufXVzZfO2DDc+Gsv3tWBDQQXfWdK/Wn4LSI8DhHE vvxnrW63zllAtCZ7FRv6LwnBGyvWvJhzIuW+/WTJrBDKMbc/oAcd86QDzFTM649riFKKq+bjAjnV egEJzVIJnEdiO1J6a45O8irPk+4U1hKfP5ixZPxBdnYmN1mUMOCSsL+QZH7M5ENWzF5i0qUmhf6f 2RNCmD4ojfcrFudMgeM2YUfVCcToj3+CyWgq7Pno0b9MI2JFBFE0QQKZ1lFBaUaEEfOPrE7P3Pim aatBBD/WrydIQgEY9qCMHbcQ55rt80syfTpj893GsBc8RpNSUSWc8Ozb9Lxy+CeRO09QLRVZz//v vF9ZJPT3eNg0ugefGCLVe/gJq3geX+O6uirAXAejYSl22W+V1AZD8F4bkPQb5jEQU3doQP8sSIFJ xH3RtBXmRpQWuukSLVSd6EoV57Z1eFWcop+WrU5KeZYp5zlRq6x89jr755MckYZcwDVUMv9zuMR5 Dw+JCuXFoX1OgqGkNYOaX1WxZV+Nq6NH/JrbETCGGXyIPbzPwKv3c99IBj6gnjF3oDvSzd2u8EG9 PHoeIiTjr8j4nEO2ayEJJoyIPIwwUolUZwCqISTW5QlotACbDSrw0DixmxSePOF7EK11dfElLqDx FqMRdjt3MQKspyMG+/rUpx9sg6ln/u/4px3V/+sPtOIm1/Px9QoPPKHj7bujhAF7JW3py1vURais 958K7fpxGTIhHeO9Q43iWnxeRDsnvNwEgPMfnJkLNHkbU8riIb1VADHYzcNY2tI38e0/rSDmb7zu dC9sTNXZ5DPUjDR/SDIexTGlq6qxsZ0JBti5mTWDrB9vUdnxGGCTeyRiHMJZV8iIVhuoISXPkKjG YYOqt9VlHggHYQtylahN6nThkAuRpT0H6ET++M+gOqKlAZLlZrfUUhuHlv7D9+ZBurfMgvnzCZUL oonclo+lJdmb+4M06uxHjbL4azStD0OnXP7/f+AscSfF6jM+mjO0VjKFfTKVZJAOAsEkHnE5gwyq IHSEoMapBkML07I4u9A6EVZKT18LA3ZNZ4cOFPFSpXqT/45iDbcY92st8uG8iErHzn/XKXssGV8c pZivv/9473ZITAPotaKfETSTy4lsbgI6flq3a2Lst8GLWp4JbrCqP3e23SMJAxtvOC3cnW3ZW8Xr u9fGacvglGMJSa8QTUGVM3kchVQzzND2SF5UDNDC5oUikPLIL5D678NVX2YrEKXcXT68k5n+15KU XfN/EFiSPM1w62/i4PJD0te8H3JgLT1ieKEtj8lLzd5eCde/VJKTU8WvhLWIKVK6ZFl24zXUau+M muNSLa7o8ta9MD2tlCm9JcC8CRnGf5jdVMPFSxlO1NSjmlcggYuXxdI3UwQtQwbf8gsj3K5ZLrSQ qRwmwOM2IXFhpmKzPRLqwjzVuaJanl9047UdpUNb/xKSzW9chLId2nakri9KeQtN3evzuKAtLO8G WINatnak5avLhSO3i1VoK6hrYjPHDKmoJgnvvmHPt1lWaF87DzuFFVZJRXx23Rpb+MJz5k3OSimt Qwq6qk1bzDNh65WErgeN0TKuuGb1xU4+xrHPrdoqrX3Wd/ZCVlLXwXU2zJiYut7bK5qUlzgJJVBP L/uO4fPtMK7TkBOypHeics5WNqKbMjdk9i7q879HO7ybuRKJiNf+K8OcY37NZXD7AkxYkkM8oqwh z98x62wPmV+O6GqVKNTJJkxucX3XeRRoEEOBtYEPHOGm2C0NDR+1s7hMbe5akItkvAKmhl4F/vYc eXzRE284GfIK72XYq0/8GOD2HmLdrPbHhYeGhgNQAcPAgFOZzA/wPWLGnpXH5usZmkKd381zSX5V IDdaxrDsJriSHn9VoM0RW6h4ZOId8EOr4fqQ8sy46DJ1BwahGnCcL/G0Wzi/fOJWezjBo+1Ka5FW DUQYh5ucROQlglEe2sgtXoQfYFjnAhX8ITza5YBkbwI8D/tkLuU4SPRgciOEAdevanwQ9vKH93Do GVHbC4b3/9Ag9wzstKlnWo/hUEuWt+vFC5+BeR65swclw9HVJG0AEHFHv78Xf8R2BjHL3X+2HGxd 3yLpIzUc6YPiozNMQ3SmP2PYrUTiybvZIrF2h2lVbiYTkPIxuzSGKPYGKoSDrBuzHNNZHHDWc0eH lPp29NKysSvTfDD3V+XXyZ2Lnmi5m1J73FlAUQicAdhDSdqy2F15uruSvACGracz5q7aCKzsra8M 5uGMtmk90jt45SQP5bzarnZdLwvpPBhzqiuvnj4y1qEdgMzBVq8SgF68LX//P5559DKVx6bep3K+ cHwijXxMbsY5MR1peZAhIW2wYdp5lWRs50pbtApFepxJsrmVwyqFJi3/4JnUL0T2/2tZw1HcLseX M76MkvTwe0l23ur1x0hXNbLg32Ou+ZsEWDE1x6Us9rZhAeJ62Xo0HM+KPqVZJDL/1JPqEDWt7JeN WNkSE8FGbYwT0sPKij6HlrruSDUSHfblIjKiJAYaoCM3qJUuaSAvWXAXPV7htx4+gTuVJGK3+Gl7 DmJ7tTWA4ieFMB/eX1Jx5TCoJizFSMGUi/mcH6LA3zXtfAmuKz9TuGz9ACuyZBZM9wcUuAqBhW0F TR4adhEjxhg6khNhXP6BOz4laoiw4/z5vrRgUcmngGDLEvtPTKz4rxsCaAyqYorDcwh9uovBM0// iD1ttikq5cGO/DX5zZA/3ZXI/pGkbUDh7pHwVW4gjDMk82vJ73URHDLprGQWq8oCXtZVUu5QhHTq cN2gPKeUM71XyxacQ+rJ6/9MyIuKcwnbKH2wTwD0u6PWUDur0dedexyWusQ1ITuHlupTEfwBe7p+ SaGHkzdj8IyzWrCvnyUMeCx3wRgRKYxzU9//R1caDgv/gTimOUQCFSkH6BPhgO9yGn5qGecXmepO QLWQv5ixX0VIBNSDU3Rc8xmQ2oIjdN6vFG2Jpv9wbadO9pvSIXYUxfAAiuDk96erhEQ5phmqEE+G fAxHMZhH2IdGfAJ2/iRPcxusCLlJfmP1UiI2CgkKRv/b6x1SLOCkOQHDocNSpoA6UHQ2+WzKMREK EGSU3CFQ4FuLEKDveQdEczmA+1E26pG4SPoTaudvxwYn67vTNa5mP5pxa2WNJJ8O1PX8rajaqqDR nBP6lufxPxnLs1Z71uxMCEVEQeJEd/1cokItJgLsqC1vZHt9mRol69sk00Y6ZTVL27jtKWmhPT5H acn0muB67HfEc/g8mcKOZLG1vQKaGDm/tvd6PBKe6UMkKFoNADoyzC2lyLJIxcpQX1iyurmd5Bl0 v1ladhZCLfOBADdsIUGET7nin1ULZpcr4RiwfkKaWrp4mbf1i4KwBeyx2crc7ZSOenPuB+EWael1 WzHi8q2OYKK4zu6wXNOgkdBd88D06ypSQRUpZ4eMXBYrzKXrdrfNqodiGqJ6fOSeUpm2H1UfidEv 5LMgLTIVS/LQ0pAXjf4yXjyOySBuxOdRpdTvKHzU9LdXwMk3C+DtWQROlpdfebCNt2kAQCY/412E ghMxU9sfhtbO9gJWxZoQgBr6GISm8ILAAmmEfwh0+YLHcAEZfEUbCeQMsFGGvxLJ+IWcQWPDWIYF 6v97wP6sNxx6k1xTvWofjLYK6VBNZyRDf17uJTn3DYns4dGCBFaCF/Fe/6JbjU8xOFUmmxAqsUjX akZ6Tgj05x6qOv6xASNsVbcSAqa5BghTho/pzVI4TYMZ1CN6nj9F5jUgtCha9EgjDqzQe+0VsLUb 5aNhF4pF1VMRli0B9kIL3dN4ChH08kmxC3HnNn7UIQ6/GI6c2DxaHIxXdzpbsEOIiz1kFH1huoqj ZxfmZp84zwddSgDBz0/87AXRSZr6J1darsXRpEvN42IB5m1wajjStwvdy+SiqRDrB3iM9uqZfs3I ZyF+i7Sb9KHOozf99xzCYQk6AkKiRPpZStOQGFr//JHphWb5Ky9uqnv9Acoz6p7AL9mf91Q8HKPH wBOpx6fBwkgMHm/0yZQA65bpPASlnz5RQpqZpWTWLdEwNWokOxBeJcJSLXF5nS2JCMBSwRKjTxj/ wt2pd7Z2605sa4DW4kpTLkscnBQpNbbVXjCvJVN5KTkwuXBbVQj9FEYVqA/HetFED0qE5WU6txTH r0BnOZgWjxKerAKT8kQN0mpAHCUe10KDSkO8vOdHSz+9YaoAPFy4ArHLRy/SSNsJL2R1g6alTBgu LstTeByZdQ7Sn57vmdzxVL87LZpLqoANf08yj5FXS+lqkJ5GGowq/dE8k2xN4NLt7w9rMcVFBtKN 9P7hRYNEappQgZSD8l9/WzAXlXQ+vTbiDS2lIF0GNmY9CWzwQZgTOCpiBz4WPTvtQd5NVoOiHPKl 3IXHGzxq9n5YZiKpf0iwj1i5xUEWgV8ZK7N0hzhTQUr68a7BWRjGSjRRB5zXfrud9PVZH/v/u4xQ DXpIZ9zJuwlpmsR6V6e3+GQlwaWT8QaRK2QR3RhTr6RT9hoVsOeKctwPioG71uYqSSg3+GhRgfI9 6g7mi7OWnYo1dT0QQyvyUb0A3bwuHqKbFaB8z6n2DPHPEQFy2K2fINlCEaSEZKqNkSQEST7Gctuu 1vM7wIDYeGfE3CAjj0VLzlVR6FshHNsxn8vkyCPYalRqPIkYZkg/SSJqwduqlNHKi2nBlpYTYXeA 47qu5m2fMM3byEtGagfdc0yYi87Vty50Xzz0+kg8dE+ZRe87xyE+Pb1VFNFw799hfEnGsLsmQG3g Df3f3JxJauHJDh0Fx+nywaEzUXzFZNW7D0dn9axTs0nkP/e60eFmfAR1h9qp8AsM+B/L54wBVo7F RmP0v23hT9M1JROfnaJ/BvbvhizGiC3c7tjDyPhy5+5ModJH1A7zEWexKbTGXGqf4FG/GjxxV48F mnMcdpOZI+KGfLLssM3XjAJyHeikQ44v3Zhdb1qwRW+vdscJgF6exrfdFTYjXCzrGvVu4V3RjMd/ ubonFsmKq22Qp3o02PwfXbLMTCl+qVJuvS8c1S86dke+wz45maPci4IEXORuzbEpngz5pl8TDsCC cZCBZKwzcNSzQ2SnU9ImETxAMPyyVAMLXW+nF4Q5g+n06vJ/SJs49TMkExlmSGiVu8+cpeycYbkI I5xNaAZBp+Nn3cL6XrqEHYAAuC4Yu+48e41mzOlB/ukom9z8C3AW5lQE/ysIBReD3aYC2E73KyN8 AFLeq9dOGhk4cEUNN/WhgbgSl6G3aH8TSbBgV3bPnBUaLQsolXxTfbPhABQBbtIaOHElwCGXq2e/ bLq4I+cXPnmRC2d+gxqTdwiATREsmfhrEI32EB7sszZtPwOkfo0PMSXZmHftLCztYp9MQlv9TXOk 2opfy5D/FdGYaOzJymFQFtk11mTT/4XfAnQWZ5Q5k7XxIrocJcLES7Fcn+2Wt9cpSlfKHYHopG1C sPXuhz/B5GdPWNpLDYA7uOtVZx+v19rKLBBZV2SA+AAy5/jUSvwcqU9gotlQ5tR3NIcAGD0PNSRx WxZhwRHNOStGIQBa8HCRt2zOyNviWPtgGdhqFWjsq1r16457dhuVXHMCZuala9d+gO5d+t49sQJ3 Dp4+NLuj9U7DjzmoNAklyk4zXfJwbVPtLcUptsD063C+1OmNQmYSRm8h96wSSpVKSG/PIArhBD8R zTiEfPYyFDtO7ApmJ3bYsv0tTV6o0jkxPfBIvRtDwzNfGO7s8OIP5XNeYBLTYZyzZp4o99+MsXiy 6OjV8UHhDkrtiJ2iqw24ZObPpZ4xoqbMwaDm7URc3FxcdcI25iD6dSgWylPEBND4MzKXvp1WWSj7 RQhAF0JXu0tXNirE4/8zqYxsEH+t/E40jbVfP4QS1Tf6vxQAFIRs84hMLop1yxoLc4Ck9qa3L+AD 57AS6II0kzuw9OfgV/QedvOiUF7nyxqkiiaCwfaijAeWF4YmNIfUWPUcUwm5JRbXOBsqQO3AujES W39E5tL/ObBo0dwQ95VQLNiwmubSJuvY1/ekYV54vux1wiBRvkxX0rFmYYo/QnJOe6UIuqTxseyW 6/48xrBmcJQGeCtKZw8UZeObKtWDGzWyKs5Nips2NdzPu8DpfUzhJpHMqkFkbOTiVl1QvP6/PhGd lAmON5+RRWxLn8eeyG9ObYro9w6WBekNhONmJgJHDsHk/W5GSgn6iY9K0lEyWfcjcsUM1xCCMxAv i5RWJ3FX37WLN0wYz3UeV4VZazJoqtyVN1LFajDESwPnq03F6TYsRtLrRp8h29ErS5Tdk75yezQ2 DC6+Acw2mpBPIJKZAEdxxVs5ZIt8dQTGCEVqV69oQ/wLwFd06n/sWf/kteXOneDU17t8KSMRWQGS BQyZR5IiFrYJhIOElctEYWCl9xHnU6R+ddPP0Q5o2Cv3Mucw+8NvJzmDlZAnuGFi1hfKEFJDi0D6 oGpJOgmoNSQynm3Yz3elIzwMmiNVGTIY3SZDPMi5MG9YO7q7+kdOVcBWGT/2SlEFad34Sfv/yz74 ppH5iFLbZjO+TjpkpsdkNTZeLyZFvPpYnEuNnbnHoJaPygfSC76LygXVcJgIjVa6CzjbOSMp7g7h OWNb6ixZJF9UK7+eiuAC0R50nolJx2t1Thl1U3VcFL9aKcA1wPc7SaoWIICNQplPz5Gbx1kcHNti ZmyNqEgGj2LkncxVvRvMOEyew7dTph62iZ6FRa2dDlvzmtw5y0kFKRmAOwKNNXAb76+3Hd0Z9CLX oq4gd/bO9+NhI8b782pKoR+nzmLSMO40+UTRhz6KWDSPjb27WZHcZVcZxoDxi7stBJf8/AipdunU XY8OgE6Cmk8RRohC8sgFoy+aDSL7PGNuGkzcOeYohYLib6tq3tkUsRwJ/1ruMbV1de42vr0vYahV +MTJGjbw/7XtadZnBWAfJ9xPUfDsnny2LA6jAcbl0t8gZMo1hsa1wovBjSwxUsj0Td1DAYxh8nFU HZ2kiolgcN/vfDNypAf/PCKVcdetdskYEYOxl8zk2ZlXMsql2y4qjjzwC2FkiBPks0N8WDg++wdI jSn9ZmEtujciFxwbDhbF9aEniJJhHURaKbnaas9JSVuD0RiloyaaRxAR59gPaIfdWFmxw7W0ccgr xJYM6ECo4nuZT5KPMR9LCiaRB8A5fWoiJWtlHXWRvis5Sx1IO4qXq57bvKQMT78geZaFxBy1bx+2 ZSZ3xiGy2ctWU3NWer1ToAZ4ldajPlq/2mfUMN0MClHek+HM+9qb1QregaBDEnP6fINr0Ggm9zWi y6cxFBqEGjYlAM5gJ58TmnncIVKkv+2Jp2vgDgXoaJAvS2v5m6PcRDpvm8wlW6fDkmD/v67Jqmu0 bHUoJhE+Fz4JYUQlPcUl/Gj3C6enQRnEkMUCHa4vm5oqjaHYHdiO6pzn/EYmy9AO4LzyrGX6ob9e HiTA04+3dCFRqWLOogd9llOzOCng+mVic7FLhVX1xjOP0mjVsBPdbiisHEKSyPGLVqHMi1uqUBRc cA76kmHrD1pSdqZePci6tqSKahJwehQAMq9QC7mJWC+gS2F/r2LeXz+JnGHvLzGR/scuc7lU3Nvd cQn8raOmgbZfUZUYJMxEAjWA9fDlJdjz8ubxsmMw71t7lzFjmWjQ/c1c7RPnN+1z2j9gs0NNFibP BZpRBd9nlhT8vSpcuiFis8nBuf1fxYaE5j1rh11ZbopWSVJWpUA1+XjmmZMHQdQHEoJetEZA5QB9 WfSbHrogJYiFqOoWYj0xoRjUOFjcBCiu6WYhGVYA/dK7w7TmG0ynURM7ytOV2x1l3FsIHGTd6j8/ R4k2gw03d0AJgUEOPJkrouVxKPPpkmkwCOGZuF/DyLVae0XQw19WByrh6/0UnuqXyMGs6HFSxs11 B4awvjZwmMPaWih0NYfctXx3jPFJz8DiJbmbB0OYwT8OwudTz/HQg/AZZXyRIxZtAJIeZMvBKPNF XdDLpTFrmB6Feis67HXBBCEH9IgM1HrTbEsRsrg2lYD4O/MYvf0agjMzQLbiMHS5k95/4pbra6ib vILmoTuKysp8J0wF59ZXJLWrwCAGT+SYSPALhV9khN1o33YD+3C5wS3ZxvcW09NujPUlX5nO5C/l LkXARfrS+AnERm+mo4cq5VwDzrvxlWA36YkfatZbdtffO+D+NmjP4VMAdo7v1U2lOlUGLT7kFt7Z Xx68EOZQ9De35V2kItZSfq7/AfjwL67XyiPkQXOypd7w5qg4YkjTfuDLbmG7ukN2vHgK1ulN/3jU piK0bew7/6QZY7JIYkcOvad5QPUnghVElu2WNXNcHjn8p++6H1cL1e1cxltmnfml/+DBN83AXlYj 71JLe4FIgi0nml/LG2hSprKBjPOVPwcXNIs+qLwyGDobxKk0Qi+706y3PeJxcBHlK8e3S4JvIoRA 8YQApUTZFSJ+EFC1em/4fQrX/QUHWNqsfKTMepXaJzXF2lskCmlRErb8f+lhNnuKiJyvRsGxteBE MmExoYtuJOvEMRCtid5x12wDDpR73htFjzF25O8sPHVUwjgj71Kqsd7tOM09MWyp/YGZa1Tbdcnn fb/glE1gMghCGwp2RONBW/1K3FEfi73qKDmcf3Nh6ko8xZ0J0EN0CAvj0kBYj5QnfzbX3I4rWTec RQ7MjYP35UZFrsEaFg9CS6bzWGfwu8dXb2TtXmlQ+7UL05iyUpqQzVwzQBXzgprYTMx+gjly9Rfb VxIgqG5aZ+KkEMzgyMvV6+vd8+CgtdjcNQmhRtkNUhofDEyPET9AWBVVUIzxSRYscr5c8MfuA1QM 7K3F79PxiVTIiuaLA/9usMfi+LD84IC9NP4KTugIwetG5g6Q4emO6fM+apIqasrY4RoAY2oBcGpS EUqW+0CCy8wmIHV0sW7moOHz64zhF5RwiguOAo9SW0e0D+C635icd/cncbffEaWt3uC5A0h7PI4x JCruYyGhK4WTIDioN3oNxMcBqkwHKVBPoa1ESMpuSTqUcJS1sYwMvXR/Wg5CzZgnzPC1y6xTVy7i t3/w2VAT2g5Nz4k2Sg8hhmLwwzmeQF9e85NGQ+ahcfebGlJUbvLgrZUGBbBJMGlU5m4j+xo3KA5n nREmSYzF/sRdKpq+e+MIzSEfpDxzRcPT/CtbCJt5hKYQ6d7eb2I1WwBne1HUGvawfWR9eMnHQ5AU PB5Q4L5MeDOyYewXFCC2w0ncEr0Unxmv3Ki2BIu7v7tGNnQbCQMga+K5ZMEu98DJhZxRNFFcedkx rNelS6bp7cj1rNZXmqNQ+u571Vce/Mn7Y3xbKwPBOaR6zeayC7lbXeXhJqH3tajqgmwefpdRMVS+ 9gpM+1Syf/ZTdEIKA/ghu93voZy2sqivwNGA7f8OaNPiWstpugk0bYd6lynrXtAHK2J3vs62cIK/ s7TnTSrh+XoKxOZpG3aPS1FY/kO1jrH44H3djimH93SM0nYRcn5AQfib5KpA6JKHM0H/rvuu7s+I T6KrXi/mw7Qu+ld+gRK5Rv3aNomrPXBoN2oozkt6eECuvept0T6zfqQYg0ayhyBlvhYWcIJhQT3l PD/zaohyDMb25ZO+avn3K0DSE77AKMl+hNllo8ZShtGQiZIM0E7tKirJkdWS8mWem8pctQVrMgL2 AcJ430ogk+zWM5aw4C4lFw4+zUKWYsUHK5mVQKbMF0TqESvaMlV0p9LZ0N8y1rYXSHiY5PUJFJnu muY8KEd1XVq3E5YtJoj0q0NcWLUx+V2yIRnm1XkhhqOOB28oBesIlmxDUZygRs9lCRAFkiojK8wl abSpHqnP/wgWY5MiSMk65xKQcdjLYbEqM/GexoZecw2jL2AFwBLkqjy3z2a6VRxrI26fJ5phlmnX +XVZpY//k8X7R8ASEzixKU/3AT4cUaWI/EPBU76lknOJdoeu1JeppTP36GzEkOFp7Wgnt7wILOnR qxiZRVZOlKiIDbjo/kULPLbHspbH7mYJ5UyFBYGV2zPezVHhsUtxQBd624X2SY61RchYhFYKZVlj 7M3Ps5CCuIF75nyDBXPxpYWreArs7MWXadO+7WM2r/GtLyRx5zj72+N4f1vgYgAekaHFd3FmvFZg C3NgPzpPikuH5f6qsslmYTyHgJy4uhHawGOAWZc9orm1KJ/wmWTvg6lmDls9hYfhgxMUH1XVUut3 m5FuvrjD/b5dlIJq0Zgs6KLNE4/UptLBS8wxfr2k9g0gx5mJPD0dD625Qj9VxFSM7C82mZ9AdAv5 G69JnvjoC1jYVWqMe3JhfB3qmofBPcexSLi5X3fb+kpdCu9/fh3J7KQmFDNR3QfFi+F8JyKNEV8z yyqPadzZzrycCQNFNgaWeZpJPkArwgNJeq9LmcCl/IiIK02CcI0lcgUlGfBIEX9OB2KTjOTAoAnX 72hKdMG3cDHNiR7teeLpl76sJxmt/yuhlJUVQYO0Qq8gIePo4DqQWr2zOpIrAgrTeXczV6V0h/P/ 8jCdUvYcOlUcM1nILLS8tSRIaDMG3EfVq8ABUDDR8AE4GiZxqDW2RXkHtl3jtohG5QZkQPfRdwPW 3I06mxvLjQHa71DNXiL//Yh40zetZIMbE1sNMyKTGNWJhZ1e9R8mVcfNlMxeM98RUM0DIg4oQh5t r3LuBrHphR/fvqNeJ0qcKB3icIjvMP+VbfG59xooJXtHs2hQGhHpStOj8Nx0BhmitV4Il9tAp71D FrsiCaKXBp7E5N675ePcZ62V0hN5Xd7mQMEv1qiLsGp4kzklX6gLp6rZm4f+Pim4MQ9Kzxa0Ls3P rCnKqdYinJ1QK+RDRLD4beY0fygCYKkeKYCGxFb79PQ1/5WdY5/gqgOpjhNoIzQXCIF3i/OObTGA 28efRDCQutl2GBds1C/0C/6wJ2OxZemVjwq3SsGnqcknS6iay5rF+7itl7zVC6vfiTfj2aXhrAny +GpmztRjLf5AqLUMUe6k4y1VO/E9zSO0cH/TdmtXwPlfDN1rwYpu/5sghwzEynERuCIU7p0EEAmJ /NkWK11J2VGVMJemrmQMD6rhAvkMCC4iT4voWMjlR9Dou5JLzCIrEpTOojQS3EGc9uc+9XovOPDl 8a9ii+2TtfsJJS8rN7MlRy8UNZ8u5vl/8DGT0wyww2NmSOqCRj1okV0Y3UZ8TumKmpSZwFooIevX Sj6/HHkwICExQCN5V80vNzLYwMA+00LUfC8eFbQDBlWfUnYOz0WVggvpQeM7MMIlKqqXmMU5catM m9tYqc3i4KsD/doEuhZAsT3UO63czTCyKgZGY+YyFWfHRpL80xu6fqItKCHVeLEJcfKuvl2yRlGG 3cjoh+rwWVX1eF1mO1BLfWWx+uzUazDrnYhViXqm31Hajq12Q1xRqWLPcuDw8Prx2aBqwoXBvuGt gSpNIgag0Kbpsdx/axTTR3gswiHZhzM2yp9QbMegdEp04cItQ0FYh+rBxEXyNsUVCLCiswvhovIh /vE4hb77wOUpdqh9f2SuFtOPm0SBZojmsqe0oW6uhMzEAdFpV4/y4NFRBtx1InA8mbJlYIYQ8SJr gWZEDYlfax8Q7umjWSWOWoF/2cPYOwRR7lRwK/1HKIjrN2AoGRrVVPs1Pc45KK4NgRZo2BJPXRho 6l1BSibP/OqHPg0fcXX3LDy0fYvp062JUIBmKY8t8Fjor4HRG53JQIWX6RgEd11ttYgM/vD/EDsO msEiG4DcFoNRxuEvnIA/GuAug1De3FsK0VV+C/J7dMSuiyc6oBEMx9gIlJmn4jJSwmOxpPwf8Xec wWZXeSfCxmcvpKVlRIm9DBr0covZIIbViy/N+/SHuFYJFICf5NcEOG6iEgSFPo/5uVUZd8sltxct JxatmGlno5nl46MHXKvlDdpKZwADizUB46+SSjIFC4OJI60sUGpzRv4mb3JUrArR9Imm2S1mrMJE E8qMwPwUTQM0l1I+ZjQGC7+PEvdCpCbUqh1+efQ8Yza4/EOk7qDHwxduA2J4rhQLn32dr5QeGd+S BadFhTEbctnI6i3NGIHQPtVo4vM7XxLKGYJISGwkaiZJtk1dhkeAsdyYwa31sAy/IxFZkR9p9U48 60VAr8VTzj9IjWXCcYHctJKnp6g2hxrh1jz6/lY5Ea9F+Y7N8J7GSrvRUU5vsVZpvTZgYAaWEonK N3gaYFWXTZwIrsci2Hw7L8kHRTE+865ZrvNloK1zDaiQlsMiQnAvnmTL3GHEGN6zZFrh54vqPvAr vINQgX7X6/NI+lhObLtA6FLv1ZETdPhS8lri/o6lvMosEE9RGld9yiSvmB0/v3owIb+1FZ74Xke5 Clf77wYuz+XqyImbV4sBpFPb+Te7haF+WhXCWdTzU+pylW/r1tndzsW/JC9H69klNvXT/HmSxWe9 eEjHfIsU/8/2UzIrp112+1rvLmPrTvGvnyrSlxx3jxvDBHnHPjy5GQzWERWmgrCrUHXGqbPWMcOQ yIGpWxXh8z3Z+IVOVpZ6G5siieJVgcOkxwMC0OloiTIEVsbxjjLY+BlCkOik1wGexdwN1uS0yURC rrn9AmN5+ncjUuA1r6pRMxfyomIPXfQ9r+uGusQbOB6romyibszFFhuPJFz35JterULZDjDUu6MW caAqsQLKJCxvxXmyVW0ADb1lrWVOUmHzQCe4EQNDDDhztE4qemvoXBrw6VKmbFCWItZN6G9wPVTu PeDnB6ge6k0aJoHbA0nvwv3L0dt6nCLVrIb//JxgSHQ+yCuwR8Ang2kIYVcBGSDwEADMhg9+JdeM Zi80eP7Laa8YW9B/avHJZWC2eMHsyzbRsuIkkCCoH3//CENcaPU+KCKN8TALMUSYm2v/qLN/lWpm B5mpydoxIiS57wWMF6/U/8wsed2rqTHRdstrjH1dKCKM1Pnk57ZYyUdcqHFmtMi3eLQu5Iykz1+p BLLe8ooreHHF4w74DxsUQ8+mXbhmYvvWO0Srv/euPjZQig0cbxD0lgmgfl23HBuRutsLIvRJiXre bz5FkmpiIImecR24i46vN+CGOwOX8EiPsasr7nsahKRE5muT991LGfQpXb8Tr8ZOu4ctX1jAbmY+ YES3N0ZgnY/eBz2UIWVF9yj/1Ozl8PY5IO/H3PUyYpRfMcd03Z3Dn5C6M/EfK+U8/riHgd+51rYx jx+377ZtWGMCNBuzY5t79r3cQi2E8IZur1xDA2r2Y7X+bHMZsStBpHo1Q5ukgxTRQIMmy2FWOvhz lVuyt1d0EDT8K2jeLzKzVzoLA7M6YyFSKR6nNRRhakNobqFFmDy3mphghq80P2P2AoskwRyYuXn2 h6B+e4RrKX1sx06hiIcDWp7EgjWK3cCw8sqRKzBl+i6/nII3sfkUfhRyrixSRM8rU7NKTRgzYgrS ucYjocScsOil/nt6NpwtoarxakPT1lPihI2zqUw4AUjW6rby+qJDFW89/un3ojFLRzYHH8/0GL7d FGyWYKJPIx/x6onbtgrlCclapoLXC/sxqNY5mOyymWsdKdu7R8pps9Kj1ZAz1YO8kBOl3pVQlzrR FJAIcAgPDuqiiXhFh2hW7HkDNcT+W28u1kJJwU5Fx5rxpI1b16BYfeKOvbEpWKHtaUB8B0xxtZaA OU/EGc9p8yH4XxF1Ayp02Fi23At4uSdSjvpa689ohkE3+zGeJhOizPJg57/o7dty1XJLNzTxgaN/ ZHG1QSNo5RCaYcM0smM/AM5B1HdnYw6nVKWDixIQZm3DsoZeEPGjYk+o8TYHNBxY4Obv3UN6qk2F 5lTjahuxRGvgRxK8UpeukSZso6ZjHfz+kOVaF/nVktFrYU/hCX1G6ey2wDAT0EugX1NZEssXVkjK lZhIYPo6HBJdGIVxZJSZ+ass+DwaFQFWEgMyw636ZPZqRfon8PwOcE4rIlClgHlVCI87jJSmg6LL KqjVlY5DBdebb3EMlHX8V7bPVqxYKVRkbDeI7JzURIeJV4N9i9gKkbD0HkUIF2fdfd9VdcQVu7Sd R9YNvAQ/FPq4Vwd/Xi624mfLU5gfm+c5+eZ1EJ47yQDA7pqxNeXnjgWbshiCbzLjd052oPd6O2zS IjpA++QI12GXdhqqmpTTwiH5whT3ZVi1ZgxEHiihtQgNOXuH0zvWZJp5DyAP5hUKXOkxSHMG+BrW 2T8OauK7kqo2cn6lFYy0p+ZPAaarWoxdgCs/HqbKFBpL7HtFdS4vLsMCY6yFNfOjhxg+fDgcj7Xk N7YZEhu9aGiaTeqMWNAwuJF4pCuYR8T1hueYM23oC/vZTw3gveChivhT8VPmBeIcWIvdB9Nw+pY5 AReKnWHD+dVMT9DNkG/i60z5nFhZjQYCniHodT8u02UtYh7/5KTeyqP4gN8W8lYIwvrcnn7qlkZq gEBZ+DJ6gM7IXKTvYmZqBYxhGhN4al0E/1BHRxOjq3k8YLVeqfCutj5vSA0WZYmKwrcHNa3miDM6 3z29XX6xbxEGmpik9zwNw9nA8R9AIijY//b1x+a0LlY/2Z/bpaMlCcOPm+S2aAMyS0Bum4rrliDl t8JjhQzqGMNsoUdpJRi6SJXtxAZrahob5N11Rrqi7rVYlqd4r412yqss3+YqOaKCX2IkgS7+Wxqv lG+HcvdoMZx5W9gx71NqLwVeUipBCD0p56RTo2JH0TBvwJt4zKrb1PJKLym+67luBRX8TqIBgDz9 2+DZByxka5zfdrOtDVYfrfctvB0hfQVEljDVl5bT5+p/A99XfXRbfuk9ginra4yFWWkM1mJ3FHYO YE75JJ/KvCjq2sCyrxR22osP25A80oIyckibrJUWTCD0nwGsymCOJZ5EOcKuw+1i57QC9T78D6i2 P1j/637dS0qkOYDQtC21wACPMnCHpBk9BEsIEFoPuOyJIDAefcy6lp4r42xtEXWja2AWlLZLRcBn mbO+ogHqS2kXv6DedOclSYG9SEKjYxK0GBg5ZOsCWhEpW9757qtsmdjPog0sLrepTZiMMFtdnmvf PpihpQmdpJ3ilB/K4YJ/4MXD+j58NdGshwwyBdJs2d3W92maF4eQc68G/FYiSrohUXqz05hl2LUU 0evgun4xWvljIcVRrj1x0IAmKbnF5v2E4Xwdt2a2SO3iMB5cPWzE1WuaXJy3p4gs2HUbQejnS2oZ 9gX98Mo13TdRcgH6dj+K/FHVhZ9hpdK9uE9lTxXhqjvFYENsOWbC2QDyGVVt8ErEn+QUAPyzgpSZ u2agapweZpVoqJcfGE2fT5ErSCwBgjEWl/WtFIr1mt/0cbx6P8m+ejmRbCk5aYj0wsUG5ZDyUS5p 7cGzz8B2pm9MrhX0fJ9qFAFRa6U9MXsqTtwcLdHAfB9MKgw1YAvTmIBi+nY6W5sxyJprCdeK2IXy FgvlnlekGDbonV8gMQf8EbaNFcZt4BXekXzD0RNfaEgoFJQ1qnGl+pcDAXFkRp8MM0YzR9Ul7m2A oY5H9p86Pxx4R6WH1UTp2QrTj9/rXgHGWHe6vdwL644cFPXMIPDKSaDWQ2BmTSYtqJl2XytR0kyc c2oSbheW7LzOi63ENJ6CPwSszTlW96+gGe6kLKdWkOGumvvPpD9hk1tsaS4XtdfvcSkah9/llaiP ES9LTfCEyp01bvW8wOnWgHEyxzkqeVeboZllUmWGMCZ/H40xvQNXwAMwGtSGpvhht++nwG3LG3U1 JVnzUNIwwNXs+NgJTtogv645D4Yww4+StpFwZGcdUNuoVw2qoJjkIKkdpMUm2qsllbriw+O+tmYO iZSoxMjiZ2are3xiClarM3dUbcvUlRn0nIywK1zR3ikdLAgRLZke3gmeVY75HsUp0bw4R4tcSYvQ jWVof+k0u60huMG2E/Lhmqin4fDHmeOdN9uxGXd2wHk/dxE0WvHxC9R5/p5hszeUjK6rUM5d/Za1 JR1hXI7GULsPyLJ3cYOC5w5JyalrSwcccZ+qlwtVtFKme6TWCoMTo14fnP5sfVWnN7+AIwYNL8iT i1A1Mr0Y6u6lIJ1QlcfeLspTCHYhRP2r2sTKjHCG1oGk3j0pO4zWy0bLXFJWIOL7ltC1E2hC8zM6 fHxpJq89FN9HNJwKNbsPtP+IHRnTzfDCNV/hTtkqU+23JlimhiUp+raGsymF5JNUzn1JmqgFJjVD ljgUPlB7JfklgYQy9fCMhb6a8DxqA8xDb/V7IV4aHfe4kur+F2wMb41n8tZLRix6lsppKyMqR2Rl MKsw1vQ3anWI73il/QDC+5RywCyGkZOkJGUzhPXrFhVudCKHjVAue5o8TUM/GX/7sFczk7Z2rlIa FotZUcS+h95M+7pbCklNDMI55fChI0kKmPNURvmHttoyf+VXiBnyy7ksF69SBZQ9QIjyrg8NyBUz 2DkTXhy6zEjSH2QOSJeCosEX2Q3hr4MMAw6LdBp8V0PIg+dssygc6UMZ067gb2q/vm9jAxXy/5PC caFVmafQq7LwwcenSspIbTZP/3H0C4PtdbWjPfptFKYb1ssajIFiVw2BY/vo6w6T0J/fWtgB4Y5r i4PwldPQSdasY08TVy6f++HFUJVDFm0Y05US0wcitm0gAMi8Hie4ZG3/Mocs6TNPq0V31/pRJxMd B3JWOb3Tx5xyrk0V7CgWvuqlOGEjP62k6Xpgwuvbwrc0wEM9QTgYX15/GvQYR/wGxJ5QQ2XWGbER cEaGs6xQvXWRYYMxJcsk3OV85WfZPDU/qhERG709Bub9gkbaKSPn4KV9fyKppXTU08355GATUpzu tXOjJynyPOCVS/Kl0m81ck+Zl8Gdg0Ud1l6H+SGncPu/GUsqAFLJHpyaAHr/73tLDSMXWPHg/oNm DkLIXnQ2rEkD/sjpl5dSF28NYE1m+XDn2gXyzVk38rHiHA46zrbhOhbRtxsNLkC4JVztXOljkFGQ JppjN8MvR8xGhALO7FuC/BS52pNo2fJcvRn105vQeCeBXZa42E0HXjdGeNAEmYkZWEsDNtg/T+7j Ebz5sUtOp+Cgjx2KDlXllMyohYqrXQ4CU9wKUsECH9jX0qQYvuC8DWQ9IGcDa2BHpxi7E4NimWwy +N2FvTcH0wArPJiohd4JqY+TIUC1rOWUqYqXUmbfosOaap+TcjgdfvGFrX8OfdKZ3raA8vkOYPo6 qVLs9C+V88tf36p03Xw16FUTlpbTWvmVedel8qX0GI/C4xYjk6q10zwiDwPOlVaItcSFuNm3sDym idTwMyN00a0cbdg9kDX4WV5y6LpQJSazhh0vqa6EA+3D7Gr1p0xSTpZ3+vXF583KWxZAWaUsjjAA rF6RzOacos9bFm5WPj1ZYsFdPoTlyGvJGcqzC0WoUleiYbdHB5wBcXP1vT1lMRfX/jcjGydhHLPd NuRvVXsxDAOqtL1PdfENRyXhr+0OArJQ5EQ3JcDaR/nAM20/TtTWdyjfyDj7/gj//oNAqVG0//p4 Asa1DMSwwb5wpDs4lq/1acoz8i3HRR05LpZmP2hHiFjXe/JyF19mojRGHIbM++sF0Vte6wMsAv2s +WzhB3xCuA8WPJLDrtAenTMXhW2CaeFUm3h58as8ysphGXRsJJcFxksFTFYqVVTvCrL2D7sT3BBs byS3lvYLDwd12l+7yy+sb4Dq/dtln1rcLDpcvd4eKX+rVD9q1po0RtCDahC0gSdqPCLz4I3WhmfZ 8mqqGWrZqJFI08Dm2vGgA9J6KtVqeajG8e7yKdlCQNQHLRHqTDLk76rGxyBAFukl0n1aBZrTd/hr xk5doga9glFgVho8WnqIzZ3jPFASVrtQoZMW8lB4l3Dhs+2Z7+1ko/ak094OnuI1EtSDKbTbzPr2 g2cTsM8DPU+iyTQ4iXRXfDHMLezmZ9f0yRKl33KkXuCtj/RoA2jChh7po8/LH4e0tr9mQ+yH+Ljv JoT3uapxz2CJjTJ5qQiSyZsSY10qKyY4wZ87jXH/ApHa/vQOPGTntr1ZgOJjW2z5zNlc0ZXeGhoc DCWrsv8z4hTqkWp6DhF2aRUGxAfNokkcxCqZBhJC6DL9cETC6nF/ldPwfERRJFLYBxdDHULM28KC rWlvwJpeG52thrGSgl6hTUqAbexne5/lw30Ov4UIH08pMimbtUXIXLsS0QTNkCA4eVHgky5QVqX7 ukM2RZvR7h5bsZNqsCFpQpm9Tav7ZajLc9S5l1WZgikPfZQHpr2iITGoGeR3KHRimpMJ59HPkaSc QBVePyL2iRuColF1OnetrmwDO1s20TzFdi3XNwZTGQoAaDjPE3HkZyze4FUv0peegu/85fITyCVV o5wpvN/dJk9cgjikwxNot6UxCRR5K+Pcv6WQqWqL49gbbf8AB3WVKfRXi54OgaRC3GxyZH9uncT0 xwPbX+mFm/gulPwjFwBhPOdAoafyHW4KZT/aX5e8FP82c83wsNUbZ3pD8TVGs570hDg+Cdrk0frY O1QCXLMPVcYE2g1MuTR2WDFXsLeymuPVyqh4pfnzsTC6VVu8etx7w0vp6VcIVuZpVFPTJlVHjaL2 G2neAC9BuxqSjg6Dpds91AvW5y6kcAKM6zcEly1tAOtTt6BXzji7UOJVINNQGs7krlB6VozRYSI8 k3hXMV2MzNGJAVPibocjgdoI+wmhL5jGhabasFHLbOWtjLbSm1JLduGs1zIVKiL6d1ClSQlFLHpQ ke2PgnBUZfvaoRhQF2HBhiskLJORcTHxc04UZ8AQcpgG+xZVmpr1E09OeApoVN9GUdICmRqB0vL5 d8VKePFoJQGDKubOO9o7XjR4xXy5haomCWBJKtwzoAuBYb1UnT1RzAkzO9zhaugNjjIRg0wKlTDI XJbrDRc1ZQpLWJ3KpE657drRbu4kisXK7NBTDVQiBL8pNLLF/Zd23cdX8mTBoSdKzL9iDg2a9yjI PTlZ0m/pUPRYadK1/e8gMtZh1dW7I+8+LqMl0ha7J3bDTh6sjeDpuYb2xdmI3FNDdCpKxXGtCE/A sUae1yFXShIQ66omr3XEplLy+VMKgPu/+1SPBG9PNQskJ2HN4cDZtjSOhuJdEqI9kIpAfncM6m/8 YkSxvyf0QNXKNDPZeIjksTifvaVDgC913kaJthg/x31g001OBRdyzVs4V2htCL+YxZT0sOqrV34l qdusM8AY1YmaRabN7fjOSXPVIKNaPCu0IBkzoB6XBzPBTLnAU+W1DacYT/IhABhDFDjTzJQJalYX vL0XedmaeyxExbVhsFm+HdgaG2+9CulsK9ghcL6ljelwoIjZCt5rekB2bUuf7gE9XFWlbc2m2rj/ qhoHy0XO9Lq856n93m2WuUXJ6kzUorWsk3g+zjImpoI9Jx2Zxx5MtJ4fZ58QAMlks5b89FfkASD3 NZkWAe7DzTUTVcW8mpKqHPGCWHLoiCyfeWdpaPg+nXdSYnh20ynzJpP9dgy81mK6k4O0CulBiJ/y UYqo/wPNkB96HrXelhVqRy9tLRgvtLwooqAsHc0MUWlaAyBYUUvyVuI0z9BiMQvlSvsUrIUSazkT u7FyR6TIJg+WKloUmm+7uYwcdugxkX/xmv/GmBrUkmPW6BLxYdcWGFqg2YEd88Nl0FSzaXspznrP f9yQI/vDhbKbTMFBq6W6nNSnmcEKgd7YOMyuaOD9cOCgpJOjk7dEj2xvWISU8HFFYstCLuqPlQ0Y JhvV7kjTkUouFrr7Qnt9NB0qvMUjJFVqWeHs1f3GydsC2f/Hod+F8o5SJZS9Sr/lrM3OAzQozCGQ syLBtTqYHCEDAhevdDkjWTz3/yzkXQUKdHB8XDF0bzIliXo8CoIHIrTWVpyxrR/h9IRMoKlZ1z+G Fwoa5ewzod4asvTOpkugdZLDBNvXjsJ/Y52UBuQXw2lM4FPvqB/k7LGoX2mC72cCXImRYroTEoVm v+9c/JEWcjNYWwgC0gviMVwLkhzlmWq7duD7qKwU0cEj0zkz0+249SH4+TN9XT7e2a8uKHP3hXSW RK7/CqmPVEmJVYUGxtiiWxtuCagYVYsFbO9HqwLHf4B0r8ePdXLJpWjlj6EZGgsxqtjyWLG7d8dP YtpMtviiNj2vfSdSXpS6lbZr76SyvqpgMcNBlb+uRmCPpfMcV+6oKeZFUz2nlefa07rp0Uz8seHB 1csrXaxuTA1/2FV8gVP31JDfeayuxYuR59655g/AKlxxXZU9eTRAdJKSfrtLfRuQq4XHYYOy8mmx YTfrwgUI5mUFDJWPhhfoMcdyRQb3xYrHpVGWLfdz8Y4+0gK+RHklImSoe+5w8hFZXWISi420bQ3p +c++IMD1avrbBZAHMaQKpwKebtjyhgdhmpE7OgicOjny18cP2EOoZXhR6NX0ZxhfZGGsynb6TDs+ eK+OxeZImpheyfTYoN2LOnuAMN6mNqzRtNpOY2U1YWmXPNnIFRrFFFk5GJgNBeCF9gZTAdGBDdXw Aa6VGoy1XylvELJkNy0xC+n93PNoYAVrWlEPBXQifxwOhzRbNnps93fi54+iaGU/+w27KtFFQmBB 19FHXIkUZmQzpA10ruQVvXovmFtCMWr6/86FBOOcMLSbtQH9IzPWnvr0v6tpuQmHzb2uvCyGDf7s COhURtal4VxWNAHP5oGQ5NVcKDxXvnCeWtyc/sO9dqYIgPHMjDPemZw6vRqIlgT91uUUp71Kd/mf bFGludrieUwZ97kvZvqCfNyiKFZoSivp/lH+Kiom1/yffJlf4LiHF4crhoOak6B9yP6yAmhuQXHd x0BxfUeB9xvbp0tD67V08cPo8OjIkop04WsBVFbG33cIGqc9wf0KkCau7W4POgkbAh2ip2kAWh6x iK9OiO2lWquW/NaDTc7aXpGl48MgdNrhcawZNKlOyKmFqq9rvSUQ5NTS22IcWbVUUUGe4RiJmsNW c7CINMg76blPcLTK3fxNurOBCcmCN0f07hOxqlXmz6l72adVLJ8wRKLjRg+Hr97KkMvt79TTkDrL 6FrRb+b7OEVZoC64/HFU3v1prwq1l8/T72kUpxUqA658tv4/CDSZIWQs/FBGjLGxXfYQKxt8GZ/P 6Ipx31i2GXDLJSZAFnaLn0EcTPPrchvwUBq9TW4H2ItaDiSTMTY6vnEzrMhVFCfkZ13htfUqFKFh Rqwnq6UGO68B9mLRUOS3axF6GfiCqYBaFh4PtHitb4ltPhiTkAR4AMf6puwI6oGiggfkixeXavNI OIXEwism1CaJK3rfJ7s2STezSS2LY4/gmQS1xLFE/g1/3Hq2gSMV0wRT1u2LPp2FaDQFSTrk3Jj+ UnxMESSfrOKQ7UDWUb8n/JQvMIa6DLzIasZ8NaTDzHrYOIrfPLAfLAqxSfpOrpBQNMmTiMe4vdCy slGTQKhdlq5h4cHDlipKayxicS5Gs1jhgMbCQ2e+1Y+s/jLICa6NG2b4Qmewk4FQVtRwtfR1GveS niuUzExQrabPGbNHB44s55Qk98yQEhI9TlkU5PeCLELSXnd8FnQ5TslCWQvwIWznbLTpHYgJCTvq p+lS5A7GCiGiifEC7lPr9900wfYJIN6Aa+bzjAC/FZdUepuglPyubdHdQhMC1O4GjUaTMC9CVbqk j7gzb7718Dz9qjlJD0WMyao79g8UzsUedHDvlXcm3elftBG0KIEkEuwiuBmvn9m35n95u8KwUZOK UsdWZAamlgHm85vvFo79B9f4dVPB5mdM7eSnIh2kjH6mx7h9clwQAV/RtlU3rrWByb/ObiigXuRU 9lk3Y592tgznwc6hszP1CscLQkCqjmzjH9gwL/BuzAQE3FM5TZsAWRBa/qrynJPCWIwoBclTRuAl eqmC829a6X4JHqPr4aB0GlK0GHXry+JhrXZid6u3MosZUhA6Eq2y0oQ1jHsl0zFWnipEwl30KlN7 r1usskn3Od3nuBYr1Iad/u4AwktLYrTDQa0rb2SEC2/4Tkr5/JqnVZ6mM4O5/Hoh5HQLlxzdQCQF lj5a+MhKTSR8T46/qNrUzQjcfQf+2dV5QuuK7qt3j9nf+893Yk/5tg+sZOoaBZirbzKm5HyWc8Uk 4vgJo7rtNorO5+0qosI7z7vgbRDuWxPGrnKFsd5YTr1fFi6C/tErMHGZgww+txj0EczOZlyAkdWZ 7cvY0HzoxwVl6mbWUmqq1jTjvZ2Z+KyjNYVHSy9Jwm8uudRUd5rq579259upotcUvmGy8whe+7LU 7hlVCR2V3uyyntsLcVx8I0ISn+mTryHfn4Fdd1d4U1VBxkZ9+FXy8bFnbr6hEe30LeJCyGuoWhnw Q1eSzXH1FPZgj329b/YOAfXEywMdQfIeeTrBHRTRV3CxTzwia4m4pv8Q0SAedNCe5OdEFM4EhEC3 rndmtbWN5DgmCYOksA84WyFkGhBBkD5PLkKnvji1Ue3/GcjP97EKnvtjOeDvyqmsxINa6ekl/BCN aH0/85yj3fQC15KshuaAd9RpPM7rMisABnf0XRvxQ8PBw+skLJpIIiML+BUDz1qEtWCf5/l0ldQU pOU2mrjbxmWa5txhrZZyyRDfgb/w4/VAktzLkswCWB91fHzhrruN8cn8CqEcjzx/A3HYGJrwVGHp eUj+jLi8FOfh3RwQpgtyUUQqhNztn3htjOoveod8UAT3flNsqyo05CS1aPewT5N9EJ2pVLtBJ3gP /3JlDtwzP0EpQWURR2U6+j6IHWslzL9LOve7+bJosOzEUWSuCW5Rro8IflfwvANAhOtB7xNxqt0G OqHlDXsJO+ttLjLx+Z96aTtA+Ds/ig1LR8bWadfmTrHEvxZU5IbiPmiifR7BMx3cgxG13YpJ7pP/ NT0ZaS+pDO+w2gJ58E6EuhVuBO2OyygwcHrCbUl15vbx+bUIdTzhmmmhFFbMNS/0khmMtUA1TS9S RJc8gMy1FsMQymUWKV3MY0cogVDXAKKuV6Qblyk3/Mt6roswzQFYrgo7wEVIa8KssyRd4B5TEHGQ YtMRa+W2eZS2srVdyr9u+2Uq6/S920JPgEDsFUAqmPUSrUPewq4XzTNjurQoC5ms4WbwqVjB/NjL Z81rmdkaczk7un5SPJjLoPkES2KpN6Tl3d2zbCtv+88qRPE7IJjtCWRqr+6xPtLqgVTnx3N1eqCA UHh8RVZmqI9Iz5h8BDCTGH9nakBJazRrArxEBq16BKpLavuT1+E3Q+m4BboN4SC2aS+qCGIXUNHX NWKokdUpnoGRrORjEpaVeCI6qicYEYuoJlv06mzwmxagjEnOYhxnucQZTUHtHEwGJ3Keb5wFHASS 1cs0BHFjGgKkPBIKS/6c35hzke4AT0V9uwLw53quLHR0brObZ8Hv+9f9bxj/t3fdWwJC128/gx86 3SIBNkNYyanZNMmq5tXXeITeeHdD0CL4rZyZB5Ma13GrETOZwx78io+SY4d9rRkPnfyGjqPEnH8y mLtGYz0gZZiaLeCvHV1/UdJXBomyB2qlNExTDR86U0m9b4vWezSub/q1XFxmT/Ucc5JZh91+IwE8 6tFy1F5XOarfB1d/kZk0Nr15DX8PzvkHOQ+uPV3dO8M0csGpD/d5Joq0G1ZmizzW2sCGddpxCaKe 4yGVqYbejiIg6/G62vUvREFtXrgAm38WSWhIsv6hG0y2cPhmzwoqGmmIr+PSPlYI2QCUd3RgWuwp XxX0fFwwX48P+v6extG+Nzo+em45aDm6tDeETd3s+r/4S6uGYbpnwVAVuStvjKcwOJ2RmeoSjKoS QzEutxpngfRXyeJL0AddUsvxsD4E0C9UFWv9pqSqrarg9DvL4i4moQgFAGmhPosOBtP2nWT7sYTL jq7OrWPXW9UO8WQ2kYN9L5OtCvEgkIQRBCIVtruO1pCfy59PISFgG9C4wE4lYlYShOYpHUyApRXD OmcJC7SEW/8olsb0vysBf087skjOJEls7WZz8ITQ2tGbwq2CzYv4aUPQBN6yHz5xQEkP7Xpn4Pk3 jKmGTvgjo9HBMUzjcdEvdZlJyxIaakYjd583e3lsKVjePMFqxSOUp6Kuo7GOUapY+7cdOYhthqtp 3Fgim0Zi+k6dbs4UaSSQssJvtQPNFFRerdkrYrPy+2BJE5YAVFqCKZ5EydrwZB0iVFVVvp6Oovt4 ObJNPB8KATsHl4YsIKO12xcY1wL6O2ux5dFW85dkUORS+uxM0hOoiRVakCLM4SR6hIravHBBEEoX GblQY40OlvEXpvRTULW2hzoa1QJwhOtCOHbuX9OW2te1+T5b0BvU7Tm4k9JsVvVIyGAmz52MSKje D/Em0vjTgPlWyV2Cun8q9kr9hIGJdeFy0O2mbQpEFdalRRLBEuuowgQ3z4ex6dpwnLg/pvImojr7 vUxa3M6hVabGU6ij8d0w/aTxveQoLNng1c4TbkUPbV+Z0C8gKAY09AtEElb/gAeETFOOy+8PgqXb quwJE1IgpKU2r6xMcy+HziEx2+qYycQEt00g0I5GT/y3p4rLL67S3V9zUuM4YbQgbGWqXVJrA1lN vTqEX53E6hNuI4WupU9Wpl5Uu3Ji50t2rVRSNL6MkIIBSnNF0hJ4t+s4SzIk6eRDrOAZc95l3d/C yUVCNVm9f1kYzi2yOxz+kT+KqPJe3vHvKWXV2pjSa+sO/DaFlr/+fkyi2eZwNLevkvGPTWJ3RAn6 sKb+yer9Erkg0s+M/qnwQ0xkHIF2uYydIf8BPcErk5zW0KI2QrXHOgFrIwoxEj5RakSbjwDyYZYd Xy33EKgfk1ALfSOjBEaG88XKtzn41m7AG5NekkquEUdrSku7kD2+gQr0a1IJq9zJ2YXEdZAwE2yq Pb3bgPYoYmsbAbrzaX4mSNRB77HIycJnhP9/zuhuoBybLlYrewNGJwcJue7+QfiWuNBUFPbm35Il Ul0hlp6qfuk+lztBHChxLKVeTEzei5hSl1muas/5sAwaBxlVrfI8IYIWWfDbFtBATwUH0/dODr76 v4RrOgJVdK3WoG2/1riTXAt+K8H+W0QL+E5ubT9xsBz3aj1pHlMSPVGbHwLmcFUYaouxNLf/Creq OmA49z8Vome5zgCweR0zboNkgDAQV+uIYQZEo01asJx2cUmxOjyTCQmpg6yzQps9lVmv/qOd/i2m OJZcFgMRAxGc415vXl1Kz8rkm1mGodghQW/4gA6cdM+/duGT43I9ij+dxccWwehURq+6MaFfZBid OmZDQF4u4lBn6E1COGseAVTjOHnrhFezi6Va+nnmCBu1KQUli01dVa3RdMvVHKrtJ4bn4wsocqYJ C/BHbsb056jc1XwdEwEPz/Rg4auTc9OYq+zk19vMCMzEvdQpIZ7VwSrym/cs6TROdGjP4a1iQoB5 YhzP8B13PNGsWfgX/9juQgRI8mgQMI1vmF0ISxtc6o7tojYLiWouNlkiqOMYuhL7GM48rCaCbaWd DGa/bHGaynrls27z5+R7ZkAt0QZjb+hGsVZadLYLLbykcUiSaSVoNFjhvoTqCmfEeXHQuiqDOcMS XXaEV2DVnkIqUjw210M6RwC6CqZG/RkOQgzW8ooBqJ8NWyKlZa70qtSYF0E1wNRK/3ETMsimhFM9 dFiqNz1vznA5bwlvg7jYD9Ir2uEGqnd3rc6cV6P4uoS7/DW6VS81q9NB/fQ4XyqRdsdWfIItLSYt XMdsS2VKLIvMJqCvtPd2uaQV3RFnzUEh922uQz1wpWaIHCQ0ygxboWYhscs4AOId0+uYRHKnjMvs oawKaXwNPlzaFq2LHWQy6GAyGYJDyMljUMfisx/esek+mGB6O0ebmwYs6w0fZG/BM1MY7mk65tGJ QXkc+HON7sInXDPFIzSengtAVTsCb+XVxKtPTGVTsXYwTo6fr4ZAzurzhCjSsoRLYQ4+XEhejWeq Z5NGudvRkB1uacmxD/C3X7/quqREfAYe6LHC2hsKxu3TM4N/3tOYuK0K7zB3576OCK9bj1j/Tzso ZUBUcCUsqL44lZneSGLfoz9s2jd9rbicEeAeZuwwG8gdtjEadkqdAW0jifWw852LI96AxVpEMfyY cbTAg+V5QbWSzdPbMWheMr828ptiSjEkQsHOwbXKQnT+mVUKk4UZkqN8MiRL2Qf3GtT8YAYMBxz8 2JPwqooarxd1YR+ZroIhRtxp+THweUrku0Aqix4gReFXd0FRI1uU17dztGnu34wOtW6ZkFGozsar 4VFMz+/03GXvCpb/BDXMDvjR+6nXO7YuMCKFKi183idpXQ6+OTFRhwDWFqnuVz8vBXM2ZJkcdROp mSkUKi9fevPRPHd8XcO4QSbIhApjksnx983qcimGNVHZ/YkRwBn4RwKiwa0kPvi6o7cv2juT9UKG 8yqLKjTYfUWcVS2zimUiPgZahuc9OUhagZQhQSV6kcieyjazxKMZjE6Abc5YD5hQU4NnphdJSte7 Dz9CQvc35L7655abnXvjQP7skRMpxUAyMswfcgudHs/Mx5m/tKqv4wqCPcbtf/qEqcLcbya9WIRy NDFpari/kpBqvj8zFrMobTn9fVtl2cHp1fC5/sXehiCKxW4opXY7sEqdY9hkI5usqJcnkysNhdS3 s+TmBqXQ/nNcAo8Wh4kdR6UnUpZRyc+I60m3mVKE4GkaKW13FT4BEfCs+8PYIR403XKFOu6R9V5h 3zhlx4I3leFxAn/6J+ga3QfHrIARI6S7coXECbv2ShmaIME4Khuo4m6u+IYonZQGzfXPsNCR7ntg ONEOZod6HCA1IWB3ift7G3lI5m+sZ93wQnhVdm++hLFA+F8eLfIkVER7+knVWb202R2BBErXraSW X+KDLIuUqFiA1vzmZuQXZech9ErOPMnnKJnsEeboTeXJpxkEshHqVCwmITKCqLDMueCM2pxir8U8 afFzl3mWKzCxNSbVcoO862sxG0kTQTP/76CKPD6idtQ8faWyI/mYeZ0w/X+YEhICHrOX2IR38Qw+ gFe9HCDaMpf54XcAmru6ewQ9cWbumWzA/uqcyz9ArGyFoAIZEZrdaURpLkqwecK5bK0UDtc0ofZm 1U7DV6F+4ljMZJeyTElTQtYJ+4c2J8bOjc6/B3CBPrOPa4E/c/bTx1FC458/IlhxG6tOJPTbjmxb GLWXUg6/xa46JLHpbvbI4iguBnEEipQShiwJOzmmRJ2y3JdI88p0fe8jMeB5/rTyFeeW0seePSss DO1rklL1rpUmekFZyCzEbAMkXTYU7JbGrpg1oSAgqIqZbJOoNEnJhBRt+b9FL4byq9XFvxFawbve Eh0iaUil4WslGegjiR71hh7ZdM+4tDiSE+3EYlHjJ0XfCf+NZUcAyEAk08gAhRVjZgLrGekCE9Bk QQ3i21t9ihy1p3US3JahuSf4K4r94s2whg8jTjkb9PkvDRUigKKOvCics9rBNoB/HgmGsVlxDHgc 40w8mwKJsrn+WNXoxwLTTPOFWdirwz6Vm880KByZ7i0hHVaDtOWvnCgjkWPa4l9F8pKRDswfVUZP VQvoPI+Kp/2+Ttktq66fWhQ3R5KWjoZIykbtkioFTscMp4u0HQ2OV2QShsTZeiyFijIlEISU4iEo NIeLSsUY2w/+e8pVMKdQnYw8TwM3nYYplkqstVK4V0JcZwlqI1NWfyAxNS7qUM1fBGrdziO+x64y XFv71dqcusKMO0S1DX/cpG3CYHwrDx3yTftkx2Z1iTPHxhPN9Kyn1NFX0Sa9b8lY7eepR4oBVIpe Lw3GDA36YFK1o4gq0QgvLU/8EOeJHIgxNoqYwhzAQ9eZzPkoFDp29lb05oB2WagPJ3SHv59w9NcO 24h+xPDJk6WwD2kNCALMDbL7VkY+DYlYHkjQt9MkJVQMibTHbJZ5w5nXY86JNlPBzfjbxZ6guwgd UL7dM4o+qZ6z7WrdBHg+lgu0Cn+XRME7TVpB98p5rM42N+g6ta6Hyy4JkHCbVl4YJg4ELV8AIEt2 TqoTh4R9JjpSydBREpQpkilGk9V1zkOklvN8z/6ou+7TB7R8miUbIULLrCDDP6rTFww68+B+DEGW +rvZNK/ftbzetPC9+mwF/PfcFXOdZMwfEAMUPZUr7bG8QYs0Lq6CSZilOvpKUnQStRpFm3QNk5x+ G0O4oUcgcwoaDkBpWWUYZFuwfXsMscILA8BvlE4blBw+JPMhqij3V5rS5Nte8K/3q9o+UkJWNVM1 y+B9J87SFccuv0ohV7RdaiVMoAxE/QVAU0fXKKgq4f3i+h2h4aAk1x8ZIO/TqX6Fc6EEeQ8iCjcH rG+yFhSnNNu/53RGMj+HzpklfunOcK4mSrkiK6BnZvzMG2z/ezsfRIMoDKshPM5E0Pq1GNJB+kdA Pym3nFAJNPFXI4XWr3puj1zHrhEn4ViEmbnoaz0tCiIWnDskZCIlscrPCsDveDF05AYNkutcIjSU dx6mrea/GsrvfAyDLRHJnfimcxKIGypR/i5IdEbAjUh/jJSM83ngp/cyf22QDszUp/ti1/qaTrKr P/+RYqZboad98/HGTEm14zT4cTaJCo0jFRXPbuWuZjf+MQ2Y5ua+YpNc6cWlHLDEdapj3po/J3A0 2SPGlDU/7uEVs6NL9+OI9JDZ+Z8yHtw0zi9LFPxmCEechBW0etMfZhvQIH0rKtgwI00vTFBkzQz7 f0DyZiPdhVi95kJH3mxDGaA0gsRhkHddse4ASYMAc/8pxGwjzjbowU46woICPgOc/vntvQJjwfGV dJZE1Jg26KSRdGEzm82l9cL7SPajU/hJiDAAkWZspTpBOxoJMPQI7GpYAqQ46VYbdOBPO73eRL+i lyNGWPRU5jKEVS6f49KSxiGu5sG0tKpuAoh24X0qNT0qGTBOBWmj/x1uSuxoDiAcmniEPDmyvITW UQ8TIc0rCNJsqrX/M1qdsIW744/yI8q0O+mk94aHxhrKAY/KIiB3KldjVOoi/43eGfHQ4zAUnfa3 RSxtRG5llAG7VTOuuLm98GeU8AkAUyGyHD8yVtBjS69CqjD3htOSgPF2bWnrszebs3MUK4EEDiOk jdzT9mG3cyWN3wGCpq/62vxuFc3eBMdN/fXffySsihNGowawwl1bpt+F4ORbpvvGhHMgJFwU0mRU mb1lwMyf2VnlABTzXpaPMUtjFUWNLNKFxNciwaV5Nh4M+LeuavGTZLUJgy8NcyeaDPG5luL6DwfW 8zA/hSZ1FMb7jL/DKrf9y1YW0RXIEyGeWezs6TqcXopa2ncTAuk2ExcSeFtC924sWG1y12/M7bKT Zt8N42YadeYHgCMUG5aJhuP4QGe7dwI0vrfl3OJuycwGJ3tD/E//6mLgP6yKHjE2IBmtLPz2xznj 8PDxrwJLITs2WfOfM/A1QPl1IxJbRvc7oppLeot4UEStVr8R4HjyIoKAFdKgTc+ysBSQwJTKQvF2 1yL8HyCtlDNm/jUjCJwLZT+IeVd3kSTq/CMHY0cWzC9IAUQVruL1d/dqFLvKTeq4IfQZi5Vsst5k v8tEReKFM2g252Fo51IKqm4oO02QA/LPuwpw+aHYbUiP4cnLwHott6G23CTo/s3ajwI3PXp41VXD wO0HBiJ1wr6a7C6snJemUOJyw1FbReVu7PISyfbYAw6bl946uAB0xbSZZ26XvEoAsUew3G+H7Jql voET0/+LolfuYwB+39istR8lUfzMlsw7SHmK5YQTlgDYORzq2kcoA+Yy4C5p3e5QOpTqrJwQK9CI bYCRDwEhnCh6yky5djXW7S6JHQinIOM+zMxplJ3yOrET5C1IzRfYn+gOz1qvBinhtrGabC2CIfWM c3O3Xa+k4khcJdTxVURbDECRzpu93xTuLNlk2+N6wsE63v6lNFoSkZMRVUEQSKSbFUmYqDoFau4H 3MZFORgS180VcbLoFtzsdKGeQpjhfnP0jzdV2FflL1vUG/xRW7YZAQUPijOk8Wm/GrLDQergneH6 MVd/Ct4MohNB/Km9TZfLo+tFi4xK/29qLwAXTbz01VVkyrrOZVNsvJnyeEkkdmVT1TCjOmUHf/ca fy0Jxl1tj+FwefSOiRNlrvw++kv8IN+5fOZw6ysBcRT4ATuF4tLLjyYABFc7fe2IExmvHVws25LR CbqtTF6oDd8/qnuESrs9+N3oActQOsZtS5MLd1iSDsBB5j7ccD70M2AdxDiqnHcPAkk0F3y5wGCu ByClrnKgGd4Rc1OKCZJSh0LbrNKWZ/qIznw5U/0yLV+1S4d2Y6Ld3IPLIeo5vNOoHKQxBvGbZDmd h6IwUiWylJfTDInBM+NiCuVKxhgo18ThXNPZPBzNXoTloacClCHQh/SmuKN6M8p9QWntUweVJd+B PqfxaB2wRBvHaNHaXKMdw6Ay1B6HvyWWewdIOJEGJMoLGzKXbSNIi12CX5blGGMlX5LcIw34YV2e UPln+iGPtYx03KHx0q968zb/9Q8f9gh6ga/kGb8UpSh9HQihlhagiWsT+yS/DF2HfMofFUUIqP28 Hep6oRsjdwP/Dpx9mrpcKWPzSWu0oQHGyYU7P0mpsWNC+H42CdpofXf/RBNsiEHWaISvtCmpRX7h zBrfIKLQK8Qc+nPL7gt+XJUQmgU53DdnjZaaQ4ZJ1k4h/nlBDfSJfV1GLAJcjH1HIs+eDX3WIEeK 77jR8p7rFEHGuGwAa2U8/Keplk+Utefeu77yYc/ruedVwfD+lAOtvZcd++Zco3Qd5ATvGtgjDcBi gdt2VGBFSmONVHg0CmwZnCl7T/K9meV2PlK/j+m59sThFodR9vj/3t9oziYj6+KVLTtFkXjPWsP+ kQczTz2rNTpt1GcVcUxCnCFx/n0RsfR48C+Uc+gE2j54VB1aY/hUq3DBuIm96oOo2gv9EoiVMgBm w5Pkpyu2P1jzCNbN+Ph0pLXTnkejvy4vsDvD43i6NxX/yUWH1rYcjruZEeL5WrwCqDTy4wqXvOnn H6rXBzDo9hTMdUQlPjaIbhgBxf3nLArz+NXp8qM/guG0OQFpHwmLuZYM41PrIyrrNSN/fijC9ucx IImlr2MsfZ2lauPLa9rM3erfpV7LHw/Xzv/M1uyDCfUjvoW6DF+tZoIzwLSG/njtgf7oXo+MdazW 5H2KFysoOnukoPwfpkBebPOSVLjDA1oBx6hN4+8ZeP79a+w8PkbF8XwBu9EK4eJ/+OTBUdDe2bsh xjjc68lzXxTZ7XC1Z/t/HZHucAnB/UKcK8ofmen0V+McHFdt911n9PGEnzWZ8d+QlPFFfV0ciVuk xkfYrj7K2sJ6ehGDees/aPXcB7PrY93TmVwpRPshh4GGR1aY0XiI0ebsejXVH9SNdu1xU1UGHxb7 hzcq2M90Dt+B1eaHKNLKIebBMkluaEwWFvi8j+/eC2bZKv7aYnmEMPwXdLjIB41uZPF1MMMPhp3T JJtcpZ5feqmlIq+jfJmZIH0+kbPP2G/4qd1bBbqb0mSc5WzQcmEvGDxwbT4Yc5xNBujNMupCRBuY bN0JieHyCdElU59bWWK4ql4k4b4xMokEY1r7uF0HHwnaSxGxoQG8MSsx31N6pIo4vmxuKx7vJNpO ehdcQkoBG8OQKOQwHeiGqC+WeXhO3MUxAzxVsxzzPXxTjtOqGCLN+t9E4cxmvOTJk9bQpY2LhjC9 oJoKClOZYG4JihWv70iOAmBlPdsrPbQr7+PjHePnS4OW7vxNQmCm9wJJidUBJSWBLtjR2dbjLCsL xMjKc5KJlTUzFvBOc7zGiCBwipBrRnvyVfrcgKXsMpN1wNyDhIn+WeMRsT2Uwblfou2wnXNGh2lh xByc9p3FsjPSHg1IQoiAacY3f1OPv35vVkKhLQrkPqbmk+0KqWb1E4h5le46RtGAG6/akoNArvSc 9ZCJs8IEtFt5zm2DWw0gSVCSeq90cXWFuux2x61PpIl9g6RhQlliFfhYvWypAhEuH/CRrKSGpTd9 V4ULOyGaUbbuLAxLOpm75ahrAS+TU0H0NPNulcfeb10NY8Md0yXtYMtiffxpXP55Phh7dvhqKJdQ wiK3oNX3YPvIL+ty8TdhJJ6n5YYnGhGvvtCm4tvMuyeSbEqhpo49oipVx3IYeITBGsB9HiwrL6WU GqrGIB+5+GPDPAe0HcaE4+kducmOH6wOH36t7BPT6sqDWu5uVYs+RBSlPQ3UFYHB/V9O4Y4KzVRp N/3P4m5+4zWnpa5ynU0Em7eskMoggP3NIl9Ie5/lWwwn3VL6jO1r+Kfjx+IOcrgDyNIrVa4h1Sow EIldldpS8HyePe2wI1wVu744QZ/Iq01mcPIW1jxT7wex1T+DSpckwjB6ntTeKdC4KqA3jeJ57PH7 KGlfo3Dg18jeyh/3cndqRe/6KNsRkcQLh1CnVQ26C9TmExkHSf8hI/YgktqBfbHDREbxILac+Up9 QIVfB99td1QI8OKlEhv0oamhVIRmor9Ecm5VinYtcn8nOJcCa9YMoyrv6fMy3ZofRPZV37oIyG43 NgRgaMLRlKs6id4TMvZ770MpYL4XXVn/2lFecGjL0N/UKuLEfaXOqKKwAm1HPiqUWhjqiVyBfsTL zHIHaEu8zMcvNLO04/8RmRZ5bc/vWw81Ct+Fudl1FEtqKASDBdfxpLc4ZlIo4GgW3b86Q1GI5zXy v9oq+qwgpMpq2V7Jmy+H9KPoKk/D/MF+psLKNNyPXWBByQg3XD4AfFmU9ucpgEOSA5hW6nWuvw3G fDMRobGxJa3ZSLBdUWq2/70D7She5kKNn1HTGaCOsDYRGJKCU2Ks7OKvHkdES0wuRQafppFj43mi ela65/IirWwjnfrOoG2BtSoPzoJu92RSB+yxZQEMXv6X0EbPl73cjUKtVzZoIMEie7IGM6cWlX24 wzRg88Bk+hTBhqyUUPGhtRBJIlbjskxcn5rZr1fEBVbe2bI6+VuVeXi0SNo5H1q0OLPdg5lwVf4T iYGnJDkyFCbAxjKyVJZhZo00Wx1mz2+hrWGGLbdih9W1yR8rY9BCoZXOkrgYLQxaxikwgUjdtbU0 M+riZslwsU/sWE5NH+jFVr1xjRrN+sbEpHKxP0EIqZqsYsUSIKddlb8LInDdEKEkt+3bgTF5rqdW KzKSd4uCmw8C6n+D9T77yZhnmu8y88WcPWv4TfsouMC0e76ibmr+4X6G41nn903zq8rhUfvOCsyi KyoZCq+12xZPhgwgtmi4l14yTML7cs/jMrHUtk+fUlVKNWTkmPjMj9eXjkFy86tiSg2sj7vbpdIn 2SZahmklPY719ubfnz0c5Fq6rSR6QCrVI+VAxEWLXp0WLm5W+yq/5MPrZOZ+0PwCyGFAMhz13apc qQ27B3d2ikTYee2xEdgMpzlETJ6wtg+/EYPwQwaOuADWftIbLB0pr/HVdb3ksJdihbFl22N4ppRM ygxGoGiI4aItOmmgN+JKhUWqra3+gh9fl40KsLtQwMndzlRoUgQ12n3Itt3bbKpz3cba+savT603 9A0PPRQzWivhaBOU6i+tqtIcMvwg3kAsPcVA+3PD3Wuk9QaUGrboJLaN/9ttDzABMjQZndGSLJl/ F0ueHZXV8tXchTf8zIk6NBa5OCdj0wwXpSNCzg1kkIabzsLtmmkbUkM6ej6mB9IWSmiZqqkaE/5H yvO5uMhbOupuZrmrp73HSca3IhYah+UArHEWwTM7l8Q1DNW9q3Dg5AxQRMZyAv+mw0InvmdYT/NA vY2Bbxapg51YVZrbLG8sm+pyZJEj6rEn0ajC72v/GqBa5N7mkDO9TB1af5nRH8CvcfA1m2/Q1l0j 7JtTsNoMSAFrOM41STrvSyaUeJXhbAc7eG/3sgopTrIjRpdRr1L0+5UUKtkfNUCgEuYbhcVOqH/Q Zs5R5ac62aOhvqN4SWq/duqEThSjhepSITHTRW7g2Z6f9PmJZu2lRDH4ZtPdOEQEwdmwoxt0FirI H4/ZyaX0Of+3OLdKRmt34jAmAxjt6f9l0F+80mRn6Znn97fb5WKpH+IUk6fA1aFdjNO8Lih6jDCe 8YINPTm1cVCaY/0xn07s+H4DQIr69PqW+tYqzawRI+dV8oseZ7TeKgx8ONUPjv17zURGoCcDtK3T QWFLnE/zo6hP0Yft5nA+xX+WAVTTBo4iTr29JT2gE6cPYqWJ/MzX6L8cPKEeYCt01uUE/JMXYrSz 6pORNqJEWJWt3iDI7TCLZJzX30bCQEcEHiCwVzrVIJnq8UWLH2+U/lbaHYQ4YGf2WIVp0i7Q9Qoc QublUzGNfXd84Mf7cwt3Xd0mwRn9xajv/qMnZ85sDXOguNRoo/SutTzOyma7DimKxALZQURWrM9Q BO5XqvrfPhzDs10wkugJ2u3kAhrCRxDP9KLlcyIqwoCVuJsyU8qPLMSHjm3yUr7s3siv7upzeVpr F8LwiQbB9N5u8JhOwFZEKrAqlk8LjcdbvpIq25xT1dP40NtPqCeW39H0QiZBCzO+uoZZ4vLhBP36 DO0E+vgQ6ysLm8MeA+/B7qgSRbWXfujvd8QSR/+d63+WCuwII16DgvF6VAzAmRX/MPD4is7XiYIJ +JSgq5aXJVhphUlpbU88LyuKE3R6gRG3B4JA1EaizwbrOPPfjnapQTyAg25tAYIE277sUctUo5hr Pfnd0EbuMj4YxfVs66BWiV1F8wuH5kdNm81kupHdTxRlx+WdSjQon2mjP6/qzSfVT+7wUa5q4pMs qM81LxpqSVHPsXbvm6mKgXa4KixEINPaRmQoEtYCnu9kWqKoLKp158EwYh2t/StVyRi+uoTFM5zk wchWnBd8O8jybOUffoEHyV+3LbVlZ6KYI3M8vJWfclndgU4/vJfidvf84u7tJzvRVCnxWebAuv6M dLsZRXo50LrrbobHvtLcAX+aLWXuh/Hoy0wxG9nxsUI1RpCoMnuX4L97oIbFUqh6j34kTfzc/5M9 gbuBcA4mh0ygkuXElZCNdBZRyt9yYOJS8W0Lk9LPiWD8VjtNMaaoNoux9v4NJ+zzsM8XPKbDIH3l O6smW5G60+JTJklLAWKgRq5Yiut3KJBAxAVWW/v9Fz03ZF0Y83WEh9QuozFkxMr8MwI6T0t3YvwY c1Ldyrw2M7/NbxM/V2Qc1Qm0QE9kniYyQ54CDi4MyI9LTzCfLPuFXnxE1FCZyh4bIvKGeM6i7S6K lML30YVUi6OsKvn5cKtGU9LRkLmbikYjPWvLk6laUikvopnyRj3EYxMCzHLccrplzTCiZCgot+V6 /+3LC3lHT5LD8zSa5ZTxIp2k5+p3kvEpIsScUMrrR2oaWtr+t47BsZBv2xOD1DdyFpMLZy5of9G0 0O6diR1M8NFJax8unCd15/8e9732pQvgibwWchxwB0aNVWUWzDNKr/ruueJ/sz5jpXFKO7rCTPdl wGR1sJf/mscukmse2dbzAFDV9z8J07MLF/pv4DQssDEqFFskF7LOaUKfJTyuovG7KlRnPDg1pfTW gu+FAxI8e4SRAZix8Uzb8xBrouv2UszrG7HuInfqTQw5wF/bL+g8lmnPz1Pj6LDXNR22R/2zPcIf fuOReavAl+MB4hW7t7NkojYMoJUhpqEnaktr/QSjMYyfOYTzjXMmxCjaLLwHao3vZ5v4ZzlVSba4 sT55MvLfei6F/ka3s5RuDA5yiZ7pvuSVb3/k5Avckslxd4qy9ykM/RbX+m90z1d2o0eZFnYaaoFk iCYZTEDWyHPvQkXjrffcyq8rGvaWbQr4tZqHIuUldwSm0QM9v3c5eHM35U7a7oij/XK1c7T9crds bXlJaWyuoSu0IIdPbexgmYsW4rLL+EWtBLBHnpczd1JzKLXmMrrmDtzsV3r62f1OaeCnBlcdMt+F vviyu7+0oVXd9WTENBs65orI13D+37TvsGMeO1TQ2fnVPchr2wzHXT5/14vQvdMxe4dAxElKvvr1 ry8msye1NJjQ4vL9n2KFHZyOhWV53ITrGcyDEjWsFgmx4glD9p4/hlSSmMgNLxD4gu7APks6NYgz JndDV9qqbQH2kZJ79iiu1CQV8jRLYtONYKWUcoC4E8M8TlKTprhSZC2y3FXVRAnbpFPtKKzx31ai qANB8zdIMwzFA32qtAflX4rObrIqMQlAGy2Klvv9bocNjXZATNmPoMup2FaC1jEdAmLo1Z0wP8ss 9MLs+8tabWWYMQxonvS7RWwCw9NYEJasrP2UeaU5x0vJtbhY6+BLSWPvKf10ceLXyl2Nlo9j5fmP MkzU9JffaRzUtb4TMNJ0sBKpMrk0KU0yl0uReHYkhyuFXHZX+4fT3VDtxRb2Ha4aCM+9L5V1e/v2 evg+MPE7A8VDMOz3r4h9N3FYMJJjYlK5zc+mKucMvjj2Qlu7toHvkd6WR9jUoROdQQXN233/JAW7 dHcY+Km+5ENRREpJlTC4pt8mBg1d6mfooKGDNnaVjQ2ZOjzU3S7l0uZHiHh+S8sL8o8lnqVybgxy 8uxcb8M4M6CwpK5Fz5Q8V5JHk8zjnkQ/LJLAGMEXpE+BvSM8/BVpEYXFBDxnZFfjG7eUJ8lnAvnR OtMTQsw0p6I+FhZFo7o1dLL0VH+5mGh2ITEQ6AJ4v4XaZo2E2WNmNDWR1nsfPxzwH/cxg2lUQy1z CiUU0E1gmRshaiOz5vmOW5MQflA8swIr7CjpLEaWFIiBywXPCw6u6aeL39u8O/7962CMe8G6usn1 VKeFLH0vTzR1aiG65q1OWy+qbR0YIaYGtiiFG5O2KNgoXDAQZ2DqMOUwvQj7vcOXoMh/mKgJNNHe vOMG2OK0ge4KMqeGBd2lQE1YH3YN1z1tO71+kh4JxhgLG8tyiyu44OlGwCgEyhpQGpkV3wcb0IbS oZnPfgWFhX0H84FOtLhT4NTJuhsrDwg33VmvB6zVcaJ/CE/srgeo2EwZcMjQSHr3uDmecUnF57pH 8KGUjUhct9V4dT3OL4I5DYF5LDhyhnNHhx3blLq+7CfonzOa6PSi1mD5jbyE8gWZqUUvZTN856M+ Us57Ry3KHcHo3wD01QAIyqUvj6fC0y++W7HvQvUuXo+DLP/9C144aHSGfvc3ROM/+xprkohmbPaW K0lr0GlKO62KDjczewlwKj/yKkybdgFR7TEhzZvmjhdDEOChHw7ISSSCOim3UB1FxP8+0adgRxB+ 7omnYDwHaO7N2AgYvhB5XWPCIWxyxAQmubRwL12OfrvXIv5j5LOZnbrTkfuZFVl7C3DD8WjD4APJ RUXcwT51N0lI0c9Jf5MMUQ/92Oj1EpBbopcaRhyo1/m4812v7tQKVfwAKDErqRnrco91ZOwsW7zy de3yhr6W4AQpojcNPhQTWccp6kyjceLpVl6XSQjwXPc46/1JZwZc2P0l6Q3EXYDidmfYDKKI5WBP LgeQsoTNoXvnkEhNW4pDTRYR/EG/fAKJNed2bLUI+YWm47KKy5dt9OQ/+C9aVZhU8eytzbI2oxnM kUc6nKzvR+1kbuaBJxknaSjeWf93R4zlAkNdX6x6hyeTGGx9tklR26InPKKvwPdnVkMxvasmRYQF +Agm4NEeuYi7Isqel66o8QG5GfnyVh7r1oLhkWncJxh5W1T+EXsJW4DlK4pWjobo+b8h5V4qbHbO Wi75ik6ysBlGMiqnCjrMr5XsikfjWDZUlh1/6mp6jfF29PK54cUbqP3K8JV+8zoxwX5vPhri1RfF XJ4vPB/4oslSyzD69eOjI4+pgmOl683ecSgV1l5YHnuupypMdEAMjHkNshk5QO/Sgwq+aQQ6zRdB RTymzzq5Mz41LZPLifAsoU4UUwBztGlrseWiFXey9pmFAOYwYRUz39PgAg+LXeL4+U7Q7LjbS0e4 FdjdQaMckeDYYKUaqs1wIr2MmSxCSNqluCt8ud+uOGoR3xEJVpyyv9uZ8Bj/WEWhd+HiER9P/rpa alBW7HVbf4XnMC8TTAwIdq825iDtPFtPP6fblaiCjBaEtOfvdGnsxTQUtvKg4p3P5J0ESWvwEnIs 0TWxzXol1TI60PJSpY2cB9s6w2TFBdBFG1e2Gr/SmiR7JIREVh2JXz+VnN0eNrM8LpEh2p2VioAX wEcCniiWxJnLPf2JM8BKRkpQL8Jpm3PhDHt/M/X7sc+GC0HVsWtaM7LAvIq/KyLtsQH2ywLkRDvv w+bhqe2FlIwfJWDR1klzOYy5RBzHDzgSuoET1SytXBmI4ZK72RzmxwEyXMa4m1dZafn14kqdnaCR zlmNOjJcik0mI8CIfxLID9zaWAMdBTloBdfyrjLC0D5y0BGJ/BleLoLdwHP+VMDBxSul6/loWoRE WuW77BAIAuxuSCuBksSxHSIUABrhN1WpwQ1OG0SjHugBAVOx/FDGKCRhkqUjPPB9rylDgm22lP3o gQRC1TjQRfZaahjhXYsoFZ6XJmWmJiEBlwSBil1Ne+aDvZyzxjehd7GUZDTnTMB4noxpJhNzo7GS TKzKssDPQSlEauivA+rp6ySzyV5nKwZOUONoiDyYN0Zq1YUUqSRWyomt4xi9gq1O7rFhz8/wBkfh 9GNvSjLmxsnX4Ua58yg+Oj+J1o1ielpRk2uLb/Uc40qQlhG5X0WgsdQy9+OXGXD4rLbW9P9FTEFu Rf1u077Ba2SSjJ22j1rqH8tH+ue98Oni2YVQenQc48kQ98VwpJaSu2naA7SkJLdkFxAlgISljSrb NE3sobleNol2JCZWd0KDAnT6wbSlBQyNwRRbimy1j8IvqgaddqM7seZrGBJXJvHwIqZkEi9Q0Bh2 ESV/FRTaUg/kzaHCSKwZzvzH5A+uOPqSzN9qC35t+h3ZwgbkNjG2mGowCdx7RvV2/XSDqhuFdRVs ewpTVW+Aw9cWl2bych8Zcs2WCbiIflG0Lfj0yhpoMcHKhwr+5sm2pOiliRfrrNhwKRIAYyamksk1 Dvvm5DVFg3FP8DNN8U9K3xYKZg4v9+wkwsPxdQu6rtys1/UEmNbLDVrxTP+uVtrcF6a3aGENatZL gxUYj/XpmC/AVYEgmrOzd7SxUXJf/Nucj+XJtQf8iEKmIXDDegdCrfRUOxFh+gfKmX7EmFSmLVJC bMK4wA5wa8su5QfkwBNxolPSLaaLHiJFBpX69VjBkspkGyDsUnBXJoT5RovOMSzX56KybPcfHD5l zOewqj0laQk9FtJ31HOmsWHjRb7k+1HEBlwpPaBT9+f/qabVT0ksTM/iAj28lUVBGsxgwQaVX5YN ml6HchxtD1yapyKv3IYgLNQibCzsekaiAdp2r3e6FlqO1aS4XIlCGNxYaByEsA4suraqIrL7fo/1 QUxKVN76ftHDJ/ZR1GAeJ6fuh53ty5JpAR2/iC957m3MVBdiDDStBU2GEvSAeXNgHw547soXDLvk 8E19DdasbFKxtqp0hPxe1cAWUWinY838lTJ4dnA6/y8uQVCUUyaUruPM1eESGNkfh7uKGyEuihdZ Hmx88E2yqFmssru3VMrcOgsHm/9fqHqhJHJzxJgQYXho1g4Ywr0Wk9sD6pUX9IeYxykyn8bcuGN5 4NXm4Whrg0r0xzU3K/rUbgocINgwyLx/IZUJ/GWJZ2CwXaQT+lc7p8fR/JdfLSm1/jbFOibnOno8 +LkTlyXlMeiuBtqIXbbXgpwWwZO9okNzO1Db+sacpPLSq0ODPImUHEZ7ASgmBILDdEud0OvogNiA JaPMQc8fIpwXUY5ZLuZAyp7/srOgb+eGxKdF3B5NHjcObU7NK7eHXAzLwf8DjHwIOOedcaYWEAIi tutu9eJ3mUxsUZm0yElrKc9bKv7RVpwcam9R6G2eHGLiQBINGPB2r6cM9s2Pj+ekYWT9zHR+zcNO 2yZLPXv99vmarGsvLG+9Pvf/U+O8R4+WWlGXfpWnqql6xbfKk7tyKO1FaEvijFuuejRhYico28Nr qG28yIwke/3lNajIV9swcR1iFo8mevnzFnkTlDQGCuQI1J8oJwvDobZsu/SsdUaApgnvYgj8dAXJ mRpXx/aPv6zDx0aDkt1tlivAq9WYi9Kz2b4oRaC4sBGIiHYKJwbpT7Nc7W0lmYxf9UDiS21RlTC2 0V2rxy2xe2K+wGIVhmvUR4xFVAfcYWBflN7v3ODL2DljhYFwdSGnQMXaGFNH4w5Jc8swee6/S6NW XAFugeXMmRxTqMfzJ4xsCXcImTzRD+Hofn0+2r17iMCAsSpESnbn7YDAhukA7hFWo5irGCJdzrtB yM+vApiT8dKc0FMjrD33xf6hNPkxXpmZNwoj2AaW3BMuXqKhcGUVHnQvGh+p5VJyTKFIsUwBzyOw mTL5X7IagVTkii6dnWh87+32SrIkLBXiNYxdWEhH5G/E8TXWBcB3+Y8YDhUNAWBj7OIdHPxisV5E vqMt1epdO44f0I5tVMOdd9sKotX8I1Ifp7QoNptpqWUCU1SIzzgp0cfW52zwDbMjEP8i1Lgawxbm b1DAeAE0q3B8hPXWAJNBtEKEi8icOHITC9bWM6fL7rPiguOgzYjVjr33dpcz4iRrEE81d0FTtsSh 2n/p+Z0yB9xVm7Y4cr9/Ld0OFhtfglTmtiGrW+PGlYbUzxRGT9QZwbI5D3kOj8QuN0zU8ZpYk1+F T1IXD0UlmT0Bpn7ugTvfY3X9IsEYYgvVS/x5XFbWbaOyiSrl3bKaaol6tNsYKeXE25BygkYfSzL0 7UZorkN00/+H2PxTo2v08ig7D2iSvN/vdCcH+MYQUtQ4s/h2rQHo7bsbm0S814ohwR1Zlcvsy61V nY+JTz1QRKB07j5JDnjFzWnAYZIvQ/lbr1YskrFMkDm9VdlqJe0QAgwTQJGqXyIIt9DA3ChRIsRz lHg1kijsDl2B3uVw7RMC3vyYffXnOjQszcuGX4jC1iK9mn8KtlzGAlz+U1SWB1njHw6vB/hM1iW5 fu75cniaDDGHmYjZdbH587BgNe9MK0P+e5r94EcpKgq9bUKrgpHS13zMnKCArem2iFbpeOO1uZ2/ CO9XsGqQJVmkJFO4TDGAfVnryWKVxu0NUnXC7/fKa2Z4FQoB90m1oelPhVlBUnVHpgruJy0I8916 8Vu5/gQlc7HuXSvLsjkpKoWzVjgyXpr+O1WoVnEae8E2NNE2cGJ2DldEicP0ZecGeJzwYWRaOAqp MODke13yPL03UkHvj673veeBwewmpWwb6u/YVTZhLtgQP0Gvz1HVna8c6TxAl8U3mnCC+utkqZJc 01CAL2nRF6oiuuDBFXj3qYoJ2qXTbPVQOGwrawEqCZpm5crLfwD4emrTZz6qlxWteQ/TptO/5FVH nkLLYty4BCXy88x90Zl54wdrNJN7a6Gy8iklUy6qet8PhQ3chANEIKMCMal8wG1SePkeBKvq0IO+ cRYcKDCfi++GQO5Z2WDyFQSyhzmzA/t4Yfjq18NxpVgHeAkRkP/THDKGbxv84nqnm5lctWwTdJYg GNYmVdtFPXckmBT8/YwufPzS5jkIRE45+kzOcvrk7a4OTRVi8/DUJQE+PqBe8VfrIQxWjGLmCrPC 6Xz0inLpisBwcQqqN0ZIR+POaK8Lya6woedxw6uA5ipJn7ZuvHVm9qOYTd1kAX0ty/0arxkA/2R+ p/zySHaC7CTd7wci29meYQKrotUyADVjC7AoFnTlxuuMV5PYRTYOobVIhGhuB7qPTHTc2VwpR4+m C/NN/Uk5Ro/9RTOhvJMhHJcMfX+1XvyXmC36tgpnYYQsE9mMkPa/qTVequRUnR3iNkWNHJuocLSf uxniaO8ML6nySjdc4qOSG2OZS/TzQz6+x4hsUCcNcSnwZYbTV2Eg/FXRdAZAFKkDzd3ffP6YrmkB qcFHxSKI9BkT5sdgKra75kc6eS8yUHazwbWV3kJU5uyOZpCmR5RFYF17oBREZs58CB8spcrMvMxS R/Fvwyhz5HzdGQSnZ7Ren8fEOGSRyLtbgDGTxHJTJSvJl9wofdpVkVv9EpiHpwnPUbm0n7X60TyV YYMGJkkUlUlz5Q+yS9HJIBg9fFJuVLTPF9kPolphzFOjSJ+wXnA6yM87vw+evyRAL5mVdzmCSOtp MgcyNqpNC7AZIN/H88QiZEc/2eM7yZfZdeqMC1R4ie7J9TD7NiBUAl6SF67Pzjo7I0AhqUs25dit UOy+EebSCMpuFepPJQyRPiyZ1G474ytRYtNq36q38vUuQsvhf9+ZyXcY/sD8cebfn9EWcBeD10m4 5Ixt0ULwoQSD7Ydzu7tRyfPBmeOz2mWm0Gx0CVbZo8gIoW3g6AzdEIxgvP66pZ4euW2g/okZIuFI Uo3Kxp+Ebw1J732deQdPSxNbdvyijqUe1LuNZaIjiEhWUoMsaKabDWNyHuj5U4WV9KPfG5Xrtx96 0Int8ffesxQNqrwY9te2/+v1d15wJqvlbVlJ67Hq5yYGG0V+n3YQwdDPoa68SmJcHAlyIKuegew7 NvJwLqZCZ2CPNTtz6Izvb2OsUM42p9vnIZSkde67aFlj/nqHs+vdwGUuYGQG4GDzePVuIb3Pakrp Rlz9eGh24XkpjsG3kxFrnnv+UvemDwZEJ33du/DKfzvs3tqzZjIPeAj5HnoEDTmmE5+hAXAjxyIL 7T2emOwtmrZxPaFdHBh23N2/jK3dFqmMayGDWN26vYWKkPGsn7oezDA6S4Q7eeOXF4kqoVq0pXfL QU5Adusq3EwM6YDDksnvR1rlWI1dNCKbwqPj3SV4H86c3699TNUnf8GkNir92ZtUq/f6GF9lMEh/ Ns+KdE+Ebgc5aV0e6pPq2MA4CBw56BUg+uQTdideYyNtodlX88dR7TFLywa+KaLL87KyNW/fWBeu uVW2WD+qkdkhZja6FPWnLtJ43grkC05/NOlr1QEFny2Rm+tn/SmJ06yJs1uBXHG8wevaLaK4iUIa YCYrq2FWeCo7rp1l2zYwr//4djIkj3z1XXYLI80To5D7oK31waRAbBSERwwvIwxgm08z/RP3FGNa iwe5J8ekwxbk/34pI3mSa+3ahwakGUiR6h4X3FMhPQd85ygRi9OkiAfoTIgb7nowH3pK9SeuaqXu S1fcKZxzP3P5DKuCAkuYGIhRNpGKTGFLLD7GjgB7KpxqyAxHZQymAe/ABjDeN9UCfdBydI+dF4BN cQ9XwwTZJ99EyjfH5BtwEzTokPIFsDCJb/krnqGE/TatH7NlxJOFuMvd6GQkzAWPippMXYAq9BeS 3GIedrMPE5fXwmi6WRlg/GjlZcnE/dyzpfkbT4O1GPYQvTWBBCmyTOVoS5ZkNa9HD/fosyoPUAJC Cr/rDukFIVfBqNM27TpuptGQK4czsUHoG6eQMZPKp32H0Qg81AAobGUM13v3MkF1ngT+gwFq0RJw 7NkTR2ZjHPZ1FrH4dLr0dG3Z5jxxwwIvro5WB7ef1Ji97E/V99FDXj6hr8SH44fIcW3k3DTH+X6g I/Y4fSrO+Kj6whXVwGGGodcYCFru3DK9K/iUnQHmaUqDDNqfysil7gdtEbBWYpx5b3RVAZbelzD1 tpE76BDnrjqnICXwCZf8U5/quj3ZULUlgI2LgKkN+dYOgWqSAGx+LTDMAhxWxBEIdnb6dKIp0rfN Gp2NNgjA8d3GaWvieC951qLblT+AN9cAALL0Dzd0oxILqoiVc99kKy/elOBUWvMnUeJ3ERKA7X7l eDxkKpgYUyw12shfsJH0idqmCtxTFIY5gsccwmSotyHb4Wj70WOPlbtwIZ7WdVoaWSC4DrwL5oNt EWP0CkurqFlLvmnDHSy8XBvHnuwBXgQyJZMKWg0udB/RyepMA3538oS7YgptVpWbFD+huNXKMEcx zFxYLZGQTKfRGFGBeTmLcf1CyQYsD2RPA1swqBjafv5aKb5rUgsEfr8NIMvHysrFY4JDrQ/W8Unj 7tjD2xUOoP6ICSKNHhGtideO5uv1A6SeQB3xG0xKvTPwxq8JHHxL9yn57kXla3ZPn/Qqe1xBLM9m uKq4VB2y4EHIxTKmOviz40kjkh7hVI0OlJuuWP9UR3g0JqcHGLPcqLB9q2kF8k/ZYFFibXn+Q23a A+EbN/zi/9RYUwKVzEQcb/uWA1cEzEy9BcS+lonqWm2JoLaqMFG5Gt0q2jIei7yPkmsg/q9bTBRI urqN8veC4+rxqOxQVhVReA1iETDAq+CiSA8NOmupFOzah9sAXLaqb73BUdS7G93wtCEChvkTWNdI Z3jYUcRoA4h82eygCD3Ysj76zQiZtaOYDSv+tMcYIyOBmeGb3FLJtA4Mvncw6BcPFL6qrCHC1+LP 70z9qV+2HFxFf5zFsbrZNAHxTX7/JeyjMyPykA8txhqkiujeBErUsGPBJbKEhofdTCMw8k3pWuem HhKlirNjFpirA13ce9mUWa+E/zncj/VRkdNMDH1/WgPmZdr3bsGeXTi4cxTRHgbLMgqa7ssdUPkF d3Rn6nssSysfJoB6FN403gKDNL38/8Beic5CviEF2gvDxQOvK5cdEgZy3CiD+fts0oMeMeBGagRh CLrg27PP7lXCZ1QSEhGy1oQQm1s5i3Aa6RU+Ij9xmutxjd0gxUVBuBt4Xn/doKfe9PnqmD703KLd 8aoxBRnSIQlf2iOsTAjTEHscUGAtNtSUeO59g6bHxyJ5/oK3qSCujELK6Q66ZW0BQIpQmpLV6Kzr HAjH4fTGkoRMjK58IVa2EJlG5F8WLu7LevKOLoO3CMN8xdOWVYZ1GkwLalIDH1XRjxGMwqkxJGF5 mszsR/weAh1Fgr6Av8vfw+2p5/2FuXhvNgq8Pu4A5ijrap6JB9xHbSNk6N9tqJXM4CSRoHZ9qJX6 B+HYYoe4HabFImDkg6Yc0UX0C5In6YK8LPyE1+lHNEN9/sq41idrJh/s1JQewGGQG+sy09moZeNI 42B3AYOVrtmc1frUFcbEKyAbbj2fxtamzs8rk/jcYZljtb41Fzp8u4keucXWRWWsnKH8fOSbWPYX lT6AoEDI7utLpPAPpixo8mByxVd+9Kz2qmo2240S83yy5mFte3w4JsQp42EuxQGziV/ZCT8C8UCw YbkN7lUjJGWIl+Dy3TVttYsBRPYgLZ4GE0y34kcZKDys3vsVoCiOfas7jK9TyZX6H+G4gFTyssKT 0X/Q3VKJhNumPERIoj7OqX05kyKgmvvoae9QfncvvdNWZzTA+WFXY+kGjApGiljxfNYeZjAv9Wk3 pr7YwbJXJJNBmORti9hRWgdk5jVqtipE84VitCcCYjavZrXPyPCQnR5D0wVXv3AyZCY7QIoD4FYc H8amdpKmPn2ErRCxrQvJ+FgOgBmXG9vh874iEIkIzx9etbN8vIj7z1yHJyU5MHzjmY5Ttwxa4F3q G2rPDhYDFg+AoKt0V9chr9H8+LByadkBWe1b5UhLLj8C5LqjdmcoFE3ED0UMTlJJoBwmOMwJbjsP L6WY8SEcFSNCZuQYxCLseVtVRrRiYF9LaZouCnWQzFC1wrT5vETT/HGCYZjqqkZ/0HTFO8Fdkmt7 JVdKQuxD5vh0DGLOqDe6IvRS+ymwGYedq252eAjJqSFK91e3EE3mWyIVK+8AD/Kt+cfCkj59QRl3 i28QNacByNqOBqZ2vURsWGMzZx+c89TBPdqdlzlbTieCnBlhBbVXVZVBsbk6f9Jf0IhLhjwtb8xH Yx5J4HGzOoozuJJcF1q1OM8m2RTzepx+TEeZy8ghGnd8+xol0LljAqYpLXb/ujB+8te40xGsdtBd aSYTioqq2UipZbMdK84+5y/hXJUClG1/Dk0eQh74ki9rxflwcicnpPWPVlEUJ821S/hbp1lFk7vs UxENP3tRYbbwHkQ5JO1deIMYv9YRTZLwTQ4eu07sDZCmhBqeDZg+ieYoLvnRtCXT1hWSKXCc8LkI X84lGPlhNiesRF1qL9Eg1hWeUBsiUHyMY1+gNe0EljNRdLSelDTwBnoqAoum9Z91xGJv4nLSTEUc XtjocwNv5+vx6LC+SIojbOdJqdjEyj8tivXz3aD5BAH4Wp8NNMNQeVTBOX08TRRuRHgQx270myAB 1zNJB6TtpQ8dTLSl+CWI1CFAK0z7qam1uHP+j52+drNHrTy7UQLXZFXAML0d7AzEv14G5mhUqBN1 cnZElVJy17XyemJ3IpLIgxB5pem5Ot/grOzdkjOZPQiONYjG+ucp+l1Xhf5NPgxaelrPTON2ggdS HZSfIG3dS4UwQURFpeq9TOkojDUjsYoORWz/+DoOyOZDKaeWetOOJ2pIQLhoA41dSGOa5czvJdPv 3h0EbTVB37c4k47jwkzKd7cYlmvBJYWhwz97jY/6mCKzZYEqWAc3RT3fc65BjiQvWstICHYvLu4v pVmZNSLr9muNrYKzop7ITn7A3SRn/vNdD5untX8HGUeA9LcYgZf2m550zQHw5vKdRtbKajigRuVM 5x7h8EAsokgWld5FJrgNeArHXncWxNvJToFI8TV8aPF+SUKjPq5+7mUGASquCqHQ/dQ8o4etzW/Y OvmL9jO2tdISaOPUiQTwSA1ykfvvwvs+ksBc1WuRSDV0C2IsiwKFHZ/uehTgh9XxueTOvLCBsIMa IY8imdG9+xX8Z8vEmeAIXwrMbPWdaRQgmkfA6JVxyJ2uTuzPKnHZEDgJSryiyqJS+umbhPgveSw4 o+oszBwKEqVeusQVvH74BtEBVCmRkidS/0yblIE7a3dFZiUSwmGq1V/I/5jB4ESS2cbSuZbnBrgk tL9hj+Gh1DscYi9DhcEpua+kRTRiPBz50WJHrrwdJkbODh79vcs/VpUdW0ih2aiq6rtAKCLpcn5y fLmX+axc42dDDmj6SNoBjyvhQLOiUzHq0yjhG/KF5K3ATWVsxpNXODc+kcmPv/8J8D/ZdboQcJpX 7YrB766q0wAKMG8OcpyhQ2qLoe4yRwKKDsdhRpG5M26zz63uKtS1zi+Bmyr8XvlMK4+7ltpBDgPN RCU39NkR3eIKaWgYCndtipgaiRV6SjyPc/3uFKpVHqsGvXOw23IOsDUGLFwlI6gX1IGTYHZ+uJjD Cy3Z1DQRvfERiKHwzYWlmBcYO43QqB3lbFTme12D1Z+mvRxdoApbepAWBPbaMAvoH5oKrxvaJ86p iY1MOOCDZbe1pCg/p9e7oq1p0rZTGrfPHymJbTZyaAddJOHFRFDT+yexZrIJoyTjwgtcFbzSX5DS Hs/adGXf5CjiwEyb9YRnG2Fqap2/M4ZPFvHOlOYEhdLYKKvBqFbz/pHUUsti40Jjd1wYoc2+zL1o 4HPy7KWV7GLvTlazMWcsEgNS+Sf2Wbk7tuaNPPiEVxIfhDVLYBRyuwVPgs/2nU8dmknhZ+50+v0D B9x2B6aeQec5v5GyoncLgVIswGt/xzSPQ5GJdB2+Q7GfoXRPJFYCnENVbWNs3zYZb9v6I8nhlcF2 tF4hjUnlPD6OL5r5KONNdrPcnbS0c6g2L/UG8DUK5aBkzAK4+2FEOXCBueuBnZy7glrCboUKAsVW +2QaIAOr3q/MQcQKTQJiIBvEn1JC921chq3knPc/IFHQgkTdi+vTkTmDk/x15huk9Wu9GNFnz2KR 2nFHg2hTElongjIUSA29vLdE9xA3gtqNlpMf+fKVlNNN7Ohf8onXAX87/LSnY+/66cy2KpDA1M07 mEO4DZY71UGBJgYI8zKxmWOknv9X/A8CqL7ANizIGzVWOjDou5BKOl5hRtw+Fib+BenxNRPbuXij R/Vi0doiwKY/sRqCVH2rMOrNl6qtrJ32qQZNjD6XQdCbo7c2klCqyChdVMxO1WiKAJwINID6tO79 zJhzIYMEeGzQoJZOkmJ0Qhfeqax14dLS+Nru/wCIQBX+LB2ROTZPKvApJIQPzVl/G5LVQxO+Zncg oX0xbJpCZP9QiApVkPnZS38w81qxSyabNTqyQXcEz7VcTf4jmiTye4ATJZVb3C+epQhEX9ebJMIk CCWI6ZdoPS30zeB6FhnvBexfhGT1kW+LVf+EuqYR2qne7s6j5Z8ih0mbDH4iddkHkEKrQpoD1q47 2Gqjl3DY8iS0vcYTnecfvhxi5J/Z9UttNukKde6dLBvWCJBzMq4de9/32fBBMctT2qHUSZBG4uwi WDNkXrud96v7iy38zYn+0mtRVVjTakK25gMCvSYo0LcOny4P46dBcnWa0dNIf2cBOK2mwScjgyvz FJLTDQdYDbu39nRSkYsgJVLl3vXlyXyZzrpmUztrOYmgEyNMv9WO97hCWI2Q60N7kpOuvIe6mxX0 oTE4bkWuNmyRVKzQt+0vZwMZX96U7LSR2n3HbJTK5gRjNIqV5b3DyDNUdtKqnlqOQTZ+pDEm1ubD NDpMIs3fJM3jaUg9b+4WegZZHkZfqkh8UC83oPXxpvouNsUeHEt152UdbhdNK7DK5fTxK447a6O2 8s7BtIIAAWP1h9yms5pgbfIF03cmt0c9bgatt/1sk6dVvRvfbzJ6cUiaoPk4iMrtNSmK+RLjYm05 ner1Il/B5IYqeKmeOtMHIFssUtX3GlbJ7Z2esOALpLWcIbm3BGLv/p4iDTHthDLGezl+7zyUw15e 8v5kiIU1LvlpYvwTSpQT/qi9D/To8ELtK88n96QjgnVc/vuwTJb6J7aL0+m88XMl+V7cueHwANb+ F8USZgVbfoXFovKPAUZWA8O/TIpCgIr34gj77MfUHuYvL/iHQsYomluv742gMyMoTTRKOkHJfkCE H/KciL4dESMCS4S8gkDlfZPXwher6hgJcTGTejmJ+W+sPJcEjGyYBHM0DvVaGCahr0m0CrevGjBA wFjEPKrTFIGQWmUFG6psIrSeIqO85HSmyOdm180DSVMWaKDP9CBmiGbzF4Qr1VSLC+6DYwIJiv8Y WwZG/nYK25i/DYeq8b6XPfaFAIpx++TcsF8PwXyR9X/Vu7wWzMBhj08LbeWA57+Kpt0FFPEH+sWW vjTOC4d6EWj1cayo+etydTmQukwLppZ7NZiNv//O0YPZNV2sJkPGySpSWLHyq4x5E9Xp8/TTWw4l WhTcNke+zlt7DIwlASq2Htk37XZjDZq7ww8ef3j3S2VPnRUPw8o2ZpMbfiYtR7lye01TCG8f30O0 ECZ4wlkuvcSuuFFSIB8J9kMG3jR7/qs4YKEmKnlundY4eqTu/s3sJm2axC3rYSxONxwKfnMFQNuu hVEmgnhXIum5jjshBRShpGgL15SBTZtWDrP/5r1c08zaIiNe1QZheZmKFRxXcn2ep5T4SoH0hVR5 OThmfCRUhHZsfcSA4xHffvZvpQXWrC5gR33yMvaIDrD85eQpm8wKCU4QMiWRVJfjl47PH8SDQkOf 3nj8LlaONmC6rv4hwhksnMHuCpyruTNU7q5br/HT+gzK1r+QvTrxuJ4eOa4KbkSTwbCqb+Ny0Rgm UeCX+9zRfGwqmtqp/po8lpi/FGbc8WuhMO5uAg5WDsn5M4qwXM7UKMA10GJAY07PADZXeI7SSK8F QhYg1GeWjZV7Whq2pBKvQDnLBZZtXvOF2xFS7F3mi5u/62tHHBP56eAUJwIWiVSnxh0gsVZB2g89 4apx5yw2Ng6RJqGCLINd7O011NIgh69yLK30Mf2loEMrbEdcMoFly2ePLaa54uDFZotECMa+3kcb rvMG1HtRKIjhBWmqrmUy8SjZfKhcYDMw5SVHXAfFCxM7lhQBGLJSco1lujjCy4uXN3hpE1aPgtkq QinlIwV+dOX7EiOrj8i7Y/KxM15lG7S2uLTXiaV6Y6/SaH1HxgDz2jVfOvdp2MOnfGpZH1xHaJEd Pj77rgqXKO8E+tLZ0DLPk4HF0qN++nhehsSjH1ysnaXz63qJ1LSoKN4uTLaQP1OHDTf5auDZNT9d VUaIkCcZq+3cJbTxWi5f5OSOOejna5EstoBnQSEUx4x6i9rz0iGmkvTOSfVGMiIuLhTqsfAzBfax hp02TNxsOB0pnLOshLYaNu93M+UPQHSOA2v2v3lV28EKl3I/jEwbN0jm2raHreNx36dMkmt88tl1 q037QOyfz/KzQYzBJfA8HH9IJisVFYeaGaQsbteyh9DTC0MI3Rm/Sj4STYtCNz4fu4C0ZR3vfwr1 ar7JEsIV/R3tq2qb3Bvlq/9xwYHpR70yMgBMl1J//KRCTsfa9RNXwFT2XPICxVS27WMgAN7gvdef KfjSe/895s7aonZHCQJcaE7N35cMjWTBUsw/9zH3kbmeSgRG6fbI8ofnH8Nskj3X4k+r0s7PhV3W J+MNdxhx9VqJnQJvOPAT82MasvgcdxipnixBih//G7vSVIT6AtCtaGMBy/TX7SWG/xA8esupkiOf g8V8ryu+DHKmK7z0jagtXL1WnhDy/jLQ3acM9aec5KlB/0NcIk8u/ISpPb00i36xHoU7LZ0N5lrm PMUiy10g3QXvngKpyVHeC2Vk/6nze8cykkcU4cCdkBqaj7YOz5ggSWOuu1tkGQu7H0TC2aCGopBc yKt/Ayy98Uo2HtLAv4w3KobheEHAxvXQFtSShEcUAOvpNEMdaUpmA0iQvO7fS+TBoBm5tp+hA5IB 9YibKAUvzsrVe9L/XWe6ZWDOO0TqjbrkKGIfmI7bQ4SYitIwaUz0WMjw5upnlTcQRXppARjlxRuY eF5ZhFV4eomOWEQypFhfzkILvBSnAU4B0aYqWmboNoPRw8PpQihHEps0ZaE8T4XFHEZjDVzqandf Z+SffpGeeGZHiv//lpqnnN8HAyqHHmmpUFz+5mtJ8JM+xK9mM6k9kb+4NJkhKtAPDqTo4LXy+1FJ uNnH7oY8hLo1wXl9ZHhDNI4ubwMN0XVj74KtQBfekVOsKtkxLVgjev2/CXWz/2gOT8+qY+VHdc8r BL0tMxspaJ0DCdsRWdPY/RnPmOkGw1bboNe41kstBJhEqE6Ou0d5Iv8g9UbEVQWKfURMhMZE5RO4 LYtdj61IooGiOVK6qOHeUfff0hK7wwhOg+CCn13nfyRjvqN0W69vxt6ooQ2p/i7uxNGQDrafp7iY PCKtcnLRGf9WII2JwO+Eii+VIou5+CgcRRP8mofpyeRWjQQ35YCSvCb4uzMSB7ahltJSVOOsJOy+ 362uxyvn69HgzKXNLopUkRU1D3wPcUCCRZvD9r9cNrO0NmglVLXbzpIxahzVaqiraZvD6FIvcCZO iiNCboUg9amBkwMYBvahxE73pVEFQ8za1GIx7AhORoKGnyo9+bwmtPZhyTEvgV1p5mRdiyJkwjlp PNmcuj7HoD3uArEtBg2E5HHhwqYhSj1YoNvLPcl3xwDetKD4gkAS4LmLqJ4yNEiWkK9xAXHtNegz IWyY0Lw6cDrQQmZpVu7KYKvj8xg7R3itlV9gKnkGnctA7GFiRwgU49deylBtx7oP8ePvxy1LRkCG zrm0dJBE7E6nJAQZEwyqorYilIQ2XtCE8H4pZVvvG1x6pQYBzE0WlI2n3qfBecFFvZ/hq2sM6TAS 6BaE6N+uQqfTaYY5OgmtC9KH5Zs3CXnDJ+C5one0v+VxsYfxnPoEezkcNmIRQWXv6lTmdloOD2vw 5LxgvioxERgVFeo3uwEhJxaNvLLLh3VxqbytW/f2QAniRRGKrO0AMfRHoR8TXHUxWl1O9WtmQomY a7ywn3j9wUHcGDFXk5Wr18uZMmsaLRyrCVqfz3Ae5fVndNpECeeSe/Q781nF9OrYnxRXnB113hx/ S84+yMntF7okIRRnfoy+8R2TI3j7nqfX23RcvX2fLzhRTUgmdwLs7JAxsSiDApmm/k/SvE/xPxHf JX1dIDO+NQLqfcB2/lne3gglHkVzxHgvcvPH0oOebz0lc/u009DKN8zLprKZRrjVqm91AyoNhOl2 maA4YoJ7IMt/buFccqIsGrs7Ztc4OYI8DbRmwFKaDk2uLiwfCHLUU87dnlcttuSLxa2N/k66pPeh Hate2soil2d41g5cjpTMvzk+dN2wgO3w0s1cp+504o0ZeYiLjF0HtkCDjIr3uLt4ozRVxbmp2DpG zuS+1OJfoEg6qVRpje7mGlm8e0LnLzywOfWJMa4YmRPcVWN+LyoNdAOLg2FYTUp4T9eeSeEONO3+ l6ymsZfCcdCTfYFmproHZb3rm/yJPHnALCJCA7yif8niuuZXIyTjtZrOPhuww9K0f5OJ6Wl/GLfd k7ZexvAyZM96XhBm8R6EvByRS4KaIkSYonJk2eDORBWcW4033/30nNtsjddko6+TmqBjdi09Carl f4K7DZXXF7CCEnZEItOwmoZZrABnrgEArhC/4Qg0Ft3NgKSXs6FbbaJ4RouyJeduS2sDntwfgnPl ht9vGGwIJRGdol/F7R5hkNK4Xxb08ELPSOexwe4nLeDyL2Badc4ts6a5pLpDpFhHcXOa/M++v7pe buu5aMtNbLr59j70Ag2ifSd695SnsMpmqVx2EIJ0bdLRZC8Xcxvuxr3HfxnjpLFqXnFgI8hktbFa 81vAbyUtMC1w9xtobsw+J2KRbOoGFIL7Z8nKQdxMEic2weNkXg65p6ijbKJgefawE6m7tnVMCBV8 rH5DAhlP44Jqx6G596VgKKDDO3MbH+pyiAeGnpkRpK6SmQPAKmhTG8+Q72i/Pzz7uCLwj91aLI8y Zga9eKYYxKpI+ej8OybGSrYcXFeaxbpeMcjA+A+YQm1VS5EUkJSX3nW9xiikcNRBlTLkYkuNT01q Vuf41Tl189alLxiFhE/wCU8z7n1taq08YgtW8PsB+/Sp4lxPiEX0JSMmyr43r+sW7LgV7avdUjmz KICfgNJN905EFHOnut66al0cMn6d+FjZ9IjJJHSZlgVxZ1/Xay8Vv3Jqdc6akw4QBpMdw2CF0SPL vXBEZy5X0wqf6edscOf0jn6mpdvhmIZn1O1JlAtldN7pnDfVGO4KSDzdUoDqRbNdGF3O9fj5mYxA mbwuAKLENN4zlP/7U21sKpO8v1I2+f3DUD4lczDB9ekC6hH9qSokbh2K8UmF/1kLhDDRZTu5o2nm /l9Tic9aZ95CiqQDnlBBq3X9J23s4Mgf7ZeJ7M7vxuEh797sk43zQpQrqTIlRnPq1n7bPfDmRIUF 2rj4QkEusKWwwKHzmHUN+SP6cCEZX3m1ieHNG/RQb4YBhbojFj42eAiAxXjpK4Tgd7MJzg9bqr8d f2yFq938Wipi93+iNUB0WP7Zvd29LUTxnYEu/YRFkTBpq8bC0GVCNmARJDatJEYxVHhGE/LBdIy8 5Y3iGXdPT/gGKDEry7y1Yms+G7Kr5fkEm7boHAezvANYDDoNDx+w0JLjc/K91qmz4KH79C8OIgLS fY3OrlTSsnggpY3g2LnS65WZr5i2FzLtTVgcxcDx4iS1IUjic9rk9UztcyUTRDzuDePlJztINs5t gT7+kqjD5Y8TvBk9p3jpLgZi69sFqcZB/Qj8Bi4Hau3T8gFu2DW8jB62uokN2qxuxv9E9DllpmTH teQsSg1Aiox7FO/C6Msmta50fHSJOGk/LUEmRxTRIzcckQA4N+Iafl/4wxWk6wI3UwIJlHGkLuCt 0YTPyALZehaDQS5UmtizoWHF6bRjuU0qVNMgMX2H3JJqEB3UB5nvbZ9ne9TINADCCULD3PRpBtYk fI0UsbftGZAcaCRyozL1CFmvcX8H8okVsubrjYhWuDKpsXTHq8r5SEs4GXWXss6ILimqFB66kGRM 2oDJYawA1Odfo4iGp2QQRomjciKQJtvzrqQwwj+HruCh5czYKCJyF7dOCFDI8Q/RHAAACmKRPGyG Cp8tcgWMyA89c9VdmObaT33E0l0zePSuPlpKB/V1dMiTpl4C++zzr9+ofM+2uM7TAPkaE4HDLqrH Wg67wCrPTO7ImFD7rb2mTd0o4XWlba0Xg+mn/HQzZD2BxueXXHApAK8JWJBUWIN8GtFAruEfxUug /46m4m2rD8hc6vgnLz30bTQ3pOMYkKHK5svKM3OnTHI4SCGlOJxjJrUJb7vKlSPFCJ3m9r15AQUl lSEnIMbRYGsMXFfPKbcA/KQ9lZAFZKiv519VTSZuOYi980NTf8UfdZX/1wPL6oLjJU4hxq1iAz/I zfWV+yqLwyIbYlltODB2mx/AQ39MaAV5r/UVUHVw+V3WQrX/l3lZPmQUl4gdyDvk9o0Epf5T2WVI 6yqZlMMQGfsguzOlIeB0V0gB8WWIK6gwTXvHNqEI999F4yFgypsqYgoJwmCfQKaMH6237MvCyKGo mJONMQTVi3vfGn7+uW2FGQKG0YWWxu7gkCpMQazpKOj3x/NsUsbp3SICkbHdA7rC0W1HROqsMtNR O3Pf4x69zUnsvDMk6HvprIOqkCfMfCnqp5d7y4t6Ctnei3A1O0uKcqdQxcMa3Ixf95M+K8p9Hx5q UnKLgf92tBCJ1ZKx5IXxTNa4Q2BZMNqjObTCMxaKIbruWRfg+4/YkoGyrkbRkUuHa40Ixpyin2I5 9MAWTVyts0+N3jE89KQKQ3WZSOF2Enc6vlbxHVQRtgMzg3zVv8hmMVXcYEOksXSTi29oAN7c8QzE 9qc5W7sOC6vvh71m9+CiWBQE+rOLuPH+mdhj8Fr7h6nSpnTnQutC6FENjmTWU7n+6WK+fUO34voa Qh/bO+q1VZs54oLy5SF4bzzyywUkYqIdzAHxaUMbPP/kr5QbXsNM+991no2fA457LOEN65WITTOZ RCTrOeIpl6gK0xAmpGv0nzBClZRH19Zp+72GEzlWbW8PyvkWU+4ORaNb0iVPKYbHr7TSwCQl/wFi 14aM2PN0HERn+lDBVLDxCQOBrEPRh83NnH06Fiyvin53NMtpwnq2QIJXub9RORnEi7jyR/ZDeX+r z2suqLX/LEbx/C0RZarfDnKiFqMX12PelJ1O9LOcD1oSRtPxBK4uQmcwsCjp6JdAsl0ZzCNZr6cy 8WaOZaqrbdWiH91OJ5LIsz7SFRlSKt2GXJTYiF3zBQ0kzHS3wqSnPt2TnhPKtGGK6yHUXJh8x8z+ bYs7mjZqDDp3ZiD4v/PExj9mOcsqqGReb21zkDeY8oyCHiftZ1IHZC7gJ34mwZnqPeZEShb9qEw/ Jbf8E9PJKVhAPbaQE3kQj+2IsPfF8krCLbDI9WNhF+9c3lO2xHb4wUNZQiSp/n2E3C2dJG6hMLOr DotSEgkL7jfgOVUtH+2CxcStD7rTpaVJcK+dBz3stz00EWQVSnANwQq47mvpumeWm52UsiVCOIP/ CybkQFCXm9SS+OhZrytE3/kMwkFn0Iqh22b4b7ItNWiCWrh+ynbbYzDhiJg0fqUleiOzd5ltMB+X emfWkI44TtjlZ2eoJSAxzJzsVw4jnpekwCdiGb8N+JmBa7N4nE8QvLX3KLY79AbDnlmIn52hg3iz exxLbj5p1VoyEpZTXxl2uYcWSLJBPbD8XGvdov5Bpd2yRx6AkHoiSIWScYG+kwt0foJoNhqgyTk7 wLXRZFjjRenuLEDAEt1w8cuQ092UpB9crElGG/ArMU02Oww0w8k6lxnjVKQQcwfMvJwNFLXnRJVI q7bBm7/0An4DsnKlPadaZi6wnc8hHk7E983Fendj0iZDKeDNqocxKFZQszbuh9dVMp7yTUTR+lQ+ XqmtBvIQlSNbR19m1mcvQ5+vgo9DXRqycTxyai57Ajh0z1Ku6AwguwSTe3UGVuEzpODrrVBR5MIy 28/ejc/hF43dFzuPuI/tx+72R0qoIU1AmQXzhN9F0z1X6cxK/FNLJIYX2HuI2IGb+lNrPyZEeTP7 Oxke9tqsDPXgokrAwjNoXjbj/jjbjME8UXAwZOO8SKxDDhGF55dS7bvrXKvUS/QR6lygkxdKn+eP Vq6V1hXZMvEldvyDEu8T/b1gZihdwo+VxysW0Z4pb+YXFVgLErJtqmgLMuKx5XxfyoqKEpcbBRQ1 hDiQKYgrJgfAhEBVj/PBJ6GW/LuNQ6Hx1dn2eU/wsaoV2zGujRlDqqGKGS+KdJdbv0LGP104nwqY hMTRA3HVNXOIn2oPtjnEZVCyUm9CImF+NQZx6shWu6+QVPrdKsO6Has511Ws43khvSvnI7CzAxcn +ZMnV0queBr7RDYaT58pJh0EGlB+E+hVFz95e1ukd3CQvZvRTITnA/vpmUIj5jaK6HKxyzBGtyNr R1dk0nucbr9lZSUvWnCqtQjx65ewvtZ8EGRy2snmkwgPcL6YZ3lnLS++FsngYy5QnB9o21l5Sm66 mvj5bmm0U3/2MErYkoq5QF1o7BnUe+7q2VBdZVfJ865K0MsQBcNptBRDJpod8RhBGRfSt2kasyeI CMSNk669XZdpB3ZiSEzYPPWswAsJUwktJSM9zHnnvdyBYLDbBO5+04Gl0UoDkLCWzkPyNqDOS594 Tlv/Ir8Xfel2KiMNR0MR2shpIxpoMG7eyAxctTsHk4jSAvpYyAWpzk+vH1rUix2cK7aTO2p0sHu3 YfA8sF0NdOlnibYzb5oE2wpLSaXulxNCBVI3aiorV90j3iq/IMQ+a0CyvrJ4nJxjJhenep35FxX7 yAvP6Work3QjN/2o+Fp0ZUK4H0RSWTcL7Et5GYfGZTqzPTgm/1bqBpKbUABgZPijAaz/KyBbcdpy Gx8ygocD/rRlzTpV1gKkUhI4uJsUGgDvQ93A56zpc76Fb7plXs+wO2+MsmDJ3xjK2KQYqxdMFgZm k8APcjz1aIwLDziGCSaxzpmfaGz3kL03+e0aBvr+ru4As9VJ03gO1q0dbOTlANXxQyLqYBh4XjiK j7mJvs1IA3eb4qc6x1jM5D+2KuQO3iqnS3uS0anRJfzfK7MJklHf2IIvwxrAzubo4ZQtSnSmkWpr GG2yWO0dXTY3/+/6lEpJkaJyM26zxBu962POIIua1FJynD38c+4zdE7nDiqzbKoSptTtkNdLZD7j 7PHYYZKtS7ivGf8b49dA3XEotxAdQVw5L19JMfgfk75Au0I1QaiZnEcx5fXNNXSispYJWRI8DDKF 0rUF3u4a3tZwNQ5o0MK8GKUC4t8Ab46SGLZGsQ+wFc+wdtaLafbJLuINBxPKAY5U4quRugAA8WZU +7B6mp4nTPsHyS9vziBGb9jpuDnNmccONEfLANXiN6hHzFjTgjw1TjPlor7jxwK4Em07H8H9kmu9 chDmjgzkiMVO6ASCB60iqX7tQpP9uqnj+QhOdPT8WgHjcgH3Mk2RwG8qISmHwxQkXvul2/JWTzOz NX8pwWjxK2U7zegP1AJ73O0VdC/utvvl1Aiv+IMze+3e8AUHyJ2zIpqNvg6BsH5r7CpV7ZImzQwG a4i4twf7gtx2uaDNiJ6NrbfUvjPo4F8S0vi/6HW5oM7BGMrQg0SANl5ABXy5n2kbPyE9IUiAAaQZ 90Y5qFoWvoSHVn1CbhDXl5R45AvX/m/4IlmJmlvPv3iHkk3OjXWRR0ds0HMRIo/zcpLs54ZYUUg4 GsQbPsu5ML5mXpg22fSv4h5vrkF+7f7rH4DboCxMEjC9oqHL71Ww2OxsG+gXpgL3yYhxcUEazg3n daQVZde8Ccm4tTUhAI+VM1oAJFDlh761b9EoG4aTYBRk1UlFduXQatmCkIK3xJEkPUtu+ug3guHh hYsxnZikHUya7bQza1NCP84Q9eGKsJdFec3hZ6uxM8L7ZPjFuJcZnFJFFrf/uucaxafOzsfjFpLI U0NLKbor5HZQCMxQyJq5jkW2pliyoahCio08vICZEhVLVEHCObEZOghz6T9WYYBWwFGgmYhwSbAF dnRo9i9QXzUpWGQXVBm5apYeQWHSL+E+dyE6wjLEZuBZ5SUojbhGhxw3fNAo9BB8X4FQn3r7gc2h y0CsJl0LFxphMWdLdWnh+XGWj0knyAAQoRv/X0tKj/iQI2mGzBIq1DLcNk72tkkhqCIql468dtML mX+T4zf2owKxawsbHRy+hqFxrcwXNTla+GvAtAadOD+3JfFVPSbf4BgWVGVyIpIZjemumBzMdO11 y129+F/oI6YCpLnDfRF5ILDWVtKkrO2P1nc2aFYtB9y//nEg5hkUYsEinZOpSEeqt15Mh4iEiKAM sw/vFL5t1ff6g5WjmEU5JhB/+ESSf5CRcqXq7SJyBQbN6zpcOrnVjajjHqa9IL3JrgoYyTSsgbCb 9Mj2ANQD8SNZ4YB4tS7U/lya5Gqe6s2PdnUM0ZaRtSoEOUUafLHD/dz57Q70HCDEOejjvrbTUb+i EBu5vIHfJ+wXQW2T431DusoMqxr7ukZN1eO9lz4yE6x8KGENBUaiRSPI226/gI5IuONk9yYn0yKg RFTqhpbvw/cWsT1JK3r8EAQ2EU6DbgQ23qWo/I1NNpYZAukOsdb19oty1DwsO+ORCRsTbkK/pI4K v4Tq2meATvhE/AjHehxxC2cEBKZrzsjKh4N/N5mwMZ5ow4q2EtGHA0ONWVqXHUnPySgXuLYbBg09 NgpsDrP62+nlFWb+ItVhDTr6cxZyvpVJ9/WG3XNXVFGTPpaV0htT3VjNEnhT/qwsh12Q95mDnKmd qMusZ2iIBCLRkCmUlapeowvlMXq20IkvxYDeP1Rza9ULNdEKu60F/kyESNfQXSfF5tl3XMdMgfq5 XhkAup8SG/UslX3TUJxw2GnWr8BIPR9eetZPJvS4PaE694sN4vxX7Og3t7ViwFqmll0DTiEcQjQ3 oOXQxZ368DsamlepsbqFdKSgg20pZuIFcP3Yx7a7w16NdQi7XqSkds+IcHeqjd5eirbZ9d4iJnpS ly2PdbMsocPJxeREHhFAn7OdPP3SXTrUaBcX0qLsNTd1y78YETOk2B03MH7kzros0DgDGHJ+W/fH Ylsi+h/Tl7qSA+1LOApUXssu8O2PKAyae1meSwjjRLp4ciPsrUDNeuPjpiVhqw78toPbfKUzQKLo zzW81hXC5GDrresmReRcjciN2dnFswcVYaSImfhKyibZn1eU+dgyRnJ20eykDdarhpUECevFG/M6 wr6XzECzVTWByDK0VeKS6r9Xg9WrBUFFFJK/ySvTpeSz6c5Jn90JZ5M/DJv5uoPa4Ex9xyxLJAGe wL8M8+mkGm/joPaHdOg0g21hkpkREc06rbnbybawHHD1D1rvUjj+dE8ExEOzt1mqntPx6/n4yWSc v2uNh3wkTVkmbq2kLM45Sa4jj7BYEKjVntIJ6Gu5F2FFTLJjxLFqc6VPoD2kUeMF1UYd5dipVEF7 Vi7U2pN07ONcv5Ea5H3dMAyv8k+hsPQUpGKr637b57URNuUKpV6/J9QFFB6i2TKk1OOXs56jWyeO +LP1o4ia2HuPv4zi1sWvHyp0piPgleRBs82EI/RB5Bs1lR8zZ4U3B6MZm4fLufATQGWW0dAZq2Ul 81g1lFAJJyOaV1zq7bAENJmfoGmpHLDMB1vFH9l7O8N+EQDNdvBurCb2ionyDhoXneEkh1QmevVs O7UX4aavSi+pzSzRFqQZUdNK0bvJv4kt4Rps4cr8OJuJrTZUHId7tzyA9D91AaErK7hCyUpvuH+C UInDRHKndpvfF700hOuoPWF8Ut3Qx6gjinXWQhAdRtwnQykC52tSwY9KqExyDjkMMgmNTqus2Q2t dfwKofF/SGPI9a+mEnWH6I8+9h2j/qhIEKRciFNhB6Wn+B+hWO9b4VpwCRsFGJBrY5oLha98/N1C OfLOdusdZOkv4pw5fIEI09bIxesiekfKySM2dT+RIZ8hHvRS0eOdQrfWk3ma24pFnIBpreUVdnsh D5/CRVTVMD/c59HExfm9S7E6MghxAqk6977hKLafC60FlszPuODHdDws0KSRK1oa3LhqpCMBrnEP REsE8TSEYZG+cMElaXL04RkN+tz+svGaxwlpgYmcjiseqjB7I4oQNwZndWM5NNbLyu63f9FiBcRO Sd0Pq3RkU9ZqqO1JybyRVPrjDQ84f6nyFT8KK5xgH2u0lqMaBNMmvNjOk5wd6g0PZaDYs8KrLmDE w4PPhC/vi6pnFQlU9jfJ+HeGaXdkAjjuVPRf4nq6XwyPxQ7JbttjvQe31aAkGA1k3m3EOq+WaslN i9q+JtnCZwxVwtRr08u81qiNN34cQKSlWRIU15E/qsYo0HadKC6NtU+Y/fi+/uivCs569ELgPDlY pIIlFDFlhTkGgT+zB92N706z37+N36e9v/6qKgzhGZAEyg8ii8zX+bd5qB3q8rC6IqK4WZXAH99h VRafQM+Z8ot8YpWl3KW9Y1zzmEyFX49HS463BZlk8NaTOMcFtoGGpFbgTYYUp43v4FfGYRaer/y1 fWVlHhnTG5Cc3BRG9lyKqjor+BXGV6O4htMgNETjZFnVLRnYhie9OhBas2t9TWByhzXak6KtTKwB /ZzdGi+uONtVTsMKNX/XNmJprwhSOKla2m5liGJsg9i4cxjTHNsUUlxbWMzgjW5omGlPRQY7SzPS HcHI1diZncCYVPKo8AkYi6jOaifJIHtuJylrUBhjtUQK8UNs8DomlbttuMPJrTavbY4Vn+09ebmu cY6tm7aky6E0w8+Hk8Lv1SkGCQq+RP6DnD5XRvRczXiIir/cpmnUUkjxlbW/tgxFbeX5TVRAlqZx C3IiwHhS1dl/IZEqiYg9J5OHO0dTS9lN2ZThgImPK5aHGO3rCHfxXYA0refMTGqJZe9Fv3x+Te+E 2pAAxGHPD7sJsbubc/Jwlt+CFkUDeH5ad2/zQa+73FLhmHedqrJkeLbbqRv2pPlquzv5JnYuxzGI wH+9OBDbzqMQsY7bKy/42xXIfCzxqzgsTRPKVRzC46br5kgo/GzzBMNY4UCCUzPPevnmT6OFYwfo k7+g+NRbIGPctso/syqwJyIJO7mHWnGwOdGBpEe2nLKj8yJZHOThBJNrHEofH74HTwrLuZ3qc+76 jsfwY8M98rAKwccts3VcTy5RRuznB7QTPwZQNKNSGHtLIDyqbABGoTWSoNWQ/mwT4Olg94p1gpO7 sqdDcMYEFVf5/ABaMQ8sqOoIDhh4VClSRhfn/QEPsiO9krnKDQhJKZmsp4QCjvq7OTrZfmyrTn2c FtTvDORgpIDgzR/i7xESvvZRK5Bc9Ulzb0ZZ8bxq1ot0UALGY8kbZ7Z90HnYlMwXyP1r73A62XMw dDRQxmbsk3aeJltaL0nEtuSf1ACEq5x1AEHJM6daJXQgI5gkibiYsjcOxMa7QmJeItDPzyd41MyW Iyi9dXyDpwtVuMJSTNg7jLJflN8Vgh4+kBB46fsunYrYhaPoMGooWJ9Qb+WrvGEs5gC3XbmKjuVV pII4dJq6dE1tnuS3Hzspn76o8tMgOkH13ga97+BCq0/ReTm+vUYcH76zXNr9Be+SxFMmCaaY4K9M PpMh5tAeO7WOJzBldVo8vv3jVzS+AQSGSAQZKskcQGxbDsyxtPxFYsATyr6uY02jN2TLwxrJBKBX hkxkuoH77/ufp4oYghi5SROFLaNC14B0xcgBoP7mshZb+iWtBbS9EPioJAljWCWcAUNlZQIgzTjW 9J3k+Fc8pfFYemaWJpTqIDvvf+6TXflapLZ6Fm2Qumho6WiT5nh2Ds3GSVvK+G1gLNRdwY5BjQWP F3mj4aLLEqdCWprmb8RKpOnbuVkFxgU6Zzy4DDPp4F2EplEVJ/ggvOlZCSFlsxZTlMG0X4pT3jxS +b6yMRTBPRWCZbUa0aceI7qDm01Z3R8tdfmuE20jTSfqpzf6CQemPX7r9h78XUxnqGtRxDd56RzO Jzyhg/80R5pRWwk957EPa5umPHEWHApN2sQhH49qDgmfKjaef0EZH3dcty35SypUq/6wBhYVcJ3P 3u0vVai+3pUJMTxflYvLBRQMDYG39m/DQX6Wjh9Q5pzdcHVEhx5/DUSol0jBkLq7MN1LDJlCaEt0 Db0ce40+MQc0aBI8SUn6HvKbvm0/8l9qp9NI4m//NBVCXWq83PR4Be4rYA6z8vovK3H3+7+R9pm9 HQWePglpsQluUCATbblEfrIz506EU/PXos64EmPLBCoR2TIx2nob4XohauVxtrhi/YRw1j/02z3I Dzu3iQau6VoyfdHlZfR5feoCsyMdrmAZwkGv8C39jrAwSNg+aYRlFf1pEvQB4BPlyckOfgiKUtnW /mHdwF4d/AmRa0PuS7WHCJUOdJ7UZTEaSwE+evrhqVB2REzK0UAl1qqi9EnIJqDPtxVcEBkMFpuo hssOjhnf4Ldb6xpyZpRPzy8jof12L7PfM0EbvWKepzBmJLhAVXUKClRUD2kv9NjnQ/+++9sZtkbo YDQPUH5Nbh/llRw57GtuWB0QHmUNxr8vBYA7PJgDZC29/tI+HxTpraY824eHKmlIAeuJqzozl05N lMFOCPxW5sNOswzf3kceZySWCJWvKUIT6slZVFPwiwJtJzClvrijgvomipAZMkOwkcWkgGO58u6u y/OIbMupJxW6867b1BajahRdmY50kJNMiG8dbTVDqQCac1Lnrqf/oZbP2fP1oDClfRL5UwULU0CC PxQ0Iu8aXUBawlqqf+7LD1K3jn8tdXAewpIyHyiL2jT4/yJQqCQtYEw8yS4klupmsIssoB3cyDrV mCRZ/xYUPzZ5W72WBPkWQCIpC/zhNx9dAkNM32Wr8kftM0mQGVyCk20Lpd75UXl1JjZzghOwXsdb 8yEIhw2CFLsHjhuDE8qtncfEN7IgptiSG7E/FlrsZNI9pO5Fg3R8jsD4R2oHfSi07Q2C/0irTFAB iNpAaUYnHHlp2nNU0d2PgBbwLN2j/4vXb8OQ4KhaB7RRN7HTxzVU6mzUKR0eeF8LMDC436XgEJmR yVAaykXR0DiqUL4DUB76lAWIr4xKtg/eFrwtWCgFjS3PwCrhnzs1vas40aMSF0Oe3AIHVQ32tfA3 8RSRNvvJ45Vc5tPCwhMYmETHy2ONHfsv43juCXliO2WG/I1fhpX3m04jABC726v5BEaCJwu0cMMe Q6+GMb0D5kXbiffM8Kgic8f0Hi02Q0ZpR6xnp+vQGdxvCJw/tQadqzbPv0uil+RYtvky7AMu94nA F3LxYQaYV+iRujduP0eFc+agyBS6yfUuPF18e7XX2sJql+GHAuYWoLeKOfUvKyU5BoOHD13hXjXo svNZXSIE9FI95FM3tVhyJdCCdEes/RXGl+NOZ+Yb00mkOB4Uzy0aKVGooaKJ/jeU33/hCE8w7E3k hmhK43cyUxo6VrSfIH8CiDPibXSRNuc5YH8bLiN7XCILVT0QdLhY9VICgomdjNEaQ4wJPFEYSz9+ KfVnql2Pla4FUdTvpHTKaihwcbfNUEUMGxyFch28rQoE+ShuCHguDpo76y8/EyxWQaPXr73boLfN 9f0C6bF7oSl8SJxuNl5rJ8leLA7U8CGPQrN4/3E0JP9LNizd8rNssuwv0dGMZOfB9Ko4JrZj9u1D e62DeQFUO6NifJ7C1tD6wDgCIMwC9BvuG0YXfBKUIJ+GAq4JInu4nnsXwKXavW1M96qx8a3IsFl5 M2jWxioToIADXHtA4uhg2wpt3hFey2P23/LLYqMk4nydun4kSgNAM5UBm+wzDtmvTTsRCGmBzDps CKArTi0en0jlOlJGDpAYGulVHJ/jxgt+OtrCds/G/fB8gwGUXTh8BupMup817yWAycw2bxMWNYtV tXf2IYKrckfuFQyOc7iQZrBiIBvwkn/WP8HunUQwmNUnkYLtQemvsagmjW9GCgnXkbz1NZ2orkUb imElfw+QgfGG88Erlev/5Qo0NbFuHdvoioR6nLhGz3+A1aur2qRjxZGqfRhZoO/+4BYyovz6CF80 mMD+lLFhC2TfL6KqxOmEjHCy9otbNzdPz263WkMPTqNFeljfvrzZRlNSBhxo1Kh4+PzTziXlapBV fqTetcxVH9iGFIwIkcO7d2lEmol3CHyggblQY+GQaaSe2fc6WSv14H0m/K51qzU6ysf8VB8hG7Mn NsZbw2x4hNEHtDgyB1yczVvcSX0rS6S02kOnJyZBsYAcOqU8sJxEkuV+8ikR/0v2uA9JxSwL3Wf5 o6S3g5Lmp+Nrb0eSyF5Kv0uCpcnAmsll2yHGCOUA8llUR4iz7QlySbiUaG6iDa6qMbvlyc9zwKdK cjAb2Z24/hAHJ2i9MU5VAs/82D1oNaAxyUuGjOaMU7dbWxDGd81RNrEqRIsN/toz2TbsRJJkhmT7 vhnQuhCe8HnHl3VqR6kfjfy2HgEMefNJCleHX3mgC7yPJ7Bl0ApjvBWTRC2sLRxs5MjC+2rQDOtY zInI0gSUr1Dj52665rWYzrKWJGeHEImTzurRIg/hxnpVucUf7gg9elcNSEeXBS2j2jyZ8RiFRxpo UYTCpBBsRAkPFSLHpcUxvDYlib4yRsG5xMd8k94u1KdQ/NDEfCTXNME946LGuiqDnGUbMWMl+jqB pTwHfS7rlXo7FrWqGtLQ9maeSSdOoiwsRxBYgKfXD6pdtM5cVnD3J9chdSOpIZl7067Fev4MHtJQ fdXsHy5n8mWioMdxdhPo0BZ0fKvkkMiAzZWU3DqewXsGW5SfDJVsaUvDuwPrInPb9ZkKPIczuVWy KmyXSrm/3kFWQToIV8iemTie0sZNaWOdpZAzyTKCW/kO+WddlDMO8HfKS2KN3WBzfxEm+34pZ5Uf TIupcaOGUAkdizFhIaw90mUn1DoWTcr/LPyA9Q3h/coHVsqSnqoH9mvmWNaO7Z0p3rxxvp/P8bJB Mmw76fwifhH1s0lUtfoEuRoMVCGrOPPFX7eTAyuTD4y/NTKSySJnT67me5uegPj0aJtxI9yXoahu yGMq/z4p45/SYBBTWrpPkMkqxzj8iJTSvQxPrN2nYzrJx2mAS6sT3qabx33JgLN4kheuJcS5NdX7 6utXSyAf0KHq2tHUKP0k6m5LDiq5Eb19AI8Ge7IiKAde82ytSQdSbLAJiQsmW07OKIFJFFq/9i/H 9Qv3i4bRjs8sQbFULdCbDD/MIfbB4zF5me1wgB9c73kRYMK/iGxHsSTqHcHw6COdOfLYVDbJu7QA vRQK/+xax5oWvJc6Ka+UVgyEp4GF8ZxywbPl2MMSzYVPHlXp2+JoZhBrzbHpGdzJa0k5lkkWzxlc 7GbtTEdougsEWohYXvD0VEPzRXskPO76eMB7g4quUCMzDlKHvmUfYzTOV09xYgxjpjvbn8y7JqB8 WbPrR+rKdJWyQ52GOQFLJJ0tcfn8+35g7yroCalq4ioqY5u4/8wOlXVOuoBE6kCOXhytlprlsI2J j8N72vcpSdAM3WLwbUFnFV5AppZPDWStO3W4NtBKcZqY8t0r52urCAVkDFxWU3av3i4BBDT88Jxm 2FVp2B9XkPknq5/I91j5Mq1nfF8DW1cWSm4YeO6CMsx4B5wEOZgeEo3XWQgnZwb3l0+h2NuA99EZ AS/DPrRgv9uJJMFsiPmtXH9bQOFyWRilAm98arl+TPX1xg5pvER5uFA5HIgD1lwHfgEL8NYMaOqw u79i4l4Osp+lEYWx5aGbws25UWEDj3UNCfJZIC1FJA54c7UDDZAwQuggenJ0Vfso9M+EHw+8uZIQ rPKaZdPzFnH7YNreVapKoRdSe1XASXLATrXsOsPj1AgcUOGeEG6Iytdb2ZZKmITkHEorvhjRkz/W DJ0h2MQ0KGpcpj3iojlJOpP1h/gMJfx8+iVhFBuMLbjh7us1mu+CoiFzytAyaC0gj0ak4+ffJidO kPlJm8wWEe8oDqhngiB91z2euFh/3z3ADlcLLbjWg3bpG+aFoVQWucttkd280jApR/S99n7qpcXe uksBRtY1vHAaoYvanFQM1h5+riL6f7/wBohXcvLyeddqeNwy5HbQpd0Bat1OJpVgJNTMGN84wNap iq89gEo4+0Bs2UEVCpEjoQMeZNkpVL56HB68PmqqaC2o0m6KJYPO8OXwUeINiUuKYnjOsIKxydc+ PXxb0aUqd2NLGU6dQLkP4+g7k14EGgRky17WjELOss+Jh4HSCwKOfGZ2h+yYZY8jBRg+iaUWqrgf q0loP6OGb2uTqMK9aIaYuRREnGJwT1p5RKCGhQLQ9b6xkDEUsV8oybWbijCpnJ/KB7H3g5ItdBbi Jc8B6J+h9CF0KfJ07IYG6OTRAkiALZ7C/wl1/tHTrJShpUhbY/dUHVTbbkGcZZfRrDX+BzKd8F3m PaNW8nKmHrr5ExKjk3SbRDspFaCS9IVRxBrkb/4zrRi3d7SRL+UXhE7g19kmSRr79fzXnommam7q 2rl34h/FspZBobzdSWyZPKJifJY4wnxP5iHMTRTY02WOj2uBqtHVu15gSG5Wb+a+hCn37mwM3eBw U/DtP+6OmeRJgQmN1TulVbOvaQnu7VrnJfm4dWd4eCE6KDAdmTzFM17A6+nCF0fZ6ucnH6UAS7Wh d1gFvraJU7dMdKkrwtaWAz1RpPkJZuwlMV34XDI1cubbiymbtyj8UOcxupywXzdXqOaL/aNPWdLD r03xXjYvv0T/8SSpUuvcYj7XmLpajIdQ6B4BLnu64Qur1NorcyK+wveSpxJhdXiDnrYctSQrxZdK xZnovKsjYDfSq+WpizASuuwi1LYM5aibW7pAT1Y2Sc8XqaKSpcYrruxSC6PMShwhulqdwKqgbqcB 3bcnIqN2wXERRjYUlq65Ggki/N7JEkGVAoGftFwZetDULnmD3mXclu0X+PBXQBDaVK0NV676CnQp wbvyy31yympzxekN4qbWv+8n67dhGuOMEp2cpkDJy8EkwOuUyWFw0V0cI2qW9NKLfsZVgPSqUk8n VLspEz6rzfGqe4zvpuE6dfuGD/Vb+mavvK8+mVDszuVnQWYm15q2BO3buugisgOWJTkpgI7q5Cre ml5SGunbho4VZ4UOvLFd6wIYFDh/sUkcKSnqpcZYCOLNLLe6EIIVRLmhXEEjStMYdIOqMdl541a8 jfev+iY1n5xYu4EQeGGEQz9kJ5L0QBXUbeV9rR+JvieB/cT3AwREpRzftZa7e+2+dwSNnQGr4RPz dkX1EIgJ+KoSm1zv0oJuuSckiGOEelPBPWWCbA4qesL16M7nsVbGTKl5DVhKpJsKgJQlLP4cAGgS VxSEuIpYm3nisX7a8rRfvqnEmPA+ubjxkRhI6axO32wfk4q1sVGvdNNuJnUotnxh/DJt++LWCAMx ZkxOAeNdHs4cuRk4WYNlJ6jUoQ0oe4QcrxyYxQ0MocezcA6nrKWM2xSm0QThXo9aauBYGILPkj7Y y3gHwIJQLTWFvvU2cJeb96SSYLn8nnyVjA/Nnne7JB6HnulE2SrZRhQ1rxZK2fDMI7CwaNFePzag W6kH4xV2HcXJ0zYOYKRxA0ibwjK3CAq4437M/jH3ogj/I87BYvPBtfVz/mJL1eysUjMQ8eHM1cYi sgM1IgJrAcbmk9VKFE+Wjq6fFla3Hfr9zcCvhobo8SuLRZvUcKAkPeEbMO8iVg4CLF0g6P0L8yvV oT7c5Prjvui+xnwRnaq5FbJq2f4YJgGVqzIr2kgr4QZBSKkWWUVyMjrNuynFwnRNR7CknI5bPYai JB83g/jq9CEubL2J8BnSef6dqSNMiSHiApNRHd1ay1aZidS8WiQCOZy6A10zwZTqk8j/dcjsRbhL +lm8z0Z2YrWIYFxBPrazEu+S/6iEwzLPWHlGiqjQ/u7BhEkbDuUf+zXfVLeuBFeRtAo3ktEY7vcm WTHCF8V0faistU1zi4L2ckHJB6Vuo/Wy0ubbhfwcQOmeub+hXfW17vfXzfTlZYtG6RxPe8Uo3HzR b/lKJt+Ie0sTYHBxWOEVRUv+HS4DlxcX1/z+nX9jM2d7aOmwLpy70eaqeX/ONI3YqGO3JtUNq2eB QzUof3MLpz4/aDsM45++ERdy4zQ96D6kfUjmHBrrj8FjSwi3569Vzv9j28UgWug0bF909BYpPoDL HuUVnIFTR5JN6fJwlF68R794j1PGvEs2wFXPCV4rliHyyUhu88MBAmYJzHdDlgBrccGjJoKU97MU aho9u4JJy/t+GKafMnLr/fW3tJp4bwg6uzkYxffX12oKD54vAnggai5oMVE3FXdfvWCAjdOF4QeH Dk94Uzp3gSfFbinxNS+xFKOzyyOpObRVtw8FjEHTZgJHu30yufm1oiXrht/vhNxe+96xuAgKVMkY NczJZ9xHoJY3yaRj2ODE/rf/ceQRZO7Tb24gqV6uE+yCdJ2k0VKm9h9etftr09BCiJh0ZVfQTJmn IteN+RtXWADPyt+ftfgc5n8lmWzfJZT4xcfSman93KWe05Kz76AnVz99V02UqgfFc1BLo/ymrI2I tWdABxV7qfftwex6tL5TexS9KBUfRdDTuUrRZK0huGdnDsdmweenqCiwG/BVVNtWDnt6RxBVGi62 Fs1Cvz0kkHVzFkSApzJFILDWum16YiQyr38rugEf7lkRLF8rtGpbwZqADhP5V33wdhjncDAIstTw UQL53OyZdWpzqzxBadaCAxtAs7OJYhRCqWtT66c911GuyG0rGjqgERlPC+xlHqPAqSsMaEM7i1SR vKr+l1RmYLk+Yq4s4WZ57M5PQVYs2oBLZRzLmxVSTSznR5il0L5fWPDZFj6jUJk0qKJNmRiEr4Lc xFmUzkAl4xzJDj3LO/VPxNZGm0UfSfcCObyLqjwPVBFMNpvU1OBFdZooj4wlkEeyi9d6FMmP5xLl coL3SiBs+WXbKDh86srD/xONuSl8KhZCtRYEUeVrjjyXkYD5weVSPCAbV09j/fO9fCjV3ojosvNI tw52D7gDOIHwQyDKmAvWZy6SsmuinQSXsxsKrNkh+VfX4Gmllg+J50AmG2cZ2nhUWXo9TBjUzCsR K3yVPwylsZG0rgNBtq5PnwzqNydZpQMVCUKHrUABJy7yE9HLduVrmk7AeE0i6zXWuuBG3NqxURKk IPVIQxxoJFFFtoZncgB0Pp+I5dfY7XYPGaf/fdYjU9xTOEeipd6NQyHBpy4hM5S7fTB8WDXKqFNp 1PCH6NBCEtUiXRVgZlBZNS7oiEMX21GMTuOgORgDxd7zf1B4n8uAH19seq2/QffFmA5usSVzLNqz XBDwBI9737ffG6jqXxdA1nCYT+hwMK1WpldersxQp63LhfyHnicofpct6bcuLC3uRjlk+8f9oFMs IBynI5r3I+f/L69Z5HnkBLVoSdFMTJo2F5A3sg6/YPdzsPSDjuVv83iPd22cHXeg+79krMdXHKDo q4ZFxNQZkC5hV/6CLQ0qga+yDj6WWOUvqiC271ImBFZL5ndahsEetfCrdkhUwJAXLg2kuFYYmYXC 64wwS1p7xTP/zCV9WRx1nDahUHhppHSEApS1Dd1i2zAGWlMHlKJeKT/X2u+iUX15AyaCca7Uuikg s1HQXSy9wcITBO3mkNotYNOWUQhqwh+P9/Ab39A84qxyQxvHmoh7PixjQ1Kzb4U3RQBMfWKRMie1 afKB4myCk0A6NBULQ+CpMZ207eC1XXADqNbWVHecNQDVT7Mre1Sd1RwX7KQ2Kki+E8d2WxHsWR7H r8wOTsXxAA79cLO/4CSXEPacP4sKv9B9QXShwViZe+VN9c6TfLjLbZ0l2a2kC8tHuqRUbryqe08T k3Gx5MQ2fH49vWnhr9lwhjZb8XaXak/Kda7otn2g9dTCmjVJNf9IP4Sqp/bN0qft2BwwQv2cH0vQ 9X0Gt6/rBmrOZpkWYrpdcSmvAnRoJYX/yS6zPlgL/i85fkZV3GxmNK+gdIQ+Umi+KcwHfGSr748E Ar6fxfcZdexK/VJiz2Zvj1UfzR1v2uAWfigVMfFRMuYjPirWovD7Qx7mOFMecBUKce02PEoUZyYu c1vuNKKOe6D7hGRoQDwY97F9xOEJDMdl/RRg1MJZ1LbxcMeh7fvEJcHVmVyUNLcuApZi/563gvDg DyXcqupNhyPKfNhB0bymuJSF1xndYiVDuvp+0zGAHaaKCX13+hotRMwKG0gM/iWm2WSG9zEs/iiS kY+g7wHj+UP2vK/XML5ZEDLS/qacp1PjUDpWLQahzYb2b9ToP4W7rMy2RR4hBRzU6ehUaC5atdtR SycSggfOaSW9Cax9NXoMzn8eNFL11wyfBdC0YdWXmxGO9vjs8s9uaqeFMAv/u/hYdVUZ9nngwStt FEtVbuOe23JOOW0Vy+M1/Tnjs9onQhJlku1nesUXL7TbCYFfM+8uuhvjU5otpYyLpACNq6w3Tdo1 +kwarveO0kT+FZwNEkjz9HAEjoFCNC6kIgB8FO+X9NJikjT1lgPBdlDe3iSjmF1CIvdiJhYK1Sdx 9sBLxDD0k3c0Nv7Joy0hnYnEaYWMeXehB8+vrZpVaHI5j5X+MoR5jkGn0tiNTjKrFe7QSAx7DIxa VrsRkQJ17E7++BttqKhbVcTV/c6cHNYXVbvl9CavhxWcKdKgUlYY0QkfCXXEvJWdaQhhSBBlu99o zp4X/I6r++3iWFShcQdlWXshcKpysDEN1w5yVgikrQ6r15bxaxoPUjHRWIQbTt6+8otBfpk7ov80 FPWgrq2LRPrQpEiNBvWswNxRIiRHqxU2Op0agflui2JvZvuAYTWsf2pW1dyKMive6JRploU9bzgu 7QfVNpGLJpbFTFjFSpMVd0eaYXpuwYrjSOx1ay16UkJufXJcDok/Q8jd7OlswvU4jllVrAoT1ND9 4uiGl06OE4Z9rw1TkaIXPYMJySpFyVIuIaeRMXiGAwiiJDBl0aoU1yBRws9VKdViVvEvjCqflAhf 0IEIniH+tnDq+1vqRbVZPm87te8cf+2Xhrz7IXFXikzkq3ug+BsPaBGfOrzvRFYswAH5vTk+6CLp bRCtbMBy4bcPhjWCGA4mox6c2gXCblYixGXyIf3PjoJbEht14kFsc99Fpa9mYJrvoI/3fizgkpAm j0S9+vGYN2TOTUWmst7eHl7xaemjvNCCca0Ca6jAeVbx/0pFlS3+8PYvri4miK+2o+I0VtCRwA5c VY70THZKqPUFHS7h+XlPtQ8pLeuvULkUr/fnxLcAinXLTnpQLimMoPypZg9lIG6ea9NemDUCJQ7j Ugq8U6eGp5HgfuKdZ3W3P+qIY1ronCQYza2UCyR7PD8MkSNQGa8TQwyaLFNc7MTHKQoUimFgi9+4 jTF5dKnwxlCact7xAjXqm/E2QtqqeQqwc5UDCYZfoNO5Ndkk5BOg80h3g00jzH02EQ/TUoj886u9 PJIlBNT17XjxanfEiaxqnIG9PFoeHfwwFrSbhvlqeCzguKGsWwyH/M79XzE6spymoQiabLXOg0Z3 XgGMxQCv4vOeYACKiNu6DMWL7YQT6WWPm/NWN8IXcxe8rir2YCEjmA2o6rhbnOt1DAouyW7AejKt KU7+3Bdr+jikcZor81+AJNCOzovaMp/veRMV5mhiO7DL6jccqkljhkhds1SbZXdxYImEBqhM1pCs cFcc4B70O97PuyxGf6KVjSecCd08DHyzyhDdC3c3NLKWIk5o3JTqQYRpycL8H8mhzfYYXapMOJiS uinojvkc61v6Sk1p7zHPSUmWMq6u1UdgbLtooMNZvAy6w0GfINzLe/E9q3sQe5PBaDdTq1PsUnH+ KYChQVAMB+64otZlrF9J8EaD5sZUTpXtAWaWy8CvZTXJfC1p8ewbfoIQ9EmMViEXtzHlAQqskuaO puffJzm2byq/zvgwtuVDxzHiu0d9K6l8I+M3YMA7HoAfj1W9SMROJHJVw65Rr0MEitZNwR1Ybfs5 wJaXm1xVoygy4SylSBMMqqaZNPdms762I0A6DiAfJNiFa2yOHdRTu+806lqI91KQ+j8mMrLDZYEQ Ar82swIfLWsWDdN8KOdUuB0qckHyZitngj+XZiujM4Gsz5+9K8Z4rY2FBnPfWEg+jciwP00mNVEn +3t17PpYs18iuj4ADCMti2CV/8J8XMrdjEMC7VBKXk7TEBKwbh4Ck2NNuG2CukxrH2ss49psYx+B RY4JQnb1mZUiTSdXyZHCTeksu4tNESz0NC7iViJ0Dzkv9EykpmdPN/m8kJv8aYx4oQrpgx0okmCf QtdbLse1CJGucNQCeiRdqAeqaY6s5idoLGU6Gupztq7PX7SZnXn1iiFyGMPJiP/LwZB3DXjBBXBG KHM8AuYsEz+rf22DJ+/323hdtkVFDYuU74GEzaPSr4E7I8hbDDgzEmqn2HdhvfBUyNPkjWTDsBHJ s9C1tYlqf56UzXhLKiEUSIH7i/+B6D0UZjxftaX5QyZgpNOiAnf8jaBWOi0TTWUOEuBjCJTu4rb2 Vkl/vHPeXO93OJQU1PEdGqheNqRTl/LrYxUcledtDxkSQGYBlcYrGN8M1L/ULqX1aOuycsQMBHal 3+nxDe+JXnXWobEDZx5TeOyi6Ifj8R5lTVq0RJXHvRfOBJv7l+fMwv964BJyGdM8+1OHkOE4SG1I PX5YYtrYDxux3TtBifyBDPisYjXyGDOPCmHUTV12kDix1a/HyiN60oRIu++7gBpDL9qSdOuewaqF IZ0ywWeCvOJrIhUADZU36cvSN7p6ygncgVJoX+eu59X+RL5mx2C6LoDj8XdeZGLWoBBATIfmi3Kv kViW8CkwuTMyEwZC4NdRMSFMPjcMd1hu22U/M6OfXM4P2xXOdiZSTgAhQspOqqsBJynvcp/MIrsn tIyKIqxbun0J/lemunrFBqwuWkRBWZv7zleSnqavE0zfR1aZaoHwbOuAU8EhG64RLyET3WZVRSRp vOFVTwBXGENf3tmD6UtfYEkvoGKzWaoXd6MjPAtzIiIMYPlhIO1/8P2+06f1fOgdjqYaQ6MckNBy G5auUXq/9AGbvGwApeVJaRGuc7VGE8YLbl5YSbkAVwWnyP80D74NiWM6iU4KxTOcyxGue9z6N2Ha FRzIhJjlslv+hBWRaNPgKTSX18V1g2v5X1QGSdu/vQUZR1Vk9HU5m79ViPqWVbqf15jRdE4HRRZX Y/LG4M7p0VuSFR5pzUDYKuPpaPsNjizKEnFi1sE6jdzqswir0YQrL9VMiROorG6MmnrHjBHQ10gu k1z4a9jrrtyQzl+7SaFjllmphfMEFKP7u/7NthnJO9nEz0sw3VIz7TIHsaoKjWW+VYs70hGRTlOf vsacJLuVHGQf4Dz3v8c/II+3e5dPVulGMqeQlVrIA/XZb+YfyX7zorXjSM2hDE+xJOnFSAQXpzsr WGZu+ja/tBYKIEbbTeNrc6zloE0qFAF5XqRMNsMnnVYRcwy4HaV4Y7f6uCalo+MJ0OMQffPKtc+U dhB7xTD1iF8AFjqeyV9KPHM8KeU5C5FYzGOJsgHQv3gZalRLq/t/w4ys9i2lPBQOdjGzYR95aLEZ X2hSHqVpog5D+cnPHDHdrDF931DQFwEX1PGOiwDGEU1lYOnGYqCUzNRJdqbGyX4CZvKZIv4FNXTI AbInVDh9DfFOadmoUC4dzewHPddgPFBAkMkYwbwYsERLJYyi8o6FaGn0SKk0hggikHDJXnYBt/S1 28VMRDeGAzbB96ulFVcsewil0ZSoNYXA0uq3WbRVA1ApyYzC/R9ibLlHMKynVLyhI4FhIpNcI+1e JTnSQdI9KolrpcOMLXZMLldBk81AqPESR00atYlK939J20M2FBoEhzm3fa5sj+NPBweTOXCqIxC9 h9WEbOS1mZhgqm6+K8P7dft5eAqbpyccjsNXmKyeJVgsIrfL4ZbBqTYuE+iYtqNBDzAbKfSvSln/ GAwO/yZx8rkHUFWGw8DBx+0LpOW6eDb48qsAtFfreouSsUTjUzLcDlGn82zkYpuAA20rPRe11yKZ ADEkb/77JgJaS1ITtm2yFYqUtF2sdFYcCdq40lZYILso31+7Xz9C+e3OO8SW6KTY/2dMB606VymS XMJo3UZQui3HUJPCOw3iAz3Z6mLUVt7BRRC+DOwx2fAorVIf4frWtcMZ6r9YT75jBBzmARidwNhx aC/8hKX3SWxfB9zJn/xcTmXUiSXnxf6cM77PEvJC5SBmHI+hxPPexYOWui4dVHoihuas7v74b6zK 63ZbkJENCWijS46YvCqhM7lKQs8OruXrlF7MO83lGJIUlT2qaTcj+oofb3W0woOVspN2vNB25ls4 YAq/5ZKiHVLgiGQvnIRWcBLzmk/VLAkmk7Md8UBFgPkX8YgoxsAfKEKyFoNFvX+xBPdxBq/Sm70U 9ZdibwQ8y38OkeIrcDYSpXz/HsFshUep/6UXVvc/WMSuFJKxQjcegP9lUJ25YRmv2NxCeaY/1IaU 6Kx+EB+wrUFLAxsoHhi+gOCuXvejFSxu1ySnx8HKwYA4R4W1lY1viR2M8PuChlC2nF33ZVHXJ2nQ DxarSQnqlpDvrVKLkU2no5WIDQVmbynt8DSAEWqJngB5ZQVFTPDbPjf3OOZnWb+g4ylAEOal1IXh r+ahBktvSdSsEAC2ipxUYh5vhu1j+0r6OxU9kBmqYhZBF/s74/sJsAtGTaDVGape1/a59ZypC1nQ a4D88MU3fMEgDrq0KgimV80qiyoAPyZCyhwNF3cNSAwyqR+CsVMcIkkiGwcGPnCdGLVm2FusRjW8 B2vMSrX2MX5TTJqpjhQcfRdfv5pu/zQAEnVSxZzlxA9KkeurwNCXlZH9NrHf483tKikgz82uYpcr zmAqP173dzzYrKulO4X433vMGW3EBZkHDoF3OL2nH23aJzfyF7QGWCQPpQQEUdhsxg1x+qA6dwQV m3+P/8NlNIJxzxtijOTfeJfd+nyUfR2ZCFanVjxzJSKuzL+Ct1zfEIR3ffngBmiuSyRUbU5bYQRz IA/4fNLcSS7HcLapT68DmS3fX7pLI1HUuNDBJgz+k6VTYN+0acyYZ3FWskY2A3HpNtvN/2zik8zT sOrrenvlS2J8NoyzpLHEbSeF0JF8jYJMPOCobq6j7D0IoLbYOiibljzBYuatKndGDJDPB+++UTuJ y+GSDRe6P2f7DIAVe78um4Zer6v4q/qudlM3gSdq/mVXxUU5msmjvaXmA2o46Ez9uyFccZOrHDEQ EFaFOh9cJI/8L09LsH3BU9gnTzzpe3Y0D1hpP5YfrRGI1pLdw4yzXFKfnHEyE9cOT3hWlmMxFLSP 6xo2+MkB2vhVC+Tq5fOO/otnFzBncr+pwQBjXDvLwEm22FtdlQ+qH3fsTIW0UMYVEV/bXwN0NUg+ FSe0yQ9TfVRbenHnro4OIizPQz66l6HvZtj5Kj+I+IFtpTmMpGCf4mY87j5pHG03Sn1c5cys+ugh 16d1BadISCfGGGHOfOn0lIAzL68iqa4mvxE6ls0GYHe4/ymZ6liKE8x/37jip2+IovIQmiabDtyC 3Ru1E7nU+eBK01YnsUxKHmKZPfvQpMmGtfN+aQPq5woabaJk7J5Q/eb4kUFczyux1u10U8fT2dG8 Nqkd2o5wN4oHfeq9lwBjgFOnh9+ei0Z3z9Rqw3zd4uMZSC7FAqht4ztzl/ELMdIuBxTSJ9jiUASe w1WnYXBc9X+EZybEnUwa4ZaMczdCqWfvQOR2HPnAf9Zzt/8zyXW3QfJXBNDHqr5zLsh+fAoHi5aL 6exI9KLDetduu+CAaCC8xj1IDKZdu/QDqxB7yed6jOSiTVIUUer17bE5JwtDElWwpM9LRXFkNhj0 WY+RE2IYkH/QIwHi2zRrX8hL7ief0FH4m+MaJVXMltKIxM+Jof3IX4tyryjg8pyFE1QDmXQXeGij Ns7vatC0noLvq91xL+pEOCRIUkyrmh9gw6r0w5p5h+dwmMahv9DNDj3zP8ZvLIo86jhKtpKvPKd7 09EPCG2ELXFpqIZx52sT7yKI31aeHcPZLyR5qUbSpdIuHnA68X0PpQi8Wj8jdJlbGOsMNHRITdCv TOqyiBxfRy2Msv8F7uVyRG3FMzIxYkUfM50hLEsa5U3kiD31pXL3RwXJ4aTV7UjagZAg31hB3Ild R8u2GEXmePCvaPYJUQKS/PSFf9n6gu3Y3Xx1yhQ+WqU780l2MklO608HvMmeqIIF2ba1ZZlgW5F6 6R4EZGB3JzF3PFttbqEwzjArAreaUVIh53RvKsJKyai1S/UWH8DXNw0RFueEO5h8DC8ScLyX5xgr 6pn8IOo8TWqxOLL7OffYMIBTgvllZ5enpdzNAvXuHM95eW0sUOnyMI9wR86+m1mH1W9Z2CF7ccOM 4jmjwPE2pgnO/oPnZlFUNt5OcMMSlscStgTIBc7vCbu8QHX7Kn6fqcYD1yqzNfoJ9k/68jmPJ9JF ubiorVUxDc4NFYkQYg1XMoBTYrJgxUuwUc4eHkK/A9uYzvRrTYKo2N3lLWdpGoD7/nuM+8PvrlUq sVeDz/ivIMG61J+DruIquOqTOB57hODWG3k/dZ7Z8jqmK1q7Vf0zuRkRoL7T1ArTKz5ApG/i6kx5 yPDD5MnVi7RXgoa54lfAtWbAOuD8AjKX+bfr0bGB4MR/YitnTd/oKVoVY5og3Y3tP5ClO+krsB0U VEqZuFcS71qdCihUmMh9V1qg0cCxims1ouFLb193uyUr+ZwIP1UdQvF9gYh1nOKlI1a4vzNAG7c1 q2CM2NyTi3vRy/j5k1lL8ASWqIOZnvMXadqZkHnPs79OEOeBsy+e2QlQ7BjWBLZD+1Zou8o39J2D ZS01idcS5wxp/OVmHgemnovw/1EDeStzjU/3yDdY66DwuC9JFHJ5Rilu4GT3Wpurm9USlRh0PYw8 4W8Lwuy9mAq1r864Emp9QafoOdRLyxMd+SCwFuHpe44o4PEyUziPk/E1qx+rPh4mkNq/j2K3HM9e ygkO6e2oZi3tC4WL/Glnf6H4MzdSKBKc2LGEOhLAH5Qp6owSMMiWxn3qmXDtVKiJVhGF2okvP6jD iv8JIE/cLYY+E/ke4P8OJpFkzQ5XLdiptkBoEi+IVo4PcU8GFCk052KfUWriliMWlS64tYPVuXc4 btUSo8QEuJseZYBdeJfVxyf041ZjjpPqXooo0UbIpb5DwAeRxwkjI+WG2b5dsvdNaHdQxCYY8AT+ jdKfhVWgS/F/mRYSjGN+Ii90ZU1loCr206UmQaiBYcuJ4G28kqNU84ZSMMfeI2Ha5kdJwt+29u2U zQwiGh06Lqjjt1CmwbAVkwNib4NM1JI7VfUGxE3ze4TBXvScJaSVNM3nhGM7uTtr+jFhiPUYCoUg OhqgqJxoWbFyh4dojGcgIh39AZOE0QL4cNdkBjvmCMFCh/ODXqVJMTZmj0w28BhmYRSufA3Z8x4t X82anIQeAm9K9361F75Auxyqyhuy50tor6mU5FzVLJ0P2E8EdRWIP2Q28Awkai1hFUbzfSMaRWMh /9Rl5ajLhoBwPBPGOXd+66Bkyk7KAlWlYwORkCNaPZ7N7Nwv2KWsLv4wvWP+NRY/7iB7/wHS2BzW 0I8n8H/LTzomvpGhndanUlOo1fzPEwsCxyhKiv1fNPVjGPRWy1DQmzfh677UuIV60+b1Yq1QNhd+ A4aK52YMVBNVQDbA6AcQ7UPWJOcWdL4sIRy8WQaAFGpQarZRII5rNoegkDyW/5uRgxFuBLamNHfg yys0iN646sR2VHZjqnXef7iH41VfcqxrFT/COlqZWD3URI10w2efrp2fa9MOq+c31i4nabNAqn0E AsLk8MglKsUVvrBe9HwiKgS6hJUkHU7FQxjVd6axw50GHDzKflbxvA79pxL+8c4xpw0OHPeTZjJt lc/M1EgKXMMFlWPqKadxQgsPRk1sf/56YXa8q+JLUtLxi1TqYPoLhngVy0cLAz2jWU/7ia6KMQis 9I/gNrcDj0i/cS2zckfavnxdCQFnqAkodHS/K4JnWWvrWeZkR3yzrzlRVWVayVG+2yjY+qjjfHJ1 liwtLB1gyzoyRjmyahRv91hFAQ2FNW7fixjrnpUWqiUJ50GuNJ0UHQSPdwuDgx+/1qPF7tRDniY0 cFWTppHFTsYu3C0XfOiW7kPQuDzNgHvr3znndPrj902II2f7H8z6sxvsy4goC8VwfbBGgC2ZZXVI gs0R8bhss40ZS8TOpQu1s25uAqOAMShokL4SzDu0CfYihXOcYunTloX4OdDtCWqyNrg5gC1KOkXZ jMImIMxrpamHFmk19lG5ujK5+XhoATjBO09Ba4ryH9M27mPOputQ4FQaLnR1EqTOJIB4Ac6UudIZ YrkDMHwazJYGIISjn4d51xC6d85H4YwXjMsDgUgPuBaq/6zL0J8XNrCD004rxZSIfs60JgJnO6nk ew5oTCycQydceRErbNJMhk83DNTZcJL7tRBe0yTCdBp+ARYgOVKiobd2XrBAuqCwidvCwqAJJ0+2 DbIr8umW4LlGDVFjCw195ionMjuVc3p7CewrE6bPGjrAo7uTmjdhS4n80XH4EYz57NqWSLPksJxi zKZEQego5efST8JJNksgIGqOnMIc1gtjmJO+51dq70sQRh/iXMwanLQJx1wdZ2S8ZLx/1oJCJ8CC 3thQQg/0wUWDzVgM4Wjp51wbYZyh0E70b62kbBtQKVfs2quYDOvDajg+Xh6l54BnC5oWBteK6xLr hFPnMyFu5beCDYvxFz8nA4TVoqR7u1EwW83PgAp7Gpwby8Y8Dk6CH9ayO37Il4TElcSSwQgZh/s0 Qxe6wK/1hx/PNEPXIpr9wUEQ6tzm7Gh2KWGFCi4LnV3HgoU3DJILLPrd0/8oP15KxkFJ4mFIzhaO MiXEz6BLLpQvkQD5Zvk5O2UwQt1I1K6KHDgI2RYuiXjdXAr+guayI2RcwOECpmXqIHd903xtN5M5 MQRqLaiWPKofYXrlAnmGOnOykrERifgNKEeStUtFp92Pu8lqAcN8XLdeQfwFB4fEOkhcJLfXo/q6 /yZlU60KSaqbO5AcQpeqkRk2AAv0YHthIUFNAmcMh4ZK9c+ou5CcmIT3g9xBNVrBy5qBACa008HB ndFG4zMYNf55I/JYjH3qKqaH/bGO8bPXG11x9X0uea70k/yAQXkHKvOUYOgln7HQnv+ooaPPUE10 Up9q8PzbSJ2a46YJeOHxdHZhzs2VmlALkymXe0qYVpqBojS0GWci9WBGpiMwGVhRJxZK5qH9kCFe U46tYpbs+eB+47hE43BT26GAANsit6+hg2/N7tlvxZR1Mxn3NAKTAnJk1Rw6dhEOymVrH3H/sPtv +IGViMVEtOtHVnLNh/1mDIVp7O6NWdVeFKlIA5agBsTbLm84F1NQ6cJeUfLcOZwS8jzgqhKDcpXW 5R0RapE9nb8+X5oOeePEx6WY2c3/zq4nXMZMV5plYpx4dJUekfgzkiOWEzfuhOlwg3l6f9XD36Wh 0CwFqJYeDQHEJkMrEP2ngAWsZsf4EvLNVQFQHHvhAi8+JUc1kJB94yz2zjtj5gF2rDfj+Vciw+cl vu4XR41zko7yk8xq2r5CRAYgKMjZZgDLI6fAN37aJpOR570675h4PTe5WzBKxVtDmi/a0Fil1LTd MA1xKXv1Vsn/JKS8cFOlZSpcvu8Jv/geN12GVYhTFW2GGNfaer41QROPpaDV+eU9lKzIQRWikUu8 nW6224v5foM9VkYgmaTKKBRFc1YpjBgj2Ls/hMUz9xvW5QpZ9Z2uzoPXLcpww3EeAu0EOfiL7Xik PIp8t165OBIV7gnDpfbybrwddZyPlhf9b2yw2ScnuIldYocYohV6CTCwGUkZf032s/ubfDkG3CWP U/DqE50Y/cgUEbI9V3DMi3DcTfn+tXHPQnCczlP0hhJnNrbGSix9wYHhpHsYNVyFyx/He5PUDe98 XK4IYAM/qwIWY5j9X3MVOzGVjvLPflHQC0XpufO41lWrxr3JO2TNZPIoWZx+LUmuZJajQqWjaVH9 SabZJ5Uoi353tuNgdyv6eBtYkSqSPn6hmts4SpQYf8iojrcpAmzrJHxamxqBeZr1cCvrVh3pgMCk NkVH75ulR45p7VrM8OAk8ToeY7xzr5rDj2CfWelqE+QgPIfZVCQGdyS802k6n7Y99Fz0TGNZur4a LEXT5bYcxs4lOXfhRcJN0eqzmI/ThDQMFTrpV9xVcXOecCzIpqDdvfKzxmUHUKMptLzyJ4kaNs2u gxboDbocjxMJ8Ypx3hNT/itzVXmHEnsfEwQeSoQtLNeBFWP3Q4wkpFk+NKFQMU3eu1ZUPQdPLfpY gHnl+DVeW+ErhqwUE0CQBmWKUfZ8kbWjXcPh42rJG4R525FUqaCZbBA4XOq+i01s07/sR2YlNjxv 5HeXnBa1W9XFcc8ro1H4kF3Hk0j7hYM8JcDa0MN2PrGEfegqo4J2UOGQdJN/2IQpa2ZCbnsF+kFV 9MT4+mp847QRT425Z0zt7c3W32IRGNkOzgRIMvW4tqSDwkEzUP3gIrePmVSBEYAvRF1oOQf3vUSr 9AbOK9vL94siJ4q7Bn9NvnyP/Kv1aPHMc43lHbtG6UwS1tGvG131UIBsVsI6tx4J0tiTNtGvvaQr DyWCM7hG1UEs9iEoWrTwn14coYG2souQvXtPmWRNJpHdNAvXgxmqJfCVuPY25jrO0jxR2iSXzhXZ R1rgefkpkYXtA7cZhzGNxbNF4XatilgZcRIE0SS2kApJ+D+0I1EYua0d2ypk7ohdBaTCdHOE13qS kQ+xNXPU79rJW3XXqKL9pfe4IVs0eSAeCNeVGdkfr0uTX8z9vDwUJk29k+JmhjBtbHd3I5NFKmyZ AGaRXwKJPhDTzN/gAlpUUjBml2erimqNbrGddvkkCaFylQoSa4b6cZk5RFSBmWbjSN9WWQGz+tCP R/itpil2wdAv2USX4q2CY3pqZYdg11nRgtw0YT432Ukdh4advgToIQFBC3JX4nB1lVDVERE0PuUc 3Vho2LKsgWMhssHzLBKkFHFs/3kpcTwtxnpDBE2sFnGBgjB3eBlmx+qILO8JdjPUYQ4qvpuTayW5 qlxWf4l10JHK5OfoBixDLLriqE3bWE65m8w0geQ0USeV9sm8YIonOR/nYB4jGIAeMMdJmvl01Mhy BGeqU6SiMV9prts33K7oMACYEi79gVnskqiweqUEsOVDdIuOCDjXqq+hjC9S11NRMxp3Y4nzxuzp 6YRcYSgSZom8KARNnu9zkdOSyWHE4jhYehLOxx8qEme3SSKjI/1FuQvfMOOEyewPVj2E9IKGT73P S+J/iohHuWuk7cKlr7BbNejIgTkB+W9nXNewB5kQIiVtefmvqijJCLh+ezbF862lXf5RPCQF6fmu JInym+zjO5WCU0ZMjYqbdIxXa9h5ZL3EYlZYdDYmAuzfh2y35yr38XY42NfUFj35/l34mbdjOyoJ 02fUX3QFDIM6grZBhlHs90muYZFKRMa7L2KrmjmbeilaUVaHh8twnUmO0ehfgJQBQHrS+vQT9K3q AYyd/EmbpZDUJG9pgq51wltoXRD5TkTSzcIx6kXDBOVmohdMHmA8h5NizpF19QKjbGNHavySr3jh fV8ahO/ThA0fQoSY1gf/Xz2fr16JiM6RtVClfyp6XXCpQbXwrtOw6R7dkEmKdbgh/uAPGzyPRtVH mnUg2vFNkxnMFPeci8+MJJGvlELa/EVYO/FmrmTRTeYy8Chcak/X/gvjQSKkYScbnqzCyiszRENf sNkntAqxBN+Hto6OP/3fToRI3VDHcgpKBQqHKwqGLj0KaTLue8IStnQvIZdngX2cPK7EkxbjpT1T y1WjXKkqK/D03x+SvdYkoB6tlngR1t0+UeB3l9Df3IgDGo2rqyaYnT1jun1skRlEATCxHjnP4zUD WgrvEF9yK8M75p0V4izNEk1JENFrYSgBHi2MHY/KUQuTxddizRz6lajeRdc5VMmMt3eJsnrR4cj/ cpcnB4SENBIFbNn6sKid7wPub7JHv0rxNtx7TNZwE5svnuko5I6D1lcidf1k40YZ9aW4+3IHHZzq malcWKU/3aZa/uS9g95D3ZdYU+S2U1qXdwsqIhI0+6r/zHwtDGsdgebytGdezwsySgI7DHT2r7Ki 3ZOrSuAO69jnFQZkIZx16EgXSW/uVvpRL+h54Lomg0EekcHKJdeTQDAv3gIb5N8m9wuuPYXSi4WT yV0Tc7P5JafQDprhyPiJC2qxU9ACkB0BMl/Lju+PGnoxZghR8wkWLYtZv6Nj0uQKl3itgXG1jpRJ 5B3z0cKH4nwdvwH19ZwoahMIh37DXYyzDq9bubcHNycXkD1e21/8zl+ubEJdXZQ8FcbF6MMuh2OL EzvtZUpfiqhbWxpv5K5cpdI+jGp93jWdnMiX8dSW2/jVyGq1xntYct61M+E8GlpNu9/lMumnQMit 1Iw0KQzlXIcrM8U1j7ZNKbTh9YrDvHXM6b4zNQYHKwVdS1ptyzp5cHT5umT1peUmSPo1FeznfWL6 +Uw+H38QrQPRpSAKGVnamnpQNJ0B4bKBv94ROv4fd3/S3Lg6X4BtyjVPfR/ljKF1gSHFaAJefKPy /l4Sn8p0ZXeW5gJXotZ7DMBuPFGcVEwcaen7tirsXpjRlcML/PDiuYo2Mc2gN5vdnvecpy6b8odh U0TenaTB8oCS0wsiUWOR1dncWObt2tFuJU7tIRPBoiRAUrFoaZWv7BltlG6c0XvCNfrU8pP1CjIZ yQq3d/k0FlNOM9tb76Ua6wmvwZTFwP7xlVPG0YF60MfIGHtiUz9d48QQgKmR6GNdpOCsdypykwkO 2sE07eQ5lEMXWmaiIOZhbl/s2OXxOwKDhNviL2K7poVNHEPwUokPa0tC966i6hNicI8LJmwz5zcM NtFimIhQPkAWHClabpqKTXOGENIAh7wyLWPC0SCpsKr1XW8WnmeqBbvDGAlnXRjK7vHD24Ra4nNZ VBCUgvbyubgx8iuk09LkYhneYe0rHy+uULF1Zcsplg27QxQ3rGqO+VNixOnITc25dgsu/PXd50lT 0ZBBQTMToK3qM1RlG27b7YZ4bvzICM/3ol8ir5XGh+clGMdJBSErfKaAb30cVyYgck2O2dinctzX tbkGdK1tXULdHBKMlrjiFUREjTDue03RJXY75YD5IbSiyPlJ2hGAgudvyoAptytLwEhSDMt3tVmT +7AP7jVa1aKUXtfhFl/CN7KhMkMHFoJ9HdLNWzdqeUHAJQ+tl4jM1p7yOGpEXDSSBQB/g3HIe+lR WpVB5xN1QKp5TzEimxsG/AGWE6i3c4IXyzJMxsCz+HZG+e/J/KLijk0H9jt1lSgYAUPLHfQk9zPK SVDItu6kiVJELIeoIPmMG+ZrY5qvRweNKVEJH1IYhRrMqAtvYLSu8/awRx20rzY6CE9sW4YLECmf mz0TUtE7DVPjS9hQOyuSMFSUuevk15/kSZLWNVSEBw9buSTL53uq0792fxOb4J0VPhZiKuHVW8g3 fSU8/x3wgH5X1qqEAVo2gsVcFryJUKPs/yZHB55kkJzQ8qeFmRAmYXx3XFbKhObp2Kv/xF/k20aV BLrZ2imkyBAMI2QXByjy2JXnDO7MGPBeah6dvHEJVj7ZF5n0D+Yk8TrUplNrJrkWnlLNMj5GPdQi Ids2XJxet1NXHT1qdapov82lGJAA3mZGBk8uDVC7qVnzxGcIB74cZEODcO89PPNhc9ip2x2Yg9eJ OWys3fOtjvW5xyl1pdI9pKcQL4hBNjyOfb0Kn/zwqMheSWKvNR0g3qHTWDrB+Gfc7fmq9DIre7vA QelXtL8Vr7v4E2R72LxVi0tFL0QP2y0wEGiFlGOJiuU1HOepD/BSdmVRpktrdqrkRN6geUGK0B3s CQr34k3d2dWbZMd3Ea1RnQq6Lhd9z7CaTJsTlxVqdeCkjZhxZYvHuP/ma/jn1uwudOyozCU/RW/j BN0pfjdNkeqDg2gjmnWNwTcIU/cUlI0/6RQAuSCO7cUdK+V0KndkzIOeiT8l/6CtShKhhcUA83Xh 3AXRA8c41GTeHyUwOWaRqwBhhw/oPB/xYkSrPInyepS2o40Yy9Ca5rStGxj1NeFDL8ULS5coZXva E/UAO+Rx2W/LQczutZAQ9uhMXrXuyVedAbN87WA69nINXtxLOXTOy11nXAjMSgmSNngUxzKDLmvM PPhfaoG/8y1ZSa3JOGBDEIwntZtrcBAk7SZcfb+J4/hK5nxu/HYRY38FW1vXtI9qYHWJCDOrBGUU G36iymA79d/AvKq0uvzpCDLHv0d6pNCUtLILTNFCpe1SokYnRK9yFAOYI8rZ1vehpGngFafEHSd+ IefRpvtFYyg6ii2OYL4VTgqEQ5sjlqWHkSWG2uJga1VH+nhQk24MolvTqvlHhHw0Vf97wc4XcU/O KVSOKJ/Ry19spFZ0Xlz76NsEFh4ynIMiAX10WETAXEbjB82pYH8n0NpyWG9RJ5gugGIOC7yx1TQ1 4VlhMcziwSMg/eki95FZEVD2NNYCl0i0Hh/jyGDvFQARYkpvfg6SysdaAfGEXGgAUt3caNqnvRJF FNYRVpE8a4pjm2oExESrQWmPdp/K2muwm0ooXAFVhtJ0k2rMIwtKEc65gRRx1kXmqVjbRRPWkDg9 rm+EJlFcksw1AzuxoMqbu2onilAAvECazTt//TONCQqzJt70KsBKuz62EQ7/L0Wf0w1h0Z3bgzMG Bck88/sxqtSxGy/moFP6gRAh3xDbPudzSedU9MO0DacguOq+IVEUq5Tm2b0DdrpcpO7XNuxuUEDw bI9/EfpB75GSOt7RexkRtApH8fvmry4FwpaunS5EDS4Mn/Zmj3bCjsbuMzzfFIE7dfrfq+8Fa82G rOZHc4zlzYF7vNwuSewwChmb9V6QMdJ2+sIcjmsx0lGuHSFDSXllZaF7Lu6Y3o+TCa34e84e+wLH galZiXISN6xFi89f40ppLwCiRuw2Jhq47Fw67FtO4AGLLVWc+JFtqztItY5T6ccSW5GtVNeNbetJ ZOTWTGLUeBlW/TGHULtf5CegHujabj8px3gaJpd5tf37Kvr0fZUiVkUnRH4eqZhQJWsWZ6N+YYT8 77lsCQkrWDwzfxscn0K/GXw45z/vKp4VSfczJD8LvK4U5xC4Czpr+lxZ2XqbVbs+V0/gPZwNijuK r2c6xoDqYQsoKbheagZOcwf3jsKrTFTJ0Llguwrnn+IPuYtbRVwF7hi21is/0TdE8PREgXFgHCpz 80LVMm+DIO7wO7wkqliWwmof2KcHVOkl4UFy1J4gPvEvpUwYex5Vmw2r7FrzjLnVe6ke/gxMU73o zNkuut+cPwPcU94dhk5ELsMnmiclRwHr0jxNnb+MrJV2YM6yaOWNUQ5Y3ftu3QGULlM5Z9Rj5y2Y UUUoWjVSg+IiSIBNGOd3l83e2/+mrIx6zCrazxMrsxOuPcSeSDH5/YxeA6ZEEGzpAfmUAmsRbbTF zCrvbHvfiDZ6bZDZSVhqfHofVWBJtZK517Zv330wgMCQd4/rqBxdPJiujDrrWcY5w7eJJHUMWrbf iUVc1rngwUQhozhMqXes6VghBb8SDvgB3Sar6PNRrH6nM60jd3xPmHA/OQIipgpwBEehiXPL/l8U YOGulv0k4ad7nlBLo20o04+51XfJqe3fMFuPLxAa9hm5c9jJIERKfejF/4mmhyUyI2ybjCIvMHr1 ZssmZ/HoDL9+R7OOovxj8A1Be6T2tvD8B1xW++HDvbBkgyevM0vbPv3DZm39F/z8x9C73cbgeNL2 USmUEu1imeVh/rGPxXCAuDlOKMnVKMi/YyG2OGJWUdJb6ZJg/lyNr0noYbO92zdVfbpTEWBji3oa H7CO4pd4okMRXQwNxNr5HyDjccrKbJLvRYEB5vJMLEgvpt3H+CKP1kyfgBa/iH3UzaT9f8Z3Q+Ye Z9FUbAvucYbsqftGDvoMLjHPtdQ6ND/GbgtvjZw1DaJWH7eRVj8vP8D3lhufeVwQ+bxSMLheCShT Y7x5gtGB/ZpNvkUw4b9zNWXDHuSQRm2NJOHMAO8Ek1S34FdSob2N+NksF7X/6BbU6WpsXzLXlYdc CGsiv2ZKgHRO01XCPmQsyyu6D2GliAzCkTXpY28HmGbUQ2PuF8xMC4gBEW55+xQeIwxGEt4jXOLf x+XppTextgzyIpIdRCMiGpe8JUfjrWPnBr4JWkmZl71XsvE8/TFZwMRUN68pD5j8IMminVZ3N366 Dvhgp/8GIaQjuJWnOmxrA4dGsCCYCsbZxYwgSS3YK1T/m8PtCWEdi0WzoJdHTP8IJ0T749S9yswi 3GEoidw5GebgWjfj8ENwvyC5p7u2vOLJMUdOvMHINbMXBYBu3HhwFW6MSnCgEmaNB1aFgGqWBZFt sQlY7Sg478rInqmlRLtvl7019OI5QmejvvQFtv7TAsa0EpDO7zRdX6ubZMD94Bn2qbaWnERQF3IR dUfjOPRU7za1jE8OhUz4BVVsuTloa069O5iBQGFZ30os/hcadV6vAcoOGlWhBqXvNRkygRSL/m3V Vu+VMjGCV2MHz3KOg+NfRQGswTG4qMZkhjpA1hXpWKP0Kl//UknI2TwoWv8c7rhWE01lGm2N4x/k ecYdLfMDq/pOpdZxMAf2b2un9aJI04gKigsuUFfop9Ndg0E+e0AL7L6vm4rgsnqzMe8gxx1YQRAy Dlt9UOFM8/9LCN+tqXN80H/CHzWStOnwWPUttj1X0pt8yis7kJk+rRyIaKlweX6YG/MNWMulht0H AfR8JKGMy3fGKiYv1OyT5rXWWGvs7RbvS7q7OZvRwZaDLqXqvPh6pJjt8kDV53lvOzxnoXvOmnhu TpMaHoLIPz9bLEatmmnP8n/kvkMHUpg5rM3Do/4/rtQxt4YI2IlZp9+k+CVMRWkFrJOhDjIH/U/W gW5Nu84GDujyysAG/7vhksHikCyUmWxAwpedDTie8r54P5VesbIlV1OWhC6vpxTD5tbV2XDF81nX fS3zAYj9RZjliPyYfjl7f0mQd5Pf3ZElsWopof1DiBd2ScJnaG0ZI/C4VsUHz2GBlPR+RAStbSHd MqGRWX8mj6fOsyh83LaYiXbNFt+FdJlMCaV+rHmLMCWM6YLgtqIi0FhozjUK4UpBlwRU3GEYIjtV MqxKv9umG7o9npFhaeMynI/BtnPv1vdDWQwSqBKbzdLkl1UkNJuPG5xT/LYPherWKgmGA91xxKoB ysVCocoEPBVQsuRTkj2rG6ksvPB/rSClHkky5abseDiit9gzuE8wFDbrmhSk6tzclUTX/3OXvVuT 4+xX0hpX5k38hvwNJuQ1Lq0Y+hM39rylGx2FU7cD6jfHjbu8nwDWuv5m8pQcGCqRqBi2zddZB1nv DHsm+nWaw2NVgZRj9jukWOx+05N1KElDTiAGt0PJfYVC71Pnt2Hg99W9dn8S3CXHfnhnuXc34q8Z Gj17jsDCXmZV9IEgcOPUup18jY5n/KgKcC0qfqpxMAGp1mKjnpQx2hKi5aGETTGk6G7MYR4hEFjn oOKbTYlYPiMpeNNhNSe85/AfF4c82B2tR8Oui1njMF0s8uJnTRUe+CaaBdvOxu9ianfB9qzNVSkS +/+xTF97PbMqGko5C8+I5hA/sZO1hLPaR0TxECY20Q8fefV2t856IfxJgYk2c+xFN07qYhzwEgXl plzMoh+eLK7bquErcBb5oVM0WEeRKIq3EWEaPfRXwqIf7n6L1gjNmiwB2maSlDAA6KxYYGT0BrRc ajBaE+e653FV2mqIXE+kyJ79M1T1u90/WWqHosvCwhbdobuCvXlU2tEnMTcvAP2VhL6z6Q1YGS1A ExSFBkxbHtgUKEXMS+hmj7Jm9l5bejy6ZtfMYvG1l+Qt1dg5QDOpN5r5cjgPeuw18ndWFKrqpiLL chMxaFe6Mw1od5Hx3rkkk1Ouqv99p1m51WtpegYgheNZHls8FFaKnpmJB2RazNOkztO+4gHF6Nj0 PJTbCmSWO5mV8FJQDM6HAsnn1ahEsIUhQPGI9DqxuUR0yq9vkWzdOpDJN6b6lYeBf5kZz2V83WDS EPs/RFmD/ewnHja95y2fRHo82j0X+bxHyvCYBXnOiYuHjkHiMvVTXU1uVTtjzVbj+vjStMzN+6v1 VADIshGETMhZ5WaVekAq0sfgTc7wCcwimivDl18irwz/9lHsG4crZgmmCB5aWkaFUIWcQ28U7f6k 9t0iGt/OSs2KyUcVDxrnSglRWfAluAMRop/FKFDMGXHYJVloL5Zh3V/h4OSf6R/PU3VH3IAoNQe/ /iasigl9YgFWViBbdbhk2Fqi0iK5ZJa9y2sKIFMGnoaJQvPdO+gMkjWav9Cl5E4R0Ztn5OuzN3vU lbPd5VFL6naoBIsR9u/gbaefKzXtvw69YCciDM0zY3+C+eZg8H0c8OsjlMITO42PzD9IFM7p+b3F tPBDoDmwgjmv5TapvwrKn3AORmr++JlTrJcUV2VTS9wXUIFjfrT9DILCaPJpW+rn8ffJXekCUnk7 6mVbhHUXF4XlIzgRruWv+gvmx+XRopfqEdC7DxwEgyDNdyL2wSrQn6ZYriHMeS6eFdg02Ss3hB21 g6HRk9olsnhhhHsfxb5ShzVELj2nRXePHMeG2m6BmzYsFnrfyjEMJYMq3EDqukZDhKhBHJpbVru9 j+E2z3ODfUq8ruhrBjPJTFAqJQ4UzDbGonI0jzOXDi2NRJK/EbABeI+PhSEXwzpK/V7B/WkRtYpk 2rs/4zQNtADc2m3XbS+uBxce9xJ41MeOvs4MEWoxtsWtZOJOAioeIwx1BXA/4T1j+F0B9oVl9swd Ecn8ZtReAXy5gbg5oodqlKODGOktfxYgOv89yk7HUS5bOETiaoKFwyQiNRIP51OwynOy1HxxAJvv GY7/zWQnL/5hEdsoTOCvpwI+fGz8N4uhT104egaGxm8VNTwcNgtr+QtGRlR9e/ZXlBHgg1DJulgR tLofmwz9nTcK71HEqK9dMJvHXO/Gh3bM5Z1006hl9sUgS/dSdf0pWwpgM8M8Fni3eW+d2dUQmcgu Cg62SHEd7szCHjqszRbnHzGb93M904usWahUP2hivWivG02vfJaDsJesjauCj+oZZW2o8Cw55DCE u1OuWfNLB8wYUAAbjS+qvrZS8vBF8BEGUBJW9b8yCzteZ/ldkXFq1Ql+K+2xhbsvxAQWJ5PSQpwt kLSOtj/oeGCbNpoEN1Fj+fMPaLgIudgr+ZfyV3G87SUUtu05I+T8yPs/1tbQGqX8qqIqPTc1UKO3 7AjSXL6sTyDRkdIv5h0fpIim5cGl8N6PsAhvhMu8cYpYuAhlbzwSXYzqn988Eeqxv3/nxulLy6Tg 48HIXXqrBNiS3h+MPkvktm/WE/84j/3/bQ8Y6iyaRa6NHqiTIHVXCj8yJtvcEOw90r0C1yl4MKvS nUMlWPe6i6rHLi4zvxPBc8heCfZvVr6prbLpnC7Ru3ufpdPA8H1MJShaulaEEYsOsCQX847pyO2x JlbY2QP8IppyKXlLXun1DegPIimm5qdHB6FW9ymgoWsbWA+VVBnkiOAGE17oddM7+WPHUQl7AA88 QGm2mcw/WwZt2kJVJ8BSWZc2GDByWU7wYEZJ2HwHDlWBJUPNdN6DqcS1PtY3CRJozy8xYjh4B9Wo VTeOOE65TlXUfkAc0s9aTWhPRz93hcb2X3noz2sxVbetcgVhINCieHgBb7WtBxTBgu+NzDMKr1qP Rk6bC40Ql5/r5rAIwUtgLZlBNLmuzQVR3vf5bvvkj6+O5pgT+zCwZCKfAzp5VJQ66nxxdHrmq7B7 1g/EkMLVbZP/cu+AX0B0MzCp3JJpNzmsTMPExtptl7ZzNMANef8sREQjH6sgybcMQOVv5vmqVs9h qKDu5FbNKV5Pf/g14kHe8DvwSwQlgBOtdEkTxWYutWaG3L9JiGT5NdqTJHzCqAyxilBwJB8Ab7rl 3G1dYuNaemU1Qe3NPfrU1TRHBhjoz3xVSAjaUwQFrR53E929D+rQU4ETP0mpPa+znUMNlfSHWKYz L/KUULiUFUhy1z0OfiSHtXFH1kthQAw4AFncP2/j5QYNpuunJIo7LeDjGEu9h9hEAzpd1f3vc/aX It3KnfPHnOxFDCV0Z18YNZFmezDby1NRPHeY3YtrSxbc/hqN7Ri6KBrfqitwE6iYpxeAL2OuSdxW ThfakPVrI01fHaZsHX5n82/GyPiiu5kkFlRVs8+MCpH7dujNf7acp63MgwWXfQlhFjr31ABK+B7n kpgq0XkP59x9WXnmQwt5gCh7qgWaV5sCSCvDV2hh4FUhxIl0++VfG1Wvkp9IUbZgB/f0bHlcNwE5 idBZAsq67vrtBcVFYxqS9r5JShdp4IhLWQOjTcQfrQ/PQopKkGE3Kiwwb+rmb7AmsKtUZoUnpWEJ nyTob7UTvwxllMx6js9vd7Knr5QW/wmj6llZFj7dcTuRWfHKT5osO8BrXT5vSAFwpgFvFFrbG6oU ha/IrE19iFaEGsjZ/l0+/BJisobHk2Rz18UrGEpMQgqYwJzSt3YNj/6GlL+EU0SQ6J1b0yMzqMhk Ki+HUEblC4c1kHpXznOzDWPw6lWpowAB+rVw7M+eTjuuhNxcLA1TOHpYG7/M+vGl6ZIg81flaCbs Ok/GE/yRx6m9Zkrx05XQIFZg5H0RQ91iZBlPiSYC2+0fEtx76VMOx4Jhq637OcYwTXa3EfBtHLfv Ov5Pwe/h/BBS8t4o+i5GTVgwOmxOPzp5x0zyikXGoPIb+qjVCUOSGMHqIhq7frHrnY78bKzwkrkl FwY1RlFd7U0szgkFHTvjlp/2D7Zc6AMq1br5q+vfljvfpZRFr5pkFF1ltwgj64AD+w89zzBrInoK ErX30GRM/5bQLqkVPOKs/IN3odSUYWE3LnSA2EFXuoOUmGz5rK9WoVVZAeJHHt0nRS/94GojO6Zz Dxs6iybKoIlxfFypwduhegIf+KOoquAj69CTtoj2pnU3C/FFlOTOUCb6j0DveBtOLevYtpw6km/W lqYdOgObl3d6+et1O1WiEMnbyTsHQ8oBUipKSjr64vSNkQkKuqmPkOSlmIaUO0vFT9PA5DtqPlbX 1359inrLLDyW85QT4UCEILtSGZ4U/TSHL43EsT2d+M8yrqdd4uuNiRBd8BUWvrsJTghGmu8DYaxD ODrMWpL9sHCdBBLX9Jj8pEAdqvJFMcwKVr1ltd1ZtRieFuZItvmrzpGeQkKtP05CKwbLgIU3xSkv Xv3jK3+b8FbNj7W2W5hNGMl7K3mSQ/0az/9fwXqksKcuAqxjK96xvZGCrViCsbT9YW6SAay3/lOT eStfuejkqv1jSXCz3il2jLwNFSh6FkYe6THBn3DIE/aJuSjOeXv5MzYk030lZ902KTrgVI7kr0cT vA4N5OMBGW70gZ6eK7wHlRr3YKscXEWGY1zAcJZmB5uGKXFA2zKkR9F+VXWkcfElK7uBilg15GxR rnIODoGgJSeqJaJ6unL0tK/Z/qsjfDipbzkb/x9ABonXMB7hJPjTidUiRxUB+Zkmml1Wt9nu2/xG 7X5MGLVGYGB/ix8DgAUr4qXYGoPJmTUIaRsri0iq6L/UPSD2zGBZOH/4fD53zcdWusr1fsw3wwdX sihec1oRJIpQ4LoAlYvl44KIjd1+otTQeZdg75fO+0NYbwZh9EOXJjan7XYxD8LHkCRgGk2/jaC6 vmEwdYK8a6dpo9iwVf8naw7sgmTIKn46ERwy/aoRWKZop/L0tyRsCg2r16iJkqm8rPXLg7CWlos2 Va/yVDYjHalgA28kgzEThtqNG+XooeO0waCA34KVvy3b7R6e9iV21eoNFj+E+9Uc4HpoqhSu/Fn2 QzTZF2EeEGsZJ+KQooY6lq6GLzH15pjkPVROvjzGEZ0jLXO1rooBlwN7dQSLgVh+alR5rqguYeSp a/1XQH0vRCrfF3blcbTwwx9X08y3PAQEC0//tvtf45ipH028e37uIsOHH6fr3qrUULtOVDGlYx5f 55rVcUN9febPy++iw7+819fxElyZ0p1JR0R2EsEB/QOd3ed67v4JPSld6CkqZnOcPQQpRA2t1qI3 f79QKcx7GVXx4UnR7HKt8lCsAGjlpGHMfNeFdYTl+lyT1vEPxZ+AoEaMkLN0DY97zyyeYBOPjRkX MDMLADU3gGY0BNOWblPfQe3/BIrwIS4atNVFax+5UZXQ3L7nMDutFDQl6DUsPJ+fEM8FItdFLqC9 +dmqak+Z/P7tBgTLFRow04UdtoBfZc++S11K78w+/B6m0mzEhUkGideHLs/0JgW46Z5ezAee140U PbhecKNEMqSM8T5h+jmXT70x2vjJLrDWUWCDVV3a6jcm4YbPM7HG6ooxOiD/FUgULze4JbuB3JgP KfDugW7Gqlr4Gh+JfjBpCCWL9Ll9Pmw3F4016M2JuIbY/AQI6WsomAyWRdQ/Nr/sXYHpAsL1TFxP UQoqgFmtayZKPC2O8Tk1KWd729CYi8dHKpZ0jfcAa5biiY79w88anEG4mmH/iTtgiU1gw+TC9QGS xKf30pmLfhPAOMQtu+ZfN6dmcobEA43zbXpOk2gh26hYcSyU7owdca9Pn9UQ64lktyA0lYRCUN2q 80lMlVP5RxM4KFgpod+Rcl9fqrZP60I9F7vWotvEb4nZwbLiGObFQ8DpIcLupGNg9KTynZGglN8F 5mUocXUCsfbKrchJ1/653kVJH40tK62nX/ICi4HqD0vrZLWlKGAFTxmhNdxI5kMD8iuC5WmED/b0 fxRQQTfiOaNwZxkE48m3AbngTnYudtwr51ql03OoLcRBH9oR7nCtm76aU5geltL0DVrgfidhP4kP nY3EFc542nVPbEpRHbfwbDkGAbMpZtNk/OJv4j/dmwBDD8WBmkYzv4JwmKHTh6kQg8QXSBejTjTu FO1vN+b+pTggOC2UVkUKeBSs5atppAtc9r2xbXT+zaP5UhI4olRJ1iPiHkzV4fWrMqQ3uzG3LL9D QbLEZjPyu7ywKqL/bX7IDXlb8Sh4/tOJGZL0r4LileGBktVPRUvQ+VGQlsMB4Hy2ywGPL6jiOvJu gfygnG5mEnyHfSV4qACl7fnUJqZFd1TN0DAST0mVDvgWa6iu2Y7w18tQLt5z+RLUSDY0qb0mEG1a I+O+GCFUtorC/uB+4VNjpT3rkqZjx5aE6n6X4hjRziJiMX/37v/RlfpHJphvwv9WhLp7Sl9pfq0l PXY5ApO6SOSu9oUn9Gmxpo5YcTW1HdvqK1iDiVI8s8xVkQAoBYhDJexXnE2Eb9hGabevY/+kazqx p9Fw7sOvdHcxo+NZloy8FSe3Z0Yc676bcQ6GwfI6WofI5jyGoLM4sNxrCUJopV9IM1ebC3qCf/4n wOALx7Qsrc3e64FcqxudYUNUlf8QjTx+F7bcK5muL3KgfIfkRo+dkBn+K1NkPVMZNydN2hPC18xm zUT9KOcMC1D6OeIAr/4GFUxTraLhZMjwgSUVtSv9vRTOKBYlG8GRZwOzEfF+9uPlDa86jkLuHkV8 qMMCtxoq7vSjZGeJspS4IqavQe0nfZ1sEgA3hdh5a2qhWqNUmCvWqy6dK9ZJb1q8btStrXUzSQHx BBGpnrwkmTlNkHnqFdnVJ272W5iHp5A6TEPbUMEEbxdT7HY8bKVQHgGEJ91zJ7q2xDeD2fa87tD3 8Pb55c7qwuESlb12B7f7qy3lZpNHKNh++md8NbVEdrqHgC/oo7fk/9hFKOmBPs1bML1+pOMRiHAJ 2/CFB4QC+8TzWEBE3z3WrH094C/B4eC2hJeZ/R76Q5EkzkZh2DTnnctk202jDK2rOHHHG7hC63uJ +i4DbL5yGjcQUR6crYEC81aAXSlrEI84u/TPJ0ovUvbgGqPLef5hXQrQSeksF937P8PQWIjq3zr0 MGp/jRRZlqceKLodpeAH0gtqzVUa6ZosiYWLL+p8KjG9Q5SUNYkrkwDT+iV29TMHu3Qs7KRPKn2S /aKmjax4pK0w0DMMPwXUT67+Bb3IzBsMcjO2g2tqZHvOJKJrdilrfL3/qLhAaYD0KLGCqu1QXEBS 5zHrgURv1XL5jK3VJagG7tJ8+edWnAmISD5iwmM61siIvVPwdLacidKRtgWy1HQGGIl0O6VaCqFW fPGW+t7ze9hmmOacdD+rmWq6b8nRk4WHCCxDd7Tefe0e3IZB9XIWDIIM7pvy8aLPeUnt7I5GQq2U fX70qLrtHR6UiQeTqjCNtaqH9v0CfLBENHnJJFh5vCtbFF1JHt4PWQz7tDkTZ0z5t5zxSiPVTOMi Pg8DwsKyu8NrNfmMek9mJyc177uCCcHlST7vg+dYcrUQRkOj17cUAzQDcA6EbrT5gxWMBkQxZ9Wf ufdi9IqlLroGamiMeIVhJyhaLLETDr5zsANaGp3YuZnODesiWqMTxDFjTPyrZGs/RX9H0M/DuzfT ZMOcjHOp8q2EsYMGSiKRogcxYsvRjkAdz9ezO+iR+OJhfT8vSQLiIkY3Mo9rOvZWhTLfgAz9HY7f J18RrYqck39i7McHq2MrHs7Nbma4zbagYY64DfeyNcMZ12RNBVuO/mgtQD2aZDA78fEOMBRyCH1l g+OH0aAdUnuC4N4QY3lI5lu+6CzHBT1vyDkLGGv0xAGA6sVci8cw0md9qFwNfIqSLKS6oGCofhmn Du3+AGFRJADwj3QMojWf14C2XCeLG8VPIgFEZLs269saNUPMOwYZC2XxuOumXU5a2y0xhEorbOOf rHyHU4zPRqt0H7u9ezRS26TieiubvhRswfZpcRxUZyfwQvg1WvOlFF8bKmUJ1iU5zdv+lpNr7QYe KCLLDZB0GgjVIXgQCq5Y1O1TNklGrowoua8pxMatEZV8h7yFQuBqT3xGOBSD+i2u6HPig1rw9QHQ aOW1YUtZ8F290ECg3P/MywCBwFo7cnYAPNSYuUQR80H8p3b17GC6cjiL4kP4EFziy0i+OG8GnA2Z +tGXqDR726ecxb1hijp2nlN5WbXBA4Br5gLIQNk5JoUANYyI0TFKajUN8anL0YJDkXQPyeP7JO7Z c+JhQrh/ookbm3QPXmIK896VS31NnUvmPl6KYtYMy9nA4Nd6nnerXHgkpizHTZgkCZ5ToOuzzb5O jZsYLaU9mtVvKKaeJZ7YO6tsiGsGfDGcfj5Hkfzw3lbZ5L0+A/sf1APCLpmwtjL4qhlNfR1kwK4h Zx4lRQ0TqDWr7QMyLeNTXWdmugScgks0bjhX8rp4FWYBjXmhJFaf08kQHkW1OFQve0D8wukxlEWu kW5N3V8lN9DMReJDhR/pgYpsj8HrcPRD6EVK0OeLjqv3kVRHuEr4QDCcFVtzTgqVfnbpeiTAVNla 5SxFSlQSewY1krLnnInkP6BcoSiK4+O6toFPxGIQOkqeagHr2QCc133ATKpHYGh8Cj/7ZgmkPQ1q VMYQCFTzyZRyhA50mD/wRLm8vsTBeuLlmg2BIsFlb5mCCY1K46nu4/nIWDoUxnPOrSCna0H3Oyvf +9W/D0up/RdjfcCa3iceO6N1ZWua5uWuIbmwtxL8p81FaUqRJ7MrhmNwHnhCOYhT9YS3vyhFRWdo Ht0Hq0b2flppUyAv2wpVgyO7Pn2n6tOdUjw7i7NXXVfgE7PnhumF3d8jbT0any/wfSsWvGWutysy zRNa3v2PthUiey8Gs73n+Oww7VWqe2cl5PFnHXb9TpI86jDJlBiBJwzU0clHYxn2tOpkwOoiDFuV WvVhYTGDK79CKEXVxgpC+Uxhe+P0cwcHr0z+/qrtGcGE1+qhhoC2QO/tkLpic2yZj33ExzZeaeLm 2pem0D22P4Nzj4Mb+9oGqQxa0jifMN7IMa+qdLV5PuSfpV7QzWfLOClGon22vtWXt+Ym2SKQBtd5 GlfLEZHwRhVeP2RDCG89M36MCinjBJvwb7E9xXNiBmQdJ+nZWI5R3tIEOV5+mjGcOIPDw4W5qIjl Ee76pE979I4j5vJadDPT1N7tCOA4FnDoNUFUpi8MFq5j+O9UlHZENo2XRWh4SIAqoauMlJtFe6dB Z8l1m/aUpjr+//yxGM4dTUCYOcwPGG4U+r+lziVQzKXp+cmfJXR1nsRrR5Lf5t8tSNgQfb/aF+86 hlbXzih2PFujf4g9DBvpS0pUufACCq6q/L7iUZyKBJ1UYTMJ5XGwHiJei+22JCa34MdcfbC1DSa1 fhABDCiqKNia2fnCsfoB1ch4etH9SVes/QhaZ4HzrDmE44kvDz6ChfySLUnF5jzUZSoxw25k+L5I p70eIRYP14S0S/CtJJqPSEURen3WEvqyJqLeXw/gFYhvqou+U1bDZjpDG2gj5MY9W2OS4MYfIjra WuSCFPYo8+BwliTtmPgxst2YearOn75K3h17dNItsDKa4fmdvRQB4jpladikxQ3+nt1QKYG9U6LM HSs6JO8yeFmCSKtEzyZaiCebFd+PCF0pfo9WURqHlHT6pf+A/uWjsDuDPyxilRB3jm6OCy+kG1ef N1UxZWj4f9PJwSvd5wq3QTBHSfbVM5HUqdsNITlBfZkcHejcMgPRnehzgAKnSlrGzKrcXNn2Q0vr kSCs2ALY7liprjTxXxYa92y/CRVcMpvEXnpaotNHhSDvIqbZeYldDXObmzgLNXTiULVcVp1avei9 ftHy5idj0hSVHayJbSCRTMvdQmsgBW11Idl6OrxjqYFCcn0WGFup04yqtgMzVPXhdHxZkNPYGWSR S359zPbiQ1KX1zwW3Oh6F+OI6B1eIGWBDGYNqyxVeqY33AVvdAvHicCpfBXVET7F9aDBsQUSMLYH ijaPTdnouo5sH+VmrAC5HPyZ3NLU7ePLQfbM5D5IKnh36N/gtCrQ1dybAftTgqicCZuEfPh9pr+Z YxnP4DZ5d9KGbVTNrPw7oQ1Wi6yFmqhT9Z819DmdNrMShbag77rSdB2PgmW42d9FB+yb763UBkPc p+ssRT74gj3RQjwJ/BDOZVlXI7+WNGp3CkV7jpInpniyYhhUTDnS2whIGyZ72xUGowuNs1VAXyEi PGdm97Ry/BSaMrRCs6ClUexRJgN+Hu0PYdfCntK1GydwnX/WuSdLRDBWSLbJSac/hYj1xCWOb/tX 4JLFlGNFE5fhckWhanvSX/DZ2A0OAciLfh3CYnSOWvHffXtkGzgSrXQ4HjfBECfjBNLQIX9r3uPq OU/f8poo+Y8ujmsKqHWQc1TUZzFJBDMINjjBdmm0fnfQqtqQBUS2ykqrMmwdK/0pqksIj9BNWnE/ V4g1JiK5mQapuUm765E7za4gIVegGKne99xrdF5a6srNr7V7ufgeTwpU8Ud/NhHB765EpympST8P Aa+EDqsZ+eFPMGhEteXJS8U85pTjQX1/MEH2Fm9XY1EKwlmr47BBRc+yT7NUEOiEL+OXeAQyOCTA f8071WVvfX/nJfpXcyxnfIdx9ehnj1JW6nVK1LLBgE7WP883AEoRMC/NpwZwos1ooXA18nJqOyNO IvHKoCAD5K3PXxCnZdZXL4mYpzb7yrJoqczO+NRtDB8m3YgW5wsi3OGzWYnUEb5TuPQdPFpeRCZW 1msfYAM2zIG95WOahi0Ukq1El8AhXbNXqKv/9YFibIuIAC+AAAtvZ/wNoq9PW++6qQS+CCt/S3Eq MrH+fKwQlZ74ee3KnzD541a3bWWGM3igX26ziq07gx3u0TWI+nEEEXbUMu1ZINr+wybrstGxizlw FA05FN76MWtBW/MwdaCCsFEKBeu8doWs/eTfMX/w4deY3De4CmRGozmX1jixvucTT51PuWfbjMZX nK12PzfXpIU/mw3r4+lx7Sm/UWbI6mMxOCz6zgMcy2bM9GDl6CJW6fzXkVQZpKB8y055MWtfmdd5 4hcltmR9g2o74DrElKGIObuDXZ8Dwgr7PjYnIAstWVQbI4E8u3ZK1/LQm4vD8i2HK7MtM8GrDjg4 oBsL+3c3zWPyW5l/NipvQE0w6Dx5H+XVjQe5wJB7EkzT/3kSreLKLj76utHnTGJsTiXzVvE5a+Eo pCsI1wVh/EwXQEBpScyPup5YEnhLcra+ptKHj1/CgXb5ooUCo9ZB1vljF+F2L5APDHHKdq3A8ArK el1jtQSOh+GN+EEJL67F8KVjjJwUZkyAA7QzJz/VhLcG/rZmLzaaDvfWha2t4HFDXj+fEFZkWwUU KQTMXVvpdv6BwZmT6Auy1GsMaz1ny5dSOK3EE+RimnqXn8mkHlI4gQkcVMSXMx3fdgz5IUnYoV0s iz1XuWrRJK0Pty3CdaP8a3owo6fsTIZYZCIszMGz8BVOnN77bn8tBqxCfii8xH+qsqF0CxkXEQOm cKTP2HFsJWQpKepZbenWwBhd9w6WBVwubgy4z61idhWhvC0qhlyn7XKCOWd6LzAYBqz6WxWj4hwL PsxUSGYW51yV1wVebtjPGtDQSZYntTkoXwSfBve8WiKtD4utaM+hloB5afQjYF1ufbPXt5me0gj0 H7DDwbLK5BYlO7IlqVD514zHpc3C/raBiAG3ShnwvgfCcA1xxHlzzxbmPS+70fd8xT+fW3yaP2T/ M0HLKRVu0e6SkO1+TUTYG/MAKAHO9jcLaHOcYmM7CEMwE04nYw1gqMf6F+RENiO+eT5ZWnQaRZVt J0il55TulgqcObo++jgvStfeIxEBTK4M3rG61g/YZOZ7Uuu7ANQgw94q5nqR2fpjufRUQNqTRtdO I4BFjVtvbAfX+CtrVJdBvAfpRtwcF+YmAoyZY07DvBr1I75tSMjcKCJevyoLJO+aHGXSJQ1LzZbD 1OGepjL+3yKhciaxwNCzWVkN3MJAV9OfKc5eTaGsvZRBxwATemMYqtWC7DxySEnbFenRCoDtaTyQ Ll5OzIQfB8XSSuOXjkCg0ZpECbvF2Ugm8QqfVTUZzBncGKuYMP0dhZeX0Q64Vadr62iZLUb+JdNe yjv8qPN6OoG07r+fzlA7Q5/c/f666klzW7MWQ8u7FHE6eIvSZtrwOn4UONEJubLRcjNfKAU7TXr1 hQP/rt0yGCZeuNquFeXoliewNJogIeWoU/+8HE1JaKPryup0A3sjuYGO2LdQ3HjBG0yg1DWNYCJa FX30HZFFtYmGL3BNxB1TGBDQcOfFeJN3U7R2gKmo68XKFSkda7EHiqiNgQO24aG6zsjQ1OUNm5wV W/m5WnAQ6qRZbdyiRvbOacfoQtlSJzMyI+Ag7a6M3JCJj/zVUIyr2QD9hzbrBMGS+ADjRdj3KL4K bwCZjMIyfEG97M+hsOPjYOJimTZr3N7zaD4buq7ILxaDrLTEHqktFeHK+R6vM8pikMojv2W/FuMx 6NjGjrYrNj8A6jQFA0tTxWVReyhdb2mOykOjksi5rhZ1ozwlvXZ80fHITJOLkR5NJ76fjkfwB6KE RXdbtppbbCcesoIn4WtGhWDrnvENae1ifc6Y6XIXgHmID9mwsQRvR+1+zgjO7KFGgF0UfnZTmpXe aZKH5elTph8RO+nMhkMit181nSYqMRk73A+/O+F6q0YU1SdriUr9BFSkCM0tHrDVUZwPjD7ImkSc 9UhnvIgKGg/Qx6afGvhuiCVd7R+o8FDyBJGtX9W39vagmdjWcnXEIorCC3ON4kSQChkE/wafQMAS Bkpzf3Mep3ItcjZ4hVt9MZIyrBl6aVY9YqOMtNADiaDR/EghU33GHybUbZFT2dkzHcz8yO+9ZLh6 abihUwL5bRBiVFrmkZm/Wxfqa+Kd3WL2kAX4lJa+M5CP0W1YhJJY0G/mTaqkl43R5785uRqcgKNK bSpUKi8T2N3FAamSK4aBK5pYl/nG8LvapmCmPJmfxhDoN3jwjyqSc/gDc5tZXmOf1M91UFIHB864 NpfxbQ95UmUfBYF0Awyd6s/TNP8L83zjJH+9hjZ2E8YCzwNvdZjlvsc4xULDd0ZSBvHikX/eV5KQ 1OpfpyjFf1FL+9k7wmGkHmz3Yzyx/QBmBMPJlLYQCXTxI1+7cjptHlmk7OAn+5yNP1udSzWiGdrL rhyU0XTuEkwCIrJ1JHeoEcPfEYYv5vzVEpgGhC8DUlUxC0j7dw6rb0c+M6fVNUkNpsjNGKwwuM0x rLSjNlLOrVoZ5h5EufJT7zEZraef/9Dxr++s58FHefRphtK84eSZS60Li56HcABHh+ngEGwh+DrA 7ymBpFxShrF/2PAAeKZpDet4VHxbpSAC23RGkHwslKCiUAqZdWQAhP4QQo+mEEhojISOyvc2I2bs o0Do8MsDY6FrxHkkbgtD3tUUprDfdgv0nqlFPx1Oe5TRdjgI9K800ihMFRbXh7BcsqVFKoxscrlW mnp+MrsT59tHR9rZjx1cjxFvUYopPbPTsoJiFM2KtfVfX+N/jbfyVcgqy/Z16+wKHLFT+75QSUGv ro5wYJJGevohPtD86S/6cN/rUYnAkl+3iR8idLIQKHhoIWydcWN5SOZvuvEbfz0/1viy4gXRx0HF cX8SxGxfAErXpV1LyuWZQgwzL+GXCg9Pa2Pa6BrbkDir3BLJ2XD2vfshFvc2gJaiHA9B2ck1F/Zx pINgucK7eorhjlSMEU1PImcD9tAF80+fbCBOUTF86j2yShw3NLVYTASVfw5Hs24zAabze2pSdKlA S/cGmAYD8DZv8tSyH+hwSU0aAiE3D2a+3x/GbDxcB5XnpBt/3aZ9yLiFrbyqvEj1kSp2JKvUmkYQ YwuLwg7VPQg7g0jSpxdIbnE72Cet0L28U5P+rxVJCxXHw0mlhONJrIfBOw4f8YmI/rLX8+CJlJnV IfVr/XN77XV2R6lbx8VkkAFukIcL26QEXUQijAhoaCL24PRNQIww3iLepSy4TiLDp/wmtRsL2hNT e7Ax3/F0lWSbzw4U+lovmWcoMF5WVmXDvkjYLw3LFlVyktTcmRkfU330TKXiEQYKEEq83T23krjy 3ygvw4mO5x0g+vcJWOf8p9biMcd4rVaXOggGv/aYRVcWNylOGcBu9k7ZJiWHbYojDqbvGaPCHVIj tz9/UPpl/Yu1XBbl2EFLsNeG3N56bhVCbaYCeP0B9t8EJyCB2UimYAdmYXEOC0HhKKdhGxDWfb8B /7N8Byvlkx2P9NWZ8RiMBnC/xY6Z9qoeZ2ICmhnXSLYjKA8BwwC15l864fK7j4rnB0opSk50poFz GrRGtJtDoOC8/j19utS9EnunnOPe18OsBPeslkBXJJamB4pvvVPwO8P2G+YGCpc5xGcIFOYOqtMP P5Yi/99gBdKk1CVGxP65zGqKCuZNoos/Tqyc8VqkLC30HFDs55m7zmYSROSmAFqDk69q6mLq820F /m0UWL2975HvxFKojGxGb1REuirROKaNZfJO4gMVU1N8lIC+fR9FRp8i0pcl95spjd8essRXPOSJ 4xmTGXeew5OC3C/xROWD38vetjcqtyEoTNS8wRrgg7CQv1beaZ1SAwJasEt80Er0sphUxaTi/rUb 7OWAI9lOZLxQpCHZXAd/FeQ/Et4r2oAYbqazEuIm0QDLt0QvckROGwn38UiECyVmdr/LQMQp3ZdK Op/MTwpkZOr9YdxqRisLv0AZCUZNNuWJEi0ajTWI2muINYJrY03AhefhvZSfhUIxoijAgryPdYUi /sT0l77/bKXL1JtWrwAJkh3s0VS/Ri0ZRQYD2MBgektNU+l1+1/VTU+Z9AD2g/oAEalh3kElcUQb 5VbYOicKx6rohet3dmeFBmsz3QX53ANm6FqKg/B3S9z35apt3kbov59fY1y/s3qhjcAq+XuLOReX 9ZHg8cUXmedKjkejNTUrV4OYSju8Yh/hMXzf88xauco92/hL1nODXmwyPi4wDQLO14RCMmjjDs8z GFTLIsoWz34wE0epEVbDNY2hurIWj1ThA+jF2uo5njhy1fUIAnw0TFC19n/QTjgQZMCcU7oo/VYB wJWn1FU1tSOQXtzE5ULj4YELn+O5LInBH6L0QGHqP8gYRA3tZ9Jmotz6bES1i0XTroezgSXENVDS 9jVMgkzpj+KTBnxtE6q1PnHyopkQipyHrNgzU/EYya7Mhyhh0MZG9phRpAg8iHSYPSu38egXwaOF os9IiC0/pVPbPCfwISkpgDCFNXuF3/Lm8hG/2IJOq5+3lJmK54yRwLCASyH3Q+cP6xz17aNky3Hd Z0otPJxfySdCr2eVZ/d+e12J0EzXbOknhqCjXi38xmB1UpCzztB/AJ8x+2EXTe1jeskl90BmCInQ obfPv8f2qivseuu0CaL3pl+3tKYFOur/pw2b6EidblMf+wf80whjWKAmArxQPLG/zmWOsmg6jIRl TKROuy4tKX5aZ9JoctCKX7UJL7qe8oKUw3IVHsE8o60Br9NjNLIJytbF7pGn9Cif6x/33CpZoa1c 1WccnzvyrTDK21c6x259JV2rTjrd3Bln+qFQx0VEtiY3ORZUugmPRmU1OBPQcQE2dKdUJmWEuKmi mLuLiqrEu7pwGaq6B2fDJ7xsCO1Idx6emt0jYQMYpHxmMEXRvIQZyI5kg2a3nuz4KaKao+ndt+TY JkH+vRUO9n7gXxTPtmHSu308pHvH528J0MEsvCCU3bTeXmUAEH7uVsOMgUeeqAq7SPWVfsAqnZh4 JgtriXU9M3cxHeHTOeNjTdH81eX5mHMuBuTzVdVjbq7EJOQazWMRRAzvTm6Ib/VF7ZQPp4L+FZeU fMZYPfF9llUXU50lqtJm+W1hoYrnRkiYQWTWhwTcOve33WtuT5SOYNySwNpcG9WvwGIlJJu3D7/G kAvWkNVffFhkvIlymz1cEKSy1bbOURoybsMxH9V8FWkz2J+ct2pIEJtML/Z5tH9U/KJ223PnMV+g 4At5RqGBQO1ikHC/KIdw25PNHOXpTXPbQlfLGpHqT1LRAp/hQts6I5dBuwZ6o5+tdKWU0tGinwhT BbGl1tfxL6XbgMxKVn68tdp1rTOr14Nm+qEYkPL1pZflDZN1iqVbk4eNT6wGj0U+DlHuBaKK5vLs A4BjX3JaXsa2NR2YRcRuod5RAYlj6RtxkGRTqki99deY7QanbHTZ1jPIg7cNE7kTz02QsizI8nLk KSBusMH6UGk946b+mGZcWyl0cAd3Yeju/qaheBe0+/vfFMcGChUx4WE5EHQ+EPyjxyaL0s0zgywj GXGeZX6JeRU9pTnlnnvCq0O8flkDNk3+tn3fq1HMqg9hhugWSVxAlaVOkdCUvTpo5eQ+EfIBLXDn 7pWpKkh02vCrkZcMs0Es4yBZtNaErP7E6Vn0m2HL3SYhY1Pj2WGEI1O2mh9Q3sqz3mY+Ldv5l9J2 aYZko8YA3sVFG4RR+yceBGG2o7C0g62PJrogum6S91vJ42KPwBN/nMKDfbfVkNvaV//6KBaNV+L6 mUDgMbCtF1ORMp3VRwPB5WJBlZR8+petTVjkEu1woor4b6735q3N1aogNLDYtEs9DQvwQcQ1S8oN JkT0c4qq5BVzAI3P43sLw6prccNHm8rSIIPzf0c51/8AAz3BlI2E3OIDB3vFgQbZkd99hAl/oWmx mUHxKPzjUpp/KiNJqj4mVmlZOl41R1IrBlainHyz+7VnwmMFBuTeLH/RHHYXEtfZ79r8+C2x34xR kfeKTbyj6ww2EVL3AguIfwKA1KG0HtI2fjfLdBGkmcEVdBzEaJGsJWczrB74g1cm9YwUOw/gn+kU Y0EVO99+SaCFmHhdeXTeEwo2iUAMU2EWR+WmL2BFYfLsh/qqUGO9OKhv2/DjmcxceWC4dApuZ7Cc WoRSZi79rV/bhoUeHLk7dSY+xUfxjciMatuVn1ArDYMym69AAflY7YMnWD4lP6sb7LOEIbwgdphy gxXoWedwA5lQCljkLJIOSMB/I3h+stDHLg0L8lweaXHdShmlwn/1rh9wijhMUOMtjpEez8UNLJAU fBfYaaSBYhPJllbTOxeF3mccCG/UGuWod1Ifbq9ELWSjZqs3lq5luRv9VX/UP4meuEF1qg72D07h gGkOR8IM1Hv3jRVfLOUKKNRbzo1f7Fhr+J0mrfHj1vAPPwhwweAvzBm8iZyJVH7M1uADTP8bF8k3 JJG5CuF+HPNg4LTmYYVZgOh/QhmKiqbKazWLnU3IFLqVG8MTsvNyqaw0fDJrHi3Uv9RX4TzDtI2N lA+nft5kTvX/LvfXsgT8GymTajQt6YiZDtJORlYIMXPj9jMn2Xd9Jw9m75IhYe4N6hCCPMHp0BNC /yC/m4xrI3S/QbQLuJ9+8gK6B/JmGIZAFEIVLBo4m7Sew0ChRDdO6dEn4vZ/wSex/XUbDDD5yeDe tmKsTHAvO9bKmboU2NRK/0LAHe7sHYsBXZf2dyiMV+vs94cgvSi2dx7HQJqCPRA2whqCZKJkaClH PmkZE29aVlUrcNZ/wVtrzNYCLRV+nhOfy2iBwfRWq2jViunen5U/IYkLXJ/c+CirN+azrRBIgMUi Nq7OXDwpMe2EFWlMCr8iGOgBeA/r44Fp6IaZpcWpxFZRLRIZbZObMBDH/hQpUiDcy/Pf8T71sChm s1V6FRNJLcCpi9xvuLjSs/LCQkCzpUmecT9TDnig7hnquiYnZJODjq7BQUBZVsLCwfoe+neNxkDk afBvTKpmoscUGrzSU6Zhtd1FmmDIE5n33LvvVAG2sa9Gb4ifzLzzv7k9I8f5i2qk7jBIUDUQBMwx ozfZyRJf3SR4bH4gSs0XhTFlD9EAM8ZzeeH2+usexVd9qPyTOPY8FURy10kSN79Uo5dyiSA1BuOY YPB4QtfV5gfg2BuMQ+N94sF7VcifAB5CB/HzFapiV34dY6ACkbttHxNPOpu7gG2EarAgp1HVko4J 5Xqm0cBoNX+DNL82bmFeZIIpGf32CTlpYoewNUyKOzNvkOm115Bpg60cljKCkvbH3GrEo76LZ2cg VY/rPWn9hUvdcYNbD5i2RHzHS8QqGWsS2DXL0HJefrgOldgXpKInt77P2GQgNzXykLSiQ72oiLDy q3zkMZ3TiePP0UXWRD2C+hh04ddvVLcjmFr2AXmYYEhpJlBqCtlb3tB5QBTeocCGymxhyVDZMMMy FW3AjkJ6kocw6h6LcvcaCOXkSHZryRqUSS7emNHY8exV1MXqVfBbhbCRFpK9cCFbBUKy8xPERDV3 vPtXV9xQ9aJ5Ro1JouABIE9nSL6s03/L8CODSRJV1X+Fsbd0fAVINJU1XpLRSupNelIKaQhlf0+n BAs0aeoGzgpYLOTRB7DdM8Vj7zC5DiOGlrk7MtoaYpGJ7bhlMg6jKWVCyYkzfCVZlJlvyrpxhNnL FLNCQ+NTAtOTx+tP9psIki6CNzRTM263pcsSW1H24ad+fHQtALXe0rjfk9PXAxNahI4knI0XIS50 MzT7MF3L+xqZvNUQKnBit5ntP9PJjGOzIxsaIZiy9lokqQf2M7HYjyuawBHEKft/B2Yz+MafRAZj ESzKDMlsElasLoDo7LU7Nz/HyugOc7W5R+T1ErG/gqMaA9h6k7ZKHVHrHk+otV4H4aySHiyYg1VI 8n9N799uW5RgWZ7V6BdiJ2IdPep7HJOGvtp4F7uQJHoKCNBfhjgew6bMKpZajq/rnHs28yhmXNLY Yc5mfoZeMwqM9yqrby6bH2G9B/jN8mN7AJcFUC5259tQlH/9TXDv1h/8RiMSkQauzZ69XKuglo+x q1opttF0PFyMOPv+7RNOIlfz9Hk04MlzO864FrXUAQJ+eMtTf4iQ93euPW73jPSUXwsgrKKtSyIP R9psf6ljEqgHhOwni0nikAv20AaPGX/736YhUjNB6GqQ8BgUX3W5b6VZ9Mya1I4TDkEkyBd16t/7 TvSSUlGRlE2/EVtOzv/i0x/5xC7iWkF/iKYy/TMrQWL8dy288Jlrhyxb8LX6qMYpOAVlowqBZd1g yTZ/9XEpnb/isQ1Nc6UL4zki32OfRbzjzvnX3v/on/7p69g68tTGBy3Rhqz5Q4vWfpbfDfDhoX0X JZAaUUVOq4j5A1Vbd7YjFiU7IbBktjAX3SjpavakGKMDDuJr2wxcLk9TjPOnKd5nnVH5kE2GKqNe 3bQKS/fJgclKH3nxSGXBv4p3d21Fz7Xc4rWSgXv43f+Ui1g3QDsmRKW5AvxeJ8sIP22WhhmdIUyp taV6teFhvkivGQphq8Er2Ky+wbo/tJ2s6PHI0/jY93V+lOja2HkC4b6Jd0I2FAI7QQ2XLJSSorUm V+qPI2+oycjAA7uXquXBs0lYIVogbCuqRB6Oq4bL9i/GE0CTeFlrGHL4OI4lItcOpjNVnxQfEvgS iuymyclV+3CXzpiUEZ039C1NgCmmIUVrTQIv0uAL3uuQSPXOoXJTOw8lMwSzd2RfQGPA5e75N5qe Q02pvrXS7k/t6E2f+cxP27o7Jl4dbgVGy+vpej261vun65gZEwhn9PLI3/y6xP69aNHkfEA+GtT1 v8ejxrwUl1wTTlVOIVgBAdCB7xNrg0jFlTjk2RCpBnZrDSeANF0xtT0NqGiGDNPSDIwBkNtCTkJ2 0Ant/w7/Hu0jZSoo5iMnbzsPY9E2iHetKPOfFhBt74ZN5p/l0gZ2mezwpB7RxvpvEYHpXGQvKWcq BR+wpMZxFq+FnqgRDGaJYwcw56j1vWIx2jb8KD64V8aq2zSeIk/dan/Okiv1ZgzgNWr1PBmnspON xeQE3wQueelZlI8kn/C1B+v50qWsX6k20nHer03Bm5UzbPVeXWlNNPc/JVl0RLqmY2zytyct1YXu 96kKABu7JqZFWOX4O0OVEYUpmLBMLcHIRWsW5rJ8BIQWf4XrdZJcN2zlVdfgJMxeTrsZvxrQ+Sd2 Y6lonFD6l8UxSIBKxfFornEjX8MOcemZFvcf9aXtEzkYa5CUlsbOQsj3Js8W9lCgPRPNU3DSHtFT dCVbjzgX4B4DLNoQjODq/V2yDJoWyIma9DpR3zDhsoacMOI+7fqI3UTTlyAv85DJt0Cr4GFQ1zmh b3jxJrjKfEdt8bvhK24BXBhs4N4OoQdBAa8Cdn/8dCk0nH44Y5HB1AqApndAzQPqmsRXEo2QQ0uk dG0EhegKl86FKRBr3oI51Ab4zXu88khoXHw/A/MeerczwFUfHR4dRYZsIl5Jzm3MF7iZ6a0hJ+ee gER4XF5c5FOlcxgTho4zQtozDIMgqHkVZHpoa8VTKMGguItjuRVxkU5W5jFMc/yNBG2I9IImlGsd 5BDUOz5A/6GGqApXEa38977Rb1IDFOdTnDsBwfXLHn1IPPmvw786bP1atnBiOn6iz38b0m7cbtVM LqxqQvnApoAry01JH7cQmFDpSGBPC5RqSjbJPadPlysWRsf7ySwsWAPUN+a6kPwX+3MvgyIIXQGG KN09NKsGk6afAqk5i3CvVsDURh71yVj2caUl3e+lV0NkPTW8kxLEGUdo76TpluO6c+wYUoLW9xFx RWTOlRsrcetvpP8VQJtMCGDhF+cz5izi35Xetm/fH8ykaoQC4pmcAtUuW8aCHZYtxrl2PYmv0xx7 sgcCQ+A75COLgdaGqUSvkrikKzYzGxV/v1SeXGg19z/UGBj3+a0+rH1HFl4cjxndCNrH24VYU0Uw 7iOyAgEt9VieKdsEVpHDPVIMuQU41ZzwbnLqi+gTDoqTupOMGk7ZM7ZMAALKWwrzst51dyW73uR1 feK7WOYX9ts976NQfnMWWjfwc6cLhHf2h6yFc4a3yXptIy2dmYi+tVVuhJooBMfGqVwkc8Eg7ZLZ GNcFZszMZ5dQq9Jzl/tibnFx9zRgHQtfDaStsWDZ5dB+/cr9LsuQgm49or/vesq8kDGx/uOk+ar6 9TNVq8E9QcRGd+ISzOR4s8BljS0CakpllSjHa1hv0IYdyknhWeHmfRQz0j71+EpdBvkg9ZAtMArd fRIiEFruT9fWOTYD/cKIsYnyQHHGKpa2ixZo7e8On9ebj+kMIfJRPuEZLvzWy2GmP0mK9R0Zkhev 3r9PEWzWdZd3fyrHmy/NKEB88H7z6Ok1pChkQHcZMLqxoQ5mOrgc14G+uAoMhrUrM9Fu6EqzcshQ wAjOrM3TtS1YNH8cobBh7lupW6o3eyCLwHOsm3+9IqlyWASQ2Ak7B1no8CXrbgmFWEyEfsjsxdZP WV2tIE/M3iIc9NVSImuPw6+WGCbisT7vXlB4piDqBXVg3rRiHUq6prDMKY3gb/mndx4XGHeg7Kli 4tuO59HFl08aa+lPuT6tbfz1koPWigQLAdpO0Jy0MaRgzeywcE4zILEthLoeWlLcWPjmaCZBck65 wi38yJo7wOa57SvJtCcCo/w1F4Rjep0fBud6AEZ8EChVAOR1yM+0/Q5Se6il+dJQPSj2z1Gq9P0J Z7YZBxc0j8pKOdQaPTDUfyTDiZJarjwxIUwzZnr9LNc74GUOoxaSPUHQnKCW67Y9Sqp4YIysUfuB yXPi9X/q+lzgjoh4uY6hzojapaD5VYv1Yn/a/CS/EhWGGTa+n4hugeMSDW8xAFmxm7nPeiIKWjIj cOQswqQZKn32HZtinijhQpeofNDsKiB5lGtdjavuwuOTpZqxZ72JbYhEuU/JvSu3Aay89bhZZSCu BpaFVVTs1N57p4PvfeAtnahiLvOHMFQvPAthOAkFxFNpuASEF3SO8zZv14b6R02WZmlHWc+OYhq9 mlo81a9itXcD1e4UXOYln4HWxPK68OCGDoxHZYzr1mRfxk/nbaRt0AFnXo5tufziLZE+pmqeUO2G zyoNyHvRPKoAYg5LCfWJJYkEhZC39CyWL7workvLXMglH2gB6Mg/XrswhCGBmUa1Xki7bdBjyT99 xHEarF9lMI/0u3SUgeylE3V60MUjnhOaAuVj9unX240A5vC6hr7jMIKsBxDzzepJwjdkDzsLeJ79 h0rtVGDhdSe0N+Qq56E2PkT6cN5XW9yQXxmOyhndNOiQXlrSAItCHMWkWHIyjWQ3Zvf0ho7AyWwX T/5eDMeG8Uq+ybjw+k8M1VBgFMxdLHG8O3PYs3FRGjFooPoQrDXFaLBo/LFWWeFsQ0cENnRAqq+V jDiq/xjgsLvzN3v1XhLA9TJ6VD05iaLk2zdDBYK2DZCOgjoVUK2YgSYxgJvGXYBqxAu62Skmn5+C pIkrMAXi82r/Z12grrN564D1KVP4q01huf1JeV8LZCiUIdYXgOXWRNW2URD3ny2czdXSY8KiNATE aPhiUJak4XHFp3HXU32MRyDmaqwdtqVMJni599qGeHS+SKE9v/6YBcWnHehko5za6LqeNUWgJ3iN TXiWk000+ajG4jak2vu2HTnFdAMXwKtrlTIBG1twO9vFFewTZscCvTl1R56ZY+o0hDKGsFbjpkHb 0lMOLiR+mtRci5+vg5/IAY/M80RXF2YGM/kMpUytbGUcj4ibZmko/+Pha9vEpvVNhf4Yf1Bx4YS+ mQBQ3xD0PQnVH3HHYE+yX0IazY9LsiMuUzbQ5QfH+rKnQhGua1BJKl1eHfdT2mkxlcCzZFGstYZb Wtei+HU4G4vuVy3QryCrc0MU+83AEu3tagqiMWTSUb/peAqzLQp5q7Sf7DKqk9fz8klGyx/s600V 4lYTFADUJAy5SU2ZxeU1+KKeqAtcJ10+1jyHl8LHkFIhPS+JEXOLwC8hQqqJU1Bys1c2j51jiOnS FIJCOyV+VI7tFLjMLIbTLwbVs4Qbg3zfGkV893HKWtUhRBKmEZ4VZSav0t9pL+zPsI9rRJ9j6LXK zhMmKC2yJ4wniA/WWhtfBwzPc/PblQEiSVu/GpfLWfEpjG48w/TFN0PWwaI5V1OZhntI03QLujZy s3QaxVWaQI+8ObFrfiQazTY1pNulYoqUWpBkFRl7KC2rZJe5FOtth78PAr/TSvzuiZDgMTxlFp/O Ur1Fr3mOoC1a0mCYVw2FcPhv/idKcRcOzslHzk+q7imI/IFauDvsFYoBNCTdpWX2dTs2mtQw2pED JALzuQb3sJTplSgmAyeRAgn7sm3ch7YZmCzWJlim1/zbMH7utWYXKFxBRs0xC+33weLPF1AmpfKl AU0v3kCtfe/gIAeqctM9aIcvE3M7n96lcClvTqBqiVynOjKT0p/HObz7qoZbDhgVrRTLlvMbol0a 5FPkAQoZMrLWIvgT7q3pAQm56QqrwvPpHS23xVZUYTFlYBjyKRBYNwrrQGXWhs3Z+xv2xlPJwoN3 I/dVyv3ZVf9McGZ402QGNMkQCquUGHr/Gn/cpsNnHnIcA56ukMD78KbxHPGFaJHbHoZMpfOfRzOt cPlEKDmjnlJwoe8yDtJolQ+KEs7ujqbt8hvWlLCLHTZZeKXNkPpPywcyTVgGvkXXs2GDWvrFOEaQ Qc02JXZCME/dpNVgwq1uzrweHl5AI1MzujKixk0Kw4x419c32O+8JMnACG1dgxAgldoC880cLvIW tIyeFP7W3QSOaLc4Wi9ZI9DbdSylOW9d8/UbD6X4vNzyZYpzzEq/1CUn2j5ZVr0TAYJCNcBh4fT8 Xd2kP+MjN3WYB+t5ABT2RZDM6TFKBU1Rejj4VxV+vQe736PsXm1C4eJU+AoyvSl7TWwB60sQaXZu uLuZOIUsS9wT2qMTlmZ5T6ejVdCapKDScedAgxIuYUGXvCvrUQJRFBX0yHo0u+hRowVOOARmwtm6 Aojhotm6I59GZdVDKcaNlqoOp9cl5oUrzk8iamtFI+j2IRbnYiPDdQa59//cSgAxge5fD7VLMe3q iTv4D85kakd6puLuoNguhxxQw/R4CSrFpVVAXMFciULnnhi3g+MhQG93/9aIoGn620gbCUU5cAcx KGJ5I6X4yuWJARvM88IYnUfe0LflbijBvugAyou1Nwbfr6fn3fo8ZDunyBGmyHvcHWi+TKXnRYWH L6KC1qG5pQ91dvJgYfIWf8hYi/cU47volRAkFmmLAWtB9J0gJFHQEMWk94/qiszKnkwBH3mQCrPo UbHNoIuq8+ADmzD1zbjWSnDbNzY6w6jR0ZL4T00lRPP8Uw5K9i3W3GIiwnGdwupnOjTa6wnITRMe JJIZXjXipDtMymxn0SLS5eVTPXHZSXfUgqzboM2BcadpqVru+6eKeURwU5cgrNvksVstmI1SUIhn CILL8Cg1d/Y5PNYF4Wt9l5a3DlqIp1quBiZ5Kz1yIuEK/WZx6xbdkDIl3P9c5hB8FY886TrLH4ji WpWX/C5j7COSycLRTvY7i7LvB2T2o5KvaL9qoX9dVtnfeoF/aF6+4uRZIvigvibD5+Wwr/ayhneI x1QCyWNHki0PPpdTKqYmT820pt/hno2vOpqA1Mok4eP7e2txFuegN4yuzs18/U3cxaLR+PmFPGd4 Z5Rgi4b63qUSLOm0SkKSs2WTbPUjT0qseJvO5tcVEzGFpLBLUIQK0C4LoQBvdTbkq3LlPgaqYdka DlQbA4NMAo4nnSrrzS5d7r5oYMcyQTf+0ZPNQtiCz98hlEYI2ZPYtepJ17LbhECeHG/d8Jzt7MbF 5/PxVGIe52Mq8yhoEXPWsjz0nqrIIQXIjfvTVU2BtwcwZNNawHtNsYPfXu9IBqNCG393zzmiul0y G0/HJTaWz3GXBZpUVD+UK5qZ0RgNe37s7xTWsQcNtPZfLurvWh+s59bZBNxd2qIj96XBjb4w16nv GOWSfsX46lYrIhU65LTzIkS3cu+ClTOz7tFYQKqGfrRBJ6AbpEdFjs67Nsp3U6x0wgs9e1QAEoOr tHSQKr6W58tigWAmeXSBObYufxGPi7o7pLTexsZIzGtLIxF8TdQ/XwBTWj94fUugw/gYRZDGEWGK jQfwdmmgVsPwGqr5KvO8bTCkol/3ilYRktrJs36PzQ/DNtnITlTuRYZ4DnL4jp2XlGR3zVEtKfD1 hfwzsQ1dKeE/nPOvzPL89XIOA5MJAtsJqfPOlY6YcMwZXThO7dZlWIzdpIAVj3gSnkboXmMq8N8B m7vGFkyxjKLWnwWQ4QkBwEIornZUWD4pp+RYqExVMpf2Dl3LbJLeF3lbgUbkloverclvfTKY8nfF fDOm2VErR4sG2werQLP6e3LD/TIYNP7Ohl33LNRR4roojTRD82Lugqs0UK0xmGOjlqrLfsrh0ZlS hkCW+P4Jq2bUk8kGw2bxGaqPVr+NOZe3q+oRlrnFymjFGOj8cl7oqaf3Avi0CLHQQAy3g87wfZbU oZA6cnoOMr2CE4D1opDHnq+oBHKTk9INx1qHk7p4wdBztIjyF5lffwiKaJQMPJWc83OToldxSWZE L02qho/OJzP4Wv5os1nlKgFNYyGgTH8SYfe7lLbtd/iQs2V7+rcW5vs5Qgamkc+XBTGKtLpyrBsN 7+Und1UT9X7UDX7myjf4EJjPbNv333CQj1CfzC5fS5Af2jN55uJJuxokLIWMBB+9P+nBbuSaiWAd AOM+bJrkp18lTHgpQXpdwoIeUl6EXal68qkExTbX5WEMfxFEwpYG88Qys8P5BPjsWNcAe/DMi+AO flcPUZBQHU5EKtaC1YO8By33l8VEdetaQ8ZpCMU4SfY0sgO5NE6QuLGLT1EN8DDJL/NpVG9nxtaO tFUt2ZK6ZUCyGmmj4Mm7phOw4VwDymoFer9HmNbHtv7mUJfVURCpcCRX9oEz8mDA9fPURPdDBEO0 XHsyP/xGa0rVUaxxu/cMVfMfjBNIHHAIoBOWCZAuaVIGh5xnQg4Qesc/qwNCfwmfxFXsxoQLmVnt WN4umr4zF+zo7kwlrLYGWzhaJeI/6mYkPXmEdfY1t7+XP4VYtvyzdj+W/hF7SgkwPcKGdapmImHN 6M+nqk7NLED7X+0bas4bDINHRkNA8sSVl8Sh0lt0B9l06krZHMInBy97p+A16P7p/Pav/IDGmFLh Wt3pve9XiA4n/XdCUYUpJ0Q228gTy/5gMGKO1NNPEXe2cYh0brwgxnljhoDrcFHYf05o48iK35Gm 0I9yZcMA670XpbK+ORxlZafPOqweevFXHmr3CGxYxhst7ipMaI/fNBSDdoa3AFgtDzz1/g1kNMKZ bDGqN4NtTdqbFotF3Fnk8J6p3fb8K7J4ZOQbNH7armVxmO/oufMhnPxqNdxEVBX5jKaCKGXjxDrY ZAU/PHUP7/AcCTyr81BRQZlNMd98n+6uEIdRpzv1j3uZDssD8uvoNnNJt8TYeNTv8JjED1oewsBl bqBUiQ0IyWBf/wSRSBHQDExQlrx9s69YX5yHCzDT1jCFZn3RddV5plKzHCZcb1UtrxnJgFIcvQ9U c8wXXFvtPZUyRgiAoRvCgzgDxSWcRtJv8ulbUdXWuaK8ZZtk85a2QolvC5Y+zQu53L7pNe6V5w9O SiCydSDz/ppE9sAwSB4w2PA+eKxHVB9kOnuOIZGFcef+WjLS+DKJkM5V4hOtAILmDsQVzvut9cNA 0Sn29B78HFG6m86n6YSr/R1mI/1oVqBGG9drJmL5RuKCNn629w5hgjNYIBpW7Bu3qz/Nxo+we77t 5LzAsRPgv3Lc3ZNTbrY8qzJlds18yQAv3CXbkA8+EaJQFUNpgSN+vUfGCo17wfbHcrAZpaUGAuMF qY9KHyqpedsPdlunju97l3MsiUbh3tUikwVDHT8XKfYZBIuJx6FjwqCwZzy032IeEqtQTtF1/3mg XKbJ39+U7O8C/TWrSPLBmCfn91GhWjFOfeGROHp5C+Fc3Z04Fi+LfdV4J99rk2jHNcu/l0J2GPdA FR3vb6vfRcmd8E1+S0fQSu7E+auxlwaFJB76THrJOYRL8z+w5kVE5ArHervlpZQRp4TN5QRhRzKT pyYoiEXlS4o/KyZOECSJWBLs78/XjJLWuNpU6GtWSdwOZx4YzUuRA1lU5VTz1oS3EevpRIT98Q90 4U2pBq3VWvBok3wA/QkK9Nt1Si4zRbD776nZgaQb+pHSEEKPV8ni0f1WjnGYTDZqqZicdp7A8i+E 3wpoku597y2di41dla9OQNVXwLruwdHg+tQLKOa6qKHMDhKhyGVYC6hnUjlKLSvlaMMTEw2LspAp w9Xz+LMu/Dh0mI4PHJfWnM4XblaaAkKCs9oafI3UCSYNTEAaDEG2QyjLvKFkZyV/9TnEGdnC8bDX viXVtubuk1QXKG16bS9xr7D8dGxEUiosjqz5IMFB094uT6L9pWO0DQvchHC7r5v5AHITnhug/sL+ +z1q1EUtJJ+wryvA34MWwMts6YYLX9evJZVXxLCst0gaG3oVj6X0PrjPXUuqiMK0zkyme52eOGIG UvrK3mVlFcc1gBN2vfP8KyrDhjh47Ivi8GTJe77cUxNZ7QMCTKTOjZhof34KDag9ZluTTvQ9tBJ3 XPj6E5JPFr/IdbMU7Sj8r0UEeh1u+FLdbXONu67WKa9OWU8TIeY5r33iwCbSgLkzEMsLQXyh7Exy VcNBuvMtARlqFpelbEgZdNHWcOPLgnrptcm/Gg7o5OSwyv0tTnb1AAt8gG46LMF2grnSuQH6Rnvm o/rrGqgp5hGRX5+TxallveZbc+5gck6WJ1+NPSHu5FtYFLyvjnBU5b7cYlhaIoyLSKw+R27R6/+F rkVEK9Ta5cXu0NoFk3YELqQAZjmrRfYuzOe4KXU1O1IPigHxffLH2jmT0K+5BnULQWmCLRsKurJT XXgN7dUSlGAw7+bX0CUxyoXix4AyCsjenB7C+3FmVp9AQT+A6MQPJ6TmUHK+lwFOfcrQvQcgncLo AKoifYOHlQ5PPHcTGRkCtBKMJiO6YNUxizP1+przyclAjIlk0IJ5PpZiYnq2GCSV2g1WCXFEFKPd 2FbYZLbzTsNQZhYQ48ibqcagbojfL+dcNBDK26x5LGBHipaxKnxMEk1TPQZA `protect end_protected
mit
23c04d5cc8f2fcd1a519abd46378b362
0.955334
1.809027
false
false
false
false
VerkhovtsovPavel/BSUIR_Labs
Master/POCP/My_Designs/GPR/src/MROM.vhd
1
1,528
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_UNSIGNED.all; library gpr; use gpr.OneHotGPR.all; entity MROM is port ( RE: in std_logic; ADDR: in mem_addr; DOUT: out command ); end MROM; architecture Beh_GPR of MROM is type tROM is array (0 to 31) of command; constant STUB: mem_addr := "00000"; constant LENGTH: mem_addr := "10000"; constant COUNTER: mem_addr := "10001"; constant CURRENT_VALUE: mem_addr := "10010"; constant DATA_START: mem_addr := "00000"; constant INIT_VALUE: mem_addr := "10011"; constant ZERO: mem_addr := "10100"; constant ONE: mem_addr := "10101"; constant EMPTY_SPACE: mem_addr := "10110"; constant LOOP_ADDR: mem_addr := "00100"; constant ROM: tROM :=( -- OP CODE | OP1 | OP2 | RESULT -- Init ADD & ZERO & ZERO & DATA_START, ADD & ZERO & ZERO & CURRENT_VALUE, ADD & ZERO & ZERO & COUNTER, SUBT & LENGTH & ONE & LENGTH, -- Loop ADD & COUNTER & ONE & COUNTER, SHIFT & COUNTER & STUB & CURRENT_VALUE, COPY & CURRENT_VALUE & COUNTER & STUB, SUBT & LENGTH & COUNTER & EMPTY_SPACE, JNZ & LOOP_ADDR & STUB & STUB, others => HALT & STUB & STUB & STUB ); signal data: command; begin data <= ROM(conv_integer(ADDR)); zbufs: process (RE, data) begin if (RE = '1') then DOUT <= data; else DOUT <= (others => 'Z'); end if; end process; end Beh_GPR;
mit
ba4a9ae22992769fd079e15e08e9e4d7
0.564791
2.753153
false
false
false
false
dawsonjon/FPGA-TX
fpga_tx/bsp_components/serial_tb.vhd
1
2,148
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; entity serial_tb is end entity serial_tb; architecture rtl of serial_tb is component serial_input is generic( clock_frequency : integer; baud_rate : integer ); port( clk : in std_logic; rst : in std_logic; rx : in std_logic; out1 : out std_logic_vector(7 downto 0); out1_stb : out std_logic; out1_ack : in std_logic ); end component serial_input; component serial_output is generic( CLOCK_FREQUENCY : integer; BAUD_RATE : integer ); port( CLK : in std_logic; RST : in std_logic; TX : out std_logic := '1'; IN1 : in std_logic_vector(7 downto 0); IN1_STB : in std_logic; IN1_ACK : out std_logic := '1' ); end component serial_output; signal clk : std_logic; signal rst : std_logic; signal rx : std_logic; signal out1 : std_logic_vector(7 downto 0); signal out1_stb : std_logic; signal out1_ack : std_logic; signal IN1 : std_logic_vector(7 downto 0); signal IN1_STB : std_logic; signal IN1_ACK : std_logic; begin in1 <= X"5A"; in1_stb <= '1'; process begin clk <= '0'; while True loop wait for 5 ns; clk <= not clk; end loop; wait; end process; process begin rst <= '0'; wait for 20 ns; rst <= '1'; end process; process begin out1_ack <= '0'; wait for 1 ms; out1_ack <= '1'; wait; end process; uut1 : serial_input generic map( clock_frequency => 100000000, baud_rate => 230400 ) port map ( clk => clk, rst => rst, rx => rx, out1 => out1, out1_stb => out1_stb, out1_ack => out1_ack ); uut2 : serial_output generic map( CLOCK_FREQUENCY => 100000000, BAUD_RATE => 230400 ) port map( CLK => CLK, RST => RST, TX => rx, IN1 => IN1, IN1_STB => IN1_STB, IN1_ACK => IN1_ACK ); end architecture rtl;
mit
f5cae23fb918a55add44e4b2dcffcdfc
0.535382
3.309707
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/embedded_lab_1/embedded_lab_1.srcs/sources_1/bd/zynq_design_1/ip/zynq_design_1_rst_ps7_0_100M_1/zynq_design_1_rst_ps7_0_100M_1_sim_netlist.vhdl
1
32,867
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Tue Sep 19 00:29:41 2017 -- Host : DarkCube running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- c:/Users/markb/Source/Repos/FPGA_Sandbox/RecComp/Lab1/embedded_lab_1/embedded_lab_1.srcs/sources_1/bd/zynq_design_1/ip/zynq_design_1_rst_ps7_0_100M_1/zynq_design_1_rst_ps7_0_100M_1_sim_netlist.vhdl -- Design : zynq_design_1_rst_ps7_0_100M_1 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zynq_design_1_rst_ps7_0_100M_1_cdc_sync is port ( lpf_asr_reg : out STD_LOGIC; scndry_out : out STD_LOGIC; aux_reset_in : in STD_LOGIC; lpf_asr : in STD_LOGIC; asr_lpf : in STD_LOGIC_VECTOR ( 0 to 0 ); p_1_in : in STD_LOGIC; p_2_in : in STD_LOGIC; slowest_sync_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zynq_design_1_rst_ps7_0_100M_1_cdc_sync : entity is "cdc_sync"; end zynq_design_1_rst_ps7_0_100M_1_cdc_sync; architecture STRUCTURE of zynq_design_1_rst_ps7_0_100M_1_cdc_sync is signal asr_d1 : STD_LOGIC; signal s_level_out_d1_cdc_to : STD_LOGIC; signal s_level_out_d2 : STD_LOGIC; signal s_level_out_d3 : STD_LOGIC; signal \^scndry_out\ : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type : string; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "PRIMITIVE"; begin scndry_out <= \^scndry_out\; \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => asr_d1, Q => s_level_out_d1_cdc_to, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => aux_reset_in, O => asr_d1 ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d1_cdc_to, Q => s_level_out_d2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d2, Q => s_level_out_d3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d3, Q => \^scndry_out\, R => '0' ); lpf_asr_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"EAAAAAA8" ) port map ( I0 => lpf_asr, I1 => asr_lpf(0), I2 => \^scndry_out\, I3 => p_1_in, I4 => p_2_in, O => lpf_asr_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zynq_design_1_rst_ps7_0_100M_1_cdc_sync_0 is port ( lpf_exr_reg : out STD_LOGIC; scndry_out : out STD_LOGIC; lpf_exr : in STD_LOGIC; p_3_out : in STD_LOGIC_VECTOR ( 2 downto 0 ); mb_debug_sys_rst : in STD_LOGIC; ext_reset_in : in STD_LOGIC; slowest_sync_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zynq_design_1_rst_ps7_0_100M_1_cdc_sync_0 : entity is "cdc_sync"; end zynq_design_1_rst_ps7_0_100M_1_cdc_sync_0; architecture STRUCTURE of zynq_design_1_rst_ps7_0_100M_1_cdc_sync_0 is signal \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0_n_0\ : STD_LOGIC; signal s_level_out_d1_cdc_to : STD_LOGIC; signal s_level_out_d2 : STD_LOGIC; signal s_level_out_d3 : STD_LOGIC; signal \^scndry_out\ : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type : string; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "PRIMITIVE"; begin scndry_out <= \^scndry_out\; \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0_n_0\, Q => s_level_out_d1_cdc_to, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => mb_debug_sys_rst, I1 => ext_reset_in, O => \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0_n_0\ ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d1_cdc_to, Q => s_level_out_d2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d2, Q => s_level_out_d3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d3, Q => \^scndry_out\, R => '0' ); lpf_exr_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"EAAAAAA8" ) port map ( I0 => lpf_exr, I1 => p_3_out(0), I2 => \^scndry_out\, I3 => p_3_out(1), I4 => p_3_out(2), O => lpf_exr_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zynq_design_1_rst_ps7_0_100M_1_upcnt_n is port ( Q : out STD_LOGIC_VECTOR ( 5 downto 0 ); seq_clr : in STD_LOGIC; seq_cnt_en : in STD_LOGIC; slowest_sync_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zynq_design_1_rst_ps7_0_100M_1_upcnt_n : entity is "upcnt_n"; end zynq_design_1_rst_ps7_0_100M_1_upcnt_n; architecture STRUCTURE of zynq_design_1_rst_ps7_0_100M_1_upcnt_n is signal \^q\ : STD_LOGIC_VECTOR ( 5 downto 0 ); signal clear : STD_LOGIC; signal q_int0 : STD_LOGIC_VECTOR ( 5 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \q_int[1]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \q_int[2]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \q_int[3]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \q_int[4]_i_1\ : label is "soft_lutpair0"; begin Q(5 downto 0) <= \^q\(5 downto 0); \q_int[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => q_int0(0) ); \q_int[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => q_int0(1) ); \q_int[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => q_int0(2) ); \q_int[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => q_int0(3) ); \q_int[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => q_int0(4) ); \q_int[5]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => seq_clr, O => clear ); \q_int[5]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => \^q\(0), I3 => \^q\(2), I4 => \^q\(4), I5 => \^q\(5), O => q_int0(5) ); \q_int_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(0), Q => \^q\(0), R => clear ); \q_int_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(1), Q => \^q\(1), R => clear ); \q_int_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(2), Q => \^q\(2), R => clear ); \q_int_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(3), Q => \^q\(3), R => clear ); \q_int_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(4), Q => \^q\(4), R => clear ); \q_int_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(5), Q => \^q\(5), R => clear ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zynq_design_1_rst_ps7_0_100M_1_lpf is port ( lpf_int : out STD_LOGIC; slowest_sync_clk : in STD_LOGIC; dcm_locked : in STD_LOGIC; aux_reset_in : in STD_LOGIC; mb_debug_sys_rst : in STD_LOGIC; ext_reset_in : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zynq_design_1_rst_ps7_0_100M_1_lpf : entity is "lpf"; end zynq_design_1_rst_ps7_0_100M_1_lpf; architecture STRUCTURE of zynq_design_1_rst_ps7_0_100M_1_lpf is signal \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\ : STD_LOGIC; signal \ACTIVE_LOW_EXT.ACT_LO_EXT_n_0\ : STD_LOGIC; signal Q : STD_LOGIC; signal asr_lpf : STD_LOGIC_VECTOR ( 0 to 0 ); signal lpf_asr : STD_LOGIC; signal lpf_exr : STD_LOGIC; signal \lpf_int0__0\ : STD_LOGIC; signal p_1_in : STD_LOGIC; signal p_2_in : STD_LOGIC; signal p_3_in1_in : STD_LOGIC; signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of POR_SRL_I : label is "SRL16"; attribute box_type : string; attribute box_type of POR_SRL_I : label is "PRIMITIVE"; attribute srl_name : string; attribute srl_name of POR_SRL_I : label is "U0/\EXT_LPF/POR_SRL_I "; begin \ACTIVE_LOW_AUX.ACT_LO_AUX\: entity work.zynq_design_1_rst_ps7_0_100M_1_cdc_sync port map ( asr_lpf(0) => asr_lpf(0), aux_reset_in => aux_reset_in, lpf_asr => lpf_asr, lpf_asr_reg => \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\, p_1_in => p_1_in, p_2_in => p_2_in, scndry_out => p_3_in1_in, slowest_sync_clk => slowest_sync_clk ); \ACTIVE_LOW_EXT.ACT_LO_EXT\: entity work.zynq_design_1_rst_ps7_0_100M_1_cdc_sync_0 port map ( ext_reset_in => ext_reset_in, lpf_exr => lpf_exr, lpf_exr_reg => \ACTIVE_LOW_EXT.ACT_LO_EXT_n_0\, mb_debug_sys_rst => mb_debug_sys_rst, p_3_out(2 downto 0) => p_3_out(2 downto 0), scndry_out => p_3_out(3), slowest_sync_clk => slowest_sync_clk ); \AUX_LPF[1].asr_lpf_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_in1_in, Q => p_2_in, R => '0' ); \AUX_LPF[2].asr_lpf_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_2_in, Q => p_1_in, R => '0' ); \AUX_LPF[3].asr_lpf_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_1_in, Q => asr_lpf(0), R => '0' ); \EXT_LPF[1].exr_lpf_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_out(3), Q => p_3_out(2), R => '0' ); \EXT_LPF[2].exr_lpf_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_out(2), Q => p_3_out(1), R => '0' ); \EXT_LPF[3].exr_lpf_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_out(1), Q => p_3_out(0), R => '0' ); POR_SRL_I: unisim.vcomponents.SRL16E generic map( INIT => X"FFFF" ) port map ( A0 => '1', A1 => '1', A2 => '1', A3 => '1', CE => '1', CLK => slowest_sync_clk, D => '0', Q => Q ); lpf_asr_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\, Q => lpf_asr, R => '0' ); lpf_exr_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \ACTIVE_LOW_EXT.ACT_LO_EXT_n_0\, Q => lpf_exr, R => '0' ); lpf_int0: unisim.vcomponents.LUT4 generic map( INIT => X"FFEF" ) port map ( I0 => Q, I1 => lpf_asr, I2 => dcm_locked, I3 => lpf_exr, O => \lpf_int0__0\ ); lpf_int_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \lpf_int0__0\, Q => lpf_int, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zynq_design_1_rst_ps7_0_100M_1_sequence_psr is port ( Core : out STD_LOGIC; bsr : out STD_LOGIC; pr : out STD_LOGIC; \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn_reg[0]\ : out STD_LOGIC; \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn_reg[0]\ : out STD_LOGIC; lpf_int : in STD_LOGIC; slowest_sync_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zynq_design_1_rst_ps7_0_100M_1_sequence_psr : entity is "sequence_psr"; end zynq_design_1_rst_ps7_0_100M_1_sequence_psr; architecture STRUCTURE of zynq_design_1_rst_ps7_0_100M_1_sequence_psr is signal \^core\ : STD_LOGIC; signal Core_i_1_n_0 : STD_LOGIC; signal \^bsr\ : STD_LOGIC; signal \bsr_dec_reg_n_0_[0]\ : STD_LOGIC; signal \bsr_dec_reg_n_0_[2]\ : STD_LOGIC; signal bsr_i_1_n_0 : STD_LOGIC; signal \core_dec[0]_i_1_n_0\ : STD_LOGIC; signal \core_dec[2]_i_1_n_0\ : STD_LOGIC; signal \core_dec_reg_n_0_[0]\ : STD_LOGIC; signal \core_dec_reg_n_0_[1]\ : STD_LOGIC; signal from_sys_i_1_n_0 : STD_LOGIC; signal p_0_in : STD_LOGIC; signal p_3_out : STD_LOGIC_VECTOR ( 2 downto 0 ); signal p_5_out : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^pr\ : STD_LOGIC; signal \pr_dec0__0\ : STD_LOGIC; signal \pr_dec_reg_n_0_[0]\ : STD_LOGIC; signal \pr_dec_reg_n_0_[2]\ : STD_LOGIC; signal pr_i_1_n_0 : STD_LOGIC; signal seq_clr : STD_LOGIC; signal seq_cnt : STD_LOGIC_VECTOR ( 5 downto 0 ); signal seq_cnt_en : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn[0]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn[0]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of Core_i_1 : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \bsr_dec[2]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of bsr_i_1 : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \core_dec[0]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \core_dec[2]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of from_sys_i_1 : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \pr_dec[0]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of pr_i_1 : label is "soft_lutpair4"; begin Core <= \^core\; bsr <= \^bsr\; pr <= \^pr\; \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^bsr\, O => \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn_reg[0]\ ); \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^pr\, O => \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn_reg[0]\ ); Core_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^core\, I1 => p_0_in, O => Core_i_1_n_0 ); Core_reg: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => Core_i_1_n_0, Q => \^core\, S => lpf_int ); SEQ_COUNTER: entity work.zynq_design_1_rst_ps7_0_100M_1_upcnt_n port map ( Q(5 downto 0) => seq_cnt(5 downto 0), seq_clr => seq_clr, seq_cnt_en => seq_cnt_en, slowest_sync_clk => slowest_sync_clk ); \bsr_dec[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0804" ) port map ( I0 => seq_cnt_en, I1 => seq_cnt(3), I2 => seq_cnt(5), I3 => seq_cnt(4), O => p_5_out(0) ); \bsr_dec[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \core_dec_reg_n_0_[1]\, I1 => \bsr_dec_reg_n_0_[0]\, O => p_5_out(2) ); \bsr_dec_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_5_out(0), Q => \bsr_dec_reg_n_0_[0]\, R => '0' ); \bsr_dec_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_5_out(2), Q => \bsr_dec_reg_n_0_[2]\, R => '0' ); bsr_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^bsr\, I1 => \bsr_dec_reg_n_0_[2]\, O => bsr_i_1_n_0 ); bsr_reg: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => bsr_i_1_n_0, Q => \^bsr\, S => lpf_int ); \core_dec[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"8040" ) port map ( I0 => seq_cnt(4), I1 => seq_cnt(3), I2 => seq_cnt(5), I3 => seq_cnt_en, O => \core_dec[0]_i_1_n_0\ ); \core_dec[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \core_dec_reg_n_0_[1]\, I1 => \core_dec_reg_n_0_[0]\, O => \core_dec[2]_i_1_n_0\ ); \core_dec_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \core_dec[0]_i_1_n_0\, Q => \core_dec_reg_n_0_[0]\, R => '0' ); \core_dec_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \pr_dec0__0\, Q => \core_dec_reg_n_0_[1]\, R => '0' ); \core_dec_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \core_dec[2]_i_1_n_0\, Q => p_0_in, R => '0' ); from_sys_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^core\, I1 => seq_cnt_en, O => from_sys_i_1_n_0 ); from_sys_reg: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => from_sys_i_1_n_0, Q => seq_cnt_en, S => lpf_int ); pr_dec0: unisim.vcomponents.LUT4 generic map( INIT => X"0210" ) port map ( I0 => seq_cnt(0), I1 => seq_cnt(1), I2 => seq_cnt(2), I3 => seq_cnt_en, O => \pr_dec0__0\ ); \pr_dec[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"1080" ) port map ( I0 => seq_cnt_en, I1 => seq_cnt(5), I2 => seq_cnt(3), I3 => seq_cnt(4), O => p_3_out(0) ); \pr_dec[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \core_dec_reg_n_0_[1]\, I1 => \pr_dec_reg_n_0_[0]\, O => p_3_out(2) ); \pr_dec_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_out(0), Q => \pr_dec_reg_n_0_[0]\, R => '0' ); \pr_dec_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_out(2), Q => \pr_dec_reg_n_0_[2]\, R => '0' ); pr_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^pr\, I1 => \pr_dec_reg_n_0_[2]\, O => pr_i_1_n_0 ); pr_reg: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => pr_i_1_n_0, Q => \^pr\, S => lpf_int ); seq_clr_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => '1', Q => seq_clr, R => lpf_int ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zynq_design_1_rst_ps7_0_100M_1_proc_sys_reset is port ( slowest_sync_clk : in STD_LOGIC; ext_reset_in : in STD_LOGIC; aux_reset_in : in STD_LOGIC; mb_debug_sys_rst : in STD_LOGIC; dcm_locked : in STD_LOGIC; mb_reset : out STD_LOGIC; bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute C_AUX_RESET_HIGH : string; attribute C_AUX_RESET_HIGH of zynq_design_1_rst_ps7_0_100M_1_proc_sys_reset : entity is "1'b0"; attribute C_AUX_RST_WIDTH : integer; attribute C_AUX_RST_WIDTH of zynq_design_1_rst_ps7_0_100M_1_proc_sys_reset : entity is 4; attribute C_EXT_RESET_HIGH : string; attribute C_EXT_RESET_HIGH of zynq_design_1_rst_ps7_0_100M_1_proc_sys_reset : entity is "1'b0"; attribute C_EXT_RST_WIDTH : integer; attribute C_EXT_RST_WIDTH of zynq_design_1_rst_ps7_0_100M_1_proc_sys_reset : entity is 4; attribute C_FAMILY : string; attribute C_FAMILY of zynq_design_1_rst_ps7_0_100M_1_proc_sys_reset : entity is "zynq"; attribute C_NUM_BUS_RST : integer; attribute C_NUM_BUS_RST of zynq_design_1_rst_ps7_0_100M_1_proc_sys_reset : entity is 1; attribute C_NUM_INTERCONNECT_ARESETN : integer; attribute C_NUM_INTERCONNECT_ARESETN of zynq_design_1_rst_ps7_0_100M_1_proc_sys_reset : entity is 1; attribute C_NUM_PERP_ARESETN : integer; attribute C_NUM_PERP_ARESETN of zynq_design_1_rst_ps7_0_100M_1_proc_sys_reset : entity is 1; attribute C_NUM_PERP_RST : integer; attribute C_NUM_PERP_RST of zynq_design_1_rst_ps7_0_100M_1_proc_sys_reset : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zynq_design_1_rst_ps7_0_100M_1_proc_sys_reset : entity is "proc_sys_reset"; end zynq_design_1_rst_ps7_0_100M_1_proc_sys_reset; architecture STRUCTURE of zynq_design_1_rst_ps7_0_100M_1_proc_sys_reset is signal Core : STD_LOGIC; signal SEQ_n_3 : STD_LOGIC; signal SEQ_n_4 : STD_LOGIC; signal bsr : STD_LOGIC; signal lpf_int : STD_LOGIC; signal pr : STD_LOGIC; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn_reg[0]\ : label is "no"; attribute equivalent_register_removal of \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn_reg[0]\ : label is "no"; attribute equivalent_register_removal of \BSR_OUT_DFF[0].bus_struct_reset_reg[0]\ : label is "no"; attribute equivalent_register_removal of \PR_OUT_DFF[0].peripheral_reset_reg[0]\ : label is "no"; begin \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => '1', D => SEQ_n_3, Q => interconnect_aresetn(0), R => '0' ); \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => '1', D => SEQ_n_4, Q => peripheral_aresetn(0), R => '0' ); \BSR_OUT_DFF[0].bus_struct_reset_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => bsr, Q => bus_struct_reset(0), R => '0' ); EXT_LPF: entity work.zynq_design_1_rst_ps7_0_100M_1_lpf port map ( aux_reset_in => aux_reset_in, dcm_locked => dcm_locked, ext_reset_in => ext_reset_in, lpf_int => lpf_int, mb_debug_sys_rst => mb_debug_sys_rst, slowest_sync_clk => slowest_sync_clk ); \PR_OUT_DFF[0].peripheral_reset_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => pr, Q => peripheral_reset(0), R => '0' ); SEQ: entity work.zynq_design_1_rst_ps7_0_100M_1_sequence_psr port map ( \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn_reg[0]\ => SEQ_n_3, \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn_reg[0]\ => SEQ_n_4, Core => Core, bsr => bsr, lpf_int => lpf_int, pr => pr, slowest_sync_clk => slowest_sync_clk ); mb_reset_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => Core, Q => mb_reset, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zynq_design_1_rst_ps7_0_100M_1 is port ( slowest_sync_clk : in STD_LOGIC; ext_reset_in : in STD_LOGIC; aux_reset_in : in STD_LOGIC; mb_debug_sys_rst : in STD_LOGIC; dcm_locked : in STD_LOGIC; mb_reset : out STD_LOGIC; bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of zynq_design_1_rst_ps7_0_100M_1 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of zynq_design_1_rst_ps7_0_100M_1 : entity is "zynq_design_1_rst_ps7_0_100M_1,proc_sys_reset,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of zynq_design_1_rst_ps7_0_100M_1 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of zynq_design_1_rst_ps7_0_100M_1 : entity is "proc_sys_reset,Vivado 2017.2"; end zynq_design_1_rst_ps7_0_100M_1; architecture STRUCTURE of zynq_design_1_rst_ps7_0_100M_1 is attribute C_AUX_RESET_HIGH : string; attribute C_AUX_RESET_HIGH of U0 : label is "1'b0"; attribute C_AUX_RST_WIDTH : integer; attribute C_AUX_RST_WIDTH of U0 : label is 4; attribute C_EXT_RESET_HIGH : string; attribute C_EXT_RESET_HIGH of U0 : label is "1'b0"; attribute C_EXT_RST_WIDTH : integer; attribute C_EXT_RST_WIDTH of U0 : label is 4; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "zynq"; attribute C_NUM_BUS_RST : integer; attribute C_NUM_BUS_RST of U0 : label is 1; attribute C_NUM_INTERCONNECT_ARESETN : integer; attribute C_NUM_INTERCONNECT_ARESETN of U0 : label is 1; attribute C_NUM_PERP_ARESETN : integer; attribute C_NUM_PERP_ARESETN of U0 : label is 1; attribute C_NUM_PERP_RST : integer; attribute C_NUM_PERP_RST of U0 : label is 1; begin U0: entity work.zynq_design_1_rst_ps7_0_100M_1_proc_sys_reset port map ( aux_reset_in => aux_reset_in, bus_struct_reset(0) => bus_struct_reset(0), dcm_locked => dcm_locked, ext_reset_in => ext_reset_in, interconnect_aresetn(0) => interconnect_aresetn(0), mb_debug_sys_rst => mb_debug_sys_rst, mb_reset => mb_reset, peripheral_aresetn(0) => peripheral_aresetn(0), peripheral_reset(0) => peripheral_reset(0), slowest_sync_clk => slowest_sync_clk ); end STRUCTURE;
mit
482ca304020975d7fa22cd57e980ca33
0.574771
2.81227
false
false
false
false
chipsalliance/Surelog
third_party/tests/YosysTestSuite/sva/basic05.vhd
11
473
library ieee; use ieee.std_logic_1164.all; entity demo is port ( clock : in std_logic; ctrl : in std_logic; x : out std_logic ); end entity; architecture rtl of demo is signal read : std_logic := '0'; signal write : std_logic := '0'; signal ready : std_logic := '0'; begin process (clock) begin if (rising_edge(clock)) then read <= not ctrl; write <= ctrl; ready <= write; end if; end process; x <= read xor write xor ready; end architecture;
apache-2.0
76ea408ff1b1cc54d46c55fc00784cb2
0.64482
2.884146
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/techmap/maps/grgates.vhd
1
6,569
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: Various -- File: grgates.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Various gates with tech mapping ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; use work.allclkgen.all; entity grmux2 is generic( tech : integer := inferred; imp : integer := 0); port( ip0, ip1, sel : in std_logic; op : out std_ulogic); end; architecture rtl of grmux2 is component ut130hbd_mux2 port( i0 : in std_ulogic; i1 : in std_ulogic; sel : in std_ulogic; o : out std_ulogic); end component; component mux2_ut90nhbd port( i0 : in std_ulogic; i1 : in std_ulogic; sel : in std_ulogic; o : out std_ulogic); end component; constant has_mux2 : tech_ability_type := ( rhlib18t => 1, ut130 => 1, ut90 => 1, others => 0); begin y0 : if has_mux2(tech) = 1 generate rhlib : if tech = rhlib18t generate x0 : clkmux_rhlib18t port map (i0 => ip0, i1 => ip1, sel => sel, o => op); end generate; ut13 : if tech = ut130 generate x0 : ut130hbd_mux2 port map (i0 => ip0, i1 => ip1, sel => sel, o => op); end generate; ut90n : if tech = ut90 generate x0 : mux2_ut90nhbd port map (i0 => ip0, i1 => ip1, sel => sel, o => op); end generate; end generate; y1 : if has_mux2(tech) = 0 generate op <= ip0 when sel = '0' else ip1; end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity grmux2v is generic( tech : integer := inferred; bits : integer := 2; imp : integer := 0); port( ip0, ip1 : in std_logic_vector(bits-1 downto 0); sel : in std_logic; op : out std_logic_vector(bits-1 downto 0)); end; architecture rtl of grmux2v is begin x0 : for i in bits-1 downto 0 generate y0 : grmux2 generic map (tech, imp) port map (ip0(i), ip1(i), sel, op(i)); end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity grdff is generic( tech : integer := inferred; imp : integer := 0); port( clk, d : in std_ulogic; q : out std_ulogic); end; architecture rtl of grdff is component ut130hbd_dff port( clk : in std_ulogic; d : in std_ulogic; q : out std_ulogic); end component; component dff_ut90nhbd port( clk : in std_ulogic; d : in std_ulogic; q : out std_ulogic); end component; constant has_dff : tech_ability_type := ( ut130 => 1, ut90 => 1, others => 0); begin y0 : if has_dff(tech) = 1 generate ut13 : if tech = ut130 generate x0 : ut130hbd_dff port map (clk => clk, d => d, q => q); end generate; ut90n : if tech = ut90 generate x0 : dff_ut90nhbd port map (clk => clk, d => d, q => q); end generate; end generate; y1 : if has_dff(tech) = 0 generate x0 : process(clk) begin if rising_edge(clk) then q <= d; end if; end process; end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity gror2 is generic( tech : integer := inferred; imp : integer := 0); port( i0, i1 : in std_ulogic; q : out std_ulogic); end; architecture rtl of gror2 is component ut130hbd_or2 port( i0 : in std_ulogic; i1 : in std_ulogic; q : out std_ulogic); end component; component or2_ut90nhbd port( i0 : in std_ulogic; i1 : in std_ulogic; o : out std_ulogic); end component; constant has_or2 : tech_ability_type := ( ut130 => 1, ut90 => 1, others => 0); begin y0 : if has_or2(tech) = 1 generate ut13 : if tech = ut130 generate x0 : ut130hbd_or2 port map (i0 => i0, i1 => i1, q => q); end generate; ut90n : if tech = ut90 generate x0 : or2_ut90nhbd port map (i0 => i0, i1 => i1, o => q); end generate; end generate; y1 : if has_or2(tech) = 0 generate q <= i0 or i1; end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity grand12 is generic( tech : integer := inferred; imp : integer := 0); port( i0, i1 : in std_ulogic; q : out std_ulogic); end; architecture rtl of grand12 is component ut130hbd_and12 port( i0 : in std_ulogic; i1 : in std_ulogic; q : out std_ulogic); end component; component and12_ut90nhbd port( i0 : in std_ulogic; i1 : in std_ulogic; o : out std_ulogic); end component; constant has_and12 : tech_ability_type := ( ut130 => 1, ut90 => 1, others => 0); begin y0 : if has_and12(tech) = 1 generate ut13 : if tech = ut130 generate x0 : ut130hbd_and12 port map (i0 => i0, i1 => i1, q => q); end generate; ut90n : if tech = ut90 generate x0 : and12_ut90nhbd port map (i0 => i0, i1 => i1, o => q); end generate; end generate; y1 : if has_and12(tech) = 0 generate q <= i0 and not i1; end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity grnand2 is generic ( tech: integer := 0; imp: integer := 0 ); port ( i0: in std_ulogic; i1: in std_ulogic; q : out std_ulogic ); end; architecture rtl of grnand2 is constant has_nand2: tech_ability_type := (others => 0); begin y0: if has_nand2(tech)=1 generate end generate; y1: if has_nand2(tech)=0 generate q <= not (i0 and i1); end generate; end;
gpl-2.0
d32eb7aae84077ac596daecc05c2dd8b
0.604658
3.117703
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/gaisler/memctrl/sdctrl64.vhd
1
29,628
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: sdctrl -- File: sdctrl.vhd -- Author: Jiri Gaisler - Gaisler Research -- Modified: Jan Andersson - Aeroflex Gaisler -- Description: 64-bit SDRAM memory controller. -- Supports HSIZE_DWORD AMBA accesses when connected to -- AHB data bus wider than 32 bits. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; library gaisler; use grlib.devices.all; use gaisler.memctrl.all; entity sdctrl64 is generic ( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; wprot : integer := 0; invclk : integer := 0; fast : integer := 0; pwron : integer := 0; oepol : integer := 0; pageburst : integer := 0; mobile : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sdi : in sdctrl_in_type; sdo : out sdctrl_out_type ); end; architecture rtl of sdctrl64 is constant WPROTEN : boolean := wprot = 1; constant SDINVCLK : boolean := invclk = 1; constant REVISION : integer := 0; constant PM_PD : std_logic_vector(2 downto 0) := "001"; constant PM_SR : std_logic_vector(2 downto 0) := "010"; constant PM_DPD : std_logic_vector(2 downto 0) := "101"; constant std_rammask: Std_Logic_Vector(31 downto 20) := Conv_Std_Logic_Vector(hmask, 12); constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_SDCTRL64, 0, REVISION, 0), 4 => ahb_membar(haddr, '1', '1', hmask), 5 => ahb_iobar(ioaddr, iomask), others => zero32); type mcycletype is (midle, active, leadout); type sdcycletype is (act1, act2, act3, rd1, rd2, rd3, rd4, rd5, rd6, rd7, rd8, wr1, wr2, wr3, wr4, wr5, sidle, sref, pd, dpd); type icycletype is (iidle, pre, ref, lmode, emode, finish); -- sdram configuration register type sdram_cfg_type is record command : std_logic_vector(2 downto 0); csize : std_logic_vector(1 downto 0); bsize : std_logic_vector(2 downto 0); casdel : std_ulogic; -- CAS to data delay: 2/3 clock cycles trfc : std_logic_vector(2 downto 0); trp : std_ulogic; -- precharge to activate: 2/3 clock cycles refresh : std_logic_vector(14 downto 0); renable : std_ulogic; mobileen : std_logic_vector(1 downto 0); -- Mobile SD support, Mobile SD enabled ds : std_logic_vector(3 downto 0); -- ds(1:0) (ds(3:2) used to detect update) tcsr : std_logic_vector(3 downto 0); -- tcrs(1:0) (tcrs(3:2) used to detect update) pasr : std_logic_vector(5 downto 0); -- pasr(2:0) (pasr(5:3) used to detect update) pmode : std_logic_vector(2 downto 0); -- Power-Saving mode txsr : std_logic_vector(3 downto 0); -- Exit Self Refresh timing cke : std_ulogic; -- Clock enable end record; -- local registers type reg_type is record hready : std_ulogic; hsel : std_ulogic; bdrive : std_ulogic; nbdrive : std_ulogic; burst : std_ulogic; wprothit : std_ulogic; hio : std_ulogic; startsd : std_ulogic; mstate : mcycletype; sdstate : sdcycletype; cmstate : mcycletype; istate : icycletype; icnt : std_logic_vector(2 downto 0); haddr : std_logic_vector(31 downto 0); hrdata : std_logic_vector(63 downto 0); hwdata : std_logic_vector(63 downto 0); hwrite : std_ulogic; htrans : std_logic_vector(1 downto 0); hresp : std_logic_vector(1 downto 0); size : std_logic_vector(1 downto 0); cfg : sdram_cfg_type; trfc : std_logic_vector(3 downto 0); refresh : std_logic_vector(14 downto 0); sdcsn : std_logic_vector(3 downto 0); sdwen : std_ulogic; rasn : std_ulogic; casn : std_ulogic; dqm : std_logic_vector(7 downto 0); address : std_logic_vector(16 downto 1); -- memory address idlecnt : std_logic_vector(3 downto 0); -- Counter, 16 idle clock sycles before entering Power-Saving mode sref_tmpcom : std_logic_vector(2 downto 0); -- Save SD command when exit sref pwron : std_ulogic; end record; signal r, ri : reg_type; signal rbdrive, ribdrive : std_logic_vector(63 downto 0); attribute syn_preserve : boolean; attribute syn_preserve of rbdrive : signal is true; begin ctrl : process(rst, ahbsi, r, sdi, rbdrive) variable v : reg_type; -- local variables for registers variable startsd : std_ulogic; variable dataout : std_logic_vector(31 downto 0); -- data from memory variable regsd : std_logic_vector(31 downto 0); -- data from registers variable dqm : std_logic_vector(7 downto 0); variable raddr : std_logic_vector(12 downto 0); variable adec0 : std_ulogic; variable adec1 : std_ulogic; variable rams : std_logic_vector(3 downto 0); variable ba : std_logic_vector(1 downto 0); variable haddr : std_logic_vector(31 downto 0); variable dout : std_logic_vector(63 downto 0); variable hwrite : std_ulogic; variable htrans : std_logic_vector(1 downto 0); variable hready : std_ulogic; variable vbdrive : std_logic_vector(63 downto 0); variable bdrive : std_ulogic; variable lline : std_logic_vector(2 downto 0); variable haddr_tmp : std_logic_vector(31 downto 0); variable arefresh : std_logic; variable hwdata : std_logic_vector(63 downto 0); begin -- Variable default settings to avoid latches v := r; startsd := '0'; v.hresp := HRESP_OKAY; vbdrive := rbdrive; arefresh := '0'; -- lline := not r.cfg.casdel & r.cfg.casdel & r.cfg.casdel; lline := '1' & not r.cfg.casdel & '1'; v.hrdata(63 downto 0) := sdi.data(63 downto 0); -- Select input data depending on AHB DW and AMBA data mux settings if AHBDW = 32 then hwdata := ahbreadword(ahbsi.hwdata, r.haddr(4 downto 2)) & ahbreadword(ahbsi.hwdata, r.haddr(4 downto 2)); else hwdata := ahbreaddword(ahbsi.hwdata, r.haddr(4 downto 2)); end if; v.hwdata := hwdata; -- AHB access if ((ahbsi.hready and ahbsi.hsel(hindex)) = '1') then v.size := ahbsi.hsize(1 downto 0); v.hwrite := ahbsi.hwrite; v.htrans := ahbsi.htrans; if ahbsi.htrans(1) = '1' then v.hio := ahbsi.hmbsel(1); v.hsel := '1'; v.hready := v.hio; end if; v.haddr := ahbsi.haddr; -- addr must be masked since address range can be smaller than -- total banksize. this can result in wrong chip select being -- asserted for i in 31 downto 20 loop v.haddr(i) := ahbsi.haddr(i) and not std_rammask(i); end loop; end if; if (r.hsel = '1') and (ahbsi.hready = '0') then haddr := r.haddr; htrans := r.htrans; hwrite := r.hwrite; else haddr := ahbsi.haddr; htrans := ahbsi.htrans; hwrite := ahbsi.hwrite; -- addr must be masked since address range can be smaller than -- total banksize. this can result in wrong chip select being -- asserted for i in 31 downto 20 loop haddr(i) := ahbsi.haddr(i) and not std_rammask(i); end loop; end if; if fast = 1 then haddr := r.haddr; end if; if ahbsi.hready = '1' then v.hsel := ahbsi.hsel(hindex); end if; -- main state case r.size is when "00" => case r.haddr(2 downto 0) is when "000" => dqm := "01111111"; when "001" => dqm := "10111111"; when "010" => dqm := "11011111"; when "011" => dqm := "11101111"; when "100" => dqm := "11110111"; when "101" => dqm := "11111011"; when "110" => dqm := "11111101"; when others => dqm := "11111110"; end case; when "01" => case r.haddr(2 downto 1) is when "00" => dqm := "00111111"; when "01" => dqm := "11001111"; when "10" => dqm := "11110011"; when others => dqm := "11111100"; end case; when "10" => if r.hwrite = '0' then dqm := "00000000"; elsif r.haddr(2) = '0' then dqm := "00001111"; else dqm := "11110000"; end if; when others => dqm := "00000000"; end case; -- main FSM case r.mstate is when midle => if ((v.hsel and htrans(1) and not v.hio) = '1') then if (r.sdstate = sidle) and (r.cfg.command = "000") and (r.cmstate = midle) and (v.hio = '0') then if fast = 0 then startsd := '1'; else v.startsd := '1'; end if; v.mstate := active; elsif ((r.sdstate = sref) or (r.sdstate = pd) or (r.sdstate = dpd)) and (r.cfg.command = "000") and (r.cmstate = midle) and (v.hio = '0') then v.startsd := '1'; if r.sdstate = dpd then -- Error response when on Deep Power-Down mode v.hresp := HRESP_ERROR; else v.mstate := active; end if; end if; end if; when others => null; end case; startsd := startsd or r.startsd; -- generate row and column address size case r.cfg.csize is when "00" => raddr := haddr(23 downto 11); when "01" => raddr := haddr(24 downto 12); when "10" => raddr := haddr(25 downto 13); when others => if r.cfg.bsize = "111" then raddr := haddr(27 downto 15); else raddr := haddr(26 downto 14); end if; end case; -- generate bank address ba := genmux(r.cfg.bsize, haddr(29 downto 21)) & genmux(r.cfg.bsize, haddr(28 downto 20)); -- generate chip select adec0 := genmux(r.cfg.bsize, haddr(29 downto 22)); adec1 := genmux(r.cfg.bsize, haddr(30 downto 23)); rams := (adec1 and adec0) & (adec1 and not adec0) & (not adec1 and adec0) & (not adec1 and not adec0); -- sdram access FSM if r.trfc /= "0000" then v.trfc := r.trfc - 1; end if; if r.idlecnt /= "0000" then v.idlecnt := r.idlecnt - 1; end if; case r.sdstate is when sidle => if (startsd = '1') and (r.cfg.command = "000") and (r.cmstate = midle) then v.address(16 downto 1) := ba & raddr & '0'; v.sdcsn := not rams(3 downto 0); v.rasn := '0'; v.sdstate := act1; v.startsd := '0'; elsif (r.idlecnt = "0000") and (r.cfg.command = "000") and (r.cmstate = midle) and (r.cfg.mobileen(1) = '1') then case r.cfg.pmode is when PM_SR => v.cfg.cke := '0'; v.sdstate := sref; v.sdcsn := (others => '0'); v.rasn := '0'; v.casn := '0'; v.trfc := (r.cfg.trp and r.cfg.mobileen(1)) & r.cfg.trfc; -- Control minimum duration of Self Refresh mode (= tRAS) when PM_PD => v.cfg.cke := '0'; v.sdstate := pd; when PM_DPD => v.cfg.cke := '0'; v.sdstate := dpd; v.sdcsn := (others => '0'); v.sdwen := '0'; v.rasn := '1'; v.casn := '1'; when others => end case; end if; when act1 => v.rasn := '1'; v.trfc := (r.cfg.trp and r.cfg.mobileen(1)) & r.cfg.trfc; if r.cfg.casdel = '1' then v.sdstate := act2; else v.sdstate := act3; v.hready := r.hwrite and ahbsi.htrans(0) and ahbsi.htrans(1); end if; if WPROTEN then v.wprothit := sdi.wprot; if sdi.wprot = '1' then v.hresp := HRESP_ERROR; end if; end if; when act2 => v.sdstate := act3; v.hready := r.hwrite and ahbsi.htrans(0) and ahbsi.htrans(1); if WPROTEN and (r.wprothit = '1') then v.hresp := HRESP_ERROR; v.hready := '0'; end if; when act3 => v.casn := '0'; v.address(14 downto 1) := r.haddr(14 downto 13) & '0' & r.haddr(12 downto 2); v.dqm := dqm; v.burst := r.hready; if r.hwrite = '1' then v.sdstate := wr1; v.sdwen := '0'; v.bdrive := '0'; if ahbsi.htrans = "11" or (r.hready = '0') then v.hready := '1'; end if; if WPROTEN and (r.wprothit = '1') then v.hresp := HRESP_ERROR; v.hready := '1'; v.sdstate := wr1; v.sdwen := '1'; v.bdrive := '1'; v.casn := '1'; end if; else v.sdstate := rd1; end if; when wr1 => v.dqm := dqm; v.address(14 downto 2) := r.haddr(14 downto 13) & '0' & r.haddr(12 downto 3); if ((((r.burst and r.hready) = '1') and (r.htrans = "11")) and not (WPROTEN and (r.wprothit = '1'))) then v.hready := ahbsi.htrans(0) and ahbsi.htrans(1) and r.hready; if ((r.haddr(5 downto 2) = "1111") and (r.cfg.command = "100")) then -- exit on refresh v.hready := '0'; end if; else v.sdstate := wr2; v.bdrive := '1'; v.casn := '1'; v.sdwen := '1'; v.dqm := (others => '1'); end if; when wr2 => if (r.cfg.trp = '0') then v.rasn := '0'; v.sdwen := '0'; end if; v.sdstate := wr3; when wr3 => if (r.cfg.trp = '1') then v.rasn := '0'; v.sdwen := '0'; v.sdstate := wr4; else v.sdcsn := "1111"; v.rasn := '1'; v.sdwen := '1'; v.sdstate := sidle; v.idlecnt := (others => '1'); end if; when wr4 => v.sdcsn := "1111"; v.rasn := '1'; v.sdwen := '1'; if (r.cfg.trp = '1') then v.sdstate := wr5; else v.sdstate := sidle; v.idlecnt := (others => '1'); end if; when wr5 => v.sdstate := sidle; v.idlecnt := (others => '1'); when rd1 => v.casn := '1'; v.sdstate := rd7; if (ahbsi.htrans = "11") then if r.size = "11" then v.address(9 downto 1) := r.address(9 downto 1) + 2; else v.address(9 downto 1) := r.address(9 downto 1) + 1; end if; v.casn := '0'; end if; when rd7 => v.casn := '1'; if r.cfg.casdel = '1' then v.sdstate := rd2; if (ahbsi.htrans = "11") then if r.size = "11" then v.address(9 downto 1) := r.address(9 downto 1) + 2; else v.address(9 downto 1) := r.address(9 downto 1) + 1; end if; v.casn := '0'; end if; else v.sdstate := rd3; if ahbsi.htrans /= "11" then if (r.trfc(3 downto 1) = "000") then v.rasn := '0'; v.sdwen := '0'; end if; else if r.size = "11" then v.address(9 downto 1) := r.address(9 downto 1) + 2; else v.address(9 downto 1) := r.address(9 downto 1) + 1; end if; v.casn := '0'; end if; end if; when rd2 => v.casn := '1'; v.sdstate := rd3; if ahbsi.htrans /= "11" then v.rasn := '0'; v.sdwen := '0'; else if r.size = "11" then v.address(9 downto 1) := r.address(9 downto 1) + 2; else v.address(9 downto 1) := r.address(9 downto 1) + 1; end if; v.casn := '0'; end if; if v.sdwen = '0' then v.dqm := (others => '1'); end if; when rd3 => v.sdstate := rd4; v.hready := '1'; v.casn := '1'; if r.sdwen = '0' then v.rasn := '1'; v.sdwen := '1'; v.sdcsn := "1111"; v.dqm := (others => '1'); else if (ahbsi.htrans = "11") then if r.size = "11" then v.address(9 downto 1) := r.address(9 downto 1) + 2; else v.address(9 downto 1) := r.address(9 downto 1) + 1; end if; v.casn := '0'; end if; end if; when rd4 => v.hready := '1'; v.casn := '1'; if (ahbsi.htrans /= "11") or (r.sdcsn = "1111") or ((r.haddr(5 downto 2) = ("111" & not r.size(0))) and (r.cfg.command = "100")) -- exit on refresh then v.hready := '0'; v.dqm := (others => '1'); if (r.sdcsn /= "1111") then v.rasn := '0'; v.sdwen := '0'; v.sdstate := rd5; else if r.cfg.trp = '1' then v.sdstate := rd6; else v.sdstate := sidle; v.idlecnt := (others => '1'); end if; end if; else if r.size = "11" then v.address(9 downto 1) := r.address(9 downto 1) + 2; else v.address(9 downto 1) := r.address(9 downto 1) + 1; end if; v.casn := '0'; end if; when rd5 => if r.cfg.trp = '1' then v.sdstate := rd6; else v.sdstate := sidle; v.idlecnt := (others => '1'); end if; v.sdcsn := (others => '1'); v.rasn := '1'; v.sdwen := '1'; v.dqm := (others => '1'); v.casn := '1'; when rd6 => v.sdstate := sidle; v.idlecnt := (others => '1'); v.dqm := (others => '1'); v.sdcsn := (others => '1'); v.rasn := '1'; v.sdwen := '1'; when sref => if (startsd = '1' and (r.hio = '0')) or (r.cfg.command /= "000") or r.cfg.pmode /= PM_SR then if r.trfc = "0000" then -- Minimum duration (= tRAS) v.cfg.cke := '1'; v.sdcsn := (others => '0'); v.rasn := '1'; v.casn := '1'; end if; if r.cfg.cke = '1' then if (r.idlecnt = "0000") then -- tXSR ns with NOP v.sdstate := sidle; v.idlecnt := (others => '1'); v.sref_tmpcom := r.cfg.command; v.cfg.command := "100"; end if; else v.idlecnt := r.cfg.txsr; end if; end if; when pd => if (startsd = '1' and (r.hio = '0')) or (r.cfg.command /= "000") or r.cfg.pmode /= PM_PD then v.cfg.cke := '1'; v.sdstate := sidle; v.idlecnt := (others => '1'); end if; when dpd => v.sdcsn := (others => '1'); v.sdwen := '1'; v.rasn := '1'; v.casn := '1'; v.cfg.renable := '0'; if (startsd = '1' and r.hio = '0') then v.hready := '1'; -- ack all accesses with Error response v.startsd := '0'; v.hresp := HRESP_ERROR; elsif r.cfg.pmode /= PM_DPD then v.cfg.cke := '1'; if r.cfg.cke = '1' then v.sdstate := sidle; v.idlecnt := (others => '1'); v.cfg.renable := '1'; end if; end if; when others => v.sdstate := sidle; v.idlecnt := (others => '1'); end case; -- sdram commands case r.cmstate is when midle => if r.sdstate = sidle then case r.cfg.command is when "010" => -- precharge v.sdcsn := (others => '0'); v.rasn := '0'; v.sdwen := '0'; v.address(12) := '1'; v.cmstate := active; when "100" => -- auto-refresh v.sdcsn := (others => '0'); v.rasn := '0'; v.casn := '0'; v.cmstate := active; when "110" => -- Lodad Mode Reg v.sdcsn := (others => '0'); v.rasn := '0'; v.casn := '0'; v.sdwen := '0'; v.cmstate := active; v.address(16 downto 2) := "0000010001" & r.cfg.casdel & "0000"; when "111" => -- Load Ext-Mode Reg v.sdcsn := (others => '0'); v.rasn := '0'; v.casn := '0'; v.sdwen := '0'; v.cmstate := active; v.address(16 downto 2) := "10000000" & r.cfg.ds(1 downto 0) & r.cfg.tcsr(1 downto 0) & r.cfg.pasr(2 downto 0); when others => null; end case; end if; when active => v.sdcsn := (others => '1'); v.rasn := '1'; v.casn := '1'; v.sdwen := '1'; --v.cfg.command := "000"; v.cfg.command := r.sref_tmpcom; v.sref_tmpcom := "000"; v.cmstate := leadout; v.trfc := (r.cfg.trp and r.cfg.mobileen(1)) & r.cfg.trfc; when leadout => if r.trfc = "0000" then v.cmstate := midle; end if; end case; -- sdram init case r.istate is when iidle => v.cfg.cke := '1'; if (r.cfg.renable = '1' or (pwron /= 0 and r.pwron = '1')) and r.cfg.cke = '1' then v.cfg.command := "010"; v.istate := pre; end if; when pre => if r.cfg.command = "000" then v.cfg.command := "100"; v.istate := ref; v.icnt := "111"; end if; when ref => if r.cfg.command = "000" then v.cfg.command := "100"; v.icnt := r.icnt - 1; if r.icnt = "000" then v.istate := lmode; v.cfg.command := "110"; end if; end if; when lmode => if r.cfg.command = "000" then if r.cfg.mobileen = "11" then v.cfg.command := "111"; v.istate := emode; else v.istate := finish; end if; end if; when emode => if r.cfg.command = "000" then v.istate := finish; end if; when others => if pwron /= 0 then v.pwron := '0'; end if; if r.cfg.renable = '0' and r.sdstate /= dpd then v.istate := iidle; end if; end case; if (ahbsi.hready and ahbsi.hsel(hindex) ) = '1' then if ahbsi.htrans(1) = '0' then v.hready := '1'; end if; end if; if (r.hsel and r.hio and not r.hready) = '1' then v.hready := '1'; end if; -- second part of main fsm case r.mstate is when active => if v.hready = '1' then v.mstate := midle; end if; when others => null; end case; -- sdram refresh counter -- pragma translate_off if not is_x(r.cfg.refresh) then -- pragma translate_on if (r.cfg.renable = '1') and (r.istate = finish) and r.sdstate /= sref then v.refresh := r.refresh - 1; if (v.refresh(14) and not r.refresh(14)) = '1' then v.refresh := r.cfg.refresh; v.cfg.command := "100"; arefresh := '1'; end if; end if; -- pragma translate_off end if; -- pragma translate_on -- AHB register access if (r.hsel and r.hio and r.hwrite and r.htrans(1)) = '1' then if r.haddr(3 downto 2) = "00" then v.cfg.command := hwdata(20 downto 18); v.cfg.csize := hwdata(22 downto 21); v.cfg.bsize := hwdata(25 downto 23); v.cfg.casdel := hwdata(26); v.cfg.trfc := hwdata(29 downto 27); v.cfg.trp := hwdata(30); v.cfg.renable := hwdata(31); v.cfg.refresh := hwdata(14 downto 0); v.refresh := (others => '0'); elsif r.haddr(3 downto 2) = "01" then if r.cfg.mobileen(1) = '1' and mobile /= 3 then v.cfg.mobileen(0) := hwdata(31); end if; if r.cfg.pmode = "000" then v.cfg.cke := hwdata(30); end if; if r.cfg.mobileen(1) = '1' then v.cfg.txsr := hwdata(23 downto 20); v.cfg.pmode := hwdata(18 downto 16); v.cfg.ds(3 downto 2) := hwdata( 6 downto 5); v.cfg.tcsr(3 downto 2) := hwdata( 4 downto 3); v.cfg.pasr(5 downto 3) := hwdata( 2 downto 0); end if; end if; end if; -- Disable CS and DPD when Mobile SDR is Disabled if r.cfg.mobileen(0) = '0' then v.cfg.pmode(2) := '0'; end if; -- Update EMR when ds, tcsr or pasr change if r.cfg.command = "000" and arefresh = '0' and r.cfg.mobileen(0) = '1' then if r.cfg.ds(1 downto 0) /= r.cfg.ds(3 downto 2) then v.cfg.command := "111"; v.cfg.ds(1 downto 0) := r.cfg.ds(3 downto 2); end if; if r.cfg.tcsr(1 downto 0) /= r.cfg.tcsr(3 downto 2) then v.cfg.command := "111"; v.cfg.tcsr(1 downto 0) := r.cfg.tcsr(3 downto 2); end if; if r.cfg.pasr(2 downto 0) /= r.cfg.pasr(5 downto 3) then v.cfg.command := "111"; v.cfg.pasr(2 downto 0) := r.cfg.pasr(5 downto 3); end if; end if; regsd := (others => '0'); if r.haddr(3 downto 2) = "00" then regsd(31 downto 18) := r.cfg.renable & r.cfg.trp & r.cfg.trfc & r.cfg.casdel & r.cfg.bsize & r.cfg.csize & r.cfg.command; regsd(16) := r.cfg.mobileen(1); regsd(15) := '1'; -- 64-bit support regsd(14 downto 0) := r.cfg.refresh; elsif r.haddr(3 downto 2) = "01" then regsd(31) := r.cfg.mobileen(0); regsd(30) := r.cfg.cke; regsd(23 downto 0) := r.cfg.txsr & '0' & r.cfg.pmode & "000000000" & r.cfg.ds(1 downto 0) & r.cfg.tcsr(1 downto 0) & r.cfg.pasr(2 downto 0); end if; if (r.hsel and r.hio) = '1' then dout := regsd & regsd; else dout := r.hrdata; -- Possibly duplicate data for reads < HSIZE_DWORD since the system may -- not be fully AMBA compliant and other cores may expect that the valid -- WORD is present on 31:0 of AMBA HRDATA. if andv(r.size) /= '1' and r.haddr(2) = '0' then dout(31 downto 0) := r.hrdata(63 downto 32); if r.hready = '1' then v.hrdata := r.hrdata; end if; end if; end if; v.nbdrive := not v.bdrive; if oepol = 1 then bdrive := r.nbdrive; vbdrive := (others => v.nbdrive); else bdrive := r.bdrive; vbdrive := (others => v.bdrive);end if; -- reset if rst = '0' then v.sdstate := sidle; v.mstate := midle; v.istate := iidle; v.cmstate := midle; v.hsel := '0'; v.cfg.command := "000"; v.cfg.csize := "10"; v.cfg.bsize := "000"; v.cfg.casdel := '1'; v.cfg.trfc := "111"; v.cfg.renable := '0'; v.cfg.trp := '1'; v.dqm := (others => '1'); v.sdwen := '1'; v.rasn := '1'; v.casn := '1'; v.hready := '1'; v.startsd := '0'; if pwron /= 0 then v.pwron := '1'; end if; if mobile >= 2 then v.cfg.mobileen := "11"; elsif mobile = 1 then v.cfg.mobileen := "10"; else v.cfg.mobileen := "00"; end if; v.cfg.txsr := (others => '1'); v.cfg.pmode := (others => '0'); v.cfg.ds := (others => '0'); v.cfg.tcsr := (others => '0'); v.cfg.pasr := (others => '0'); if mobile >= 2 then v.cfg.cke := '0'; else v.cfg.cke := '1'; end if; v.sref_tmpcom := "000"; v.idlecnt := (others => '1'); v.hio := '0'; end if; if pwron = 0 then v.pwron := '0'; end if; if not WPROTEN then v.wprothit := '0'; end if; ri <= v; ribdrive <= vbdrive; ahbso.hready <= r.hready; ahbso.hresp <= r.hresp; ahbso.hrdata <= ahbdrivedata(dout); end process; --sdo.sdcke <= (others => '1'); sdo.sdcke <= (others => r.cfg.cke); ahbso.hconfig <= hconfig; ahbso.hirq <= (others => '0'); ahbso.hindex <= hindex; ahbso.hsplit <= (others => '0'); sdo.cb <= (others => '0'); sdo.ba <= (others => '0'); sdo.cal_en <= (others => '0'); sdo.sdck <= (others => '0'); sdo.cal_pll <= (others => '0'); sdo.cal_inc <= (others => '0'); sdo.conf <= (others => '0'); sdo.odt <= (others => '0'); sdo.oct <= '0'; sdo.qdrive <= '0'; sdo.ce <= '0'; sdo.moben <= '0'; sdo.cal_rst <= '0'; sdo.vcbdrive <= (others => '0'); sdo.cbdqm <= (others => '0'); sdo.cbcal_en <= (others => '0'); sdo.cbcal_inc <= (others => '0'); sdo.read_pend <= (others => '0'); sdo.regwdata <= (others => '0'); sdo.regwrite <= (others => '0'); sdo.dqs_gate <= '0'; sdo.nbdrive <= '0'; regs : process(clk, rst) begin if rising_edge(clk) then r <= ri; rbdrive <= ribdrive; if rst = '0' then r.icnt <= (others => '0'); end if; end if; if (rst = '0') then r.sdcsn <= (others => '1'); r.bdrive <= '1'; r.nbdrive <= '0'; if oepol = 0 then rbdrive <= (others => '1'); else rbdrive <= (others => '0'); end if; end if; end process; rgen : if not SDINVCLK generate sdo.address <= r.address(16 downto 2); sdo.bdrive <= r.nbdrive when oepol = 1 else r.bdrive; sdo.vbdrive <= rbdrive; sdo.sdcsn <= r.sdcsn(1 downto 0); sdo.xsdcsn <= "1111" & r.sdcsn; sdo.sdwen <= r.sdwen; sdo.dqm <= "11111111" & r.dqm; sdo.rasn <= r.rasn; sdo.casn <= r.casn; sdo.data <= zero64 & r.hwdata; end generate; ngen : if SDINVCLK generate nregs : process(clk, rst) begin if falling_edge(clk) then sdo.address <= r.address(16 downto 2); if oepol = 1 then sdo.bdrive <= r.nbdrive; else sdo.bdrive <= r.bdrive; end if; sdo.vbdrive <= rbdrive; sdo.sdcsn <= r.sdcsn(1 downto 0); sdo.xsdcsn <= "1111" & r.sdcsn; sdo.sdwen <= r.sdwen; sdo.dqm <= "11111111" & r.dqm; sdo.rasn <= r.rasn; sdo.casn <= r.casn; sdo.data(63 downto 0) <= r.hwdata; end if; if rst = '0' then sdo.sdcsn <= (others => '1'); end if; end process; end generate; -- pragma translate_off bootmsg : report_version generic map ("sdctrl64" & tost(hindex) & ": 64-bit PC133 SDRAM controller rev " & tost(REVISION)); -- pragma translate_on end;
gpl-2.0
e59963ec499823877f373cecc26fe49b
0.525483
3.24193
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-asic/config.vhd
1
7,518
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2013 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := saed32; constant CFG_MEMTECH : integer := saed32; constant CFG_PADTECH : integer := saed32; constant CFG_NOASYNC : integer := 1; constant CFG_SCAN : integer := 1; -- JTAG boundary-scan chain constant CFG_BOUNDSCAN_EN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := saed32; constant CFG_CLKMUL : integer := 2; constant CFG_CLKDIV : integer := 2; constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 16#32# + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 1; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (4); constant CFG_PWD : integer := 1*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 4; constant CFG_ILINE : integer := 4; constant CFG_IREPL : integer := 0; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 2; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 4; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1*2 + 4*1; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 0; constant CFG_ITLBNUM : integer := 2; constant CFG_DTLBNUM : integer := 2; constant CFG_TLB_TYPE : integer := 1 + 0*2; constant CFG_TLB_REP : integer := 1; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 4; constant CFG_ATBSZ : integer := 0; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 8; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0033#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000000#; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 1; constant CFG_MCTRL_RAM16BIT : integer := 0; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 1; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- AHB status register constant CFG_AHBSTAT : integer := 1; constant CFG_AHBSTATN : integer := (1); -- Spacewire interface constant CFG_SPW_EN : integer := 0; constant CFG_SPW_NUM : integer := 1; constant CFG_SPW_AHBFIFO : integer := 4; constant CFG_SPW_RXFIFO : integer := 16; constant CFG_SPW_RMAP : integer := 0; constant CFG_SPW_RMAPBUF : integer := 4; constant CFG_SPW_RMAPCRC : integer := 0; constant CFG_SPW_NETLIST : integer := 0; constant CFG_SPW_FT : integer := 0; constant CFG_SPW_GRSPW : integer := 2; constant CFG_SPW_RXUNAL : integer := 0; constant CFG_SPW_DMACHAN : integer := 1; constant CFG_SPW_PORTS : integer := 1; constant CFG_SPW_INPUT : integer := 2; constant CFG_SPW_OUTPUT : integer := 0; constant CFG_SPW_RTSAME : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 8; -- SPI memory controller constant CFG_SPIMCTRL : integer := 0; constant CFG_SPIMCTRL_SDCARD : integer := 0; constant CFG_SPIMCTRL_READCMD : integer := 16#0#; constant CFG_SPIMCTRL_DUMMYBYTE : integer := 0; constant CFG_SPIMCTRL_DUALOUTPUT : integer := 0; constant CFG_SPIMCTRL_SCALER : integer := 1; constant CFG_SPIMCTRL_ASCALER : integer := 1; constant CFG_SPIMCTRL_PWRUPCNT : integer := 0; constant CFG_SPIMCTRL_OFFSET : integer := 16#0#; -- SPI controller constant CFG_SPICTRL_ENABLE : integer := 1; constant CFG_SPICTRL_NUM : integer := (1); constant CFG_SPICTRL_SLVS : integer := (6); constant CFG_SPICTRL_FIFO : integer := (4); constant CFG_SPICTRL_SLVREG : integer := 1; constant CFG_SPICTRL_ODMODE : integer := 0; constant CFG_SPICTRL_AM : integer := 0; constant CFG_SPICTRL_ASEL : integer := 0; constant CFG_SPICTRL_TWEN : integer := 0; constant CFG_SPICTRL_MAXWLEN : integer := (0); constant CFG_SPICTRL_SYNCRAM : integer := 0; constant CFG_SPICTRL_FT : integer := 0; -- CAN 2.0 interface constant CFG_CAN : integer := 0; constant CFG_CAN_NUM : integer := 1; constant CFG_CANIO : integer := 16#0#; constant CFG_CANIRQ : integer := 0; constant CFG_CANSEPIRQ: integer := 0; constant CFG_CAN_SYNCRST : integer := 0; constant CFG_CANFT : integer := 0; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 4; -- UART 2 constant CFG_UART2_ENABLE : integer := 1; constant CFG_UART2_FIFO : integer := 4; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (4); constant CFG_GPT_SW : integer := (12); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 0; constant CFG_GPT_WDOGEN : integer := 1; constant CFG_GPT_WDOG : integer := 16#FFFFF#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#FE#; constant CFG_GRGPIO_WIDTH : integer := (16); -- I2C master constant CFG_I2C_ENABLE : integer := 1; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
gpl-2.0
b82c594f78bff2676ddd849b0528ad60
0.651902
3.619644
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/tech/unisim/ise/simple_simprim.vhd
4
5,477
library ieee; use ieee.std_logic_1164.all; package simple_simprim is component ramb4_generic generic ( abits : integer := 10; dbits : integer := 8 ); port (DI : in std_logic_vector (dbits-1 downto 0); EN : in std_ulogic; WE : in std_ulogic; RST : in std_ulogic; CLK : in std_ulogic; ADDR : in std_logic_vector (abits-1 downto 0); DO : out std_logic_vector (dbits-1 downto 0) ); end component; component ramb4_sx_sx generic (abits : integer := 10; dbits : integer := 8 ); port (DIA : in std_logic_vector (dbits-1 downto 0); DIB : in std_logic_vector (dbits-1 downto 0); ENA : in std_ulogic; ENB : in std_ulogic; WEA : in std_ulogic; WEB : in std_ulogic; RSTA : in std_ulogic; RSTB : in std_ulogic; CLKA : in std_ulogic; CLKB : in std_ulogic; ADDRA : in std_logic_vector (abits-1 downto 0); ADDRB : in std_logic_vector (abits-1 downto 0); DOA : out std_logic_vector (dbits-1 downto 0); DOB : out std_logic_vector (dbits-1 downto 0) ); end component; component ramb16_sx generic (abits : integer := 10; dbits : integer := 8 ); port ( DO : out std_logic_vector (dbits-1 downto 0); ADDR : in std_logic_vector (abits-1 downto 0); DI : in std_logic_vector (dbits-1 downto 0); EN : in std_ulogic; CLK : in std_ulogic; WE : in std_ulogic; SSR : in std_ulogic); end component; component ram16_sx_sx generic (abits : integer := 10; dbits : integer := 8 ); port ( DOA : out std_logic_vector (dbits-1 downto 0); DOB : out std_logic_vector (dbits-1 downto 0); ADDRA : in std_logic_vector (abits-1 downto 0); CLKA : in std_ulogic; DIA : in std_logic_vector (dbits-1 downto 0); ENA : in std_ulogic; WEA : in std_ulogic; ADDRB : in std_logic_vector (abits-1 downto 0); CLKB : in std_ulogic; DIB : in std_logic_vector (dbits-1 downto 0); ENB : in std_ulogic; WEB : in std_ulogic); end component; end; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ramb4_generic is generic ( abits : integer := 10; dbits : integer := 8 ); port (DI : in std_logic_vector (dbits-1 downto 0); EN : in std_ulogic; WE : in std_ulogic; RST : in std_ulogic; CLK : in std_ulogic; ADDR : in std_logic_vector (abits-1 downto 0); DO : out std_logic_vector (dbits-1 downto 0) ); end; architecture behavioral of ramb4_generic is type mem is array(0 to (2**abits -1)) of std_logic_vector((dbits -1) downto 0); begin main : process(clk) variable memarr : mem; begin if rising_edge(clk)then if (en = '1') and not (is_x(addr)) then do <= memarr(to_integer(unsigned(addr))); end if; if (we and en) = '1' then if not is_x(addr) then memarr(to_integer(unsigned(addr))) := di; end if; end if; end if; end process; end; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ramb16_sx is generic ( abits : integer := 10; dbits : integer := 8 ); port ( DO : out std_logic_vector (dbits-1 downto 0); ADDR : in std_logic_vector (abits-1 downto 0); DI : in std_logic_vector (dbits-1 downto 0); EN : in std_ulogic; CLK : in std_ulogic; WE : in std_ulogic; SSR : in std_ulogic ); end; architecture behav of ramb16_sx is begin rp : process(clk) subtype dword is std_logic_vector(dbits-1 downto 0); type dregtype is array (0 to 2**abits -1) of DWord; variable rfd : dregtype := (others => (others => '0')); begin if rising_edge(clk) and not is_x (addr) then if en = '1' then do <= rfd(to_integer(unsigned(addr))); if we = '1' then rfd(to_integer(unsigned(addr))) := di; end if; end if; end if; end process; end; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ram16_sx_sx is generic ( abits : integer := 10; dbits : integer := 8 ); port ( DOA : out std_logic_vector (dbits-1 downto 0); DOB : out std_logic_vector (dbits-1 downto 0); ADDRA : in std_logic_vector (abits-1 downto 0); CLKA : in std_ulogic; DIA : in std_logic_vector (dbits-1 downto 0); ENA : in std_ulogic; WEA : in std_ulogic; ADDRB : in std_logic_vector (abits-1 downto 0); CLKB : in std_ulogic; DIB : in std_logic_vector (dbits-1 downto 0); ENB : in std_ulogic; WEB : in std_ulogic ); end; architecture behav of ram16_sx_sx is signal async : std_ulogic := '0'; begin ramproc : process(clka, clkb) subtype dword is std_logic_vector(dbits-1 downto 0); type dregtype is array (0 to 2**abits -1) of DWord; variable rfd : dregtype := (others => (others => '0')); begin if rising_edge(clka) and not is_x (addra) then if ena = '1' then if wea = '1' then rfd(to_integer(unsigned(addra))) := dia; end if; doa <= rfd(to_integer(unsigned(addra))); else doa <= (others => '1'); end if; end if; if rising_edge(clkb) and not is_x (addrb) then if enb = '1' then if web = '1' then rfd(to_integer(unsigned(addrb))) := dib; end if; dob <= rfd(to_integer(unsigned(addrb))); else dob <= (others => '1'); end if; end if; end process; end;
gpl-2.0
66e374b3212f980735f6585d717f61e4
0.587183
3.264005
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-digilent-xc3s1600e/testbench.vhd
1
9,700
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ -- modified by Thomas Ameseder, Gleichmann Electronics 2004, 2005 to -- support the use of an external AHB slave and different HPE board versions ------------------------------------------------------------------------------ -- further adapted from Hpe_compact to Hpe_mini (Feb. 2005) ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; library techmap; use techmap.gencomp.all; library micron; use micron.components.all; use work.config.all; -- configuration use work.debug.all; use std.textio.all; library grlib; use grlib.stdlib.all; use grlib.stdio.all; use grlib.devices.all; entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; clkperiod : integer := 20; -- system clock period romwidth : integer := 16; -- rom data width (8/32) romdepth : integer := 16; -- rom address depth sramwidth : integer := 32; -- ram data width (8/16/32) sramdepth : integer := 18; -- ram address depth srambanks : integer := 2 -- number of ram banks ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sdramfile : string := "ram.srec"; -- sdram contents signal clk : std_logic := '0'; signal Rst : std_logic := '0'; -- Reset constant ct : integer := clkperiod/2; signal address : std_logic_vector(23 downto 0); signal data : std_logic_vector(31 downto 0); signal romsn : std_logic_vector(1 downto 0); signal oen : std_ulogic; signal writen : std_ulogic; signal iosn : std_ulogic; -- ddr memory signal ddr_clk : std_logic; signal ddr_clkb : std_logic; signal ddr_clk_fb : std_logic; signal ddr_cke : std_logic; signal ddr_csb : std_logic; signal ddr_web : std_ulogic; -- ddr write enable signal ddr_rasb : std_ulogic; -- ddr ras signal ddr_casb : std_ulogic; -- ddr cas signal ddr_dm : std_logic_vector (1 downto 0); -- ddr dm signal ddr_dqs : std_logic_vector (1 downto 0); -- ddr dqs signal ddr_ad : std_logic_vector (12 downto 0); -- ddr address signal ddr_ba : std_logic_vector (1 downto 0); -- ddr bank address signal ddr_dq : std_logic_vector (15 downto 0); -- ddr data signal brdyn : std_ulogic; signal bexcn : std_ulogic; signal wdog : std_ulogic; signal dsuen, dsutx, dsurx, dsubre, dsuact : std_ulogic; signal dsurst : std_ulogic; signal test : std_ulogic; signal rtsn, ctsn : std_ulogic; signal error : std_logic; signal pio : std_logic_vector(15 downto 0); signal GND : std_ulogic := '0'; signal VCC : std_ulogic := '1'; signal NC : std_ulogic := 'Z'; signal clk2 : std_ulogic := '1'; signal plllock : std_ulogic; -- pulled up high, therefore std_logic signal txd, rxd1 : std_logic; signal etx_clk, erx_clk, erx_dv, erx_er, erx_col, erx_crs, etx_en, etx_er : std_logic := '0'; signal erxd, etxd : std_logic_vector(3 downto 0) := (others => '0'); signal emdc, emdio : std_logic; --dummy signal for the mdc,mdio in the phy which is not used constant lresp : boolean := false; signal resoutn : std_logic; signal dsubren : std_ulogic; signal dsuactn : std_ulogic; begin dsubren <= not dsubre; -- clock and reset clk <= not clk after ct * 1 ns; rst <= '1', '0' after 100 ns; dsuen <= '0'; dsubre <= '0'; rxd1 <= 'H'; address(0) <= '0'; ddr_dqs <= (others => 'L'); d3 : entity work.leon3mp port map ( reset => rst, clk_50mhz => clk, errorn => error, address => address(23 downto 0), data => data(31 downto 16), testdata => data(15 downto 0), ddr_clk0 => ddr_clk, ddr_clk0b => ddr_clkb, ddr_clk_fb => ddr_clk_fb, ddr_cke0 => ddr_cke, ddr_cs0b => ddr_csb, ddr_web => ddr_web, ddr_rasb => ddr_rasb, ddr_casb => ddr_casb, ddr_dm => ddr_dm, ddr_dqs => ddr_dqs, ddr_ad => ddr_ad, ddr_ba => ddr_ba, ddr_dq => ddr_dq, dsuen => dsuen, dsubre => dsubre, -- dsuact => dsuactn, dsutx => dsutx, dsurx => dsurx, oen => oen, writen => writen, iosn => iosn, romsn => romsn(0), utxd1 => txd, urxd1 => txd, emdio => emdio, etx_clk => etx_clk, erx_clk => erx_clk, erxd => erxd, erx_dv => erx_dv, erx_er => erx_er, erx_col => erx_col, erx_crs => erx_crs, etxd => etxd, etx_en => etx_en, etx_er => etx_er, emdc => emdc ); ddr_clk_fb <= ddr_clk; -- u1 : mt46v16m16 -- generic map (index => -1, fname => sdramfile) -- port map( -- Dq => ddr_dq(15 downto 0), Dqs => ddr_dqs(1 downto 0), Addr => ddr_ad, -- Ba => ddr_ba, Clk => ddr_clk, Clk_n => ddr_clkb, Cke => ddr_cke, -- Cs_n => ddr_csb, Ras_n => ddr_rasb, Cas_n => ddr_casb, We_n => ddr_web, -- Dm => ddr_dm(1 downto 0)); ddr0 : ddrram generic map(width => 16, abits => 13, colbits => 9, rowbits => 13, implbanks => 1, fname => sdramfile, speedbin => 1, density => 2) port map (ck => ddr_clk, cke => ddr_cke, csn => ddr_csb, rasn => ddr_rasb, casn => ddr_casb, wen => ddr_web, dm => ddr_dm, ba => ddr_ba, a => ddr_ad, dq => ddr_dq, dqs => ddr_dqs); prom0 : for i in 0 to (romwidth/8)-1 generate sr0 : sram generic map (index => i+4, abits => romdepth, fname => promfile) port map (address(romdepth downto 1), data(31-i*8 downto 24-i*8), romsn(0), writen, oen); end generate; -- phy0 : if CFG_GRETH > 0 generate -- p0 : phy -- port map(rst, led_cfg, open, etx_clk, erx_clk, erxd, erx_dv, -- erx_er, erx_col, erx_crs, etxd, etx_en, etx_er, emdc); -- end generate; error <= 'H'; -- ERROR pull-up iuerr : process begin wait for 5 us; assert (to_X01(error) = '1') report "*** IU in error mode, simulation halted ***" severity failure; end process; test0 : grtestmod port map ( rst, clk, error, address(21 downto 2), data, iosn, oen, writen, brdyn); data <= buskeep(data) after 5 ns; dsucom : process procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 160 * 1 ns; begin dsutx <= '1'; dsurst <= '1'; wait; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#00#, 16#ef#, txp); -- -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp); -- -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp); -- -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp); -- -- txc(dsutx, 16#80#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); -- rxi(dsurx, w32, txp, lresp); txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); end; begin dsucfg(dsutx, dsurx); wait; end process; end;
gpl-2.0
7888256892fe25c9c10e790842c4c12d
0.526392
3.52984
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/esa/memoryctrl/memoryctrl.vhd
1
2,669
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ -- Entity: memctrl -- File: memctrl.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Memory controller package ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; library gaisler; use gaisler.memctrl.all; package memoryctrl is component mctrl generic ( hindex : integer := 0; pindex : integer := 0; romaddr : integer := 16#000#; rommask : integer := 16#E00#; ioaddr : integer := 16#200#; iomask : integer := 16#E00#; ramaddr : integer := 16#400#; rammask : integer := 16#C00#; paddr : integer := 0; pmask : integer := 16#fff#; wprot : integer := 0; invclk : integer := 0; fast : integer := 0; romasel : integer := 28; sdrasel : integer := 29; srbanks : integer := 4; ram8 : integer := 0; ram16 : integer := 0; sden : integer := 0; sepbus : integer := 0; sdbits : integer := 32; sdlsb : integer := 2; oepol : integer := 0; syncrst : integer := 0; pageburst : integer := 0; scantest : integer := 0; mobile : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; memi : in memory_in_type; memo : out memory_out_type; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; wpo : in wprot_out_type; sdo : out sdram_out_type ); end component; end;
gpl-2.0
b247b9291f6977b81b2b42d1a637efe8
0.553391
3.936578
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/techmap/gencomp/gencomp.vhd
1
85,952
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Package: gencomp -- File: gencomp.vhd -- Author: Jiri Gaisler et al. - Aeroflex Gaisler -- Description: Declaration of portable memory modules, pads, e.t.c. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.config.grlib_config_array; use grlib.config_types.grlib_techmap_testin_extra; package gencomp is --------------------------------------------------------------------------- -- BASIC DECLARATIONS --------------------------------------------------------------------------- -- technologies and libraries constant NTECH : integer := 54; type tech_ability_type is array (0 to NTECH) of integer; constant inferred : integer := 0; constant virtex : integer := 1; constant virtex2 : integer := 2; constant memvirage : integer := 3; constant axcel : integer := 4; constant proasic : integer := 5; constant atc18s : integer := 6; constant altera : integer := 7; constant umc : integer := 8; constant rhumc : integer := 9; constant apa3 : integer := 10; constant spartan3 : integer := 11; constant ihp25 : integer := 12; constant rhlib18t : integer := 13; constant virtex4 : integer := 14; constant lattice : integer := 15; constant ut25 : integer := 16; constant spartan3e : integer := 17; constant peregrine : integer := 18; constant memartisan : integer := 19; constant virtex5 : integer := 20; constant custom1 : integer := 21; constant ihp25rh : integer := 22; constant stratix1 : integer := 23; constant stratix2 : integer := 24; constant eclipse : integer := 25; constant stratix3 : integer := 26; constant cyclone3 : integer := 27; constant memvirage90 : integer := 28; constant tsmc90 : integer := 29; constant easic90 : integer := 30; constant atc18rha : integer := 31; constant smic013 : integer := 32; constant tm65gplus : integer := 33; constant axdsp : integer := 34; constant spartan6 : integer := 35; constant virtex6 : integer := 36; constant actfus : integer := 37; constant stratix4 : integer := 38; constant st65lp : integer := 39; constant st65gp : integer := 40; constant easic45 : integer := 41; constant cmos9sf : integer := 42; constant apa3e : integer := 43; constant apa3l : integer := 44; constant ut130 : integer := 45; constant ut90 : integer := 46; constant gf65 : integer := 47; constant virtex7 : integer := 48; constant kintex7 : integer := 49; constant artix7 : integer := 50; constant zynq7000 : integer := 51; constant rhlib13t : integer := 52; constant saed32 : integer := 53; constant dare : integer := 54; constant DEFMEMTECH : integer := inferred; constant DEFPADTECH : integer := inferred; constant DEFFABTECH : integer := inferred; constant is_fpga : tech_ability_type := (inferred => 1, virtex => 1, virtex2 => 1, axcel => 1, proasic => 1, altera => 1, apa3 => 1, spartan3 => 1, virtex4 => 1, lattice => 1, spartan3e => 1, virtex5 => 1, stratix1 => 1, stratix2 => 1, eclipse => 1, stratix3 => 1, cyclone3 => 1, axdsp => 1, spartan6 => 1, virtex6 => 1, actfus => 1, stratix4 => 1, apa3e => 1, apa3l => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, others => 0); constant infer_mul : tech_ability_type := is_fpga; constant syncram_2p_write_through : tech_ability_type := (rhumc => 1, eclipse => 1, others => 0); constant regfile_3p_write_through : tech_ability_type := (rhumc => 1, ihp25 => 1, ihp25rh => 1, eclipse => 1, others => 0); constant regfile_3p_infer : tech_ability_type := (inferred => 1, rhumc => 1, ihp25 => 1, rhlib18t => 0, ut90 => 1, peregrine => 1, ihp25rh => 1, umc => 1, custom1 => 0, others => 0); constant syncram_2p_dest_rw_collision : tech_ability_type := (memartisan => 1, smic013 => 1, easic45 => 1, ut130 => 1, others => 0); constant syncram_dp_dest_rw_collision : tech_ability_type := (memartisan => 1, smic013 => 1, easic45 => 1, others => 0); constant syncram_has_customif : tech_ability_type := (others => 0); constant syncram_customif_maxwidth: integer := 64; -- Expand as needed constant has_sram : tech_ability_type := (atc18s => 0, others => 1); constant has_2pram : tech_ability_type := ( atc18s => 0, umc => 0, rhumc => 0, ihp25 => 0, others => 1); constant has_dpram : tech_ability_type := (virtex => 1, virtex2 => 1, memvirage => 1, axcel => 0, altera => 1, apa3 => 1, spartan3 => 1, virtex4 => 1, lattice => 1, spartan3e => 1, memartisan => 1, virtex5 => 1, custom1 => 1, stratix1 => 1, stratix2 => 1, stratix3 => 1, cyclone3 => 1, memvirage90 => 1, atc18rha => 1, smic013 => 1, tm65gplus => 1, axdsp => 0, spartan6 => 1, virtex6 => 1, actfus => 1, stratix4 => 1, easic45 => 1, apa3e => 1, apa3l => 1, ut90 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, dare => 1, others => 0); constant has_sram64 : tech_ability_type := (inferred => 0, virtex2 => 1, spartan3 => 1, virtex4 => 1, spartan3e => 1, memartisan => 1, virtex5 => 1, smic013 => 1, spartan6 => 1, virtex6 => 1, easic45 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, others => 0); constant has_sram128bw : tech_ability_type := ( virtex2 => 1, virtex4 => 1, virtex5 => 1, spartan3 => 1, spartan3e => 1, spartan6 => 1, virtex6 => 1, virtex7 => 1, kintex7 => 1, altera => 1, cyclone3 => 1, stratix2 => 1, stratix3 => 1, stratix4 => 1, ut90 => 1, others => 0); constant has_sram128 : tech_ability_type := ( virtex2 => 1, virtex4 => 1, virtex5 => 1, spartan3 => 1, spartan3e => 1, spartan6 => 1, virtex6 => 1, virtex7 => 1, kintex7 => 1, tm65gplus => 0, easic45 => 1, others => 0); constant has_sram156bw : tech_ability_type := ( virtex2 => 0, virtex4 => 0, virtex5 => 0, spartan3 => 0, spartan3e => 0, spartan6 => 0, virtex6 => 0, virtex7 => 0, kintex7 => 0, altera => 0, cyclone3 => 0, stratix2 => 0, stratix3 => 0, stratix4 => 0, tm65gplus => 0, custom1 => 1, ut90 => 1, others => 0); constant has_sram256bw : tech_ability_type := ( virtex2 => 1, virtex4 => 1, virtex5 => 1, spartan3 => 1, spartan3e => 1, spartan6 => 1, virtex6 => 1, virtex7 => 1, kintex7 => 1, altera => 1, cyclone3 => 1, stratix2 => 1, stratix3 => 1, stratix4 => 1, tm65gplus => 0, cmos9sf => 1, others => 0); constant has_sram_2pbw : tech_ability_type := ( easic45 => 1, others => 0); constant has_srambw : tech_ability_type := (easic45 => 1, others => 0); constant has_2pfifo : tech_ability_type := ( altera => 1, stratix1 => 1, stratix2 => 1, stratix3 => 1, stratix4 => 1, others => 0); -- ram_raw_latency - describes how many edges on the write-port clock that -- must pass before data is commited to memory. for example, if the write data -- is commited to memory on the falling edge after a write cycle, and is -- available to the read port after a short T_{raw} then ram_raw_latency -- should be set to 1. If the data is available to the read port immediately -- after the write-port clock rising edge that latches the write operation then -- ram_raw_latency(tech) should return 0. If T_{raw} cannot be assumed to be -- negligible (for instance, it is longer than a clock cycle on the read port) -- then the ram_raw_latency value should be increased to cover also T_{raw}. -- this value is important for cores that use DP or 2P memories in CDC. constant ram_raw_latency : tech_ability_type := (easic45 => 1, others => 0); constant padoen_polarity : tech_ability_type := (axcel => 1, proasic => 1, umc => 1, rhumc => 1, saed32 => 1, dare => 1, apa3 => 1, ihp25 => 1, ut25 => 1, peregrine => 1, easic90 => 1, axdsp => 1, actfus => 1, apa3e => 1, apa3l => 1, ut130 => 1, easic45 => 1, ut90 => 1, others => 0); constant has_pads : tech_ability_type := (inferred => 0, virtex => 1, virtex2 => 1, memvirage => 0, axcel => 1, proasic => 1, atc18s => 1, altera => 0, umc => 1, rhumc => 1, saed32 => 1, dare => 1, apa3 => 1, spartan3 => 1, ihp25 => 1, rhlib18t => 1, virtex4 => 1, lattice => 0, ut25 => 1, spartan3e => 1, peregrine => 1, virtex5 => 1, axdsp => 1, easic90 => 1, atc18rha => 1, spartan6 => 1, virtex6 => 1, actfus => 1, apa3e => 1, apa3l => 1, ut130 => 1, easic45 => 1, ut90 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, others => 0); constant has_ds_pads : tech_ability_type := (inferred => 0, virtex => 1, virtex2 => 1, memvirage => 0, axcel => 1, proasic => 0, atc18s => 0, altera => 0, umc => 0, rhumc => 0, saed32 => 0, dare => 0, apa3 => 1, spartan3 => 1, ihp25 => 0, rhlib18t => 1, virtex4 => 1, lattice => 0, ut25 => 1, spartan3e => 1, virtex5 => 1, axdsp => 1, spartan6 => 1, virtex6 => 1, actfus => 1, apa3e => 1, apa3l => 1, ut130 => 0, easic45 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, others => 0); constant has_ds_combo : tech_ability_type := ( rhumc => 1, ut25 => 1, ut130 => 1, others => 0); constant has_clkand : tech_ability_type := ( virtex => 1, virtex2 => 1, spartan3 => 1, spartan3e => 1, virtex4 => 1, virtex5 => 1, ut25 => 1, rhlib18t => 1, spartan6 => 1, virtex6 => 1, ut130 => 1, easic45 => 1, ut90 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, saed32 => 1, dare => 1, others => 0); constant has_clkmux : tech_ability_type := ( virtex => 1, virtex2 => 1, spartan3 => 1, spartan3e => 1, virtex4 => 1, virtex5 => 1, rhlib18t => 1, spartan6 => 1, virtex6 => 1, ut130 => 1, easic45 => 1, ut90 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, saed32 => 1, dare => 1, rhumc => 1, others => 0); constant has_clkinv : tech_ability_type := ( saed32 => 1, dare => 1, others => 0); constant has_techbuf : tech_ability_type := ( virtex => 1, virtex2 => 1, virtex4 => 1, virtex5 => 1, spartan3 => 1, spartan3e => 1, axcel => 1, ut25 => 1, apa3 => 1, easic90 => 1, axdsp => 1, actfus => 1, apa3e => 1, apa3l => 1, ut130 => 1, easic45 => 1, ut90 => 1, spartan6 => 1, virtex6 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, others => 0); constant has_tapsel : tech_ability_type := ( virtex => 1, virtex2 => 1, virtex4 => 1, virtex5 => 1, spartan3 => 1, spartan3e => 1, spartan6 => 1, virtex6 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, others => 0); constant tap_tck_gated : tech_ability_type := ( virtex => 1, virtex2 => 1, virtex4 => 1, virtex5 => 1, spartan3 => 1, spartan3e => 1, spartan6 => 0, others => 0); constant need_extra_sync_reset : tech_ability_type := (axcel => 1, atc18s => 1, ut25 => 1, rhumc => 1, saed32 => 1, dare => 1, tsmc90 => 1, rhlib18t => 1, atc18rha => 1, easic90 => 1, tm65gplus => 1, axdsp => 1, cmos9sf => 1, apa3 => 1, apa3e => 1, apa3l => 1, ut130 => 1, easic45 => 1, ut90 => 1, others => 0); constant is_unisim : tech_ability_type := ( virtex => 1, virtex2 => 1, virtex4 => 1, virtex5 => 1, spartan3 => 1, spartan3e => 1, spartan6 => 1, virtex6 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, others => 0); constant has_tap : tech_ability_type := (inferred => 0, virtex => 1, virtex2 => 1, axcel => 0, proasic => 0, altera => 1, apa3 => 1, spartan3 => 1, virtex4 => 1, lattice => 0, spartan3e => 1, virtex5 => 1, stratix1 => 1, stratix2 => 1, eclipse => 0, stratix3 => 1, cyclone3 => 1, axdsp => 0, spartan6 => 1, virtex6 => 1, actfus => 1, stratix4 => 1, easic45 => 0, apa3e => 1, apa3l => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, others => 0); constant has_clkgen : tech_ability_type := (inferred => 0, virtex => 1, virtex2 => 1, axcel => 1, proasic => 1, altera => 1, apa3 => 1, spartan3 => 1, virtex4 => 1, lattice => 0, spartan3e => 1, virtex5 => 1, stratix1 => 1, stratix2 => 1, eclipse => 0, rhumc => 1, saed32 => 1, dare => 1, stratix3 => 1, cyclone3 => 1, axdsp => 1, spartan6 => 1, virtex6 => 1, actfus => 1, easic90 => 1, stratix4 => 1, easic45 => 1, apa3e => 1, apa3l => 1, rhlib18t => 1, ut130 => 1, ut90 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, others => 0); constant has_ddr2phy: tech_ability_type := (inferred => 0, stratix2 => 1, stratix3 => 1, spartan3 => 1, easic90 => 1, spartan6 => 1, easic45 => 1, virtex4 => 1, virtex5 => 1, virtex6 => 1, others => 0); constant ddr2phy_builtin_pads: tech_ability_type := ( -- Wrapped DDR2 IP cores with builtin pads easic45 => 1, -- Below techs have builtin pads for legacy reasons, can be converted if needed easic90 => 1, spartan3 => 1, stratix3 => 1, stratix2 => 1, others => 0); constant ddr2phy_has_fbclk: tech_ability_type := (inferred => 1, others => 0); constant ddrphy_has_fbclk: tech_ability_type := (others => 0); constant ddr2phy_has_reg: tech_ability_type := (easic45 => 1, others => 0); constant ddr2phy_has_custom: tech_ability_type := (easic45 => 1, others => 0); constant ddr2phy_refclk_type: tech_ability_type := (virtex4 => 1, virtex5 => 1, virtex6 => 1, -- 1: 200 MHz reference easic45 => 2, -- 2: 270 degree shifted clock others => 0); -- 0: None constant ddr2phy_has_datavalid: tech_ability_type := (easic45 => 1, others => 0); constant ddrphy_has_datavalid: tech_ability_type := (ut90 => 1, others => 0); constant ddrphy_builtin_pads: tech_ability_type := ( inferred => 0, -- Most techs have builtin pads for legacy reasons, can be converted if needed others => 1); constant ddrphy_latency: tech_ability_type := ( -- extra read latency, only used when not datavalid signal is available inferred => 1, others => 0 ); -- If the PHY passes through the control signals directly to the pads -- and therefore needs them to be set asynchronously at reset constant ddr2phy_ptctrl: tech_ability_type := ( inferred => 1, others => 0 ); constant ddrphy_ptctrl: tech_ability_type := ( inferred => 1, others => 0 ); constant has_syncreg: tech_ability_type := ( inferred => 0, others => 0); -- pragma translate_off subtype tech_description is string(1 to 10); type tech_table_type is array (0 to NTECH) of tech_description; ------------------------------------------------------------------------------- constant tech_table : tech_table_type := ( inferred => "inferred ", virtex => "virtex ", virtex2 => "virtex2 ", memvirage => "virage ", axcel => "axcel ", proasic => "proasic ", atc18s => "atc18s ", altera => "altera ", umc => "umc18 ", rhumc => "rhumc ", apa3 => "proasic3 ", spartan3 => "spartan3 ", ihp25 => "ihp25 ", rhlib18t => "rhlib18t ", virtex4 => "virtex4 ", lattice => "lattice ", ut25 => "ut025crh ", spartan3e => "spartan3e ", peregrine => "peregrine ", memartisan => "artisan ", virtex5 => "virtex5 ", custom1 => "custom1 ", ihp25rh => "ihp25rh ", stratix1 => "stratix ", stratix2 => "stratixii ", eclipse => "eclipse ", stratix3 => "stratixiii", cyclone3 => "cycloneiii", memvirage90 => "virage90 ", tsmc90 => "tsmc90 ", easic90 => "nextreme ", atc18rha => "atc18rha ", smic013 => "smic13 ", tm65gplus => "tm65gplus ", axdsp => "axdsp ", spartan6 => "spartan6 ", virtex6 => "virtex6 ", actfus => "fusion ", stratix4 => "stratix4 ", st65lp => "st65lp ", st65gp => "st65gp ", easic45 => "nextreme2 ", cmos9sf => "cmos9sf ", apa3e => "proasic3e ", apa3l => "proasic3l ", ut130 => "ut130hbd ", ut90 => "ut90nhbd ", gf65 => "gf65g ", virtex7 => "virtex7 ", kintex7 => "kintex7 ", artix7 => "artix7 ", zynq7000 => "zynq7000 ", rhlib13t => "rhlib13t ", saed32 => "saed32 ", dare => "dare "); -- pragma translate_on -- input/output voltage constant x12v : integer := 12; constant x15v : integer := 15; constant x18v : integer := 1; constant x25v : integer := 2; constant x33v : integer := 3; constant x50v : integer := 5; -- input/output levels constant ttl : integer := 0; constant cmos : integer := 1; constant pci33 : integer := 2; constant pci66 : integer := 3; constant lvds : integer := 4; constant sstl2_i : integer := 5; constant sstl2_ii : integer := 6; constant sstl3_i : integer := 7; constant sstl3_ii : integer := 8; constant sstl18_i : integer := 9; constant sstl18_ii: integer := 10; constant lvpecl : integer := 11; constant sstl : integer := 12; -- pad types constant normal : integer := 0; constant pullup : integer := 1; constant pulldown : integer := 2; constant opendrain: integer := 3; constant schmitt : integer := 4; constant dci : integer := 5; --------------------------------------------------------------------------- -- MEMORY --------------------------------------------------------------------------- -- testin vector is testen & scanen & (tech-dependent...) constant TESTIN_WIDTH : integer := 4 + GRLIB_CONFIG_ARRAY(grlib_techmap_testin_extra); constant testin_none : std_logic_vector(TESTIN_WIDTH-1 downto 0) := (others => '0'); -- synchronous single-port ram component syncram generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8; testen : integer := 0; custombits : integer := 1); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); enable : in std_ulogic; write : in std_ulogic; testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector(custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(custombits-1 downto 0)); end component; -- synchronous two-port ram (1 read, 1 write port) component syncram_2p generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8; sepclk : integer := 0; wrfst : integer := 0; testen : integer := 0; words : integer := 0; custombits : integer := 1); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector(custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(custombits-1 downto 0)); end component; -- synchronous dual-port ram (2 read/write ports) component syncram_dp generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8; testen : integer := 0; custombits : integer := 1); port ( clk1 : in std_ulogic; address1 : in std_logic_vector((abits -1) downto 0); datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_ulogic; write1 : in std_ulogic; clk2 : in std_ulogic; address2 : in std_logic_vector((abits -1) downto 0); datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_ulogic; write2 : in std_ulogic; testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector(custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(custombits-1 downto 0)); end component; -- synchronous 3-port regfile (2 read, 1 write port) component regfile_3p generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8; wrfst : integer := 0; numregs : integer := 64; testen : integer := 0; custombits : integer := 1); port ( wclk : in std_ulogic; waddr : in std_logic_vector((abits -1) downto 0); wdata : in std_logic_vector((dbits -1) downto 0); we : in std_ulogic; rclk : in std_ulogic; raddr1 : in std_logic_vector((abits -1) downto 0); re1 : in std_ulogic; rdata1 : out std_logic_vector((dbits -1) downto 0); raddr2 : in std_logic_vector((abits -1) downto 0); re2 : in std_ulogic; rdata2 : out std_logic_vector((dbits -1) downto 0); testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector(2*custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(2*custombits-1 downto 0)); end component; -- 64-bit synchronous single-port ram with 32-bit write strobe component syncram64 generic (tech : integer := 0; abits : integer := 6; testen : integer := 0; paren : integer := 0; custombits : integer := 1); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (63+8*paren downto 0); dataout : out std_logic_vector (63+8*paren downto 0); enable : in std_logic_vector (1 downto 0); write : in std_logic_vector (1 downto 0); testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector(2*custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(2*custombits-1 downto 0)); end component; -- 128-bit synchronous single-port ram with 32-bit write strobe component syncram128 generic (tech : integer := 0; abits : integer := 6; testen : integer := 0; paren : integer := 0; custombits : integer := 1); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (127+16*paren downto 0); dataout : out std_logic_vector (127+16*paren downto 0); enable : in std_logic_vector (3 downto 0); write : in std_logic_vector (3 downto 0); testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector(4*custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(4*custombits-1 downto 0)); end component; component syncramft generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8; ft : integer range 0 to 3 := 0; testen : integer := 0; custombits : integer := 1 ); port ( clk : in std_ulogic; address : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); write : in std_ulogic; enable : in std_ulogic; error : out std_logic_vector(((dbits + 7) / 8)-1 downto 0); testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none; errinj : in std_logic_vector(((dbits + 7)/8)*2-1 downto 0) := (others => '0'); customclk: in std_ulogic := '0'; customin : in std_logic_vector(3*custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(3*custombits-1 downto 0)); end component; component syncram_2pft generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8; sepclk : integer := 0; wrfst : integer := 0; ft : integer := 0; testen : integer := 0; words : integer := 0; custombits : integer := 1); port ( rclk : in std_ulogic; renable : in std_ulogic; raddress : in std_logic_vector((abits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); wclk : in std_ulogic; write : in std_ulogic; waddress : in std_logic_vector((abits -1) downto 0); datain : in std_logic_vector((dbits -1) downto 0); error : out std_logic_vector(((dbits + 7) / 8)-1 downto 0); testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector(3*custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(3*custombits-1 downto 0)); end component; component syncram128bw generic (tech : integer := 0; abits : integer := 6; testen : integer := 0; custombits : integer := 1); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (127 downto 0); dataout : out std_logic_vector (127 downto 0); enable : in std_logic_vector (15 downto 0); write : in std_logic_vector (15 downto 0); testin : in std_logic_vector (TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector(16*custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(16*custombits-1 downto 0)); end component; component syncram156bw generic (tech : integer := 0; abits : integer := 6; testen : integer := 0; custombits : integer := 1); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (155 downto 0); dataout : out std_logic_vector (155 downto 0); enable : in std_logic_vector (15 downto 0); write : in std_logic_vector (15 downto 0); testin : in std_logic_vector (TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector(20*custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(20*custombits-1 downto 0)); end component; component syncram256bw is generic (tech : integer := 0; abits : integer := 6; testen : integer := 0; custombits : integer := 1); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (255 downto 0); dataout : out std_logic_vector (255 downto 0); enable : in std_logic_vector (31 downto 0); write : in std_logic_vector (31 downto 0); testin : in std_logic_vector (TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector(32*custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector(32*custombits-1 downto 0)); end component; component syncrambw generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8; testen : integer := 0; custombits : integer := 1); port ( clk : in std_ulogic; address : in std_logic_vector (abits-1 downto 0); datain : in std_logic_vector (dbits-1 downto 0); dataout : out std_logic_vector (dbits-1 downto 0); enable : in std_logic_vector (dbits/8-1 downto 0); write : in std_logic_vector (dbits/8-1 downto 0); testin : in std_logic_vector (TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector((dbits/8)*custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector((dbits/8)*custombits-1 downto 0)); end component; component syncram_2pbw generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8; sepclk : integer := 0; wrfst : integer := 0; testen : integer := 0; words : integer := 0; custombits : integer := 1); port ( rclk : in std_ulogic; renable : in std_logic_vector((dbits/8-1) downto 0); raddress : in std_logic_vector((abits-1) downto 0); dataout : out std_logic_vector((dbits-1) downto 0); wclk : in std_ulogic; write : in std_logic_vector((dbits/8-1) downto 0); waddress : in std_logic_vector((abits-1) downto 0); datain : in std_logic_vector((dbits-1) downto 0); testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none; customclk: in std_ulogic := '0'; customin : in std_logic_vector((dbits/8)*custombits-1 downto 0) := (others => '0'); customout:out std_logic_vector((dbits/8)*custombits-1 downto 0)); end component; component syncrambwft is generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8; ft : integer range 0 to 3 := 0; testen : integer := 0; custombits : integer := 1); port ( clk : in std_ulogic; address : in std_logic_vector (abits-1 downto 0); datain : in std_logic_vector (dbits-1 downto 0); dataout : out std_logic_vector (dbits-1 downto 0); enable : in std_logic_vector (dbits/8-1 downto 0); write : in std_logic_vector (dbits/8-1 downto 0); error : out std_logic_vector (dbits/8-1 downto 0); testin : in std_logic_vector (TESTIN_WIDTH-1 downto 0) := testin_none; errinj : in std_logic_vector((dbits/8)*2-1 downto 0) := (others => '0'); customclk : in std_ulogic := '0'; customin : in std_logic_vector(3*(dbits/8)*custombits-1 downto 0) := (others => '0'); customout : out std_logic_vector(3*(dbits/8)*custombits-1 downto 0)); end component; component from is generic ( timingcheckson: boolean := True; instancepath: string := "*"; xon: boolean := False; msgon: boolean := True; data_x: integer := 1; memoryfile: string := ""; progfile: string := ""); port ( clk: in std_ulogic; addr: in std_logic_vector(6 downto 0); data: out std_logic_vector(7 downto 0)); end component; component syncfifo_2p is generic ( tech : integer := 0; abits : integer := 6; dbits : integer := 8 ); port ( rclk : in std_logic; renable : in std_logic; rfull : out std_logic; rempty : out std_logic; rusedw : out std_logic_vector(abits-1 downto 0); datain : in std_logic_vector(dbits-1 downto 0); wclk : in std_logic; write : in std_logic; wfull : out std_logic; wempty : out std_logic; wusedw : out std_logic_vector(abits-1 downto 0); dataout : out std_logic_vector(dbits-1 downto 0) ); end component; --------------------------------------------------------------------------- -- PADS --------------------------------------------------------------------------- component inpad generic (tech : integer := 0; level : integer := 0; voltage : integer := x33v; filter : integer := 0; strength : integer := 0); port (pad : in std_ulogic; o : out std_ulogic); end component; component inpadv generic (tech : integer := 0; level : integer := 0; voltage : integer := x33v; width : integer := 1; filter : integer := 0; strength : integer := 0); port ( pad : in std_logic_vector(width-1 downto 0); o : out std_logic_vector(width-1 downto 0)); end component; component iopad generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; oepol : integer := 0; filter : integer := 0); port (pad : inout std_ulogic; i, en : in std_ulogic; o : out std_ulogic; cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end component; component iopadv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0; filter : integer := 0); port ( pad : inout std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_ulogic; o : out std_logic_vector(width-1 downto 0); cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end component; component iopadvv is generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0; filter : integer := 0); port ( pad : inout std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_logic_vector(width-1 downto 0); o : out std_logic_vector(width-1 downto 0); cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000" ); end component; component iodpad generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; oepol : integer := 0); port (pad : inout std_ulogic; i : in std_ulogic; o : out std_ulogic); end component; component iodpadv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( pad : inout std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); o : out std_logic_vector(width-1 downto 0)); end component; component outpad generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12); port (pad : out std_ulogic; i : in std_ulogic; cfgi : in std_logic_vector(19 downto 0) := "00000000000000000000"); end component; component outpadv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1); port ( pad : out std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end component; component odpad generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; oepol : integer := 0); port (pad : out std_ulogic; i : in std_ulogic; cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end component; component odpadv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( pad : out std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end component; component toutpad generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; oepol : integer := 0); port (pad : out std_ulogic; i, en : in std_ulogic; cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end component; component toutpadv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( pad : out std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_ulogic; cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end component; component toutpadvv is generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( pad : out std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_logic_vector(width-1 downto 0); cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end component; component toutpad_ds generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; oepol : integer := 0); port (padp, padn : out std_ulogic; i, en : in std_ulogic); end component; component toutpad_dsv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( padp : out std_logic_vector(width-1 downto 0); padn : out std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_ulogic); end component; component toutpad_dsvv is generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( padp : out std_logic_vector(width-1 downto 0); padn : out std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_logic_vector(width-1 downto 0)); end component; component skew_outpad generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; skew : integer := 0); port (pad : out std_ulogic; i : in std_ulogic; rst : in std_ulogic; o : out std_ulogic); end component; component clkpad generic (tech : integer := 0; level : integer := 0; voltage : integer := x33v; arch : integer := 0; hf : integer := 0; filter : integer := 0); port (pad : in std_ulogic; o : out std_ulogic; rstn : std_ulogic := '1'; lock : out std_ulogic); end component; component inpad_ds generic (tech : integer := 0; level : integer := lvds; voltage : integer := x33v; term : integer := 0); port (padp, padn : in std_ulogic; o : out std_ulogic); end component; component clkpad_ds generic (tech : integer := 0; level : integer := lvds; voltage : integer := x33v; term : integer := 0); port (padp, padn : in std_ulogic; o : out std_ulogic); end component; component inpad_dsv generic (tech : integer := 0; level : integer := lvds; voltage : integer := x33v; width : integer := 1; term : integer := 0); port ( padp : in std_logic_vector(width-1 downto 0); padn : in std_logic_vector(width-1 downto 0); o : out std_logic_vector(width-1 downto 0)); end component; component iopad_ds generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; oepol : integer := 0; term : integer := 0); port (padp, padn : inout std_ulogic; i, en : in std_ulogic; o : out std_ulogic); end component; component iopad_dsv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( padp, padn : inout std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_ulogic; o : out std_logic_vector(width-1 downto 0)); end component; component iopad_dsvv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( padp, padn : inout std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_logic_vector(width-1 downto 0); o : out std_logic_vector(width-1 downto 0)); end component; component outpad_ds generic (tech : integer := 0; level : integer := lvds; voltage : integer := x33v; oepol : integer := 0; slew : integer := 0); port (padp, padn : out std_ulogic; i, en : in std_ulogic); end component; component outpad_dsv generic (tech : integer := 0; level : integer := lvds; voltage : integer := x33v; width : integer := 1; slew : integer := 0); port ( padp : out std_logic_vector(width-1 downto 0); padn : out std_logic_vector(width-1 downto 0); i, en: in std_logic_vector(width-1 downto 0)); end component; component lvds_combo is generic (tech : integer := 0; voltage : integer := 0; width : integer := 1; oepol : integer := 0; term : integer := 0); port (odpadp, odpadn, ospadp, ospadn : out std_logic_vector(0 to width-1); odval, osval, en : in std_logic_vector(0 to width-1); idpadp, idpadn, ispadp, ispadn : in std_logic_vector(0 to width-1); idval, isval : out std_logic_vector(0 to width-1); powerdown : in std_logic_vector(0 to width-1) := (others => '0'); powerdownrx : in std_logic_vector(0 to width-1) := (others => '0'); lvdsref : in std_logic := '1'; lvdsrefo : out std_logic ); end component; ------------------------------------------------------------------------------- -- DDR PADS (bundles PAD and DDR register(s)) ------------------------------------------------------------------------------- component inpad_ddr generic (tech : integer := 0; level : integer := 0; voltage : integer := x33v; filter : integer := 0; strength : integer := 0 ); port (pad : in std_ulogic; o1, o2 : out std_ulogic; c1, c2 : in std_ulogic; ce : in std_ulogic; r : in std_ulogic; s : in std_ulogic); end component; component inpad_ddrv generic (tech : integer := 0; level : integer := 0; voltage : integer := 0; filter : integer := 0; strength : integer := 0; width : integer := 1); port (pad : in std_logic_vector(width-1 downto 0); o1, o2 : out std_logic_vector(width-1 downto 0); c1, c2 : in std_ulogic; ce : in std_ulogic; r: in std_ulogic; s : in std_ulogic); end component; component outpad_ddr generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12); port (pad : out std_ulogic; i1, i2 : in std_ulogic; c1, c2 : in std_ulogic; ce : in std_ulogic; r : in std_ulogic; s : in std_ulogic); end component; component outpad_ddrv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := 0; strength : integer := 12; width : integer := 1); port (pad : out std_logic_vector(width-1 downto 0); i1, i2 : in std_logic_vector(width-1 downto 0); c1, c2 : in std_ulogic; ce : in std_ulogic; r : in std_ulogic; s : in std_ulogic); end component; component iopad_ddr generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; oepol : integer := 0); port (pad : inout std_ulogic; i1, i2 : in std_ulogic; en : in std_ulogic; o1, o2 : out std_ulogic; c1, c2 : in std_ulogic; ce : in std_ulogic; r : in std_ulogic; s : in std_ulogic); end component; component iopad_ddrv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port (pad : inout std_logic_vector(width-1 downto 0); i1, i2 : in std_logic_vector(width-1 downto 0); en : in std_ulogic; o1, o2 : out std_logic_vector(width-1 downto 0); c1, c2 : in std_ulogic; ce : in std_ulogic; r : in std_ulogic; s : in std_ulogic); end component; component iopad_ddrvv generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port (pad : inout std_logic_vector(width-1 downto 0); i1, i2 : in std_logic_vector(width-1 downto 0); en : in std_logic_vector(width-1 downto 0); o1, o2 : out std_logic_vector(width-1 downto 0); c1, c2 : in std_ulogic; ce : in std_ulogic; r : in std_ulogic; s : in std_ulogic); end component; --------------------------------------------------------------------------- -- BUFFERS --------------------------------------------------------------------------- component techbuf is generic( buftype : integer range 0 to 6 := 0; tech : integer range 0 to NTECH := inferred); port( i : in std_ulogic; o : out std_ulogic ); end component; --------------------------------------------------------------------------- -- CLOCK GENERATION --------------------------------------------------------------------------- type clkgen_in_type is record pllref : std_logic; -- optional reference for PLL pllrst : std_logic; -- optional reset for PLL pllctrl : std_logic_vector(1 downto 0); -- optional control for PLL clksel : std_logic_vector(1 downto 0); -- optional clock select end record; type clkgen_out_type is record clklock : std_logic; pcilock : std_logic; end record; component clkgen generic ( tech : integer := DEFFABTECH; clk_mul : integer := 1; clk_div : integer := 1; sdramen : integer := 0; noclkfb : integer := 1; pcien : integer := 0; pcidll : integer := 0; pcisysclk: integer := 0; freq : integer := 25000; clk2xen : integer := 0; clksel : integer := 0; -- enable clock select clk_odiv : integer := 1; -- Proasic3/Fusion output divider clkA clkb_odiv: integer := 0; -- Proasic3/Fusion output divider clkB clkc_odiv: integer := 0); -- Proasic3/Fusion output divider clkC port ( clkin : in std_logic; pciclkin: in std_logic; clk : out std_logic; -- main clock clkn : out std_logic; -- inverted main clock clk2x : out std_logic; -- 2x clock sdclk : out std_logic; -- SDRAM clock pciclk : out std_logic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type; clk4x : out std_logic; -- 4x clock clk1xu : out std_logic; -- unscaled 1X clock clk2xu : out std_logic; -- unscaled 2X clock clkb : out std_logic; -- Proasic3/Fusion clkB clkc : out std_logic; -- Proasic3/Fusion clkC clk8x : out std_logic); -- 8x clock end component; component clkand generic( tech : integer := 0; ren : integer range 0 to 1 := 0); -- registered enable port( i : in std_ulogic; en : in std_ulogic; o : out std_ulogic; tsten : in std_ulogic := '0' ); end component; component clkmux generic( tech : integer := 0; rsel : integer range 0 to 1 := 0); -- registered sel port( i0, i1 : in std_ulogic; sel : in std_ulogic; o : out std_ulogic; rst : in std_ulogic := '1' ); end component; component clkinv generic( tech : integer := 0); port( i : in std_ulogic; o : out std_ulogic ); end component; component clkrand is generic( tech : integer := 0); port( i : in std_ulogic; en : in std_ulogic; o : out std_ulogic; tsten : in std_ulogic := '0' ); end component; --------------------------------------------------------------------------- -- TAP controller and boundary scan --------------------------------------------------------------------------- component tap generic ( tech : integer := 0; irlen : integer range 2 to 8 := 4; idcode : integer range 0 to 255 := 9; manf : integer range 0 to 2047 := 804; part : integer range 0 to 65535 := 0; ver : integer range 0 to 15 := 0; trsten : integer range 0 to 1 := 1; scantest : integer := 0; oepol : integer := 1; tcknen : integer := 0); port ( trst : in std_ulogic; tck : in std_ulogic; tms : in std_ulogic; tdi : in std_ulogic; tdo : out std_ulogic; tapo_tck : out std_ulogic; tapo_tdi : out std_ulogic; tapo_inst : out std_logic_vector(7 downto 0); tapo_rst : out std_ulogic; tapo_capt : out std_ulogic; tapo_shft : out std_ulogic; tapo_upd : out std_ulogic; tapo_xsel1 : out std_ulogic; tapo_xsel2 : out std_ulogic; tapi_en1 : in std_ulogic; tapi_tdo1 : in std_ulogic; tapi_tdo2 : in std_ulogic; tapo_ninst : out std_logic_vector(7 downto 0); tapo_iupd : out std_ulogic; tapo_tckn : out std_ulogic; testen : in std_ulogic := '0'; testrst : in std_ulogic := '1'; testoen : in std_ulogic := '0'; tdoen : out std_ulogic; tckn : in std_ulogic := '0' ); end component; component scanregi generic ( tech : integer := 0; intesten: integer := 1 ); port ( pad : in std_ulogic; core : out std_ulogic; tck : in std_ulogic; tckn : in std_ulogic; tdi : in std_ulogic; tdo : out std_ulogic; bsshft : in std_ulogic; bscapt : in std_ulogic; -- capture signal to scan reg on next tck edge bsupd : in std_ulogic; -- update data reg from scan reg on next tck edge bsdrive : in std_ulogic; -- drive data reg to core bshighz : in std_ulogic ); end component; component scanrego generic ( tech : integer := 0 ); port ( pad : out std_ulogic; core : in std_ulogic; samp : in std_ulogic; -- normally same as core unless outpad has feedback tck : in std_ulogic; tckn : in std_ulogic; tdi : in std_ulogic; tdo : out std_ulogic; bsshft : in std_ulogic; bscapt : in std_ulogic; -- capture signal to scan reg on next tck edge bsupd : in std_ulogic; -- update data reg from scan reg on next tck edge bsdrive : in std_ulogic -- drive data reg to pad ); end component; component scanregto -- 2 scan registers: tdo<---output<--outputen<--tdi generic ( tech : integer := 0; hzsup: integer range 0 to 1 := 1; oepol: integer range 0 to 1 := 1 ); port ( pado : out std_ulogic; padoen : out std_ulogic; samp : in std_ulogic; -- normally same as core unless outpad has feedback coreo : in std_ulogic; coreoen : in std_ulogic; tck : in std_ulogic; tckn : in std_ulogic; tdi : in std_ulogic; tdo : out std_ulogic; bsshft : in std_ulogic; bscapt : in std_ulogic; -- capture signal to scan reg on next tck edge bsupdo : in std_ulogic; -- update data reg from scan reg on next tck edge bsdrive : in std_ulogic; -- drive data reg to pad bshighz : in std_ulogic -- tri-state output ); end component; component scanregio -- 3 scan registers: tdo<--input<--output<--outputen<--tdi generic ( tech : integer := 0; hzsup: integer range 0 to 1 := 1; oepol: integer range 0 to 1 := 1; intesten: integer range 0 to 1 := 1 ); port ( pado : out std_ulogic; padoen : out std_ulogic; padi : in std_ulogic; coreo : in std_ulogic; coreoen : in std_ulogic; corei : out std_ulogic; tck : in std_ulogic; tckn : in std_ulogic; tdi : in std_ulogic; tdo : out std_ulogic; bsshft : in std_ulogic; bscapt : in std_ulogic; -- capture signals to scan regs on next tck edge bsupdi : in std_ulogic; -- update indata reg from scan reg on next tck edge bsupdo : in std_ulogic; -- update outdata reg from scan reg on next tck edge bsdrive : in std_ulogic; -- drive outdata regs to pad, -- drive datareg(coreoen=0) or coreo(coreoen=1) to corei bshighz : in std_ulogic -- tri-state output ); end component; --------------------------------------------------------------------------- -- DDR registers and PHY --------------------------------------------------------------------------- component ddr_ireg is generic ( tech : integer; arch : integer := 0); port ( Q1 : out std_ulogic; Q2 : out std_ulogic; C1 : in std_ulogic; C2 : in std_ulogic; CE : in std_ulogic; D : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component ddr_oreg is generic (tech : integer; arch : integer := 0); port ( Q : out std_ulogic; C1 : in std_ulogic; C2 : in std_ulogic; CE : in std_ulogic; D1 : in std_ulogic; D2 : in std_ulogic; R : in std_ulogic; S : in std_ulogic); end component; component ddrphy generic (tech : integer := virtex2; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2 ; clk_div : integer := 2; rskew : integer :=0; mobile : integer := 0; abits: integer := 14; nclk: integer := 3; ncs: integer := 2; scantest : integer := 0; phyiconf : integer := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock return clkread : out std_ulogic; -- read clock lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (13 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data addr : in std_logic_vector (13 downto 0); -- data mask ba : in std_logic_vector ( 1 downto 0); -- data mask dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(1 downto 0); cke : in std_logic_vector(1 downto 0); ck : in std_logic_vector(2 downto 0); moben : in std_logic; dqvalid : out std_ulogic; testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end component; component ddrphy_wo_pads generic (tech : integer := virtex2; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2; clk_div : integer := 2; rskew : integer := 0; mobile: integer := 0; abits : integer := 14; nclk: integer := 3; ncs: integer := 2; scantest : integer := 0; phyiconf : integer := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock returned clkread : out std_ulogic; lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data addr : in std_logic_vector (abits-1 downto 0); ba : in std_logic_vector (1 downto 0); dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr output data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(ncs-1 downto 0); cke : in std_logic_vector(ncs-1 downto 0); ck : in std_logic_vector(2 downto 0); moben : in std_logic; dqvalid : out std_ulogic; testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end component; component ddr2phy generic ( tech : integer := virtex5; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2; clk_div : integer := 2; ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0; ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0; ddelayb6 : integer := 0; ddelayb7 : integer := 0; ddelayb8 : integer := 0; ddelayb9 : integer := 0; ddelayb10: integer := 0; ddelayb11: integer := 0; numidelctrl : integer := 4; norefclk : integer := 0; rskew : integer := 0; eightbanks : integer range 0 to 1 := 0; dqsse : integer range 0 to 1 := 0; abits : integer := 14; nclk: integer := 3; ncs: integer := 2; ctrl2en: integer := 0; resync: integer := 0; custombits: integer := 8; extraio: integer := 0; scantest : integer := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkref : in std_logic; -- input reference clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock return clkresync : in std_ulogic; -- resync clock (if resync/=0) lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (extraio+dbits/8-1 downto 0); -- ddr dqs ddr_dqsn : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqsn ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(ncs-1 downto 0); addr : in std_logic_vector (abits-1 downto 0); ba : in std_logic_vector ( 2 downto 0); dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr output data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; noen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(ncs-1 downto 0); cke : in std_logic_vector(ncs-1 downto 0); cal_en : in std_logic_vector(dbits/8-1 downto 0); cal_inc : in std_logic_vector(dbits/8-1 downto 0); cal_pll : in std_logic_vector(1 downto 0); cal_rst : in std_logic; odt : in std_logic_vector(ncs-1 downto 0); oct : in std_logic; read_pend : in std_logic_vector(7 downto 0); regwdata : in std_logic_vector(63 downto 0); regwrite : in std_logic_vector(1 downto 0); regrdata : out std_logic_vector(63 downto 0); dqin_valid : out std_ulogic; customclk : in std_ulogic; customdin : in std_logic_vector(custombits-1 downto 0); customdout : out std_logic_vector(custombits-1 downto 0); -- Copy of control signals for 2nd DIMM ddr_web2 : out std_ulogic; -- ddr write enable ddr_rasb2 : out std_ulogic; -- ddr ras ddr_casb2 : out std_ulogic; -- ddr cas ddr_ad2 : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba2 : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end component; component ddr2phy_wo_pads generic (tech : integer := virtex5; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2; clk_div : integer := 2; ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0; ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0; ddelayb6 : integer := 0; ddelayb7 : integer := 0; ddelayb8: integer := 0; ddelayb9: integer := 0; ddelayb10: integer := 0; ddelayb11: integer := 0; numidelctrl : integer := 4; norefclk : integer := 0; rskew : integer := 0; eightbanks : integer range 0 to 1 := 0; dqsse : integer range 0 to 1 := 0; abits : integer := 14; nclk: integer := 3; ncs: integer := 2; resync : integer := 0; custombits: integer := 8; scantest: integer := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkref : in std_logic; -- input 200MHz clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock returned clkresync : in std_ulogic; lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(ncs-1 downto 0); addr : in std_logic_vector (abits-1 downto 0); ba : in std_logic_vector ( 2 downto 0); dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr output data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; noen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(ncs-1 downto 0); cke : in std_logic_vector(ncs-1 downto 0); cal_en : in std_logic_vector(dbits/8-1 downto 0); cal_inc : in std_logic_vector(dbits/8-1 downto 0); cal_pll : in std_logic_vector(1 downto 0); cal_rst : in std_logic; odt : in std_logic_vector(ncs-1 downto 0); oct : in std_logic; read_pend : in std_logic_vector(7 downto 0); regwdata : in std_logic_vector(63 downto 0); regwrite : in std_logic_vector(1 downto 0); regrdata : out std_logic_vector(63 downto 0); dqin_valid : out std_ulogic; customclk : in std_ulogic; customdin : in std_logic_vector(custombits-1 downto 0); customdout : out std_logic_vector(custombits-1 downto 0); testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end component; component lpddr2phy_wo_pads generic ( tech : integer := virtex5; dbits : integer := 16; nclk: integer := 3; ncs: integer := 2; clkratio: integer := 1; scantest: integer := 0); port ( rst : in std_ulogic; clkin : in std_ulogic; clkin2 : in std_ulogic; clkout : out std_ulogic; clkoutret : in std_ulogic; -- ckkout returned clkout2 : out std_ulogic; lock : out std_ulogic; ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_ca : out std_logic_vector(9 downto 0); ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data ca : in std_logic_vector (10*2*clkratio-1 downto 0); cke : in std_logic_vector (ncs*clkratio-1 downto 0); csn : in std_logic_vector (ncs*clkratio-1 downto 0); dqin : out std_logic_vector (dbits*2*clkratio-1 downto 0); -- ddr output data dqout : in std_logic_vector (dbits*2*clkratio-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4*clkratio-1 downto 0); -- data mask ckstop : in std_ulogic; boot : in std_ulogic; wrpend : in std_logic_vector(7 downto 0); rdpend : in std_logic_vector(7 downto 0); wrreq : out std_logic_vector(clkratio-1 downto 0); rdvalid : out std_logic_vector(clkratio-1 downto 0); refcal : in std_ulogic; refcalwu : in std_ulogic; refcaldone : out std_ulogic; phycmd : in std_logic_vector(7 downto 0); phycmden : in std_ulogic; phycmdin : in std_logic_vector(31 downto 0); phycmdout : out std_logic_vector(31 downto 0); testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end component; component ddr2pads is generic (tech: integer := virtex5; dbits: integer := 16; eightbanks: integer := 0; dqsse: integer range 0 to 1 := 0; abits: integer := 14; nclk: integer := 3; ncs: integer := 2; ctrl2en: integer := 0); port ( ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqsn : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqsn ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(ncs-1 downto 0); -- Copy of control signals for 2nd DIMM (if ctrl2en /= 0) ddr_web2 : out std_ulogic; -- ddr write enable ddr_rasb2 : out std_ulogic; -- ddr ras ddr_casb2 : out std_ulogic; -- ddr cas ddr_ad2 : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba2 : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address lddr_clk : in std_logic_vector(nclk-1 downto 0); lddr_clkb : in std_logic_vector(nclk-1 downto 0); lddr_clk_fb_out : in std_logic; lddr_clk_fb : out std_logic; lddr_cke : in std_logic_vector(ncs-1 downto 0); lddr_csb : in std_logic_vector(ncs-1 downto 0); lddr_web : in std_ulogic; -- ddr write enable lddr_rasb : in std_ulogic; -- ddr ras lddr_casb : in std_ulogic; -- ddr cas lddr_dm : in std_logic_vector (dbits/8-1 downto 0); -- ddr dm lddr_dqs_in : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs lddr_dqs_out : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs lddr_dqs_oen : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs lddr_ad : in std_logic_vector (abits-1 downto 0); -- ddr address lddr_ba : in std_logic_vector (1+eightbanks downto 0); -- ddr bank address lddr_dq_in : out std_logic_vector (dbits-1 downto 0); -- ddr data lddr_dq_out : in std_logic_vector (dbits-1 downto 0); -- ddr data lddr_dq_oen : in std_logic_vector (dbits-1 downto 0); -- ddr data lddr_odt : in std_logic_vector(ncs-1 downto 0) ); end component; component ddrpads is generic (tech: integer := virtex5; dbits: integer := 16; abits: integer := 14; nclk: integer := 3; ncs: integer := 2; ctrl2en: integer := 0); port ( ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data -- Copy of control signals for 2nd DIMM (if ctrl2en /= 0) ddr_web2 : out std_ulogic; -- ddr write enable ddr_rasb2 : out std_ulogic; -- ddr ras ddr_casb2 : out std_ulogic; -- ddr cas ddr_ad2 : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba2 : out std_logic_vector (1 downto 0); -- ddr bank address lddr_clk : in std_logic_vector(nclk-1 downto 0); lddr_clkb : in std_logic_vector(nclk-1 downto 0); lddr_clk_fb_out : in std_logic; lddr_clk_fb : out std_logic; lddr_cke : in std_logic_vector(ncs-1 downto 0); lddr_csb : in std_logic_vector(ncs-1 downto 0); lddr_web : in std_ulogic; -- ddr write enable lddr_rasb : in std_ulogic; -- ddr ras lddr_casb : in std_ulogic; -- ddr cas lddr_dm : in std_logic_vector (dbits/8-1 downto 0); -- ddr dm lddr_dqs_in : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs lddr_dqs_out : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs lddr_dqs_oen : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs lddr_ad : in std_logic_vector (abits-1 downto 0); -- ddr address lddr_ba : in std_logic_vector (1 downto 0); -- ddr bank address lddr_dq_in : out std_logic_vector (dbits-1 downto 0); -- ddr data lddr_dq_out : in std_logic_vector (dbits-1 downto 0); -- ddr data lddr_dq_oen : in std_logic_vector (dbits-1 downto 0) -- ddr data ); end component; component ddrphy_datapath is generic ( regtech: integer := 0; dbits: integer; abits: integer; bankbits: integer range 2 to 3 := 2; ncs: integer; nclk: integer; resync: integer range 0 to 2 := 0 ); port ( clk0: in std_ulogic; clk90: in std_ulogic; clk180: in std_ulogic; clk270: in std_ulogic; clkresync: in std_ulogic; ddr_clk: out std_logic_vector(nclk-1 downto 0); ddr_clkb: out std_logic_vector(nclk-1 downto 0); ddr_dq_in: in std_logic_vector(dbits-1 downto 0); ddr_dq_out: out std_logic_vector(dbits-1 downto 0); ddr_dq_oen: out std_logic_vector(dbits-1 downto 0); ddr_dqs_in90: in std_logic_vector(dbits/8-1 downto 0); ddr_dqs_in90n: in std_logic_vector(dbits/8-1 downto 0); ddr_dqs_out: out std_logic_vector(dbits/8-1 downto 0); ddr_dqs_oen: out std_logic_vector(dbits/8-1 downto 0); ddr_cke: out std_logic_vector(ncs-1 downto 0); ddr_csb: out std_logic_vector(ncs-1 downto 0); ddr_web: out std_ulogic; ddr_rasb: out std_ulogic; ddr_casb: out std_ulogic; ddr_ad: out std_logic_vector(abits-1 downto 0); ddr_ba: out std_logic_vector(bankbits-1 downto 0); ddr_dm: out std_logic_vector(dbits/8-1 downto 0); ddr_odt: out std_logic_vector(ncs-1 downto 0); dqin: out std_logic_vector(dbits*2-1 downto 0); dqout: in std_logic_vector(dbits*2-1 downto 0); addr : in std_logic_vector (abits-1 downto 0); ba : in std_logic_vector (bankbits-1 downto 0); dm : in std_logic_vector (dbits/4-1 downto 0); oen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(ncs-1 downto 0); cke : in std_logic_vector(ncs-1 downto 0); odt : in std_logic_vector(ncs-1 downto 0); dqs_en : in std_ulogic; dqs_oen : in std_ulogic; ddrclk_en : in std_logic_vector(nclk-1 downto 0) ); end component; --------------------------------------------------------------------------- -- 61x61 Multiplier --------------------------------------------------------------------------- component mul_61x61 generic (multech : integer := 0; fabtech : integer := 0); port(A : in std_logic_vector(60 downto 0); B : in std_logic_vector(60 downto 0); EN : in std_logic; CLK : in std_logic; PRODUCT : out std_logic_vector(121 downto 0)); end component; --------------------------------------------------------------------------- -- Ring oscillator --------------------------------------------------------------------------- component ringosc generic (tech : integer := 0); port ( roen : in Std_ULogic; roout : out Std_ULogic); end component; --------------------------------------------------------------------------- -- System monitor --------------------------------------------------------------------------- component system_monitor generic ( -- GRLIB generics tech : integer := DEFFABTECH; -- Virtex 5 SYSMON generics INIT_40 : bit_vector := X"0000"; INIT_41 : bit_vector := X"0000"; INIT_42 : bit_vector := X"0800"; INIT_43 : bit_vector := X"0000"; INIT_44 : bit_vector := X"0000"; INIT_45 : bit_vector := X"0000"; INIT_46 : bit_vector := X"0000"; INIT_47 : bit_vector := X"0000"; INIT_48 : bit_vector := X"0000"; INIT_49 : bit_vector := X"0000"; INIT_4A : bit_vector := X"0000"; INIT_4B : bit_vector := X"0000"; INIT_4C : bit_vector := X"0000"; INIT_4D : bit_vector := X"0000"; INIT_4E : bit_vector := X"0000"; INIT_4F : bit_vector := X"0000"; INIT_50 : bit_vector := X"0000"; INIT_51 : bit_vector := X"0000"; INIT_52 : bit_vector := X"0000"; INIT_53 : bit_vector := X"0000"; INIT_54 : bit_vector := X"0000"; INIT_55 : bit_vector := X"0000"; INIT_56 : bit_vector := X"0000"; INIT_57 : bit_vector := X"0000"; SIM_MONITOR_FILE : string := "design.txt"); port ( alm : out std_logic_vector(2 downto 0); busy : out std_ulogic; channel : out std_logic_vector(4 downto 0); do : out std_logic_vector(15 downto 0); drdy : out std_ulogic; eoc : out std_ulogic; eos : out std_ulogic; jtagbusy : out std_ulogic; jtaglocked : out std_ulogic; jtagmodified : out std_ulogic; ot : out std_ulogic; convst : in std_ulogic; convstclk : in std_ulogic; daddr : in std_logic_vector(6 downto 0); dclk : in std_ulogic; den : in std_ulogic; di : in std_logic_vector(15 downto 0); dwe : in std_ulogic; reset : in std_ulogic; vauxn : in std_logic_vector(15 downto 0); vauxp : in std_logic_vector(15 downto 0); vn : in std_ulogic; vp : in std_ulogic); end component; component nandtree generic( tech : integer := inferred; width : integer := 2; imp : integer := 0 ); port( i : in std_logic_vector(width-1 downto 0); o : out std_ulogic; en : in std_ulogic ); end component; component grmux2 is generic( tech : integer := inferred; imp : integer := 0); port( ip0, ip1, sel : in std_logic; op : out std_ulogic); end component; component grmux2v is generic( tech : integer := inferred; bits : integer := 2; imp : integer := 0); port( ip0, ip1 : in std_logic_vector(bits-1 downto 0); sel : in std_logic; op : out std_logic_vector(bits-1 downto 0)); end component; component grdff is generic( tech : integer := inferred; imp : integer := 0); port( clk, d : in std_ulogic; q : out std_ulogic); end component; component gror2 is generic( tech : integer := inferred; imp : integer := 0); port( i0, i1 : in std_ulogic; q : out std_ulogic); end component; component grand12 is generic( tech : integer := inferred; imp : integer := 0); port( i0, i1 : in std_ulogic; q : out std_ulogic); end component; component grnand2 is generic (tech: integer := inferred; imp: integer := 0); port( i0, i1 : in std_ulogic; q : out std_ulogic); end component; component techmult generic ( tech : integer := 0; arch : integer := 0; a_width : positive := 2; -- multiplier word width b_width : positive := 2; -- multiplicand word width num_stages : positive := 2; -- number of pipeline stages stall_mode : natural range 0 to 1 := 1 -- '0': non-stallable; '1': stallable ); port(a : in std_logic_vector(a_width-1 downto 0); b : in std_logic_vector(b_width-1 downto 0); clk : in std_logic; en : in std_logic; sign : in std_logic; product : out std_logic_vector(a_width+b_width-1 downto 0)); end component; component syncreg generic ( tech : integer := 0; stages : integer range 1 to 5 := 2 ); port ( clk : in std_ulogic; d : in std_ulogic; q : out std_ulogic ); end component; ------------------------------------------------------------------------------- -- SDRAM PHY ------------------------------------------------------------------------------- component sdram_phy generic ( tech : integer := spartan3; oepol : integer := 0; level : integer := 0; voltage : integer := x33v; strength : integer := 12; aw : integer := 15; -- # address bits dw : integer := 32; -- # data bits ncs : integer := 2; reg : integer := 0); -- 1: include registers on all signals port ( -- SDRAM interface addr : out std_logic_vector(aw-1 downto 0); dq : inout std_logic_vector(dw-1 downto 0); cke : out std_logic_vector(ncs-1 downto 0); sn : out std_logic_vector(ncs-1 downto 0); wen : out std_ulogic; rasn : out std_ulogic; casn : out std_ulogic; dqm : out std_logic_vector(dw/8-1 downto 0); -- Interface toward memory controller laddr : in std_logic_vector(aw-1 downto 0); ldq_din : out std_logic_vector(dw-1 downto 0); ldq_dout : in std_logic_vector(dw-1 downto 0); ldq_oen : in std_logic_vector(dw-1 downto 0); lcke : in std_logic_vector(ncs-1 downto 0); lsn : in std_logic_vector(ncs-1 downto 0); lwen : in std_ulogic; lrasn : in std_ulogic; lcasn : in std_ulogic; ldqm : in std_logic_vector(dw/8-1 downto 0); -- Only used when reg generic is non-zero rstn : in std_ulogic; -- Registered pads reset clk : in std_ulogic; -- SDRAM clock for registered pads -- Optional pad configuration inputs cfgi_cmd : in std_logic_vector(19 downto 0) := "00000000000000000000"; -- CMD pads cfgi_dq : in std_logic_vector(19 downto 0) := "00000000000000000000" -- DQ pads ); end component; ------------------------------------------------------------------------------- -- GIGABIT ETHERNET SERDES ------------------------------------------------------------------------------- component serdes is generic ( tech : integer ); port ( clk_125 : in std_logic; rst_125 : in std_logic; rx_in : in std_logic; -- SER IN rx_out : out std_logic_vector(9 downto 0); -- PAR OUT rx_clk : out std_logic; rx_rstn : out std_logic; rx_pll_clk : out std_logic; rx_pll_rstn : out std_logic; tx_pll_clk : out std_logic; tx_pll_rstn : out std_logic; tx_in : in std_logic_vector(9 downto 0) ; -- PAR IN tx_out : out std_logic; -- SER OUT bitslip : in std_logic ); end component; end;
gpl-2.0
b16b91bf43e90ea91e40f06e498cd5c2
0.563582
3.420431
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/lab3_project.xpr/project_1/project_1.srcs/sources_1/bd/design_1/ipshared/e9ee/hdl/axi_cdma_v4_1_vh_rfs.vhd
1
598,894
------------------------------------------------------------------------------- -- axi_cdma_pkg ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_pkg.vhd -- Description: This package contains various constants and functions for -- AXI DMA operations. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; package axi_cdma_pkg is ------------------------------------------------------------------------------- -- Function declarations ------------------------------------------------------------------------------- -- Find minimum required btt width function required_btt_width1 (dwidth, burst_size, btt_width : integer) return integer; ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- AXI Responce Values ------------------------------------------------------------------------------- constant OKAY_RESP : std_logic_vector(1 downto 0) := "00"; constant EXOKAY_RESP : std_logic_vector(1 downto 0) := "01"; constant SLVERR_RESP : std_logic_vector(1 downto 0) := "10"; constant DECERR_RESP : std_logic_vector(1 downto 0) := "11"; ------------------------------------------------------------------------------- -- Misc Constants ------------------------------------------------------------------------------- constant NUM_REG_TOTAL : integer := 18; constant NUM_REG_PER_CHANNEL : integer := 6; constant REG_MSB_ADDR_BIT : integer := clog2(NUM_REG_TOTAL)-1; --constant CMD_BASE_WIDTH : integer := 40; constant CMD_BASE_WIDTH : integer := 104; constant BUFFER_LENGTH_WIDTH : integer := 23; -- Constants Used in Desc Updates constant DESC_STS_TYPE : std_logic := '1'; constant DESC_DATA_TYPE : std_logic := '0'; constant DESC_LAST : std_logic := '1'; constant DESC_NOT_LAST : std_logic := '0'; -- Interrupt Coalescing constant ZERO_THRESHOLD : std_logic_vector(7 downto 0) := (others => '0'); constant ONE_THRESHOLD : std_logic_vector(7 downto 0) := "00000001"; constant ZERO_DELAY : std_logic_vector(7 downto 0) := (others => '0'); constant MTBF_STAGES : integer := 4; ------------------------------------------------------------------------------- -- Register Bit Constants ------------------------------------------------------------------------------- -- DMACR constant DMACR_RESERVED0_BIT : integer := 0; constant DMACR_TAILPEN_BIT : integer := 1; constant DMACR_RESET_BIT : integer := 2; constant DMACR_SGMODE_BIT : integer := 3; constant DMACR_KHREAD_BIT : integer := 4; constant DMACR_KHWRITE_BIT : integer := 5; --constant DMACR_RESERVED4_BIT : integer := 4; --constant DMACR_RESERVED5_BIT : integer := 5; constant DMACR_CYCLIC_BIT : integer := 6; constant DMACR_RESERVED7_BIT : integer := 7; constant DMACR_RESERVED8_BIT : integer := 8; constant DMACR_RESERVED9_BIT : integer := 9; constant DMACR_RESERVED10_BIT : integer := 10; constant DMACR_RESERVED11_BIT : integer := 11; constant DMACR_IOC_IRQEN_BIT : integer := 12; constant DMACR_DLY_IRQEN_BIT : integer := 13; constant DMACR_ERR_IRQEN_BIT : integer := 14; constant DMACR_RESERVED15_BIT : integer := 15; constant DMACR_IRQTHRESH_LSB_BIT : integer := 16; constant DMACR_IRQTHRESH_MSB_BIT : integer := 23; constant DMACR_IRQDELAY_LSB_BIT : integer := 24; constant DMACR_IRQDELAY_MSB_BIT : integer := 31; -- DMASR constant DMASR_HALTED_BIT : integer := 0; constant DMASR_IDLE_BIT : integer := 1; constant DMASR_CMPLT_BIT : integer := 2; constant DMASR_ERROR_BIT : integer := 3; constant DMASR_DMAINTERR_BIT : integer := 4; constant DMASR_DMASLVERR_BIT : integer := 5; constant DMASR_DMADECERR_BIT : integer := 6; constant DMASR_RESERVED7_BIT : integer := 7; constant DMASR_SGINTERR_BIT : integer := 8; constant DMASR_SGSLVERR_BIT : integer := 9; constant DMASR_SGDECERR_BIT : integer := 10; constant DMASR_RESERVED11_BIT : integer := 11; constant DMASR_IOCIRQ_BIT : integer := 12; constant DMASR_DLYIRQ_BIT : integer := 13; constant DMASR_ERRIRQ_BIT : integer := 14; constant DMASR_RESERVED15_BIT : integer := 15; constant DMASR_IRQTHRESH_LSB_BIT : integer := 16; constant DMASR_IRQTHRESH_MSB_BIT : integer := 23; constant DMASR_IRQDELAY_LSB_BIT : integer := 24; constant DMASR_IRQDELAY_MSB_BIT : integer := 31; -- CURDESC constant CURDESC_LOWER_MSB_BIT : integer := 31; constant CURDESC_LOWER_LSB_BIT : integer := 6; constant CURDESC_RESERVED_BIT5 : integer := 5; constant CURDESC_RESERVED_BIT4 : integer := 4; constant CURDESC_RESERVED_BIT3 : integer := 3; constant CURDESC_RESERVED_BIT2 : integer := 2; constant CURDESC_RESERVED_BIT1 : integer := 1; constant CURDESC_RESERVED_BIT0 : integer := 0; -- TAILDESC constant TAILDESC_LOWER_MSB_BIT : integer := 31; constant TAILDESC_LOWER_LSB_BIT : integer := 6; constant TAILDESC_RESERVED_BIT5 : integer := 5; constant TAILDESC_RESERVED_BIT4 : integer := 4; constant TAILDESC_RESERVED_BIT3 : integer := 3; constant TAILDESC_RESERVED_BIT2 : integer := 2; constant TAILDESC_RESERVED_BIT1 : integer := 1; constant TAILDESC_RESERVED_BIT0 : integer := 0; -- BTT constant BTT_MSB_BIT : integer := 22; -- DataMover Command / Status Constants constant DATAMOVER_CMDDONE_BIT : integer := 7; constant DATAMOVER_SLVERR_BIT : integer := 6; constant DATAMOVER_DECERR_BIT : integer := 5; constant DATAMOVER_INTERR_BIT : integer := 4; constant DATAMOVER_TAGMSB_BIT : integer := 3; constant DATAMOVER_TAGLSB_BIT : integer := 0; -- Descriptor Control Bits constant DESC_BLENGTH_LSB_BIT : integer := 0; constant DESC_BLENGTH_MSB_BIT : integer := 22; constant DESC_RSVD23_BIT : integer := 23; constant DESC_RSVD24_BIT : integer := 24; constant DESC_RSVD25_BIT : integer := 25; constant DESC_EOF_BIT : integer := 26; constant DESC_SOF_BIT : integer := 27; constant DESC_RSVD28_BIT : integer := 28; constant DESC_RSVD29_BIT : integer := 29; constant DESC_RSVD30_BIT : integer := 30; constant DESC_IOC_BIT : integer := 31; -- Descriptor Status Bits constant DESC_STS_CMPLTD_BIT : integer := 31; constant DESC_STS_DECERR_BIT : integer := 30; constant DESC_STS_SLVERR_BIT : integer := 29; constant DESC_STS_INTERR_BIT : integer := 28; constant DESC_STS_RXSOF_BIT : integer := 27; constant DESC_STS_RXEOF_BIT : integer := 26; constant DESC_STS_RSVD25_BIT : integer := 25; constant DESC_STS_RSVD24_BIT : integer := 24; constant DESC_STS_RSVD23_BIT : integer := 23; constant DESC_STS_XFRDBYTS_MSB_BIT : integer := 22; constant DESC_STS_XFRDBYTS_LSB_BIT : integer := 0; -- DataMover Command / Status Constants constant DATAMOVER_STS_CMDDONE_BIT : integer := 7; constant DATAMOVER_STS_SLVERR_BIT : integer := 6; constant DATAMOVER_STS_DECERR_BIT : integer := 5; constant DATAMOVER_STS_INTERR_BIT : integer := 4; constant DATAMOVER_STS_TAGMSB_BIT : integer := 3; constant DATAMOVER_STS_TAGLSB_BIT : integer := 0; constant DATAMOVER_STS_TAGEOF_BIT : integer := 1; constant DATAMOVER_STS_TLAST_BIT : integer := 31; constant DATAMOVER_CMD_BTTLSB_BIT : integer := 0; constant DATAMOVER_CMD_BTTMSB_BIT : integer := 22; constant DATAMOVER_CMD_TYPE_BIT : integer := 23; constant DATAMOVER_CMD_DSALSB_BIT : integer := 24; constant DATAMOVER_CMD_DSAMSB_BIT : integer := 29; constant DATAMOVER_CMD_EOF_BIT : integer := 30; constant DATAMOVER_CMD_DRR_BIT : integer := 31; constant DATAMOVER_CMD_ADDRLSB_BIT : integer := 32; -- Note: Bit offset require adding ADDR WIDTH to get to actual bit index constant DATAMOVER_CMD_ADDRMSB_BOFST: integer := 31; constant DATAMOVER_CMD_TAGLSB_BOFST : integer := 32; constant DATAMOVER_CMD_TAGMSB_BOFST : integer := 35; constant DATAMOVER_CMD_RSVLSB_BOFST : integer := 36; constant DATAMOVER_CMD_RSVMSB_BOFST : integer := 39; end axi_cdma_pkg; ------------------------------------------------------------------------------- -- PACKAGE BODY ------------------------------------------------------------------------------- package body axi_cdma_pkg is ------------------------------------------------------------------------------- -- Function to determine minimum bits required for BTT_SIZE field ------------------------------------------------------------------------------- function required_btt_width1 ( dwidth, burst_size, btt_width : integer) return integer is variable min_width : integer; begin min_width := clog2((dwidth/8)*burst_size)+1; if(min_width > btt_width)then return min_width; else return btt_width; end if; end function required_btt_width1; end package body axi_cdma_pkg; ------------------------------------------------------------------------------- -- axi_cdma_pulse_gen.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_pulse_gen.vhd -- -- Description: -- This file is the design for a parameterizable pulse width generator. -- The input Sig_In is either Positive Edge or Negative detected -- which triggers a pulse generator. Allowed pulse widths are 1 to -- 64 input clock periods. -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Library declarations library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library lib_cdc_v1_0_2; library axi_cdma_v4_1_14; use axi_cdma_v4_1_14.axi_cdma_pkg.all; ------------------------------------------------------------------------------ entity axi_cdma_pulse_gen is generic ( C_INCLUDE_SYNCHRO : Integer range 0 to 1 := 0; -- 0 = Do not include synchronizer registers -- 1 = Include synchronizer registers C_POS_EDGE_TRIG : Integer range 0 to 1 := 1; -- 0 = Negative Edge Triggered Pulse -- 1 = Positive Edge Triggered Pulse C_PULSE_WIDTH_CLKS : integer range 1 to 64 := 4 -- Desired Output Pulse width (in Clk_In periods) ); port ( -- Input synchronization clock Clk_In : In std_logic; -- Module reset (active high) Rst_In : In std_logic; -- Input trigger signal Sig_in : In std_logic; -- Output pulse Pulse_Out : Out std_logic ); end entity axi_cdma_pulse_gen; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_cdma_pulse_gen is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions Declarations ------------------------------------------------------------------------------- -- none ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- Constant ADJUSTED_CLKs : integer := C_PULSE_WIDTH_CLKS+1; ------------------------------------------------------------------------------- -- Internal Signal Declaration ------------------------------------------------------------------------------- -- System module reset interconnect signals signal sig_pulse_out : std_logic; signal sig_to_edge_detect_reg : std_logic; signal sig_pulse_trigger : std_logic; signal sig_shift_reg : std_logic_vector(0 to ADJUSTED_CLKs-1); -- Addition of synchronizer front-end signal sig_synchro_reg1_cdc_tig : std_logic; signal sig_synchro_reg2 : std_logic; signal sig_to_edge_detect : std_logic; -- ATTRIBUTE async_reg OF sig_synchro_reg1_cdc_tig : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF sig_synchro_reg2 : SIGNAL IS "true"; ------------------------------------------------------------------------------- begin -- architecture body -- Output Port assignments Pulse_Out <= sig_pulse_out ; ------------------------------------------------------------ -- If Generate -- -- Label: OMIT_SYNCHRO_REGS -- -- If Generate Description: -- This IfGen omits the implementation of a double register -- synchronizer on the input signal. -- ------------------------------------------------------------ OMIT_SYNCHRO_REGS : if (C_INCLUDE_SYNCHRO = 0) generate begin sig_to_edge_detect <= Sig_In; end generate OMIT_SYNCHRO_REGS; ------------------------------------------------------------ -- If Generate -- -- Label: INCLUDE_SYNCHRO_REGS -- -- If Generate Description: -- This IfGen includes the implementation of a double -- register synchronizer on the input signal. -- ------------------------------------------------------------ INCLUDE_SYNCHRO_REGS : if (C_INCLUDE_SYNCHRO = 1) generate begin sig_to_edge_detect <= sig_synchro_reg2; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_SYNCHRO_REGS -- -- Process Description: -- -- ------------------------------------------------------------- DO_SYNCHRO_REGS : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => Sig_In, prmry_vect_in => (others => '0'), scndry_aclk => Clk_In, scndry_resetn => '0', scndry_out => sig_synchro_reg2, scndry_vect_out => open ); -- DO_SYNCHRO_REGS : process (Clk_In) -- begin -- if (Clk_In'event and Clk_In = '1') then -- if (Rst_In = '1') then -- sig_synchro_reg1_cdc_tig <= '0'; -- sig_synchro_reg2 <= '0'; -- else -- sig_synchro_reg1_cdc_tig <= Sig_In; -- sig_synchro_reg2 <= sig_synchro_reg1_cdc_tig; -- end if; -- end if; -- end process DO_SYNCHRO_REGS; end generate INCLUDE_SYNCHRO_REGS; ------------------------------------------------------------ -- If Generate -- -- Label: POSITIVE_EDGE_TRIGGER -- -- If Generate Description: -- Generate Pulse trigger from Positive edge detection on -- the input signal -- -- ------------------------------------------------------------ POSITIVE_EDGE_TRIGGER : if (C_POS_EDGE_TRIG = 1) generate begin -- Do positive edge detection on input signal, This becomes -- the trigger for generating the output pulse. sig_pulse_trigger <= sig_to_edge_detect and not(sig_to_edge_detect_reg); end generate POSITIVE_EDGE_TRIGGER; ------------------------------------------------------------ -- If Generate -- -- Label: NEGATIVE_EDGE_TRIGGER -- -- If Generate Description: -- Generate Pulse trigger from negative edge detection on -- the input signal -- -- ------------------------------------------------------------ NEGATIVE_EDGE_TRIGGER : if (C_POS_EDGE_TRIG = 0) generate begin -- Do negative edge detection on input signal, This becomes -- the trigger for generating the output pulse. sig_pulse_trigger <= not(sig_to_edge_detect) and sig_to_edge_detect_reg; end generate NEGATIVE_EDGE_TRIGGER; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SIG_IN -- -- Process Description: -- This process registers the input signal for use in the -- edge detection logic. -- ------------------------------------------------------------- REG_SIG_IN : process (Clk_In) begin if (Clk_In'event and Clk_In = '1') then if (Rst_In = '1') then sig_to_edge_detect_reg <= '0'; else sig_to_edge_detect_reg <= sig_to_edge_detect; end if; end if; end process REG_SIG_IN; ------------------------------------------------------------ -- If Generate -- -- Label: DO_SINGLE_CLK_PULSE -- -- If Generate Description: -- -- Handles single clock pulse width case -- ------------------------------------------------------------ DO_SINGLE_CLK_PULSE : if (C_PULSE_WIDTH_CLKS = 1) generate begin sig_shift_reg <= (others => '0'); -- house keeping ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SINGLE_PULSE -- -- Process Description: -- This process registers a single pulse case. -- ------------------------------------------------------------- REG_SINGLE_PULSE : process (Clk_In) begin if (Clk_In'event and Clk_In = '1') then if (Rst_In = '1') then sig_pulse_out <= '0'; else sig_pulse_out <= sig_pulse_trigger; end if; end if; end process REG_SINGLE_PULSE; end generate DO_SINGLE_CLK_PULSE; ------------------------------------------------------------ -- If Generate -- -- Label: DO_MULTI_CLK_PULSE -- -- If Generate Description: -- -- Handles Multi clock pulse width case -- ------------------------------------------------------------ DO_MULTI_CLK_PULSE : if (C_PULSE_WIDTH_CLKS >= 2) generate begin ----------------------------------------------------------------------------- -- Implement the Shift register logic ----------------------------------------------------------------------------- -- The output pulse is ripped from the final stage of the shift register sig_pulse_out <= sig_shift_reg(ADJUSTED_CLKs-1); -- Tie the shift register input stage to 0 sig_shift_reg(0) <= '0'; ------------------------------------------------------------ -- For Generate -- -- Label: DO_SHIF_REG -- -- For Generate Description: -- This For Gen implements a parameterizable shift -- register for the pulse generator. The trigger presets -- all of the register segments and then zeros are shifted -- into the pipe until all stages are cleared. The resulting -- pulse out is equal to the number of stages in the shift -- register. -- -- -- ------------------------------------------------------------ DO_SHIF_REG : for reg_index in 1 to ADJUSTED_CLKs-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_SHIFT_REG_SEGMENT -- -- Process Description: -- This process implements a single register segment of -- of the pulse generator shift register. -- ------------------------------------------------------------- DO_SHIFT_REG_SEGMENT : process (Clk_In) begin if (Clk_In'event and Clk_In = '1') then if (Rst_In = '1') then -- Clear the reg sig_shift_reg(reg_index) <= '0'; elsif (sig_pulse_trigger = '1') then -- preset the reg sig_shift_reg(reg_index) <= '1'; else -- shift stuff through sig_shift_reg(reg_index) <= sig_shift_reg(reg_index-1); end if; end if; end process DO_SHIFT_REG_SEGMENT; end generate DO_SHIF_REG; ----------------------------------------------------------------------------- -- End of Shift register logic ----------------------------------------------------------------------------- end generate DO_MULTI_CLK_PULSE; end architecture implementation; ------------------------------------------------------------------------------- -- axi_cdma_reset ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_reset.vhd -- Description: This entity is reset module entity for the AXI DMA core. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_cdma_v4_1_14; use axi_cdma_v4_1_14.axi_cdma_pulse_gen; use axi_cdma_v4_1_14.axi_cdma_pkg.all; library lib_cdc_v1_0_2; ------------------------------------------------------------------------------- entity axi_cdma_reset is Generic ( C_AXI_LITE_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the AXI Lite Register interface needs to -- be asynchronous to the CDMA data transport path clocking -- 0 = Use same clocking as data path (Primary) -- 1 = Use special AXI Lite clock for the axi lite interface C_SOFT_RST_TIME_CLKS : integer range 1 to 64 := 8 -- Specifies the time of the soft reset assertion in -- axi_aclk clock periods. ); port ( -- Primary Clock and Reset Sources axi_aclk : in std_logic ;-- axi_resetn : in std_logic ;-- -- AXI Lite Clock and Reset Sources axi_lite_aclk : in std_logic ;-- axi_lite_resetn : in std_logic ;-- -- HW Reset out to the axi4-lite bus side interface logic rst2lite_bside_reset : Out std_logic ; -- HW Reset out to the axi4-lite core side interface logic rst2lite_cside_reset : Out std_logic ; -- HW Reset out to the register module logic rst2reg_reset : Out std_logic ; -- HW Reset out to the simple controller module logic rst2cntlr_reset : Out std_logic ; -- HW Reset out to the SG controller module logic rst2sgcntlr_reset : Out std_logic ; -- HW Reset out to the SG module rst2sg_resetn : Out std_logic ; -- HW Reset out to the datamover module rst2dm_resetn : Out std_logic ; -- Soft Reset Request from Register module reg2rst_soft_reset_in : in std_logic ; -- Soft Reset clear to the Register module rst2reg_soft_reset_clr : Out std_logic ; -- Halt request to the Simple Controller rst2cntlr_halt : Out std_logic ; -- Halt complete from the Simple Controller cntlr2rst_halt_cmplt : in std_logic ; -- Halt request to the SG Controller rst2sg_halt : Out std_logic ; -- Halt complete from the SG Controller sg2rst_halt_cmplt : in std_logic ; -- Halt request to the DataMover MM2S function rst2dm_mm2s_halt : Out std_logic ; -- Halt complete from the DataMover MM2S function dm2rst_mm2s_halt_cmplt : in std_logic ; -- Halt request to the DataMover S2MM function rst2dm_s2mm_halt : Out std_logic ; -- Halt complete from the DataMover S2MM function dm2rst_s2mm_halt_cmplt : in std_logic ); end axi_cdma_reset; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_cdma_reset is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- Constant INCLUDE_SYNCHRONIZERS : integer := 1 ; Constant NO_SYNCHRONIZERS : integer := 0 ; Constant POSITIVE_EDGE_TRIGGER : integer := 1 ; Constant NEGATIVE_EDGE_TRIGGER : integer := 0 ; Constant TWO_CLKS : integer := 2 ; Constant ONE_CLK : integer := 1 ; Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant POR_WIDTH : integer := 8 ; ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal sig_local_hw_reset_reg : std_logic := '0'; signal sig_lite_bside_hw_reset_reg : std_logic := '0'; signal sig_lite_cside_hw_reset_reg : std_logic := '0'; signal sig_composite_reg_reset : std_logic := '0'; signal sig_composite_cntlr_reset : std_logic := '0'; signal sig_composite_sgcntlr_reset : std_logic := '0'; signal sig_composite_sg_reset_n : std_logic := '0'; signal sig_composite_dm_reset_n : std_logic := '0'; signal sig_dm_soft_reset_n : std_logic := '0'; signal sig_rst2reg_soft_reset : std_logic := '0'; signal sig_rst2reg_soft_reset_trig : std_logic := '0'; signal sig_rst2reg_soft_reset_clr : std_logic := '0'; signal sig_soft_reset : std_logic := '0'; signal sig_soft_reset_reg : std_logic := '0'; signal sig_trig_soft_reset : std_logic := '0'; signal sig_halt_request : std_logic := '0'; signal sig_halt_cmplt : std_logic := '0'; signal sig_axi_por_reg1 : std_logic := '0'; signal sig_axi_por_reg2 : std_logic := '0'; signal sig_axi_por_reg3 : std_logic := '0'; signal sig_axi_por_reg4 : std_logic := '0'; signal sig_axi_por_reg5 : std_logic := '0'; signal sig_axi_por_reg6 : std_logic := '0'; signal sig_axi_por_reg7 : std_logic := '0'; signal sig_axi_por_reg8 : std_logic := '0'; signal sig_axi_por2rst : std_logic := '0'; signal sig_axi_por2rst_out : std_logic := '0'; signal sig_axilite_por_reg1 : std_logic := '0'; signal sig_axilite_por_reg2 : std_logic := '0'; signal sig_axilite_por_reg3 : std_logic := '0'; signal sig_axilite_por_reg4 : std_logic := '0'; signal sig_axilite_por_reg5 : std_logic := '0'; signal sig_axilite_por_reg6 : std_logic := '0'; signal sig_axilite_por_reg7 : std_logic := '0'; signal sig_axilite_por_reg8 : std_logic := '0'; signal sig_axilite_por2rst : std_logic := '0'; signal sig_axilite_por2rst_out : std_logic := '0'; -- Register duplication attribute assignments to control fanout -- on reset signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of sig_lite_bside_hw_reset_reg : signal is "TRUE"; Attribute KEEP of sig_lite_cside_hw_reset_reg : signal is "TRUE"; Attribute KEEP of sig_composite_reg_reset : signal is "TRUE"; Attribute KEEP of sig_composite_cntlr_reset : signal is "TRUE"; Attribute KEEP of sig_composite_sgcntlr_reset : signal is "TRUE"; Attribute KEEP of sig_composite_sg_reset_n : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_lite_bside_hw_reset_reg : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_lite_cside_hw_reset_reg : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_composite_reg_reset : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_composite_cntlr_reset : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_composite_sgcntlr_reset : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_composite_sg_reset_n : signal is "no"; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Assign Reset Output Ports rst2lite_bside_reset <= sig_lite_bside_hw_reset_reg ; rst2lite_cside_reset <= sig_lite_cside_hw_reset_reg ; rst2reg_reset <= sig_composite_reg_reset ; rst2cntlr_reset <= sig_composite_cntlr_reset ; rst2sgcntlr_reset <= sig_composite_sgcntlr_reset ; rst2sg_resetn <= sig_composite_sg_reset_n ; rst2dm_resetn <= sig_composite_dm_reset_n ; -- Assign the soft Reset Request and Clear Ports sig_rst2reg_soft_reset <= reg2rst_soft_reset_in ; rst2reg_soft_reset_clr <= sig_rst2reg_soft_reset_clr ; -- Assign the Halt and Halt Cmplt Ports rst2cntlr_halt <= sig_halt_request; rst2sg_halt <= sig_halt_request; rst2dm_mm2s_halt <= sig_halt_request; rst2dm_s2mm_halt <= sig_halt_request; ------------------------------------------------------------ -- Start Power On Reset (POR) Logic ------------------------------------------------------------ ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: AXI_POR_REG1 -- -- Process Description: -- This process generates an 4-clock wide pulse that -- only occurs immediately after FPGA initialization. This -- pulse is used to initialize reset logic synchronous to -- the Main axi_aclk Clock until the Bus Reset occurs. -- ------------------------------------------------------------- AXI_POR_REG1 : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then sig_axi_por_reg1 <= '1'; sig_axi_por_reg2 <= sig_axi_por_reg1; sig_axi_por_reg3 <= sig_axi_por_reg2; sig_axi_por_reg4 <= sig_axi_por_reg3; sig_axi_por_reg5 <= sig_axi_por_reg4; sig_axi_por_reg6 <= sig_axi_por_reg5; sig_axi_por_reg7 <= sig_axi_por_reg6; sig_axi_por_reg8 <= sig_axi_por_reg7; sig_axi_por2rst_out <= sig_axi_por2rst ; end if; end process AXI_POR_REG1; sig_axi_por2rst <= not(sig_axi_por_reg1 and sig_axi_por_reg2 and sig_axi_por_reg3 and sig_axi_por_reg4 and sig_axi_por_reg5 and sig_axi_por_reg6 and sig_axi_por_reg7 and sig_axi_por_reg8 ); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: AXILITE_POR_REG1 -- -- Process Description: -- This process generates an 8-clock wide pulse that -- only occurs immediately after FPGA initialization. This -- pulse is used to initialize reset logic synchronous to -- the axi_lite_aclk Clock until the Bus Reset occurs. -- ------------------------------------------------------------- AXILITE_POR_REG1 : process (axi_lite_aclk) begin if (axi_lite_aclk'event and axi_lite_aclk = '1') then sig_axilite_por_reg1 <= '1'; sig_axilite_por_reg2 <= sig_axilite_por_reg1; sig_axilite_por_reg3 <= sig_axilite_por_reg2; sig_axilite_por_reg4 <= sig_axilite_por_reg3; sig_axilite_por_reg5 <= sig_axilite_por_reg4; sig_axilite_por_reg6 <= sig_axilite_por_reg5; sig_axilite_por_reg7 <= sig_axilite_por_reg6; sig_axilite_por_reg8 <= sig_axilite_por_reg7; sig_axilite_por2rst_out <= sig_axilite_por2rst ; end if; end process AXILITE_POR_REG1; sig_axilite_por2rst <= not(sig_axilite_por_reg1 and sig_axilite_por_reg2 and sig_axilite_por_reg3 and sig_axilite_por_reg4 and sig_axilite_por_reg5 and sig_axilite_por_reg6 and sig_axilite_por_reg7 and sig_axilite_por_reg8); ------------------------------------------------------------ -- End of Power On Reset (POR) Logic ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Reset Logic Distribution ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_AXI_LITE_SYNC2AXI -- -- If Generate Description: -- Generate resets based on AXI Lite clock being the same -- as the main axi4 clock (synchronous). -- -- ------------------------------------------------------------ GEN_AXI_LITE_SYNC2AXI : if (C_AXI_LITE_IS_ASYNC = 0) generate begin sig_composite_dm_reset_n <= axi_resetn and axi_lite_resetn and sig_dm_soft_reset_n; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SYNC_SOFT_RST_FLOP -- -- Process Description: -- FLOP for registering the input axi_resetn (inverted). -- ------------------------------------------------------------- IMP_SYNC_SOFT_RST_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then If (sig_axi_por2rst_out = '1') Then sig_local_hw_reset_reg <= '1'; sig_composite_reg_reset <= '1'; sig_composite_cntlr_reset <= '1'; sig_composite_sgcntlr_reset <= '1'; sig_composite_sg_reset_n <= '0'; sig_dm_soft_reset_n <= '0'; else sig_local_hw_reset_reg <= not(axi_resetn) or not(axi_lite_resetn); sig_composite_reg_reset <= not(axi_resetn) or not(axi_lite_resetn) or sig_soft_reset; sig_composite_cntlr_reset <= not(axi_resetn) or not(axi_lite_resetn) or sig_soft_reset; sig_composite_sgcntlr_reset <= not(axi_resetn) or not(axi_lite_resetn) or sig_soft_reset; sig_composite_sg_reset_n <= axi_resetn and axi_lite_resetn and not(sig_soft_reset); sig_dm_soft_reset_n <= not(sig_soft_reset); End if; end if; end process IMP_SYNC_SOFT_RST_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SYNC_AXI_LITE_HW_RST_FLOP -- -- Process Description: -- FLOP for registering the reset for the AXi Lite Interface. -- Since the axi_lite_aclk is the same as the axi_aclk, -- the Bus side and Core side resets can be the same. -- Note that soft reset is excluded from the AXI Lite reset -- generation logic. -- ------------------------------------------------------------- IMP_SYNC_AXI_LITE_HW_RST_FLOP : process (axi_lite_aclk) begin if (axi_lite_aclk'event and axi_lite_aclk = '1') then if (sig_axilite_por2rst = '1') then sig_lite_bside_hw_reset_reg <= '1'; sig_lite_cside_hw_reset_reg <= '1'; else sig_lite_bside_hw_reset_reg <= not(axi_lite_resetn) or not(axi_resetn); sig_lite_cside_hw_reset_reg <= not(axi_lite_resetn) or not(axi_resetn); end if; end if; end process IMP_SYNC_AXI_LITE_HW_RST_FLOP; end generate GEN_AXI_LITE_SYNC2AXI; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_AXI_LITE_ASYNC2AXI -- -- If Generate Description: -- Generate resets based on AXI Lite clock being different -- from the main axi4 clock (asynchronous). -- -- ------------------------------------------------------------ GEN_AXI_LITE_ASYNC2AXI : if (C_AXI_LITE_IS_ASYNC = 1) generate ATTRIBUTE async_reg : STRING; signal sig_axi_lite_rst_rsync_min_pulse : std_logic := '0'; signal sig_axi_lite_rst_reg : std_logic := '0'; signal sig_axi_lite_rst_rsync : std_logic := '0'; signal sig_axi_lite_rst_rsync_d1_cdc_tig : std_logic := '0'; signal sig_axi_lite_rst_rsync_d2 : std_logic := '0'; signal sig_axi_rst_rsync_min_pulse : std_logic := '0'; signal sig_axi_rst_reg : std_logic := '0'; signal sig_axi_rst_rsync : std_logic := '0'; signal sig_axi_rst_rsync_d1_cdc_tig : std_logic := '0'; signal sig_axi_rst_rsync_d2 : std_logic := '0'; signal sig_cside2bside_rsync_d1_cdc_tig : std_logic := '0'; signal sig_cside2bside_rsync_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF sig_axi_lite_rst_rsync_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF sig_axi_lite_rst_rsync_d2 : SIGNAL IS "true"; --ATTRIBUTE async_reg OF sig_axi_rst_rsync_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF sig_axi_rst_rsync_d2 : SIGNAL IS "true"; --ATTRIBUTE async_reg OF sig_cside2bside_rsync_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF sig_cside2bside_rsync_d2 : SIGNAL IS "true"; begin ---------------------------------------------------------------- -- AXI Lite RESET to AXI Clock synchronizers ---------------------------------------------------------------- -- Assign a composite reset derived from the AXI Lite Resetn -- that consistes of a minimum pulse width reset or the resync'd -- AXI Lite Reset, whichever is asserted longer. sig_axi_lite_rst_rsync <= sig_axi_lite_rst_rsync_d2 or sig_axi_lite_rst_rsync_min_pulse; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_LITE2AXI_RSYNC -- -- Process Description: -- First stage Synchronizer for the AXI_LITE RESETN to AXI -- clock domain. -- ------------------------------------------------------------- IMP_LITE2AXI_RSYNC : process (axi_lite_aclk) begin if (axi_lite_aclk'event and axi_lite_aclk = '1') then if (sig_axilite_por2rst = '1') then sig_axi_lite_rst_reg <= '1'; else sig_axi_lite_rst_reg <= not(axi_lite_resetn); end if; end if; end process IMP_LITE2AXI_RSYNC; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ALITE_RST_RESYNC -- -- Process Description: -- Second stage synchronizers for the axi lite resetn to -- AXi clock domain. -- ------------------------------------------------------------- IMP_ALITE_RST_RESYNC : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => sig_axi_lite_rst_reg, prmry_vect_in => (others => '0'), scndry_aclk => axi_aclk, scndry_resetn => '0', scndry_out => sig_axi_lite_rst_rsync_d2, scndry_vect_out => open ); -- IMP_ALITE_RST_RESYNC : process (axi_aclk) -- begin -- if (axi_aclk'event and axi_aclk = '1') then -- if (sig_axi_por2rst = '1') then -- -- sig_axi_lite_rst_rsync_d1_cdc_tig <= '1'; -- sig_axi_lite_rst_rsync_d2 <= '1'; -- -- else -- -- sig_axi_lite_rst_rsync_d1_cdc_tig <= sig_axi_lite_rst_reg; -- sig_axi_lite_rst_rsync_d2 <= sig_axi_lite_rst_rsync_d1_cdc_tig; -- -- end if; -- end if; -- end process IMP_ALITE_RST_RESYNC; ---------------------------------------------------------------- -- AXI RESET to AXI Lite Clock synchronizers ---------------------------------------------------------------- ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_AXI_RST_REG -- -- Process Description: -- First stage register for synchronizer for AXI_RESETN to -- AXI Lite Clock Domain. -- ------------------------------------------------------------- IMP_AXI_RST_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (sig_axi_por2rst = '1') then sig_axi_rst_reg <= '1'; else sig_axi_rst_reg <= not(axi_resetn); end if; end if; end process IMP_AXI_RST_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_AXI2LITE_RSYNC -- -- Process Description: -- Second stage Synchronizers for the AXI_RESETN to AXI -- Lite clock domain. -- ------------------------------------------------------------- IMP_AXI2LITE_RSYNC : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => sig_axi_rst_reg, prmry_vect_in => (others => '0'), scndry_aclk => axi_lite_aclk, scndry_resetn => '0', scndry_out => sig_axi_rst_rsync_d2, scndry_vect_out => open ); -- IMP_AXI2LITE_RSYNC : process (axi_lite_aclk) -- begin -- if (axi_lite_aclk'event and axi_lite_aclk = '1') then -- if (sig_axilite_por2rst = '1') then -- -- sig_axi_rst_rsync_d1_cdc_tig <= '1'; -- sig_axi_rst_rsync_d2 <= '1'; -- -- else -- -- sig_axi_rst_rsync_d1_cdc_tig <= sig_axi_rst_reg; -- sig_axi_rst_rsync_d2 <= sig_axi_rst_rsync_d1_cdc_tig; -- -- end if; -- -- end if; -- end process IMP_AXI2LITE_RSYNC; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_AXI_LITE_DELAY -- -- Process Description: -- Special Synchronizers for ensuring the Bus side always -- comes out of reset after the C_side does. -- ------------------------------------------------------------- IMP_AXI_LITE_DELAY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => sig_lite_cside_hw_reset_reg, prmry_vect_in => (others => '0'), scndry_aclk => axi_lite_aclk, scndry_resetn => '0', scndry_out => sig_cside2bside_rsync_d2, scndry_vect_out => open ); -- IMP_AXI_LITE_DELAY : process (axi_lite_aclk) -- begin -- if (axi_lite_aclk'event and axi_lite_aclk = '1') then -- if (sig_axilite_por2rst = '1') then -- -- sig_cside2bside_rsync_d1_cdc_tig <= '1'; -- sig_cside2bside_rsync_d2 <= '1'; -- -- else -- -- sig_cside2bside_rsync_d1_cdc_tig <= sig_lite_cside_hw_reset_reg; -- sig_cside2bside_rsync_d2 <= sig_cside2bside_rsync_d1_cdc_tig; -- -- end if; -- -- end if; -- end process IMP_AXI_LITE_DELAY; sig_axi_rst_rsync <= sig_axi_rst_rsync_d2 or sig_cside2bside_rsync_d2; ------------------------------------------------------------ -- Instance: I_AXI_LITE_RST_RSYNC -- -- Description: -- This PulsGen synchronizes the AXI Lite Reset to the Main -- AXI Clock and assures a minimum reset pulse width. -- ------------------------------------------------------------ I_AXI_LITE_RST_RSYNC : entity axi_cdma_v4_1_14.axi_cdma_pulse_gen generic map ( C_INCLUDE_SYNCHRO => INCLUDE_SYNCHRONIZERS , C_POS_EDGE_TRIG => NEGATIVE_EDGE_TRIGGER , C_PULSE_WIDTH_CLKS => C_SOFT_RST_TIME_CLKS ) port map ( Clk_In => axi_aclk , --Rst_In => LOGIC_LOW , Rst_In => sig_axi_por2rst , Sig_in => axi_lite_resetn , --Pulse_Out => sig_axi_lite_rst_rsync Pulse_Out => sig_axi_lite_rst_rsync_min_pulse ); ------------------------------------------------------------ -- Instance: I_AXI_RST_RSYNC -- -- Description: -- This PulsGen synchronizes the AXI Reset to the AXI Lite -- Clock -- ------------------------------------------------------------ I_AXI_RST_RSYNC : entity axi_cdma_v4_1_14.axi_cdma_pulse_gen generic map ( C_INCLUDE_SYNCHRO => INCLUDE_SYNCHRONIZERS , C_POS_EDGE_TRIG => NEGATIVE_EDGE_TRIGGER , C_PULSE_WIDTH_CLKS => C_SOFT_RST_TIME_CLKS ) port map ( Clk_In => axi_lite_aclk , -- Rst_In => LOGIC_LOW , Rst_In => sig_axilite_por2rst , Sig_in => axi_resetn , Pulse_Out => sig_axi_rst_rsync_min_pulse ); sig_composite_dm_reset_n <= axi_resetn and not(sig_axi_lite_rst_rsync) and sig_dm_soft_reset_n; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ASYNC_SOFT_RST_FLOP -- -- Process Description: -- FLOP for registering the input axi_resetn (inverted). -- ------------------------------------------------------------- IMP_ASYNC_SOFT_RST_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (sig_axi_por2rst = '1') then sig_local_hw_reset_reg <= '1'; sig_composite_reg_reset <= '1'; sig_composite_cntlr_reset <= '1'; sig_composite_sgcntlr_reset <= '1'; sig_composite_sg_reset_n <= '0'; sig_dm_soft_reset_n <= '0'; else sig_local_hw_reset_reg <= not(axi_resetn) or --not(sig_axi_lite_rst_rsync); sig_axi_lite_rst_rsync; sig_composite_reg_reset <= not(axi_resetn) or sig_axi_lite_rst_rsync or sig_soft_reset; sig_composite_cntlr_reset <= not(axi_resetn) or sig_axi_lite_rst_rsync or sig_soft_reset; sig_composite_sgcntlr_reset <= not(axi_resetn) or sig_axi_lite_rst_rsync or sig_soft_reset; sig_composite_sg_reset_n <= axi_resetn and not(sig_axi_lite_rst_rsync) and not(sig_soft_reset); sig_dm_soft_reset_n <= not(sig_soft_reset); end if; end if; end process IMP_ASYNC_SOFT_RST_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ASYNC_ALITE_BSIDE_RST -- -- Process Description: -- FLOP for registering the reset for the AXi Lite -- Bus side Interface. -- Note that soft reset is excluded from the AXI Lite reset -- generation logic. -- ------------------------------------------------------------- IMP_ASYNC_ALITE_BSIDE_RST : process (axi_lite_aclk) begin if (axi_lite_aclk'event and axi_lite_aclk = '1') then if (sig_axilite_por2rst = '1') then sig_lite_bside_hw_reset_reg <= '1'; else sig_lite_bside_hw_reset_reg <= not(axi_lite_resetn) or sig_axi_rst_rsync_min_pulse or sig_axi_rst_rsync; end if; end if; end process IMP_ASYNC_ALITE_BSIDE_RST; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ASYNC_ALITE_CSIDE_RST -- -- Process Description: -- FLOP for registering the reset for the AXi Lite -- Core side Interface. -- Note that soft reset is excluded from the AXI Lite reset -- generation logic. -- -- Note that the Core side of the AXI Lite interface is -- clocked with axi_aclk which is async to axi_lite_aclk in -- this IfGen case. -- ------------------------------------------------------------- IMP_ASYNC_ALITE_CSIDE_RST : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then If (sig_axi_por2rst_out = '1') Then sig_lite_cside_hw_reset_reg <= '1'; else sig_lite_cside_hw_reset_reg <= not(axi_resetn) or sig_axi_lite_rst_rsync; End if; end if; end process IMP_ASYNC_ALITE_CSIDE_RST; end generate GEN_AXI_LITE_ASYNC2AXI; ------------------------------------------------------------------------------- -- Pulse Generator Logic for Soft Reset ------------------------------------------------------------------------------- sig_trig_soft_reset <= sig_halt_cmplt; ------------------------------------------------------------ -- Instance: I_SOFT_RST_PULSEGEN -- -- Description: -- Generates a active high pulse for the specified number -- of clock periods -- ------------------------------------------------------------ I_SOFT_RST_PULSEGEN : entity axi_cdma_v4_1_14.axi_cdma_pulse_gen generic map ( C_INCLUDE_SYNCHRO => NO_SYNCHRONIZERS , C_POS_EDGE_TRIG => POSITIVE_EDGE_TRIGGER , C_PULSE_WIDTH_CLKS => C_SOFT_RST_TIME_CLKS ) port map ( Clk_In => axi_aclk , Rst_In => sig_local_hw_reset_reg , Sig_in => sig_trig_soft_reset , Pulse_Out => sig_soft_reset ); ------------------------------------------------------------ -- Instance: I_SOFT_RST_CLR_PULSE -- -- Description: -- Generates a active high pulse for 2 clocks when soft reset -- is deasserted. -- ------------------------------------------------------------ I_SOFT_RST_CLR_PULSE : entity axi_cdma_v4_1_14.axi_cdma_pulse_gen generic map ( C_INCLUDE_SYNCHRO => NO_SYNCHRONIZERS , C_POS_EDGE_TRIG => NEGATIVE_EDGE_TRIGGER , C_PULSE_WIDTH_CLKS => TWO_CLKS ) port map ( Clk_In => axi_aclk , Rst_In => sig_local_hw_reset_reg , Sig_in => sig_soft_reset , Pulse_Out => sig_rst2reg_soft_reset_clr ); ------------------------------------------------------------------------------- -- Halt Request and Complete Logic ------------------------------------------------------------------------------- ------------------------------------------------------------ -- Instance: I_SOFT_RST_POS_EDGE_DTCT -- -- Description: -- Generates a active high pulse for 1 clocks when soft reset -- request from the register module is asserted. -- ------------------------------------------------------------ I_SOFT_RST_POS_EDGE_DTCT : entity axi_cdma_v4_1_14.axi_cdma_pulse_gen generic map ( C_INCLUDE_SYNCHRO => NO_SYNCHRONIZERS , C_POS_EDGE_TRIG => POSITIVE_EDGE_TRIGGER , C_PULSE_WIDTH_CLKS => ONE_CLK ) port map ( Clk_In => axi_aclk , Rst_In => sig_local_hw_reset_reg , Sig_in => sig_rst2reg_soft_reset , Pulse_Out => sig_rst2reg_soft_reset_trig ); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_REQ_FLOP -- -- Process Description: -- Implements the flop for the Halt Request that is a -- precurser to a soft reset. -- ------------------------------------------------------------- IMP_HALT_REQ_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (sig_local_hw_reset_reg = '1' or sig_soft_reset = '1') then sig_halt_request <= '0'; elsif (sig_rst2reg_soft_reset_trig = '1') then sig_halt_request <= '1'; else null; -- hold state end if; end if; end process IMP_HALT_REQ_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_CMPLT_FLOP -- -- Process Description: -- Implements the flop for the Halt Completion from all -- modules. -- ------------------------------------------------------------- IMP_HALT_CMPLT_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (sig_local_hw_reset_reg = '1' or sig_soft_reset = '1' or sig_halt_request = '0') then sig_halt_cmplt <= '0'; else sig_halt_cmplt <= cntlr2rst_halt_cmplt and sg2rst_halt_cmplt and dm2rst_mm2s_halt_cmplt and dm2rst_s2mm_halt_cmplt; end if; end if; end process IMP_HALT_CMPLT_FLOP; end implementation; ------------------------------------------------------------------------------- -- axi_cdma_lite_if ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_lite_if.vhd -- Description: This entity is AXI Lite Interface Module for the AXI DMA -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_cdma_v4_1_14; use axi_cdma_v4_1_14.axi_cdma_pkg.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; library lib_cdc_v1_0_2; ------------------------------------------------------------------------------- entity axi_cdma_lite_if is generic( C_NUM_CE : integer := 8 ; C_AXI_LITE_IS_ASYNC : integer range 0 to 1 := 0 ; C_S_AXI_LITE_ADDR_WIDTH : integer range 2 to 32 := 32 ; C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ); port ( -- Async clock input ip2axi_aclk : in std_logic ; -- ip2axi_aresetn : in std_logic ; -- ----------------------------------------------------------------------- -- AXI Lite Control Interface ----------------------------------------------------------------------- s_axi_lite_aclk : in std_logic ; -- s_axi_lite_aresetn : in std_logic ; -- -- -- AXI Lite Write Address Channel -- s_axi_lite_awvalid : in std_logic ; -- s_axi_lite_awready : out std_logic ; -- s_axi_lite_awaddr : in std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- -- -- AXI Lite Write Data Channel -- s_axi_lite_wvalid : in std_logic ; -- s_axi_lite_wready : out std_logic ; -- s_axi_lite_wdata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- -- -- AXI Lite Write Response Channel -- s_axi_lite_bresp : out std_logic_vector(1 downto 0) ; -- s_axi_lite_bvalid : out std_logic ; -- s_axi_lite_bready : in std_logic ; -- -- -- AXI Lite Read Address Channel -- s_axi_lite_arvalid : in std_logic ; -- s_axi_lite_arready : out std_logic ; -- s_axi_lite_araddr : in std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- s_axi_lite_rvalid : out std_logic ; -- s_axi_lite_rready : in std_logic ; -- s_axi_lite_rdata : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- s_axi_lite_rresp : out std_logic_vector(1 downto 0) ; -- -- -- User IP Interface -- axi2ip_wrce : out std_logic_vector -- (C_NUM_CE-1 downto 0) ; -- axi2ip_wrdata : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- -- axi2ip_rdce : out std_logic_vector -- (C_NUM_CE-1 downto 0) ; -- axi2ip_rdaddr : out std_logic_vector -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); -- ip2axi_rddata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) -- ); end axi_cdma_lite_if; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_cdma_lite_if is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Register I/F Address offset constant ADDR_OFFSET : integer := clog2(C_S_AXI_LITE_DATA_WIDTH/8); -- Register I/F CE number constant CE_ADDR_SIZE : integer := clog2(C_NUM_CE); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- AXI Lite slave interface signals signal awvalid : std_logic := '0'; signal awaddr : std_logic_vector (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal wvalid : std_logic := '0'; signal wdata : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal arvalid : std_logic := '0'; signal araddr : std_logic_vector (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal awvalid_d1 : std_logic := '0'; signal awvalid_re : std_logic := '0'; signal awready_i : std_logic := '0'; signal wvalid_d1 : std_logic := '0'; signal wvalid_re : std_logic := '0'; signal wready_i : std_logic := '0'; signal bvalid_i : std_logic := '0'; signal wr_addr_cap : std_logic := '0'; signal wr_data_cap : std_logic := '0'; -- AXI to IP interface signals signal axi2ip_wraddr_i : std_logic_vector (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal axi2ip_wrdata_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal axi2ip_wren : std_logic := '0'; signal wrce : std_logic_vector(C_NUM_CE-1 downto 0); signal rdce : std_logic_vector(C_NUM_CE-1 downto 0) := (others => '0'); signal arvalid_d1 : std_logic := '0'; signal arvalid_re : std_logic := '0'; signal arvalid_re_d1 : std_logic := '0'; signal arvalid_i : std_logic := '0'; signal arready_i : std_logic := '0'; signal rvalid : std_logic := '0'; signal axi2ip_rdaddr_i : std_logic_vector (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal s_axi_lite_rvalid_i : std_logic := '0'; signal read_in_progress : std_logic := '0'; -- CR607165 signal rst_rvalid_re : std_logic := '0'; -- CR576999 signal rst_wvalid_re : std_logic := '0'; -- CR576999 signal rdy : std_logic := '0'; signal rdy1 : std_logic := '0'; signal wr_in_progress : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin --***************************************************************************** --** AXI LITE READ --***************************************************************************** s_axi_lite_wready <= wready_i; s_axi_lite_awready <= awready_i; s_axi_lite_arready <= arready_i; s_axi_lite_bvalid <= bvalid_i; ------------------------------------------------------------------------------- -- Register AXI Inputs ------------------------------------------------------------------------------- REG_INPUTS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then awvalid <= '0' ; awaddr <= (others => '0') ; wvalid <= '0' ; wdata <= (others => '0') ; arvalid <= '0' ; araddr <= (others => '0') ; else awvalid <= s_axi_lite_awvalid ; awaddr <= s_axi_lite_awaddr ; wvalid <= s_axi_lite_wvalid ; wdata <= s_axi_lite_wdata ; arvalid <= s_axi_lite_arvalid ; araddr <= s_axi_lite_araddr ; end if; end if; end process REG_INPUTS; -- s_axi_lite_aclk is synchronous to ip clock GEN_SYNC_WRITE : if C_AXI_LITE_IS_ASYNC = 0 generate begin ------------------------------------------------------------------------------- -- Assert Write Adddress Ready Handshake -- Capture rising edge of valid and register out as ready. This creates -- a 3 clock cycle address phase but also registers all inputs and outputs. -- Note : Single clock cycle address phase can be accomplished using -- combinatorial logic. ------------------------------------------------------------------------------- REG_AWVALID : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rst_wvalid_re = '1')then awvalid_d1 <= '0'; -- awvalid_re <= '0'; -- CR605883 else awvalid_d1 <= awvalid; -- awvalid_re <= awvalid and not awvalid_d1; -- CR605883 end if; end if; end process REG_AWVALID; awvalid_re <= awvalid and not awvalid_d1 and (not (wr_in_progress)); -- CR605883 ------------------------------------------------------------------------------- -- Capture assertion of awvalid to indicate that we have captured -- a valid address ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Assert Write Data Ready Handshake -- Capture rising edge of valid and register out as ready. This creates -- a 3 clock cycle address phase but also registers all inputs and outputs. -- Note : Single clock cycle address phase can be accomplished using -- combinatorial logic. ------------------------------------------------------------------------------- REG_WVALID : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rst_wvalid_re = '1')then wvalid_d1 <= '0'; -- wvalid_re <= '0'; else wvalid_d1 <= wvalid; -- wvalid_re <= wvalid and not wvalid_d1; -- CR605883 end if; end if; end process REG_WVALID; wvalid_re <= wvalid and not wvalid_d1; -- CR605883 WRITE_IN_PROGRESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rst_wvalid_re = '1')then wr_in_progress <= '0'; elsif(awvalid_re = '1')then wr_in_progress <= '1'; end if; end if; end process WRITE_IN_PROGRESS; -- CR605883 (CDC) provide pure register output to synchronizers --wvalid_re <= wvalid and not wvalid_d1 and not rst_wvalid_re; ------------------------------------------------------------------------------- -- Capture assertion of wvalid to indicate that we have captured -- valid data ------------------------------------------------------------------------------- WRDATA_CAP_FLAG : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rdy = '1')then wr_data_cap <= '0'; elsif(wvalid_re = '1')then wr_data_cap <= '1'; end if; end if; end process WRDATA_CAP_FLAG; REG_WREADY : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rdy = '1') then rdy <= '0'; elsif (wr_data_cap = '1' and wr_addr_cap = '1') then rdy <= '1'; end if; wready_i <= rdy; awready_i <= rdy; rdy1 <= rdy; end if; end process REG_WREADY; WRADDR_CAP_FLAG : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rdy = '1')then wr_addr_cap <= '0'; elsif(awvalid_re = '1')then wr_addr_cap <= '1'; end if; end if; end process WRADDR_CAP_FLAG; ------------------------------------------------------------------------------- -- Capture Write Address ------------------------------------------------------------------------------- REG_WRITE_ADDRESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then -- axi2ip_wraddr_i <= (others => '0'); -- Register address on valid elsif(awvalid_re = '1')then -- axi2ip_wraddr_i <= awaddr; end if; end if; end process REG_WRITE_ADDRESS; ------------------------------------------------------------------------------- -- Capture Write Data ------------------------------------------------------------------------------- REG_WRITE_DATA : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then axi2ip_wrdata_i <= (others => '0'); -- Register address and assert ready elsif(wvalid_re = '1')then axi2ip_wrdata_i <= wdata; end if; end if; end process REG_WRITE_DATA; ------------------------------------------------------------------------------- -- Must have both a valid address and valid data before updating -- a register. Note in AXI write address can come before or -- after AXI write data. -- axi2ip_wren <= '1' when wr_data_cap = '1' and wr_addr_cap = '1' -- else '0'; axi2ip_wren <= rdy; -- or rdy1; ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- WRCE_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin wrce(j) <= axi2ip_wren when s_axi_lite_awaddr ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate WRCE_GEN; ------------------------------------------------------------------------------- -- register write ce's and data out to axi dma register module ------------------------------------------------------------------------------- REG_WR_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then axi2ip_wrce <= (others => '0'); -- axi2ip_wrdata <= (others => '0'); else axi2ip_wrce <= wrce; -- axi2ip_wrdata <= axi2ip_wrdata_i; end if; end if; end process REG_WR_OUT; axi2ip_wrdata <= s_axi_lite_wdata; ------------------------------------------------------------------------------- -- Write Response ------------------------------------------------------------------------------- s_axi_lite_bresp <= OKAY_RESP; WRESP_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then bvalid_i <= '0'; rst_wvalid_re <= '0'; -- CR576999 -- If response issued and target indicates ready then -- clear response elsif(bvalid_i = '1' and s_axi_lite_bready = '1')then bvalid_i <= '0'; rst_wvalid_re <= '0'; -- CR576999 -- Issue a resonse on write elsif(rdy1 = '1')then bvalid_i <= '1'; rst_wvalid_re <= '1'; -- CR576999 end if; end if; end process WRESP_PROCESS; end generate GEN_SYNC_WRITE; -- s_axi_lite_aclk is asynchronous to ip clock GEN_ASYNC_WRITE : if C_AXI_LITE_IS_ASYNC = 1 generate -- Data support ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration signal ip_wvalid_d1_cdc_to : std_logic := '0'; signal ip_wvalid_d2 : std_logic := '0'; signal ip_wvalid_re : std_logic := '0'; signal wr_wvalid_re_cdc_from : std_logic := '0'; signal wr_data_cdc_from : std_logic_vector -- CR605883 (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- CR605883 signal wdata_d1_cdc_to : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal wdata_d2 : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal axi2ip_wrdata_cdc_tig : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal ip_data_cap : std_logic := '0'; -- Address support signal ip_awvalid_d1_cdc_to : std_logic := '0'; signal ip_awvalid_d2 : std_logic := '0'; signal ip_awvalid_re : std_logic := '0'; signal wr_awvalid_re_cdc_from : std_logic := '0'; signal wr_addr_cdc_from : std_logic_vector -- CR605883 (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); -- CR605883 signal awaddr_d1_cdc_tig : std_logic_vector (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal awaddr_d2 : std_logic_vector (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ip_addr_cap : std_logic := '0'; -- Bvalid support signal lite_data_cap_d1 : std_logic := '0'; signal lite_data_cap_d2 : std_logic := '0'; signal lite_addr_cap_d1 : std_logic := '0'; signal lite_addr_cap_d2 : std_logic := '0'; signal lite_axi2ip_wren : std_logic := '0'; signal awvalid_cdc_from : std_logic := '0'; signal awvalid_cdc_to : std_logic := '0'; signal awvalid_to : std_logic := '0'; signal awvalid_to2 : std_logic := '0'; -- ATTRIBUTE async_reg OF awvalid_cdc_to : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF awvalid_to : SIGNAL IS "true"; signal wvalid_cdc_from : std_logic := '0'; signal wvalid_cdc_to : std_logic := '0'; signal wvalid_to : std_logic := '0'; signal wvalid_to2 : std_logic := '0'; -- ATTRIBUTE async_reg OF wvalid_cdc_to : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF wvalid_to : SIGNAL IS "true"; signal rdy_cdc_to : std_logic := '0'; signal rdy_cdc_from : std_logic := '0'; signal rdy_to : std_logic := '0'; signal rdy_to2 : std_logic := '0'; signal rdy_to2_cdc_from : std_logic := '0'; signal rdy_out : std_logic := '0'; -- ATTRIBUTE async_reg OF rdy_cdc_to : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF rdy_to : SIGNAL IS "true"; Attribute KEEP of rdy_to2_cdc_from : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of rdy_to2_cdc_from : signal is "no"; signal rdy_back_cdc_to : std_logic := '0'; signal rdy_back_to : std_logic :='0'; -- ATTRIBUTE async_reg OF rdy_back_cdc_to : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF rdy_back_to : SIGNAL IS "true"; signal rdy_back : std_logic := '0'; signal rdy_shut : std_logic := '0'; begin REG_AWVALID : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rst_wvalid_re = '1')then awvalid_d1 <= '0'; else awvalid_d1 <= awvalid; end if; end if; end process REG_AWVALID; awvalid_re <= awvalid and not awvalid_d1 and (not (wr_in_progress)); -- CR605883 ------------------------------------------------------------------------------- -- Capture assertion of awvalid to indicate that we have captured -- a valid address ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Assert Write Data Ready Handshake -- Capture rising edge of valid and register out as ready. This creates -- a 3 clock cycle address phase but also registers all inputs and outputs. -- Note : Single clock cycle address phase can be accomplished using -- combinatorial logic. ------------------------------------------------------------------------------- REG_WVALID : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rst_wvalid_re = '1')then wvalid_d1 <= '0'; else wvalid_d1 <= wvalid; end if; end if; end process REG_WVALID; wvalid_re <= wvalid and not wvalid_d1; -- CR605883 --************************************************************************* --** Write Address Support --************************************************************************* AWVLD_CDC_FROM : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rst_wvalid_re = '1')then awvalid_cdc_from <= '0'; elsif(awvalid_re = '1')then awvalid_cdc_from <= '1'; end if; end if; end process AWVLD_CDC_FROM; AWVLD_CDC_TO : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => '0', prmry_in => awvalid_cdc_from, prmry_vect_in => (others => '0'), scndry_aclk => ip2axi_aclk, scndry_resetn => '0', scndry_out => awvalid_to, scndry_vect_out => open ); -- AWVLD_CDC_TO : process(ip2axi_aclk) -- begin -- if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then -- awvalid_cdc_to <= awvalid_cdc_from; -- awvalid_to <= awvalid_cdc_to; -- end if; -- end process AWVLD_CDC_TO; AWVLD_CDC_TO2 : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0')then awvalid_to2 <= '0'; else awvalid_to2 <= awvalid_to; end if; end if; end process AWVLD_CDC_TO2; ip_awvalid_re <= awvalid_to and (not awvalid_to2); WVLD_CDC_FROM : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rst_wvalid_re = '1')then wvalid_cdc_from <= '0'; elsif(wvalid_re = '1')then wvalid_cdc_from <= '1'; end if; end if; end process WVLD_CDC_FROM; WVLD_CDC_TO : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => '0', prmry_in => wvalid_cdc_from, prmry_vect_in => (others => '0'), scndry_aclk => ip2axi_aclk, scndry_resetn => '0', scndry_out => wvalid_to, scndry_vect_out => open ); -- WVLD_CDC_TO : process(ip2axi_aclk) -- begin -- if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then -- wvalid_cdc_to <= wvalid_cdc_from; -- wvalid_to <= wvalid_cdc_to; -- end if; -- end process WVLD_CDC_TO; WVLD_CDC_TO2 : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0')then wvalid_to2 <= '0'; else wvalid_to2 <= wvalid_to; end if; end if; end process WVLD_CDC_TO2; ip_wvalid_re <= wvalid_to and (not wvalid_to2); REG_WADDR_TO_IPCLK : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 0, C_VECTOR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH, C_MTBF_STAGES => 1 ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => '0', prmry_in => '0', prmry_vect_in => s_axi_lite_awaddr, scndry_aclk => ip2axi_aclk, scndry_resetn => '0', scndry_out => open, scndry_vect_out => awaddr_d1_cdc_tig ); REG_WADDR_TO_IPCLK1 : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 0, C_VECTOR_WIDTH => C_S_AXI_LITE_DATA_WIDTH, C_MTBF_STAGES => 1 ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => '0', prmry_in => '0', prmry_vect_in => s_axi_lite_wdata, scndry_aclk => ip2axi_aclk, scndry_resetn => '0', scndry_out => open, scndry_vect_out => axi2ip_wrdata_cdc_tig ); -- Double register address in -- REG_WADDR_TO_IPCLK : process(ip2axi_aclk) -- begin -- if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then -- if(ip2axi_aresetn = '0')then -- awaddr_d1_cdc_tig <= (others => '0'); -- -- axi2ip_wraddr_i <= (others => '0'); -- axi2ip_wrdata_cdc_tig <= (others => '0'); -- else -- awaddr_d1_cdc_tig <= s_axi_lite_awaddr; -- axi2ip_wrdata_cdc_tig <= s_axi_lite_wdata; -- -- axi2ip_wraddr_i <= awaddr_d1_cdc_tig; -- CR605883 -- end if; -- end if; -- end process REG_WADDR_TO_IPCLK; -- Flag that address has been captured REG_IP_ADDR_CAP : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0' or rdy_shut = '1')then ip_addr_cap <= '0'; elsif(ip_awvalid_re = '1')then ip_addr_cap <= '1'; end if; end if; end process REG_IP_ADDR_CAP; REG_WREADY : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0' or rdy_shut = '1') then -- or rdy = '1') then rdy <= '0'; elsif (ip_data_cap = '1' and ip_addr_cap = '1') then rdy <= '1'; end if; end if; end process REG_WREADY; REG3_WREADY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => '0', prmry_in => rdy_to2_cdc_from, prmry_vect_in => (others => '0'), scndry_aclk => ip2axi_aclk, scndry_resetn => '0', scndry_out => rdy_back_to, scndry_vect_out => open ); -- REG3_WREADY : process(ip2axi_aclk) -- begin -- if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then -- rdy_back_cdc_to <= rdy_to2_cdc_from; -- rdy_back_to <= rdy_back_cdc_to; -- end if; -- end process REG3_WREADY; REG3_WREADY2 : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0') then rdy_back <= '0'; else rdy_back <= rdy_back_to; end if; end if; end process REG3_WREADY2; rdy_shut <= rdy_back_to and (not rdy_back); REG1_WREADY : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0' or rdy_shut = '1') then rdy_cdc_from <= '0'; elsif (rdy = '1') then rdy_cdc_from <= '1'; end if; end if; end process REG1_WREADY; REG2_WREADY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => ip2axi_aclk, prmry_resetn => '0', prmry_in => rdy_cdc_from, prmry_vect_in => (others => '0'), scndry_aclk => s_axi_lite_aclk, scndry_resetn => '0', scndry_out => rdy_to, scndry_vect_out => open ); -- REG2_WREADY : process(s_axi_lite_aclk) -- begin -- if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then -- rdy_cdc_to <= rdy_cdc_from; -- rdy_to <= rdy_cdc_to; -- end if; -- end process REG2_WREADY; REG2_WREADY2 : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0') then rdy_to2 <= '0'; rdy_to2_cdc_from <= '0'; else rdy_to2 <= rdy_to; rdy_to2_cdc_from <= rdy_to; end if; end if; end process REG2_WREADY2; rdy_out <= not (rdy_to) and rdy_to2; wready_i <= rdy_out; awready_i <= rdy_out; --************************************************************************* --** Write Data Support --************************************************************************* ------------------------------------------------------------------------------- -- Capture write data ------------------------------------------------------------------------------- -- WRDATA_S_H : process(s_axi_lite_aclk) -- begin -- if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then -- if(s_axi_lite_aresetn = '0')then -- wr_data_cdc_from <= (others => '0'); -- elsif(wvalid_re = '1')then -- wr_data_cdc_from <= wdata; -- end if; -- end if; -- end process WRDATA_S_H; -- Flag that data has been captured REG_IP_DATA_CAP : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0' or rdy_shut = '1')then ip_data_cap <= '0'; elsif(ip_wvalid_re = '1')then ip_data_cap <= '1'; end if; end if; end process REG_IP_DATA_CAP; -- Must have both a valid address and valid data before updating -- a register. Note in AXI write address can come before or -- after AXI write data. axi2ip_wren <= rdy; -- axi2ip_wren <= '1' when ip_data_cap = '1' and ip_addr_cap = '1' -- else '0'; ------------------------------------------------------------------------------- -- Decode and assert proper chip enable per captured axi lite write address ------------------------------------------------------------------------------- WRCE_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin wrce(j) <= axi2ip_wren when awaddr_d1_cdc_tig ((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate WRCE_GEN; ------------------------------------------------------------------------------- -- register write ce's and data out to axi dma register module ------------------------------------------------------------------------------- REG_WR_OUT : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0')then axi2ip_wrce <= (others => '0'); else axi2ip_wrce <= wrce; end if; end if; end process REG_WR_OUT; axi2ip_wrdata <= axi2ip_wrdata_cdc_tig; --s_axi_lite_wdata; --************************************************************************* --** Write Response Support --************************************************************************* -- Minimum of 2 IP clocks for addr and data capture, therefore delaying -- Lite clock addr and data capture by 2 Lite clocks will guarenttee bvalid -- responce occurs after write data acutally written. -- REG_ALIGN_CAP : process(s_axi_lite_aclk) -- begin -- if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then -- if(s_axi_lite_aresetn = '0')then -- lite_data_cap_d1 <= '0'; -- lite_data_cap_d2 <= '0'; -- lite_addr_cap_d1 <= '0'; -- lite_addr_cap_d2 <= '0'; -- else -- lite_data_cap_d1 <= rdy; --wr_data_cap; -- lite_data_cap_d2 <= lite_data_cap_d1; -- lite_addr_cap_d1 <= rdy; --wr_addr_cap; -- lite_addr_cap_d2 <= lite_addr_cap_d1; -- end if; -- end if; -- end process REG_ALIGN_CAP; -- Pseudo write enable used simply to assert bvalid -- lite_axi2ip_wren <= rdy; --'1' when wr_data_cap = '1' and wr_addr_cap = '1' -- else '0'; WRESP_PROCESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then bvalid_i <= '0'; rst_wvalid_re <= '0'; -- CR576999 -- If response issued and target indicates ready then -- clear response elsif(bvalid_i = '1' and s_axi_lite_bready = '1')then bvalid_i <= '0'; rst_wvalid_re <= '0'; -- CR576999 -- Issue a resonse on write elsif(rdy_out = '1')then -- elsif(lite_axi2ip_wren = '1')then bvalid_i <= '1'; rst_wvalid_re <= '1'; -- CR576999 end if; end if; end process WRESP_PROCESS; s_axi_lite_bresp <= OKAY_RESP; end generate GEN_ASYNC_WRITE; --***************************************************************************** --** AXI LITE READ --***************************************************************************** ------------------------------------------------------------------------------- -- Assert Read Adddress Ready Handshake -- Capture rising edge of valid and register out as ready. This creates -- a 3 clock cycle address phase but also registers all inputs and outputs. -- Note : Single clock cycle address phase can be accomplished using -- combinatorial logic. ------------------------------------------------------------------------------- REG_ARVALID : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rst_rvalid_re = '1')then arvalid_d1 <= '0'; else arvalid_d1 <= arvalid; end if; end if; end process REG_ARVALID; arvalid_re <= arvalid and not arvalid_d1 and not rst_rvalid_re and not read_in_progress; -- CR607165 -- register for proper alignment REG_ARREADY : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arready_i <= '0'; else arready_i <= arvalid_re; end if; end if; end process REG_ARREADY; -- Always respond 'okay' axi lite read s_axi_lite_rresp <= OKAY_RESP; s_axi_lite_rvalid <= s_axi_lite_rvalid_i; -- s_axi_lite_aclk is synchronous to ip clock GEN_SYNC_READ : if C_AXI_LITE_IS_ASYNC = 0 generate begin read_in_progress <= '0'; --Not used for sync mode (CR607165) ------------------------------------------------------------------------------- -- Capture Read Address ------------------------------------------------------------------------------- REG_READ_ADDRESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then axi2ip_rdaddr_i <= (others => '0'); -- Register address on valid elsif(arvalid_re = '1')then axi2ip_rdaddr_i <= araddr; end if; end if; end process REG_READ_ADDRESS; ------------------------------------------------------------------------------- -- Generate RdCE based on address match to address bar ------------------------------------------------------------------------------- RDCE_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin rdce(j) <= arvalid_re_d1 when axi2ip_rdaddr_i((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate RDCE_GEN; ------------------------------------------------------------------------------- -- Register out to IP ------------------------------------------------------------------------------- REG_RDCNTRL_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then --axi2ip_rdce <= (others => '0'); axi2ip_rdaddr <= (others => '0'); else --axi2ip_rdce <= rdce; axi2ip_rdaddr <= axi2ip_rdaddr_i; end if; end if; end process REG_RDCNTRL_OUT; -- Sample and hold rdce value until rvalid assertion REG_RDCE_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rst_rvalid_re = '1')then axi2ip_rdce <= (others => '0'); elsif(arvalid_re_d1 = '1')then axi2ip_rdce <= rdce; end if; end if; end process REG_RDCE_OUT; -- Register for proper alignment REG_RVALID : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arvalid_re_d1 <= '0'; rvalid <= '0'; else arvalid_re_d1 <= arvalid_re; rvalid <= arvalid_re_d1; end if; end if; end process REG_RVALID; ------------------------------------------------------------------------------- -- Drive read data and read data valid out on capture of valid address. ------------------------------------------------------------------------------- REG_RD_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then s_axi_lite_rdata <= (others => '0'); s_axi_lite_rvalid_i <= '0'; rst_rvalid_re <= '0'; -- CR576999 -- If rvalid driving out to target and target indicates ready -- then de-assert rvalid. (structure guarentees min 1 clock of rvalid) elsif(s_axi_lite_rvalid_i = '1' and s_axi_lite_rready = '1')then s_axi_lite_rdata <= (others => '0'); s_axi_lite_rvalid_i <= '0'; rst_rvalid_re <= '0'; -- CR576999 -- If read cycle then assert rvalid and rdata out to target elsif(rvalid = '1')then s_axi_lite_rdata <= ip2axi_rddata; s_axi_lite_rvalid_i <= '1'; rst_rvalid_re <= '1'; -- CR576999 end if; end if; end process REG_RD_OUT; end generate GEN_SYNC_READ; -- s_axi_lite_aclk is asynchronous to ip clock GEN_ASYNC_READ : if C_AXI_LITE_IS_ASYNC = 1 generate ATTRIBUTE async_reg : STRING; signal ip_arvalid_d1_cdc_tig : std_logic := '0'; signal ip_arvalid_d2 : std_logic := '0'; signal ip_arvalid_d3 : std_logic := '0'; signal ip_arvalid_re : std_logic := '0'; signal araddr_d1_cdc_tig : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) :=(others => '0'); signal araddr_d2 : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) :=(others => '0'); signal araddr_d3 : std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0) :=(others => '0'); signal lite_rdata_cdc_from : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) :=(others => '0'); signal lite_rdata_d1_cdc_to : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) :=(others => '0'); signal lite_rdata_d2 : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) :=(others => '0'); -- ATTRIBUTE async_reg OF ip_arvalid_d1_cdc_tig : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF ip_arvalid_d2 : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF araddr_d1_cdc_tig : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF araddr_d2 : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF lite_rdata_d1_cdc_to : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF lite_rdata_d2 : SIGNAL IS "true"; signal p_pulse_s_h : std_logic := '0'; signal p_pulse_s_h_clr : std_logic := '0'; signal s_pulse_d1 : std_logic := '0'; signal s_pulse_d2 : std_logic := '0'; signal s_pulse_d3 : std_logic := '0'; signal s_pulse_re : std_logic := '0'; signal p_pulse_re_d1 : std_logic := '0'; signal p_pulse_re_d2 : std_logic := '0'; signal p_pulse_re_d3 : std_logic := '0'; signal arready_d1 : std_logic := '0'; -- CR605883 signal arready_d2 : std_logic := '0'; -- CR605883 signal arready_d3 : std_logic := '0'; -- CR605883 signal arready_d4 : std_logic := '0'; -- CR605883 signal arready_d5 : std_logic := '0'; -- CR605883 signal arready_d6 : std_logic := '0'; -- CR605883 signal arready_d7 : std_logic := '0'; -- CR605883 signal arready_d8 : std_logic := '0'; -- CR605883 signal arready_d9 : std_logic := '0'; -- CR605883 signal arready_d10 : std_logic := '0'; -- CR605883 signal arready_d11 : std_logic := '0'; -- CR605883 signal arready_d12 : std_logic := '0'; -- CR605883 begin -- CR607165 -- Flag to prevent overlapping reads RD_PROGRESS : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0' or rst_rvalid_re = '1')then read_in_progress <= '0'; elsif(arvalid_re = '1')then read_in_progress <= '1'; end if; end if; end process RD_PROGRESS; -- Double register address in REG_RADDR_TO_IPCLK : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 0, C_VECTOR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => '0', prmry_in => '0', prmry_vect_in => s_axi_lite_araddr, scndry_aclk => ip2axi_aclk, scndry_resetn => '0', scndry_out => open, scndry_vect_out => araddr_d3 ); -- REG_RADDR_TO_IPCLK : process(ip2axi_aclk) -- begin -- if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then -- if(ip2axi_aresetn = '0')then -- araddr_d1_cdc_tig <= (others => '0'); -- araddr_d2 <= (others => '0'); -- araddr_d3 <= (others => '0'); -- else -- araddr_d1_cdc_tig <= s_axi_lite_araddr; -- araddr_d2 <= araddr_d1_cdc_tig; -- araddr_d3 <= araddr_d2; -- end if; -- end if; -- end process REG_RADDR_TO_IPCLK; -- Latch and hold read address REG_ARADDR_PROCESS : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0')then axi2ip_rdaddr_i <= (others => '0'); elsif(ip_arvalid_re = '1')then axi2ip_rdaddr_i <= araddr_d3; end if; end if; end process REG_ARADDR_PROCESS; axi2ip_rdaddr <= axi2ip_rdaddr_i; -- Register awready into IP clock domain. awready -- is a 1 axi_lite clock delay of the rising edge of -- arvalid. This provides a signal that asserts when -- araddr is known to be stable. REG_ARVALID_TO_IPCLK : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => s_axi_lite_aclk, prmry_resetn => '0', prmry_in => arready_i, prmry_vect_in => (others => '0'), scndry_aclk => ip2axi_aclk, scndry_resetn => '0', scndry_out => ip_arvalid_d2, scndry_vect_out => open ); REG_ARVALID_TO_IPCLK1 : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0')then -- ip_arvalid_d1_cdc_tig <= '0'; -- ip_arvalid_d2 <= '0'; ip_arvalid_d3 <= '0'; else -- ip_arvalid_d1_cdc_tig <= arready_i; -- ip_arvalid_d2 <= ip_arvalid_d1_cdc_tig; ip_arvalid_d3 <= ip_arvalid_d2; end if; end if; end process REG_ARVALID_TO_IPCLK1; ip_arvalid_re <= ip_arvalid_d2 and not ip_arvalid_d3; ------------------------------------------------------------------------------- -- Generate Read CE's ------------------------------------------------------------------------------- RDCE_GEN: for j in 0 to C_NUM_CE - 1 generate constant BAR : std_logic_vector(CE_ADDR_SIZE-1 downto 0) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin rdce(j) <= ip_arvalid_re when araddr_d3((CE_ADDR_SIZE + ADDR_OFFSET) - 1 downto ADDR_OFFSET) = BAR(CE_ADDR_SIZE-1 downto 0) else '0'; end generate RDCE_GEN; ------------------------------------------------------------------------------- -- Register RDCE and RD Data out to IP ------------------------------------------------------------------------------- REG_RDCNTRL_OUT : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0')then axi2ip_rdce <= (others => '0'); elsif(ip_arvalid_re = '1')then axi2ip_rdce <= rdce; else axi2ip_rdce <= (others => '0'); end if; end if; end process REG_RDCNTRL_OUT; -- Generate sample and hold pulse to capture read data from IP REG_RVALID : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0')then rvalid <= '0'; else rvalid <= ip_arvalid_re; end if; end if; end process REG_RVALID; ------------------------------------------------------------------------------- -- Sample and hold read data from IP ------------------------------------------------------------------------------- S_H_READ_DATA : process(ip2axi_aclk) begin if(ip2axi_aclk'EVENT and ip2axi_aclk = '1')then if(ip2axi_aresetn = '0')then lite_rdata_cdc_from <= (others => '0'); -- If read cycle then assert rvalid and rdata out to target elsif(rvalid = '1')then lite_rdata_cdc_from <= ip2axi_rddata; end if; end if; end process S_H_READ_DATA; -- Cross read data to axi_lite clock domain REG_DATA2LITE_CLOCK : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 0, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => ip2axi_aclk, prmry_resetn => '0', prmry_in => '0', --lite_rdata_cdc_from, prmry_vect_in => lite_rdata_cdc_from, scndry_aclk => s_axi_lite_aclk, scndry_resetn => '0', scndry_out => open, --lite_rdata_d2, scndry_vect_out => lite_rdata_d2 ); -- REG_DATA2LITE_CLOCK : process(s_axi_lite_aclk) -- begin -- if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then -- if(s_axi_lite_aresetn = '0')then -- lite_rdata_d1_cdc_to <= (others => '0'); -- lite_rdata_d2 <= (others => '0'); -- else -- lite_rdata_d1_cdc_to <= lite_rdata_cdc_from; -- lite_rdata_d2 <= lite_rdata_d1_cdc_to; -- end if; -- end if; -- end process REG_DATA2LITE_CLOCK; -- CR605883 (CDC) modified to remove -- Because axi_lite_aclk must be less than or equal to ip2axi_aclk -- then read data will appear a maximum 6 clocks from assertion -- of arready. REG_ALIGN_RDATA_LATCH : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then arready_d1 <= '0'; arready_d2 <= '0'; arready_d3 <= '0'; arready_d4 <= '0'; arready_d5 <= '0'; arready_d6 <= '0'; arready_d7 <= '0'; arready_d8 <= '0'; arready_d9 <= '0'; arready_d10 <= '0'; arready_d11 <= '0'; arready_d12 <= '0'; else arready_d1 <= arready_i; arready_d2 <= arready_d1; arready_d3 <= arready_d2; arready_d4 <= arready_d3; arready_d5 <= arready_d4; arready_d6 <= arready_d5; arready_d7 <= arready_d6; arready_d8 <= arready_d7; arready_d9 <= arready_d8; arready_d10 <= arready_d9; arready_d11 <= arready_d10; arready_d12 <= arready_d11; end if; end if; end process REG_ALIGN_RDATA_LATCH; ------------------------------------------------------------------------------- -- Drive read data and read data valid out on capture of valid address. ------------------------------------------------------------------------------- REG_RD_OUT : process(s_axi_lite_aclk) begin if(s_axi_lite_aclk'EVENT and s_axi_lite_aclk = '1')then if(s_axi_lite_aresetn = '0')then s_axi_lite_rdata <= (others => '0'); s_axi_lite_rvalid_i <= '0'; rst_rvalid_re <= '0'; -- CR576999 -- If rvalid driving out to target and target indicates ready -- then de-assert rvalid. (structure guarentees min 1 clock of rvalid) elsif(s_axi_lite_rvalid_i = '1' and s_axi_lite_rready = '1')then s_axi_lite_rdata <= (others => '0'); s_axi_lite_rvalid_i <= '0'; rst_rvalid_re <= '0'; -- CR576999 -- If read cycle then assert rvalid and rdata out to target -- CR605883 --elsif(s_pulse_re = '1')then elsif(arready_d12 = '1')then s_axi_lite_rdata <= lite_rdata_d2; s_axi_lite_rvalid_i <= '1'; rst_rvalid_re <= '1'; -- CR576999 end if; end if; end process REG_RD_OUT; end generate GEN_ASYNC_READ; end implementation; ------------------------------------------------------------------------------- -- axi_cdma_register ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_register.vhd -- -- Description: This entity encompasses the channel register set. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_cdma_v4_1_14; use axi_cdma_v4_1_14.axi_cdma_pkg.all; ------------------------------------------------------------------------------- entity axi_cdma_register is generic( C_CDMA_BUILD_MODE : integer range 0 to 1 := 0 ; C_NUM_REGISTERS : integer range 0 to 16 := 6 ; C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ; C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ); port ( -- Input Clock axi_aclk : in std_logic ; -- Input Reset (active high) axi_reset : in std_logic ; -- AXI Interface Control axi2ip_wrce : in std_logic_vector (C_NUM_REGISTERS-1 downto 0) ; -- AXI Interface Write Data axi2ip_wrdata : in std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- Composite Interrupt Output introut : out std_logic ; -- Composite error Output error_out : out std_logic ; -- Soft Reset Set Control soft_reset_request : out std_logic ; -- Soft Reset Clear soft_reset_clr : in std_logic ; -- DMA Go Control dma_go : Out std_logic ; -- SG Mode control dma_sg_mode : Out std_logic ; -- Key Hole Read dma_keyhole_read : Out std_logic ; -- Key Hole Write dma_keyhole_write : Out std_logic ; -- Key Hole Write dma_cyclic : Out std_logic ; -- CDMASR Idle bit set idle_set : in std_logic ; -- CDMASR Idle bit clear idle_clr : in std_logic ; -- CDMASR Idle bit clear ioc_irq_set : in std_logic ; -- CDMASR Delay Interrupt set dly_irq_set : in std_logic ; -- CDMASR Delay Interrupt Counter value irqdelay_status : in std_logic_vector(7 downto 0) ; -- CDMASR Threshold Interrupt Counter value irqthresh_status : in std_logic_vector(7 downto 0) ; -- CDMASR Threshold Interrupt Counter value write enable irqthresh_wren : out std_logic ; -- CDMASR Delay Interrupt Counter value write enable irqdelay_wren : out std_logic ; -- Composite DataMover Internal Error flag dma_interr_set : in std_logic ; -- Composite DataMover Slave Error flag dma_slverr_set : in std_logic ; -- Composite DataMover Decode Error flag dma_decerr_set : in std_logic ; -- SG Descriptor Fetch internal error flag ftch_interr_set : in std_logic ; -- SG Descriptor Fetch slave error flag ftch_slverr_set : in std_logic ; -- SG Descriptor Fetch decode error flag ftch_decerr_set : in std_logic ; -- SG Descriptor Fetch error address ftch_error_addr : in std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- SG Descriptor Update internal error flag updt_interr_set : in std_logic ; -- SG Descriptor Fetch slave error flag updt_slverr_set : in std_logic ; -- SG Descriptor Fetch decode error flag updt_decerr_set : in std_logic ; -- SG Descriptor Fetch error address updt_error_addr : in std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- SG CURDESC Update (in from SG) update_curdesc : in std_logic ; -- SG CURDESC Update address value new_curdesc : in std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- Tailpointer Register Updated flag tailpntr_updated : out std_logic ; -- Current Descriptor Register Updated flag currdesc_updated : out std_logic ; -- CDMA Control Register value dmacr : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA Status Register value dmasr : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA Current Descriptor Register LS value curdesc_lsb : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA Current Descriptor Register MS value curdesc_msb : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA Tailpointer Register LS value taildesc_lsb : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA Tailpointer Register MS value taildesc_msb : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA Source Address Register LS value src_addr_lsb : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA Source Address Register MS value src_addr_msb : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA destination Register LS value dest_addr_lsb : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA destination Register MS value dest_addr_msb : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA BTT Register value btt : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ); end axi_cdma_register; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_cdma_register is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant DMACR_INDEX : integer := 0; -- DMACR Register index constant DMASR_INDEX : integer := 1; -- DMASR Register index constant CURDESC_LSB_INDEX : integer := 2; -- CURDESC LSB Reg index constant CURDESC_MSB_INDEX : integer := 3; -- CURDESC MSB Reg index constant TAILDESC_LSB_INDEX : integer := 4; -- TAILDESC LSB Reg index constant TAILDESC_MSB_INDEX : integer := 5; -- TAILDESC MSB Reg index constant SA_LSB_INDEX : integer := 6; -- SA LSB Reg index constant SA_MSB_INDEX : integer := 7; -- SA MSB Reg index constant DA_LSB_INDEX : integer := 8; -- DA LSB Reg index constant DA_MSB_INDEX : integer := 9; -- DA MSB Reg index constant BTT_INDEX : integer := 10; -- BTT Reg index constant BTT_WIDTH : integer := 23; -- BTT Field width constant BTT_RSVD_WIDTH : integer := C_S_AXI_LITE_DATA_WIDTH - BTT_WIDTH; -- BTT Reserved field width constant BTT_RESERVED_BITS : std_logic_vector(BTT_RSVD_WIDTH-1 downto 0) := (others => '0'); -- Set the reserved value constant ZERO_VALUE : std_logic_vector(31 downto 0) := (others => '0'); Constant SIMPLE_DISABLE : boolean := (C_CDMA_BUILD_MODE = 0); -- 0 = Simple mode only -- Used to reset registers not -- used in Simple DMA only mode Constant ALWAYS_DISABLE : boolean := TRUE; -- Used to disable Constant DMACR_THRESH_WIDTH : integer := 8; Constant THRESH_DEFAULT : std_logic_vector(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) := STD_LOGIC_VECTOR( TO_UNSIGNED(C_CDMA_BUILD_MODE, DMACR_THRESH_WIDTH)); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal dmacr_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal dmasr_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); -- DMASR Signals signal idle : std_logic := '0'; signal sig_composite_error : std_logic := '0'; signal dma_interr : std_logic := '0'; signal dma_slverr : std_logic := '0'; signal dma_decerr : std_logic := '0'; signal sg_interr : std_logic := '0'; signal sg_slverr : std_logic := '0'; signal sg_decerr : std_logic := '0'; signal ioc_irq : std_logic := '0'; signal dly_irq : std_logic := '0'; signal error_d1 : std_logic := '0'; signal error_re : std_logic := '0'; signal err_irq : std_logic := '0'; signal tailpntr_updated_d1, tailpntr_updated_d2 : std_logic; signal sig_sg_included : std_logic := '0'; signal sg_ftch_error : std_logic := '0'; signal sg_updt_error : std_logic := '0'; signal error_pointer_set : std_logic := '0'; signal different_delay : std_logic := '0'; signal different_thresh : std_logic := '0'; signal threshold_is_zero : std_logic := '0'; signal sig_irqdelay_status : std_logic_vector(7 downto 0) := (others => '0'); signal sig_irqthresh_status : std_logic_vector(7 downto 0) := (others => '0'); signal sig_sa_register_lsb : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_sa_register_msb : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_da_register_lsb : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_da_register_msb : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_btt_register : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_dma_go : std_logic := '0'; signal sig_dma_go_set : std_logic := '0'; signal sig_dma_go_clr : std_logic := '0'; signal sig_dma_sg_mode : std_logic := '0'; signal sig_dly_irqen_masked : std_logic := '0'; signal sig_dly_irqen_reg : std_logic := '0'; signal sig_ioc_irqen_reg : std_logic := '0'; signal sig_err_irqen_reg : std_logic := '0'; signal sig_dma_khwrite_mode : std_logic; signal sig_dma_khread_mode : std_logic; signal sig_dma_cyclic_mode : std_logic; signal sig_btt_register_del : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin dmacr <= dmacr_i ; dmasr <= dmasr_i ; curdesc_lsb <= curdesc_lsb_i ; curdesc_msb <= curdesc_msb_i ; taildesc_lsb <= taildesc_lsb_i ; taildesc_msb <= taildesc_msb_i ; dma_sg_mode <= sig_dma_sg_mode ; dma_keyhole_write <= sig_dma_khwrite_mode; dma_keyhole_read <= sig_dma_khread_mode; dma_cyclic <= sig_dma_cyclic_mode; --------------------------------------------------------------------------- -- DMA Control Register --------------------------------------------------------------------------- -- DMACR - Interrupt Delay Value ------------------------------------------------------------------------------- DMACR_DELAY : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) <= (others => '0'); elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) <= axi2ip_wrdata(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT); end if; end if; end process DMACR_DELAY; -- If written delay is different than previous value then assert write enable different_delay <= '1' when dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) /= axi2ip_wrdata(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) else '0'; -- delay value different, drive write of delay value to interrupt controller NEW_DELAY_WRITE : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then irqdelay_wren <= '0'; -- If AXI Lite write to DMACR and delay different than current -- setting then update delay value elsif(axi2ip_wrce(DMACR_INDEX) = '1' and different_delay = '1')then irqdelay_wren <= '1'; else irqdelay_wren <= '0'; end if; end if; end process NEW_DELAY_WRITE; ------------------------------------------------------------------------------- -- DMACR - Interrupt Threshold Value ------------------------------------------------------------------------------- threshold_is_zero <= '1' when axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) = ZERO_THRESHOLD else '0'; DMACR_THRESH : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= THRESH_DEFAULT; -- On AXI Lite write elsif(axi2ip_wrce(DMACR_INDEX) = '1')then -- If value is 0 then set threshold to 1 if(threshold_is_zero='1')then dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= ONE_THRESHOLD; -- else set threshold to axi lite wrdata value else dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT); end if; end if; end if; end process DMACR_THRESH; -- If written threshold is different than previous value then assert write enable different_thresh <= '1' when dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) /= axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) else '0'; -- new treshold written therefore drive write of threshold out NEW_THRESH_WRITE : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then irqthresh_wren <= '0'; -- If AXI Lite write to DMACR and threshold different than current -- setting then update threshold value elsif(axi2ip_wrce(DMACR_INDEX) = '1' and different_thresh = '1')then irqthresh_wren <= '1'; else irqthresh_wren <= '0'; end if; end if; end process NEW_THRESH_WRITE; ------------------------------------------------------------------------------- -- DMACR - Key Hole READ Mode Bit (Bit 4) ------------------------------------------------------------------------------- DMAKHR_MODE : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1') then dmacr_i(DMACR_KHREAD_BIT) <= '0'; dmacr_i(DMACR_CYCLIC_BIT) <= '0'; -- If DMACR Write then pass axi lite write bus to DMARC reset bit elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_KHREAD_BIT) <= axi2ip_wrdata(DMACR_KHREAD_BIT); dmacr_i(DMACR_CYCLIC_BIT) <= axi2ip_wrdata(DMACR_CYCLIC_BIT); end if; end if; end process DMAKHR_MODE; sig_dma_cyclic_mode <= dmacr_i(DMACR_CYCLIC_BIT); sig_dma_khread_mode <= dmacr_i(DMACR_KHREAD_BIT); ------------------------------------------------------------------------------- -- DMACR - Key Hole WRITE Mode Bit (Bit 5) ------------------------------------------------------------------------------- DMAKHW_MODE : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1') then dmacr_i(DMACR_KHWRITE_BIT) <= '0'; -- If DMACR Write then pass axi lite write bus to DMARC reset bit elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_KHWRITE_BIT) <= axi2ip_wrdata(DMACR_KHWRITE_BIT); end if; end if; end process DMAKHW_MODE; sig_dma_khwrite_mode <= dmacr_i(DMACR_KHWRITE_BIT); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_DMACR_SIMPLE -- -- If Generate Description: -- Implement the DMA Control Register discrete bits -- for Simple DMA only build case. The Delay interrupt -- enable bit is unused and always '0'. -- ------------------------------------------------------------ GEN_DMACR_SIMPLE : if (C_CDMA_BUILD_MODE = 0) generate begin ------------------------------------------------------------------------------- -- DMACR - Remainder of DMA Control Register ------------------------------------------------------------------------------- DMACR_REGISTER_SIMPLE : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then dmacr_i(DMACR_IRQTHRESH_LSB_BIT-1 downto DMACR_RESERVED7_BIT) <= (others => '0'); elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_IRQTHRESH_LSB_BIT-1 downto DMACR_RESERVED7_BIT) <= ZERO_VALUE(DMACR_RESERVED15_BIT) -- bit 15 & axi2ip_wrdata(DMACR_ERR_IRQEN_BIT) -- bit 14 & '0' -- bit 13 (always 0 in Simple only) & axi2ip_wrdata(DMACR_IOC_IRQEN_BIT) -- bit 12 & ZERO_VALUE(DMACR_RESERVED11_BIT downto DMACR_RESERVED7_BIT);-- bits 11 downto 4 end if; end if; end process DMACR_REGISTER_SIMPLE; end generate GEN_DMACR_SIMPLE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_DMACR_SG -- -- If Generate Description: -- Implement the DMA Control Register discrete bits -- for SG Enabled build case. The Delay interrupt -- enable bit is active when not in Simple Mode. -- ------------------------------------------------------------ GEN_DMACR_SG : if (C_CDMA_BUILD_MODE = 1) generate begin dmacr_i(DMACR_RESERVED15_BIT) <= '0' ; dmacr_i(DMACR_ERR_IRQEN_BIT) <= sig_err_irqen_reg; dmacr_i(DMACR_DLY_IRQEN_BIT) <= sig_dly_irqen_reg; dmacr_i(DMACR_IOC_IRQEN_BIT) <= sig_ioc_irqen_reg; dmacr_i(DMACR_RESERVED11_BIT downto DMACR_RESERVED7_BIT ) <= (others => '0') ; ------------------------------------------------------------------------------- -- DMACR - Delay Interrupt Enable Register bit ------------------------------------------------------------------------------- IMP_DMACR_DLY_IRQEN : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then sig_dly_irqen_reg <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then sig_dly_irqen_reg <= axi2ip_wrdata(DMACR_DLY_IRQEN_BIT); end if; end if; end process IMP_DMACR_DLY_IRQEN; ------------------------------------------------------------------------------- -- DMACR - IOC Interrupt Enable Register bit ------------------------------------------------------------------------------- IMP_DMACR_IOC_IRQEN : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1') then sig_ioc_irqen_reg <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then sig_ioc_irqen_reg <= axi2ip_wrdata(DMACR_IOC_IRQEN_BIT); end if; end if; end process IMP_DMACR_IOC_IRQEN; ------------------------------------------------------------------------------- -- DMACR - Error Interrupt Enable Register bit ------------------------------------------------------------------------------- IMP_DMACR_ERR_IRQEN : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1') then sig_err_irqen_reg <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then sig_err_irqen_reg <= axi2ip_wrdata(DMACR_ERR_IRQEN_BIT); end if; end if; end process IMP_DMACR_ERR_IRQEN; end generate GEN_DMACR_SG; ------------------------------------------------------------------------------- -- DMACR - Tail Pointer Enable Bit (Bit 1) -- Fixed at 0 for simple dma only -- Fixed at 1 (when SG included) for this release of -- axi cdma. ------------------------------------------------------------------------------- dmacr_i(DMACR_TAILPEN_BIT) <= '1' when C_CDMA_BUILD_MODE = 1 else '0'; ------------------------------------------------------------------------------- -- DMACR - Reset Bit (Bit 2) ------------------------------------------------------------------------------- DMACR_RESET : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or soft_reset_clr = '1')then dmacr_i(DMACR_RESET_BIT) <= '0'; Elsif (dmacr_i(DMACR_RESET_BIT) = '1') Then dmacr_i(DMACR_RESET_BIT) <= '1'; -- can't clear by write once set elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_RESET_BIT) <= axi2ip_wrdata(DMACR_RESET_BIT); end if; end if; end process DMACR_RESET; soft_reset_request <= dmacr_i(DMACR_RESET_BIT); ------------------------------------------------------------------------------- -- DMACR - SG Mode Bit (Bit 3) ------------------------------------------------------------------------------- DMASG_MODE : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then dmacr_i(DMACR_SGMODE_BIT) <= '0'; -- If DMACR Write then pass axi lite write bus to DMARC reset bit elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_SGMODE_BIT) <= axi2ip_wrdata(DMACR_SGMODE_BIT); end if; end if; end process DMASG_MODE; sig_dma_sg_mode <= dmacr_i(DMACR_SGMODE_BIT); ------------------------------------------------------------------------------- -- DMACR - Run/Stop Bit ------------------------------------------------------------------------------- -- Run/Stop removed from CDMA dmacr_i(DMACR_RESERVED0_BIT) <= '0'; --------------------------------------------------------------------------- -- DMA Status Idle bit (BIT 1) -- -- Set by cdma controller indicating DMA is active (= 0) -- or stopped (= 1). --------------------------------------------------------------------------- DMASR_IDLE : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1'or idle_clr = '1')then idle <= '0'; elsif(idle_set = '1')then idle <= '1'; end if; end if; end process DMASR_IDLE; --------------------------------------------------------------------------- -- DMA Status SG Included (BIT 3) --------------------------------------------------------------------------- -- Set the DMASR.SGIncld bit sig_sg_included <= '1' when C_CDMA_BUILD_MODE = 1 else '0'; --------------------------------------------------------------------------- -- DMA Status Error bit (BIT 3) -- Note: any error will cause entire engine to halt --------------------------------------------------------------------------- sig_composite_error <= dma_interr or dma_slverr or dma_decerr or sg_interr or sg_slverr or sg_decerr; -- Scatter Gather Error --sg_ftch_error <= ftch_interr_set or ftch_slverr_set or ftch_decerr_set; -- SG Update Errors or DMA errors assert flag on descriptor update -- Used to latch current descriptor pointer --sg_updt_error <= updt_interr_set or updt_slverr_set or updt_decerr_set -- or dma_interr or dma_slverr or dma_decerr; -- Map out to halt opposing channel error_out <= sig_composite_error; ERROR_DEL : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then sg_ftch_error <= '0'; sg_updt_error <= '0'; else sg_ftch_error <= ftch_interr_set or ftch_slverr_set or ftch_decerr_set; sg_updt_error <= updt_interr_set or updt_slverr_set or updt_decerr_set or dma_interr or dma_slverr or dma_decerr; end if; end if; end process ERROR_DEL; --------------------------------------------------------------------------- -- DMA Status DMA Internal Error bit (BIT 4) --------------------------------------------------------------------------- DMASR_DMAINTERR : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then dma_interr <= '0'; elsif(dma_interr_set = '1' )then dma_interr <= '1'; end if; end if; end process DMASR_DMAINTERR; --------------------------------------------------------------------------- -- DMA Status DMA Slave Error bit (BIT 5) --------------------------------------------------------------------------- DMASR_DMASLVERR : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then dma_slverr <= '0'; elsif(dma_slverr_set = '1' )then dma_slverr <= '1'; end if; end if; end process DMASR_DMASLVERR; --------------------------------------------------------------------------- -- DMA Status DMA Decode Error bit (BIT 6) --------------------------------------------------------------------------- DMASR_DMADECERR : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then dma_decerr <= '0'; elsif(dma_decerr_set = '1' )then dma_decerr <= '1'; end if; end if; end process DMASR_DMADECERR; --------------------------------------------------------------------------- -- DMA Status SG Internal Error bit (BIT 8) --------------------------------------------------------------------------- DMASR_SGINTERR : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then sg_interr <= '0'; elsif(ftch_interr_set = '1' or updt_interr_set = '1')then sg_interr <= '1'; end if; end if; end process DMASR_SGINTERR; --------------------------------------------------------------------------- -- DMA Status SG Slave Error bit (BIT 9) --------------------------------------------------------------------------- DMASR_SGSLVERR : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then sg_slverr <= '0'; elsif(ftch_slverr_set = '1' or updt_slverr_set = '1')then sg_slverr <= '1'; end if; end if; end process DMASR_SGSLVERR; --------------------------------------------------------------------------- -- DMA Status SG Decode Error bit (BIT 10) --------------------------------------------------------------------------- DMASR_SGDECERR : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then sg_decerr <= '0'; elsif(ftch_decerr_set = '1' or updt_decerr_set = '1')then sg_decerr <= '1'; end if; end if; end process DMASR_SGDECERR; --------------------------------------------------------------------------- -- DMA Status IOC Interrupt status bit (BIT 12) --------------------------------------------------------------------------- DMASR_IOCIRQ : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then ioc_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then ioc_irq <= (ioc_irq and not(axi2ip_wrdata(DMASR_IOCIRQ_BIT))) or ioc_irq_set; elsif(ioc_irq_set = '1')then ioc_irq <= '1'; end if; end if; end process DMASR_IOCIRQ; --------------------------------------------------------------------------- -- DMA Status Delay Interrupt status bit (BIT 13) --------------------------------------------------------------------------- DMASR_DLYIRQ : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or sig_dma_sg_mode = '0' or -- clear if in Simple Mode SIMPLE_DISABLE)then dly_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then dly_irq <= (dly_irq and not(axi2ip_wrdata(DMASR_DLYIRQ_BIT))) or dly_irq_set; elsif(dly_irq_set = '1')then dly_irq <= '1'; end if; end if; end process DMASR_DLYIRQ; --------------------------------------------------------------------------- -- DMA Status Error Interrupt status bit (BIT 14) --------------------------------------------------------------------------- -- Delay error setting for generation of error strobe GEN_ERROR_RE : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then error_d1 <= '0'; else error_d1 <= sig_composite_error; end if; end if; end process GEN_ERROR_RE; -- Generate rising edge pulse on error error_re <= sig_composite_error and not error_d1; DMASR_ERRIRQ : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then err_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then err_irq <= (err_irq and not(axi2ip_wrdata(DMASR_ERRIRQ_BIT))) or error_re; elsif(error_re = '1')then err_irq <= '1'; end if; end if; end process DMASR_ERRIRQ; --------------------------------------------------------------------------- -- DMA Status IRQ Threshold (BIT 23 - 16) --------------------------------------------------------------------------- sig_irqthresh_status <= (others => '0') when SIMPLE_DISABLE Else irqthresh_status; --------------------------------------------------------------------------- -- DMA Status IRQ Delay (BIT 31 - 24) --------------------------------------------------------------------------- sig_irqdelay_status <= (others => '0') when SIMPLE_DISABLE Else irqdelay_status; --------------------------------------------------------------------------- -- DMA Interrupt OUT --------------------------------------------------------------------------- REG_INTR : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then introut <= '0'; else introut <= (dly_irq and dmacr_i(DMACR_DLY_IRQEN_BIT)) or (ioc_irq and dmacr_i(DMACR_IOC_IRQEN_BIT)) or (err_irq and dmacr_i(DMACR_ERR_IRQEN_BIT)); end if; end if; end process; --------------------------------------------------------------------------- -- DMA Status Register --------------------------------------------------------------------------- dmasr_i <= sig_irqdelay_status -- Bits 31 downto 24 & sig_irqthresh_status -- Bits 23 downto 16 & '0' -- Bit 15 & err_irq -- Bit 14 & dly_irq -- Bit 13 & ioc_irq -- Bit 12 & '0' -- Bit 11 & sg_decerr -- Bit 10 & sg_slverr -- Bit 9 & sg_interr -- Bit 8 & '0' -- Bit 7 & dma_decerr -- Bit 6 & dma_slverr -- Bit 5 & dma_interr -- Bit 4 & sig_sg_included -- Bit 3 & '0' -- Bit 2 & idle -- Bit 1 & '0'; -- Bit 0 --------------------------------------------------------------------------- -- Current Descriptor LSB Register --------------------------------------------------------------------------- CURDESC_LSB_REGISTER : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or sig_dma_sg_mode = '0' or SIMPLE_DISABLE)then curdesc_lsb_i <= (others => '0'); error_pointer_set <= '0'; currdesc_updated <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set = '0')then -- Scatter Gather Fetch Error if(sg_ftch_error = '1' or sg_updt_error = '1')then curdesc_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set <= '1'; currdesc_updated <= '0'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1')then -- curdesc_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set <= '1'; -- currdesc_updated <= '0'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc = '1')then curdesc_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set <= '0'; currdesc_updated <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC_LSB_INDEX) = '1' and dmasr_i(DMASR_IDLE_BIT) = '1')then curdesc_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set <= '0'; currdesc_updated <= '1'; else currdesc_updated <= '0'; end if; end if; end if; end process CURDESC_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC_LSB_REGISTER : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or sig_dma_sg_mode = '0' or SIMPLE_DISABLE)then taildesc_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC_LSB_INDEX) = '1')then taildesc_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC_LSB_REGISTER; --------------------------------------------------------------------------- -- Current Descriptor MSB Register --------------------------------------------------------------------------- -- Scatter Gather Interface configured for 64-Bit SG Addresses GEN_SG_ADDR_EQL64 :if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin SA_MSB_REGISTER : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then sig_sa_register_msb <= (others => '0'); elsif(axi2ip_wrce(SA_MSB_INDEX) = '1')then sig_sa_register_msb <= axi2ip_wrdata; end if; end if; end process SA_MSB_REGISTER; src_addr_msb <= sig_sa_register_msb; DA_MSB_REGISTER : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then sig_da_register_msb <= (others => '0'); elsif(axi2ip_wrce(DA_MSB_INDEX) = '1')then sig_da_register_msb <= axi2ip_wrdata; end if; end if; end process DA_MSB_REGISTER; dest_addr_msb <= sig_da_register_msb; CURDESC_MSB_REGISTER : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or sig_dma_sg_mode = '0' or SIMPLE_DISABLE)then curdesc_msb_i <= (others => '0'); elsif(error_pointer_set = '0')then -- Scatter Gather Fetch Error if(sg_ftch_error = '1' or sg_updt_error = '1')then curdesc_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1')then -- curdesc_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc = '1')then curdesc_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC_MSB_INDEX) = '1' and dmasr_i(DMASR_IDLE_BIT) = '1')then curdesc_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC_MSB_REGISTER : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or sig_dma_sg_mode = '0' or SIMPLE_DISABLE)then taildesc_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC_MSB_INDEX) = '1')then taildesc_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC_MSB_REGISTER; end generate GEN_SG_ADDR_EQL64; -- Scatter Gather Interface configured for 32-Bit SG Addresses GEN_SG_ADDR_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin curdesc_msb_i <= (others => '0'); taildesc_msb_i <= (others => '0'); sig_sa_register_msb <= (others => '0'); src_addr_msb <= sig_sa_register_msb; sig_da_register_msb <= (others => '0'); dest_addr_msb <= sig_da_register_msb; end generate GEN_SG_ADDR_EQL32; -- Scatter Gather Interface configured for 32-Bit SG Addresses GEN_TAILUPDATE_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin TAILPNTR_UPDT_PROCESS : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then tailpntr_updated_d1 <= '0'; elsif(axi2ip_wrce(TAILDESC_LSB_INDEX) = '1')then tailpntr_updated_d1 <= '1'; else tailpntr_updated_d1 <= '0'; end if; end if; end process TAILPNTR_UPDT_PROCESS; end generate GEN_TAILUPDATE_EQL32; -- Scatter Gather Interface configured for 64-Bit SG Addresses GEN_TAILUPDATE_EQL64 : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin TAILPNTR_UPDT_PROCESS : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then tailpntr_updated_d1 <= '0'; elsif(axi2ip_wrce(TAILDESC_MSB_INDEX) = '1')then tailpntr_updated_d1 <= '1'; else tailpntr_updated_d1 <= '0'; end if; end if; end process TAILPNTR_UPDT_PROCESS; end generate GEN_TAILUPDATE_EQL64; TAILPNTR_UPDT_PROCESS11 : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or SIMPLE_DISABLE)then tailpntr_updated_d2 <= '0'; else tailpntr_updated_d2 <= tailpntr_updated_d1; end if; end if; end process TAILPNTR_UPDT_PROCESS11; tailpntr_updated <= tailpntr_updated_d1 and (not tailpntr_updated_d2); --------------------------------------------------------------------------- -- Simple DMA Source Address (SA) LSB Register --------------------------------------------------------------------------- SA_LSB_REGISTER : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then sig_sa_register_lsb <= (others => '0'); elsif(axi2ip_wrce(SA_LSB_INDEX) = '1')then sig_sa_register_lsb <= axi2ip_wrdata; end if; end if; end process SA_LSB_REGISTER; src_addr_lsb <= sig_sa_register_lsb; --------------------------------------------------------------------------- -- Simple DMA Source Address (SA) MSB Register --------------------------------------------------------------------------- --------------------------------------------------------------------------- -- Simple DMA Destination Address (DA) LSB Register --------------------------------------------------------------------------- DA_LSB_REGISTER : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then sig_da_register_lsb <= (others => '0'); elsif(axi2ip_wrce(DA_LSB_INDEX) = '1')then sig_da_register_lsb <= axi2ip_wrdata; end if; end if; end process DA_LSB_REGISTER; dest_addr_lsb <= sig_da_register_lsb; --------------------------------------------------------------------------- -- Simple DMA Destination Address (DA) MSB Register --------------------------------------------------------------------------- --------------------------------------------------------------------------- -- Simple DMA Bytes to Transfer (BTT) Register --------------------------------------------------------------------------- BTT_REGISTER : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then sig_btt_register <= (others => '0'); elsif(axi2ip_wrce(BTT_INDEX) = '1')then sig_btt_register(BTT_WIDTH-1 downto 0) <= axi2ip_wrdata(BTT_WIDTH-1 downto 0); end if; end if; end process BTT_REGISTER; PULSE_REGISTER : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1')then sig_btt_register_del <= '0'; else sig_btt_register_del <= axi2ip_wrce(BTT_INDEX); end if; end if; end process PULSE_REGISTER; --sig_dma_go_set <= axi2ip_wrce(BTT_INDEX); sig_dma_go_set <= axi2ip_wrce(BTT_INDEX) and (not sig_btt_register_del); btt <= BTT_RESERVED_BITS & sig_btt_register(BTT_WIDTH-1 downto 0); --------------------------------------------------------------------------- -- Simple DMA GO Control --------------------------------------------------------------------------- IMP_DMA_GO_REG : process(axi_aclk) begin if(axi_aclk'EVENT and axi_aclk = '1')then if(axi_reset = '1' or sig_dma_go_clr = '1')then sig_dma_go <= '0'; elsif(sig_dma_go_set = '1')then sig_dma_go <= '1'; end if; end if; end process IMP_DMA_GO_REG; sig_dma_go_clr <= idle_clr ; -- Clear the GO when IDLE is cleared dma_go <= sig_dma_go; -- assign the dma_go output end implementation; ------------------------------------------------------------------------------- -- axi_cdma_reg_module ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_reg_module.vhd -- Description: This entity is AXI DMA Register Module Top Level -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_cdma_v4_1_14; use axi_cdma_v4_1_14.axi_cdma_pkg.all; use axi_cdma_v4_1_14.axi_cdma_lite_if; use axi_cdma_v4_1_14.axi_cdma_register; library lib_cdc_v1_0_2; ------------------------------------------------------------------------------- entity axi_cdma_reg_module is generic( C_CDMA_BUILD_MODE : integer range 0 to 1 := 0 ; -- Indicates the as-built topology of the AXI CDMA -- 0 = Simple DMA only support -- 1 = Simple DMA and Scatter Gather support included C_S_AXI_LITE_ADDR_WIDTH : integer range 2 to 32 := 6 ; -- Address width of the AXI Lite Interface (bits) C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ; -- Data width of the AXI Lite Interface (bits) C_AXI_LITE_IS_ASYNC : integer range 0 to 1 := 0 ; -- Specifies if the AXI Lite Register interface needs to -- be asynchronous to the CDMA data transport path clocking -- 0 = Use same clocking as data path -- 1 = Use special AXI Lite clock for the axi lite interface C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 -- Address width of the SG AXI Interface (bits) ); port ( --------------------------------------------------------------------------------- -- AXI4-Lite Interface --------------------------------------------------------------------------------- -- AXI Lite Clock (needs to be the same as axi4-lite clock when -- C_AXI_LITE_IS_ASYNC = 0 ) -- AXI4-Lite axi_lite_aclk : in std_logic ;-- AXI4-Lite -- AXI Lite Bus Side Reset (synchronized to the axi_lite_aclk) axi_lite_reset : in std_logic ;-- AXI4-Lite -- AXI Lite Core side Reset (synchronized to the axi_aclk) axi_lite_cside_reset : in std_logic ;-- AXI4-Lite -- AXI Lite Write Address Channel -- AXI4-Lite s_axi_lite_awvalid : in std_logic ;-- AXI4-Lite s_axi_lite_awready : out std_logic ;-- AXI4-Lite s_axi_lite_awaddr : in std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Write Data Channel -- AXI4-Lite s_axi_lite_wvalid : in std_logic ;-- AXI4-Lite s_axi_lite_wready : out std_logic ;-- AXI4-Lite s_axi_lite_wdata : in std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_DATA_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Write Response Channel -- AXI4-Lite s_axi_lite_bresp : out std_logic_vector(1 downto 0) ;-- AXI4-Lite s_axi_lite_bvalid : out std_logic ;-- AXI4-Lite s_axi_lite_bready : in std_logic ;-- AXI4-Lite -- AXI4-Lite -- AXI Lite Read Address Channel -- AXI4-Lite s_axi_lite_arvalid : in std_logic ;-- AXI4-Lite s_axi_lite_arready : out std_logic ;-- AXI4-Lite s_axi_lite_araddr : in std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0);-- AXI4-Lite -- AXI Lite Read Data Channel -- AXI4-Lite s_axi_lite_rvalid : out std_logic ;-- AXI4-Lite s_axi_lite_rready : in std_logic ;-- AXI4-Lite s_axi_lite_rdata : out std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_DATA_WIDTH-1 downto 0);-- AXI4-Lite s_axi_lite_rresp : out std_logic_vector(1 downto 0) ;-- AXI4-Lite -- Primary Input Clock for everything other than AXI4-Lite axi_aclk : In std_logic ; -- Primary Input Reset synchronized to axi_aclk axi_reg_reset : In std_logic ; -- Composite Interrupt Output reg_introut : out std_logic ; -- Composite error Output reg_error_out : out std_logic ; -- Soft Reset Set Control reg_soft_reset_request : out std_logic ; -- Soft Reset Clear reg_soft_reset_clr : in std_logic ; -- DMA Go Control reg_dma_go : Out std_logic ; -- SG Mode control reg_dma_sg_mode : Out std_logic ; -- Key Hole read dma_keyhole_read : Out std_logic ; -- Key Hole write dma_keyhole_write : Out std_logic ; -- Cyclic feature dma_cyclic : Out std_logic ; -- CDMASR Idle bit set reg_idle_set : in std_logic ; -- CDMASR Idle bit clear reg_idle_clr : in std_logic ; -- CDMASR Interrupt on Complet set reg_ioc_irq_set : in std_logic ; -- CDMASR Delay Interrupt set reg_dly_irq_set : in std_logic ; -- CDMASR Delay Interrupt Counter value reg_irqdelay_status : in std_logic_vector(7 downto 0) ; -- CDMASR Threshold Interrupt Counter value reg_irqthresh_status : in std_logic_vector(7 downto 0) ; -- CDMASR Threshold Interrupt Counter value write enable reg_irqthresh_wren : out std_logic ; -- CDMASR Delay Interrupt Counter value write enable reg_irqdelay_wren : out std_logic ; -- Composite DataMover Internal Error flag reg_dma_interr_set : in std_logic ; -- Composite DataMover Slave Error flag reg_dma_slverr_set : in std_logic ; -- Composite DataMover Decode Error flag reg_dma_decerr_set : in std_logic ; -- SG Descriptor Fetch internal error flag reg_ftch_interr_set : in std_logic ; -- SG Descriptor Fetch slave error flag reg_ftch_slverr_set : in std_logic ; -- SG Descriptor Fetch decode error flag reg_ftch_decerr_set : in std_logic ; -- SG Descriptor Fetch error address reg_ftch_error_addr : in std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- SG Descriptor Update internal error flag reg_updt_interr_set : in std_logic ; -- SG Descriptor Fetch slave error flag reg_updt_slverr_set : in std_logic ; -- SG Descriptor Fetch decode error flag reg_updt_decerr_set : in std_logic ; -- SG Descriptor Fetch error address reg_updt_error_addr : in std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- SG CURDESC Update Write enable reg_new_curdesc_wren : in std_logic ; -- SG CURDESC Update address value reg_new_curdesc : in std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- Tailpointer Register Updated flag reg_tailpntr_updated : out std_logic ; -- Current Descriptor Register Updated flag reg_currdesc_updated : out std_logic ; -- CDMA Control Register value reg_dmacr : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ; -- CDMA Status Register value reg_dmasr : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- CDMA Current Descriptor Register value reg_curdesc : out std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- CDMA Tailpointer Register value reg_taildesc : out std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- CDMA Source Address Register value reg_src_addr : out std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- CDMA destination Register value reg_dest_addr : out std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- CDMA BTT Register value reg_btt : out std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) ); end axi_cdma_reg_module; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_cdma_reg_module is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- Constant CDMA_NUM_REG : Integer := 16; Constant DMACR_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000000000000001"; Constant DMASR_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000000000000010"; Constant CURDESC_LS_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000000000000100"; Constant CURDESC_MS_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000000000001000"; Constant TAILDESC_LS_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000000000010000"; Constant TAILDESC_MS_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000000000100000"; Constant SA_LS_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000000001000000"; Constant SA_MS_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000000010000000"; Constant DA_LS_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000000100000000"; Constant DA_MS_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000001000000000"; Constant BTT_REG_CE : std_logic_vector(CDMA_NUM_REG-1 downto 0) := "0000010000000000"; ------------------------------------------------------------------------------- -- Signal / Type Declarations ---------------------------- --------------------------------------------------- signal sig_axi2ip_wrce : std_logic_vector(CDMA_NUM_REG - 1 downto 0) := (others => '0'); signal sig_axi2ip_wrdata : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_axi2ip_rdce : std_logic_vector(CDMA_NUM_REG - 1 downto 0) := (others => '0'); signal sig_ip2axi_rddata : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_dmacr : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_dmasr : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_curdesc_lsb : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_curdesc_msb : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_taildesc_lsb : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_taildesc_msb : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_src_addr_lsb : std_logic_vector(c_s_axi_lite_data_width-1 downto 0) := (others => '0'); signal sig_dest_addr_lsb : std_logic_vector(c_s_axi_lite_data_width-1 downto 0) := (others => '0'); signal sig_src_addr_msb : std_logic_vector(c_s_axi_lite_data_width-1 downto 0) := (others => '0'); signal sig_dest_addr_msb : std_logic_vector(c_s_axi_lite_data_width-1 downto 0) := (others => '0'); signal sig_btt : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_axi_lite_bside_resetn : std_logic := '0'; signal sig_axi_lite_cside_resetn : std_logic := '0'; signal sig_interrupt_out : std_logic := '0'; signal sig_reg2out_irpt : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Reset Inversions for the AXI Lite IF sig_axi_lite_bside_resetn <= not(axi_lite_reset); sig_axi_lite_cside_resetn <= not(axi_lite_cside_reset); -- Register Outputs reg_dmacr <= sig_dmacr; reg_dmasr <= sig_dmasr; reg_curdesc (31 downto 0) <= sig_curdesc_lsb; reg_taildesc (31 downto 0) <= sig_taildesc_lsb; reg_src_addr (31 downto 0) <= sig_src_addr_lsb; reg_dest_addr (31 downto 0) <= sig_dest_addr_lsb; reg_btt <= sig_btt; -- Composite Interrupt Output reg_introut <= sig_interrupt_out ; GEN_64_BIT_ADDR : if (C_M_AXI_SG_ADDR_WIDTH = 64) generate begin reg_curdesc (63 downto 32) <= sig_curdesc_msb; reg_taildesc (63 downto 32) <= sig_taildesc_msb; reg_src_addr (63 downto 32) <= sig_src_addr_msb; reg_dest_addr (63 downto 32) <= sig_dest_addr_msb; end generate GEN_64_BIT_ADDR; ------------------------------------------------------------ -- Instance: I_AXI_LITE -- -- Description: -- Instance for the AXI Lite Interface Module -- ------------------------------------------------------------ I_AXI_LITE : entity axi_cdma_v4_1_14.axi_cdma_lite_if generic map( C_NUM_CE => CDMA_NUM_REG , C_AXI_LITE_IS_ASYNC => C_AXI_LITE_IS_ASYNC , C_S_AXI_LITE_ADDR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH ) port map( -- AXI Lite Clock and Reset s_axi_lite_aclk => axi_lite_aclk , s_axi_lite_aresetn => sig_axi_lite_bside_resetn , -- AXI Lite Write Address Channel s_axi_lite_awvalid => s_axi_lite_awvalid , s_axi_lite_awready => s_axi_lite_awready , s_axi_lite_awaddr => s_axi_lite_awaddr , -- AXI Lite Write Data Channel s_axi_lite_wvalid => s_axi_lite_wvalid , s_axi_lite_wready => s_axi_lite_wready , s_axi_lite_wdata => s_axi_lite_wdata , -- AXI Lite Write Response Channel s_axi_lite_bresp => s_axi_lite_bresp , s_axi_lite_bvalid => s_axi_lite_bvalid , s_axi_lite_bready => s_axi_lite_bready , -- AXI Lite Read Address Channel s_axi_lite_arvalid => s_axi_lite_arvalid , s_axi_lite_arready => s_axi_lite_arready , s_axi_lite_araddr => s_axi_lite_araddr , s_axi_lite_rvalid => s_axi_lite_rvalid , s_axi_lite_rready => s_axi_lite_rready , s_axi_lite_rdata => s_axi_lite_rdata , s_axi_lite_rresp => s_axi_lite_rresp , -- User IP Interface -- IP side Interface clock and Reset inputs -- Used when C_AXI_LITE_IS_ASYNC = 1 ip2axi_aclk => axi_aclk , ip2axi_aresetn => sig_axi_lite_cside_resetn, axi2ip_wrce => sig_axi2ip_wrce , axi2ip_wrdata => sig_axi2ip_wrdata , axi2ip_rdce => sig_axi2ip_rdce , axi2ip_rdaddr => open , ip2axi_rddata => sig_ip2axi_rddata ); ------------------------------------------------------------------------------- -- AXI LITE READ MUX ------------------------------------------------------------------------------- AXI_LITE_READ_MUX : process(sig_axi2ip_rdce , sig_dmacr , sig_dmasr , sig_curdesc_lsb , sig_taildesc_lsb , sig_curdesc_msb , sig_taildesc_msb , sig_src_addr_lsb , sig_src_addr_msb , sig_dest_addr_lsb, sig_dest_addr_msb, sig_btt) begin -- Read Mux case sig_axi2ip_rdce is --------------------------------- When DMACR_REG_CE => sig_ip2axi_rddata <= sig_dmacr; --------------------------------- When DMASR_REG_CE => sig_ip2axi_rddata <= sig_dmasr; --------------------------------- When CURDESC_LS_REG_CE => sig_ip2axi_rddata <= sig_curdesc_lsb; --------------------------------- When CURDESC_MS_REG_CE => sig_ip2axi_rddata <= sig_curdesc_msb; --------------------------------- When TAILDESC_LS_REG_CE => sig_ip2axi_rddata <= sig_taildesc_lsb; --------------------------------- When TAILDESC_MS_REG_CE => sig_ip2axi_rddata <= sig_taildesc_msb; --------------------------------- When SA_LS_REG_CE => sig_ip2axi_rddata <= sig_src_addr_lsb; --------------------------------- When SA_MS_REG_CE => sig_ip2axi_rddata <= sig_src_addr_msb; --------------------------------- When DA_LS_REG_CE => sig_ip2axi_rddata <= sig_dest_addr_lsb; --------------------------------- When DA_MS_REG_CE => sig_ip2axi_rddata <= sig_dest_addr_msb; --------------------------------- When BTT_REG_CE => sig_ip2axi_rddata <= sig_btt; --------------------------------- when others => sig_ip2axi_rddata <= (others => '0'); end case; end process AXI_LITE_READ_MUX; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_IRPT_RESYNC -- -- If Generate Description: -- The AXI clock and the AXI Lite clock are the same so -- synchronization registers are not required. -- ------------------------------------------------------------ GEN_NO_IRPT_RESYNC : if (C_AXI_LITE_IS_ASYNC = 0) generate begin sig_interrupt_out <= sig_reg2out_irpt; end generate GEN_NO_IRPT_RESYNC; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_IRPT_RESYNC -- -- If Generate Description: -- The AXI clock and the AXI Lite clock are not the same so -- synchronization registers are are required. -- ------------------------------------------------------------ GEN_IRPT_RESYNC : if (C_AXI_LITE_IS_ASYNC = 1) generate ATTRIBUTE async_reg : STRING; signal lsig_sync_reg1_cdc_tig : std_logic := '0'; signal lsig_sync_reg2 : std_logic := '0'; --ATTRIBUTE async_reg OF lsig_sync_reg1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF lsig_sync_reg2 : SIGNAL IS "true"; begin sig_interrupt_out <= lsig_sync_reg2; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SYNC_IRPT_SYNC_REG -- -- Process Description: -- Implements the syncronization registers for the AXI -- to AXI Lite clock domain crossing of the interrupt out. -- ------------------------------------------------------------- IMP_SYNC_IRPT_SYNC_REG : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => sig_reg2out_irpt, prmry_vect_in => (others => '0'), scndry_aclk => axi_lite_aclk, scndry_resetn => '0', scndry_out => lsig_sync_reg2, scndry_vect_out => open ); -- IMP_SYNC_IRPT_SYNC_REG : process (axi_lite_aclk) -- begin -- if (axi_lite_aclk'event and axi_lite_aclk = '1') then -- -- if (axi_lite_reset = '1') then -- -- -- lsig_sync_reg1 <= '0'; -- -- lsig_sync_reg2 <= '0'; -- -- -- else -- -- lsig_sync_reg1_cdc_tig <= sig_reg2out_irpt; -- lsig_sync_reg2 <= lsig_sync_reg1_cdc_tig; -- -- -- end if; -- end if; -- end process IMP_SYNC_IRPT_SYNC_REG; end generate GEN_IRPT_RESYNC; ------------------------------------------------------------ -- Instance: I_REGISTER_BLOCK -- -- Description: -- Instance of the AXI CDMA register block. -- ------------------------------------------------------------ I_REGISTER_BLOCK : entity axi_cdma_v4_1_14.axi_cdma_register generic map ( C_CDMA_BUILD_MODE => C_CDMA_BUILD_MODE , C_NUM_REGISTERS => CDMA_NUM_REG , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ) port map( -- Secondary Clock / Reset axi_aclk => axi_aclk , axi_reset => axi_reg_reset , -- CPU Write Control (via AXI Lite) axi2ip_wrce => sig_axi2ip_wrce , axi2ip_wrdata => sig_axi2ip_wrdata , -- Composite Interrupt Output introut => sig_reg2out_irpt , -- Composite error Output error_out => reg_error_out , -- Soft Reset Control soft_reset_request => reg_soft_reset_request , soft_reset_clr => reg_soft_reset_clr , -- DMA Go Control dma_go => reg_dma_go , -- SG Mode control dma_sg_mode => reg_dma_sg_mode , -- Key Hole write dma_keyhole_write => dma_keyhole_write , -- Key Hole read dma_keyhole_read => dma_keyhole_read , -- Key Hole read dma_cyclic => dma_cyclic , -- DMASR Register bit control/status idle_set => reg_idle_set , idle_clr => reg_idle_clr , ioc_irq_set => reg_ioc_irq_set , dly_irq_set => reg_dly_irq_set , irqdelay_status => reg_irqdelay_status , irqthresh_status => reg_irqthresh_status , irqthresh_wren => reg_irqthresh_wren , irqdelay_wren => reg_irqdelay_wren , -- DataMover Errors dma_interr_set => reg_dma_interr_set , dma_slverr_set => reg_dma_slverr_set , dma_decerr_set => reg_dma_decerr_set , -- SG Descriptor Fetch errors ftch_interr_set => reg_ftch_interr_set , ftch_slverr_set => reg_ftch_slverr_set , ftch_decerr_set => reg_ftch_decerr_set , ftch_error_addr => reg_ftch_error_addr , -- SG Descriptor Update errors updt_interr_set => reg_updt_interr_set , updt_slverr_set => reg_updt_slverr_set , updt_decerr_set => reg_updt_decerr_set , updt_error_addr => reg_updt_error_addr , -- SG CURDESC Update (from SG) update_curdesc => reg_new_curdesc_wren , new_curdesc => reg_new_curdesc , -- SG Detected SW Register Update tailpntr_updated => reg_tailpntr_updated , currdesc_updated => reg_currdesc_updated , -- Register State Out dmacr => sig_dmacr , dmasr => sig_dmasr , curdesc_lsb => sig_curdesc_lsb , curdesc_msb => sig_curdesc_msb , taildesc_lsb => sig_taildesc_lsb , taildesc_msb => sig_taildesc_msb , src_addr_lsb => sig_src_addr_lsb , src_addr_msb => sig_src_addr_msb , dest_addr_lsb => sig_dest_addr_lsb , dest_addr_msb => sig_dest_addr_msb , btt => sig_btt ); end implementation; ------------------------------------------------------------------------------- -- axi_cdma_sfifo_autord.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_sfifo_autord.vhd -- Version: initial -- Description: -- This file contains the logic to generate a CoreGen call to create a -- synchronous FIFO as part of the synthesis process of XST. This eliminates -- the need for multiple fixed netlists for various sizes and widths of FIFOs. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library lib_fifo_v1_0_9; use lib_fifo_v1_0_9.sync_fifo_fg; ------------------------------------------------------------------------------- entity axi_cdma_sfifo_autord is generic ( C_DWIDTH : integer := 32; C_DEPTH : integer := 128; C_DATA_CNT_WIDTH : integer := 8; C_NEED_ALMOST_EMPTY : Integer range 0 to 1 := 0; C_NEED_ALMOST_FULL : Integer range 0 to 1 := 0; C_USE_BLKMEM : Integer range 0 to 1 := 1; -- 1 = Use Block RAM -- 0 = USE SRL C_FAMILY : String := "virtex7" ); port ( -- Inputs SFIFO_Sinit : In std_logic; -- Reset SFIFO_Clk : In std_logic; -- Clock SFIFO_Wr_en : In std_logic; -- Write enable SFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); -- Write Data input SFIFO_Rd_en : In std_logic; -- Read Enable SFIFO_Clr_Rd_Data_Valid : In std_logic; -- Clear the Read data valid -- Outputs SFIFO_DValid : Out std_logic; -- Read Data Valid indication SFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); -- Read Data out SFIFO_Full : Out std_logic; -- FIFO Full flag SFIFO_Empty : Out std_logic; -- FIFO empty flag SFIFO_Almost_full : Out std_logic; -- FIFO almost Full flag SFIFO_Almost_empty : Out std_logic; -- FIFO almost empty flag SFIFO_Rd_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); -- Read count SFIFO_Rd_count_minus1 : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); -- Read count minus 1 SFIFO_Wr_count : Out std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0); -- Write count SFIFO_Rd_ack : Out std_logic -- Read acknowledge ); end entity axi_cdma_sfifo_autord; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of axi_cdma_sfifo_autord is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; -- Constant declarations -- none -- Signal declarations signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal raw_data_cnt_lil_end : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0'); signal raw_data_count_int : natural := 0; signal raw_data_count_corr : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0'); signal raw_data_count_corr_minus1 : std_logic_vector(C_DATA_CNT_WIDTH-1 downto 0) := (others => '0'); Signal corrected_empty : std_logic := '0'; Signal corrected_almost_empty : std_logic := '0'; Signal sig_SFIFO_empty : std_logic := '0'; -- backend fifo read ack sample and hold Signal sig_rddata_valid : std_logic := '0'; Signal hold_ff_q : std_logic := '0'; Signal ored_ack_ff_reset : std_logic := '0'; Signal autoread : std_logic := '0'; Signal sig_sfifo_rdack : std_logic := '0'; Signal fifo_read_enable : std_logic := '0'; begin -- Bit ordering translations write_data_lil_end <= SFIFO_Din; -- translate from Big Endian to little -- endian. SFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to -- Big endian. -- Other port usages and assignments SFIFO_Rd_ack <= sig_sfifo_rdack; SFIFO_Almost_empty <= corrected_almost_empty; SFIFO_Empty <= sig_SFIFO_empty; --corrected_empty; SFIFO_Wr_count <= raw_data_cnt_lil_end; SFIFO_Rd_count <= raw_data_count_corr; SFIFO_Rd_count_minus1 <= raw_data_count_corr_minus1; SFIFO_DValid <= sig_sfifo_rdack; --sig_rddata_valid; -- Output data valid indicator fifo_read_enable <= SFIFO_Rd_en; -- or autoread; ------------------------------------------------------------ -- Instance: I_SYNC_FIFOGEN_FIFO -- -- Description: -- Instance for the synchronous fifo from proc common. -- ------------------------------------------------------------ I_SYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0_9.sync_fifo_fg generic map( C_FAMILY => C_FAMILY, -- requred for FIFO Gen C_DCOUNT_WIDTH => C_DATA_CNT_WIDTH, C_ENABLE_RLOCS => 0, C_HAS_DCOUNT => 1, C_HAS_RD_ACK => 1, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 1, C_HAS_WR_ERR => 0, C_MEMORY_TYPE => C_USE_BLKMEM, C_PORTS_DIFFER => 0, C_RD_ACK_LOW => 0, C_READ_DATA_WIDTH => C_DWIDTH, C_READ_DEPTH => C_DEPTH, C_RD_ERR_LOW => 0, C_WR_ACK_LOW => 0, C_WR_ERR_LOW => 0, C_WRITE_DATA_WIDTH => C_DWIDTH, C_WRITE_DEPTH => C_DEPTH, C_PRELOAD_REGS => 1, -- 1 = first word fall through C_PRELOAD_LATENCY => 0, -- 0 = first word fall through C_USE_EMBEDDED_REG => 1, -- 0 ; C_XPM_FIFO => 1 ) port map( Clk => SFIFO_Clk, Sinit => SFIFO_Sinit, Din => write_data_lil_end, Wr_en => SFIFO_Wr_en, Rd_en => fifo_read_enable, Dout => read_data_lil_end, Almost_full => open, Full => SFIFO_Full, Empty => sig_SFIFO_empty, Rd_ack => sig_sfifo_rdack, Wr_ack => open, Rd_err => open, Wr_err => open, Data_count => raw_data_cnt_lil_end ); ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Read Ack assert & hold logic Needed because.... ------------------------------------------------------------------------------- -- 1) The CoreGen Sync FIFO has to be read once to get valid -- data to the read data port. -- 2) The Read ack from the fifo is only asserted for 1 clock. -- 3) A signal is needed that indicates valid data is at the read -- port of the FIFO and has not yet been used. This signal needs -- to be held until the next read operation occurs or a clear -- signal is received. ored_ack_ff_reset <= fifo_read_enable or SFIFO_Sinit or SFIFO_Clr_Rd_Data_Valid; sig_rddata_valid <= hold_ff_q or sig_sfifo_rdack; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ACK_HOLD_FLOP -- -- Process Description: -- Flop for registering the hold flag -- ------------------------------------------------------------- IMP_ACK_HOLD_FLOP : process (SFIFO_Clk) begin if (SFIFO_Clk'event and SFIFO_Clk = '1') then if (ored_ack_ff_reset = '1') then hold_ff_q <= '0'; else hold_ff_q <= sig_rddata_valid; end if; end if; end process IMP_ACK_HOLD_FLOP; -- generate auto-read enable. This keeps fresh data at the output -- of the FIFO whenever it is available. autoread <= '1' -- create a read strobe when the when (sig_rddata_valid = '0' and -- output data is NOT valid sig_SFIFO_empty = '0') -- and the FIFO is not empty Else '0'; raw_data_count_int <= CONV_INTEGER(raw_data_cnt_lil_end); ------------------------------------------------------------ -- If Generate -- -- Label: INCLUDE_ALMOST_EMPTY -- -- If Generate Description: -- This IFGen corrects the FIFO Read Count output for the -- auto read function and includes the generation of the -- Almost_Empty flag. -- ------------------------------------------------------------ INCLUDE_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 1) generate -- local signals Signal raw_data_count_int_corr : integer := 0; Signal raw_data_count_int_corr_minus1 : integer := 0; begin ------------------------------------------------------------- -- Combinational Process -- -- Label: CORRECT_RD_CNT_IAE -- -- Process Description: -- This process corrects the FIFO Read Count output for the -- auto read function and includes the generation of the -- Almost_Empty flag. -- ------------------------------------------------------------- CORRECT_RD_CNT_IAE : process (sig_rddata_valid, sig_SFIFO_empty, raw_data_count_int) begin if (sig_rddata_valid = '0') then raw_data_count_int_corr <= 0; raw_data_count_int_corr_minus1 <= 0; corrected_empty <= '1'; corrected_almost_empty <= '0'; elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty raw_data_count_int_corr <= 1; raw_data_count_int_corr_minus1 <= 0; corrected_empty <= '0'; corrected_almost_empty <= '1'; Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty raw_data_count_int_corr <= 2; raw_data_count_int_corr_minus1 <= 1; corrected_empty <= '0'; corrected_almost_empty <= '0'; else -- rddata valid and modify rd count from FIFO raw_data_count_int_corr <= raw_data_count_int+1; raw_data_count_int_corr_minus1 <= raw_data_count_int; corrected_empty <= '0'; corrected_almost_empty <= '0'; end if; end process CORRECT_RD_CNT_IAE; raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr, C_DATA_CNT_WIDTH); raw_data_count_corr_minus1 <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr_minus1, C_DATA_CNT_WIDTH); end generate INCLUDE_ALMOST_EMPTY; ------------------------------------------------------------ -- If Generate -- -- Label: OMIT_ALMOST_EMPTY -- -- If Generate Description: -- This process corrects the FIFO Read Count output for the -- auto read function and omits the generation of the -- Almost_Empty flag. -- ------------------------------------------------------------ OMIT_ALMOST_EMPTY : if (C_NEED_ALMOST_EMPTY = 0) generate -- local signals Signal raw_data_count_int_corr : integer := 0; begin corrected_almost_empty <= '0'; -- always low ------------------------------------------------------------- -- Combinational Process -- -- Label: CORRECT_RD_CNT -- -- Process Description: -- This process corrects the FIFO Read Count output for the -- auto read function and omits the generation of the -- Almost_Empty flag. -- ------------------------------------------------------------- CORRECT_RD_CNT : process (sig_rddata_valid, sig_SFIFO_empty, raw_data_count_int) begin if (sig_rddata_valid = '0') then raw_data_count_int_corr <= 0; corrected_empty <= '1'; elsif (sig_SFIFO_empty = '1') then -- rddata valid and fifo empty raw_data_count_int_corr <= 1; corrected_empty <= '0'; Elsif (raw_data_count_int = 1) Then -- rddata valid and fifo almost empty raw_data_count_int_corr <= 2; corrected_empty <= '0'; else -- rddata valid and modify rd count from FIFO raw_data_count_int_corr <= raw_data_count_int+1; corrected_empty <= '0'; end if; end process CORRECT_RD_CNT; raw_data_count_corr <= CONV_STD_LOGIC_VECTOR(raw_data_count_int_corr, C_DATA_CNT_WIDTH); end generate OMIT_ALMOST_EMPTY; ------------------------------------------------------------ -- If Generate -- -- Label: INCLUDE_ALMOST_FULL -- -- If Generate Description: -- This IfGen Includes the generation of the Amost_Full flag. -- -- ------------------------------------------------------------ INCLUDE_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 1) generate -- Local Constants Constant ALMOST_FULL_VALUE : integer := 2**(C_DATA_CNT_WIDTH-1)-1; begin SFIFO_Almost_full <= '1' When raw_data_count_int = ALMOST_FULL_VALUE Else '0'; end generate INCLUDE_ALMOST_FULL; ------------------------------------------------------------ -- If Generate -- -- Label: OMIT_ALMOST_FULL -- -- If Generate Description: -- This IfGen Omits the generation of the Amost_Full flag. -- -- ------------------------------------------------------------ OMIT_ALMOST_FULL : if (C_NEED_ALMOST_FULL = 0) generate begin SFIFO_Almost_full <= '0'; -- always low end generate OMIT_ALMOST_FULL; end imp; ------------------------------------------------------------------------------- -- axi_cdma_sf.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_sf.vhd -- -- Description: -- This file implements the AXI CDMA store and Forward module. -- The design utilizes the AXI DataMover's new address pipelining -- control interfaces. The design is such that predictive address -- pipelining can be supported on the AXI Read Bus without over-commiting -- the internal Data FIFO and potentially throttling the Read Data Channel -- if the Data FIFO goes full. On the AXI Write side, the Write Master is -- only allowed to post AXI WRite Requests if the associated write data needed -- to complete the Write Data transfer is present in the Data FIFO. In -- addition, the Write side logic is such that Write transfer requests can -- be pipelined to the AXI bus based on the Data FIFO contents but ahead of -- the actual Write Data transfers. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.all; use lib_pkg_v1_0_2.lib_pkg.clog2; library lib_srl_fifo_v1_0_2; use lib_srl_fifo_v1_0_2.srl_fifo_f; library axi_cdma_v4_1_14; use axi_cdma_v4_1_14.axi_cdma_sfifo_autord; ------------------------------------------------------------------------------- entity axi_cdma_sf is generic ( C_WR_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 4; -- This parameter indicates the depth of the DataMover -- write address pipelining queues for the Main data transport -- channels. The effective address pipelining on the AXI4 -- Write Address Channel will be the value assigned plus 2. C_SF_FIFO_DEPTH : Integer range 128 to 8192 := 512; -- Sets the desired depth of the internal Data FIFO. C_MAX_BURST_LEN : Integer range 2 to 256 := 16; -- Indicates the max burst length being used by the external -- AXI4 Master for each AXI4 transfer request. C_DRE_IS_USED : Integer range 0 to 1 := 0; -- Indicates if the external Master is utilizing a DRE on -- the stream input to this module. C_STREAM_DWIDTH : Integer range 8 to 1024 := 32; -- Sets the Stream Data Width for the Input and Output -- Data streams. C_FAMILY : String := "virtex7" -- Indicates the target FPGA Family. ); port ( -- Clock input aclk : in std_logic; -- Primary synchronization clock for the Master side -- interface and internal logic. It is also used -- for the User interface synchronization when -- C_STSCMD_IS_ASYNC = 0. -- Reset input reset : in std_logic; -- Reset used for the internal syncronization logic -- DataMover Read Side Address Pipelining Control Interface --------------- ok_to_post_rd_addr : Out Std_logic; -- Indicates that the transfer token pool has at least -- one token available to borrow rd_addr_posted : In std_logic; -- Indication that a read address has been posted to AXI4 rd_xfer_cmplt : In std_logic; -- Indicates that the Datamover has completed a Read Data -- transfer on the AXI4 -- Read Side Stream In from DataMover MM2S ----------------------------------- sf2sin_tready : Out Std_logic; -- DRE Stream READY input sin2sf_tvalid : In std_logic; -- DRE Stream VALID Output sin2sf_tdata : In std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- DRE Stream DATA input sin2sf_tkeep : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- DRE Stream STRB input sin2sf_tlast : In std_logic; -- DRE Xfer LAST input -- DataMover Write Side Address Pipelining Control Interface -------------- ok_to_post_wr_addr : Out Std_logic; -- Indicates that the internal FIFO has enough data -- physically present to supply one more max length -- burst transfer or a completion burst -- (tlast asserted) wr_addr_posted : In std_logic; -- Indication that a write address has been posted to AXI4 wr_xfer_cmplt : In Std_logic; -- Indicates that the Datamover has completed a Write Data -- transfer on the AXI4 wr_ld_nxt_len : in std_logic; -- Active high pulse indicating a new transfer LEN qualifier -- has been queued to the DataMover Write Data Controller wr_len : in std_logic_vector(7 downto 0); -- The actual LEN qualifier value that has been queued to the -- DataMover Write Data Controller -- Write Side Stream Out to DataMover S2MM ------------------------------- sout2sf_tready : In std_logic; -- Write READY input from the Stream Master sf2sout_tvalid : Out std_logic; -- Write VALID output to the Stream Master sf2sout_tdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- Write DATA output to the Stream Master sf2sout_tkeep : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- Write DATA output to the Stream Master sf2sout_tlast : Out std_logic -- Write LAST output to the Stream Master ); end entity axi_cdma_sf; architecture implementation of axi_cdma_sf is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Functions --------------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_dbcntr_width -- -- Function Description: -- simple function to set the width of the burst counter -- based on the parameterized max burst length. -- ------------------------------------------------------------------- function funct_get_dbcntr_width (max_burst_length : integer) return integer is Variable temp_width : integer := 0; begin case max_burst_length is when 2 => temp_width := 1; when 4 => temp_width := 2; when 8 => temp_width := 3; when 16 => temp_width := 4; when 32 => temp_width := 5; when 64 => temp_width := 6; when 128 => temp_width := 7; when others => -- 256 beats temp_width := 8; end case; Return (temp_width); end function funct_get_dbcntr_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_pwr2_depth -- -- Function Description: -- Rounds up to the next power of 2 depth value in an input -- range of 1 to 8192 -- ------------------------------------------------------------------- function funct_get_pwr2_depth (min_depth : integer) return integer is Variable var_temp_depth : Integer := 16; begin if (min_depth = 1) then var_temp_depth := 1; elsif (min_depth = 2) then var_temp_depth := 2; elsif (min_depth <= 4) then var_temp_depth := 4; elsif (min_depth <= 8) then var_temp_depth := 8; elsif (min_depth <= 16) then var_temp_depth := 16; elsif (min_depth <= 32) then var_temp_depth := 32; elsif (min_depth <= 64) then var_temp_depth := 64; elsif (min_depth <= 128) then var_temp_depth := 128; elsif (min_depth <= 256) then var_temp_depth := 256; elsif (min_depth <= 512) then var_temp_depth := 512; elsif (min_depth <= 1024) then var_temp_depth := 1024; elsif (min_depth <= 2048) then var_temp_depth := 2048; elsif (min_depth <= 4096) then var_temp_depth := 4096; else -- assume 8192 depth var_temp_depth := 8192; end if; Return (var_temp_depth); end function funct_get_pwr2_depth; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_fifo_cnt_width -- -- Function Description: -- simple function to set the width of the data fifo read -- and write count outputs. ------------------------------------------------------------------- function funct_get_fifo_cnt_width (fifo_depth : integer) return integer is Variable temp_width : integer := 8; begin if (fifo_depth = 1) then temp_width := 1; elsif (fifo_depth = 2) then temp_width := 2; elsif (fifo_depth <= 4) then temp_width := 3; elsif (fifo_depth <= 8) then temp_width := 4; elsif (fifo_depth <= 16) then temp_width := 5; elsif (fifo_depth <= 32) then temp_width := 6; elsif (fifo_depth <= 64) then temp_width := 7; elsif (fifo_depth <= 128) then temp_width := 8; elsif (fifo_depth <= 256) then temp_width := 9; elsif (fifo_depth <= 512) then temp_width := 10; elsif (fifo_depth <= 1024) then temp_width := 11; elsif (fifo_depth <= 2048) then temp_width := 12; elsif (fifo_depth <= 4096) then temp_width := 13; else -- assume 8192 depth temp_width := 14; end if; Return (temp_width); end function funct_get_fifo_cnt_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_wrcnt_lsrip -- -- Function Description: -- Calculates the ls index of the upper slice of the data fifo -- write count needed to repesent one max burst worth of data -- present in the fifo. -- ------------------------------------------------------------------- function funct_get_wrcnt_lsrip (max_burst_dbeats : integer) return integer is Variable temp_ls_index : Integer := 0; begin if (max_burst_dbeats <= 2) then temp_ls_index := 1; elsif (max_burst_dbeats <= 4) then temp_ls_index := 2; elsif (max_burst_dbeats <= 8) then temp_ls_index := 3; elsif (max_burst_dbeats <= 16) then temp_ls_index := 4; elsif (max_burst_dbeats <= 32) then temp_ls_index := 5; elsif (max_burst_dbeats <= 64) then temp_ls_index := 6; elsif (max_burst_dbeats <= 128) then temp_ls_index := 7; else temp_ls_index := 8; end if; Return (temp_ls_index); end function funct_get_wrcnt_lsrip; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_stall_thresh -- -- Function Description: -- Calculates the Stall threshold for the input side of the Data -- FIFO. If DRE is being used by the DataMover, then the threshold -- must be reduced to account for the potential of an extra write -- databeat per request (DRE alignment dependent). -- ------------------------------------------------------------------- function funct_get_stall_thresh (dre_is_used : integer; max_xfer_length : integer; data_fifo_depth : integer; pipeline_delay_clks : integer; fifo_settling_clks : integer) return integer is Constant DRE_PIPE_DELAY : integer := 2; -- clks Variable var_num_max_xfers_allowed : Integer := 0; Variable var_dre_dbeat_overhead : Integer := 0; Variable var_delay_fudge_factor : Integer := 0; Variable var_thresh_headroom : Integer := 0; Variable var_stall_thresh : Integer := 0; begin var_num_max_xfers_allowed := data_fifo_depth/max_xfer_length; var_dre_dbeat_overhead := var_num_max_xfers_allowed * dre_is_used; var_delay_fudge_factor := (dre_is_used * DRE_PIPE_DELAY) + pipeline_delay_clks + fifo_settling_clks; var_thresh_headroom := max_xfer_length + var_dre_dbeat_overhead + var_delay_fudge_factor; -- Scale the result to be in max transfer length increments var_stall_thresh := (data_fifo_depth - var_thresh_headroom)/max_xfer_length; Return (var_stall_thresh); end function funct_get_stall_thresh; -- Constants --------------------------------------------------------------------------- Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant BLK_MEM_FIFO : integer := 1; Constant SRL_FIFO : integer := 0; Constant NOT_NEEDED : integer := 0; Constant WSTB_WIDTH : integer := C_STREAM_DWIDTH/8; -- bits Constant TLAST_WIDTH : integer := 1; -- bits Constant DATA_FIFO_DEPTH : integer := C_SF_FIFO_DEPTH; Constant DATA_FIFO_CNT_WIDTH : integer := funct_get_fifo_cnt_width(DATA_FIFO_DEPTH); Constant DF_WRCNT_RIP_LS_INDEX : integer := funct_get_wrcnt_lsrip(C_MAX_BURST_LEN); Constant DATA_FIFO_WIDTH : integer := C_STREAM_DWIDTH+ WSTB_WIDTH + TLAST_WIDTH; Constant DATA_OUT_MSB_INDEX : integer := C_STREAM_DWIDTH-1; Constant DATA_OUT_LSB_INDEX : integer := 0; Constant TSTRB_OUT_LSB_INDEX : integer := DATA_OUT_MSB_INDEX+1; Constant TSTRB_OUT_MSB_INDEX : integer := (TSTRB_OUT_LSB_INDEX+WSTB_WIDTH)-1; Constant TLAST_OUT_INDEX : integer := TSTRB_OUT_MSB_INDEX+1; Constant DBEAT_CNTR_WIDTH : integer := funct_get_dbcntr_width(C_MAX_BURST_LEN); Constant MAX_BURST_DBEATS : Unsigned(DBEAT_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(C_MAX_BURST_LEN-1, DBEAT_CNTR_WIDTH); Constant DBC_ONE : Unsigned(DBEAT_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, DBEAT_CNTR_WIDTH); Constant TOKEN_POOL_SIZE : integer := C_SF_FIFO_DEPTH / C_MAX_BURST_LEN; Constant TOKEN_CNTR_WIDTH : integer := clog2(TOKEN_POOL_SIZE)+1; Constant TOKEN_CNT_ZERO : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(0, TOKEN_CNTR_WIDTH); Constant TOKEN_CNT_ONE : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, TOKEN_CNTR_WIDTH); Constant TOKEN_CNT_MAX : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(TOKEN_POOL_SIZE, TOKEN_CNTR_WIDTH); Constant THRESH_COMPARE_WIDTH : integer := TOKEN_CNTR_WIDTH+2; Constant RD_PATH_PIPE_DEPTH : integer := 2; -- clocks excluding DRE Constant WRCNT_SETTLING_TIME : integer := 2; -- data fifo push or pop settling clocks Constant RD_ADDR_POST_STALL_THRESH : integer := funct_get_stall_thresh(C_DRE_IS_USED , C_MAX_BURST_LEN , C_SF_FIFO_DEPTH , RD_PATH_PIPE_DEPTH , WRCNT_SETTLING_TIME); Constant RD_ADDR_POST_STALL_THRESH_US : Unsigned(THRESH_COMPARE_WIDTH-1 downto 0) := TO_UNSIGNED(RD_ADDR_POST_STALL_THRESH , THRESH_COMPARE_WIDTH); Constant WR_LEN_FIFO_DWIDTH : integer := 8; Constant WR_LEN_FIFO_DEPTH : integer := funct_get_pwr2_depth(C_WR_ADDR_PIPE_DEPTH + 2); Constant LEN_CNTR_WIDTH : integer := 8; Constant LEN_CNT_ZERO : Unsigned(LEN_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(0, LEN_CNTR_WIDTH); Constant LEN_CNT_ONE : Unsigned(LEN_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, LEN_CNTR_WIDTH); Constant WR_XFER_CNTR_WIDTH : integer := 8; Constant WR_XFER_CNT_ZERO : Unsigned(WR_XFER_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(0, WR_XFER_CNTR_WIDTH); Constant WR_XFER_CNT_ONE : Unsigned(WR_XFER_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, WR_XFER_CNTR_WIDTH); Constant UNCOM_WRCNT_1 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(1, DATA_FIFO_CNT_WIDTH); Constant UNCOM_WRCNT_0 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(0, DATA_FIFO_CNT_WIDTH); -- Signals --------------------------------------------------------------------------- signal sig_good_sin_strm_dbeat : std_logic := '0'; signal sig_strm_sin_ready : std_logic := '0'; signal sig_sout2sf_tready : std_logic := '0'; signal sig_sf2sout_tvalid : std_logic := '0'; signal sig_sf2sout_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_sf2sout_tkeep : std_logic_vector(WSTB_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2sout_tlast : std_logic := '0'; signal sig_push_data_fifo : std_logic := '0'; signal sig_pop_data_fifo : std_logic := '0'; signal sig_data_fifo_full : std_logic := '0'; signal sig_data_fifo_data_in : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_data_fifo_dvalid : std_logic := '0'; signal sig_data_fifo_data_out : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0'); signal sig_data_fifo_wr_cnt : std_logic_vector(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_wr_cnt_unsgnd : unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0'); signal sig_wrcnt_mblen_slice : unsigned(DATA_FIFO_CNT_WIDTH-1 downto DF_WRCNT_RIP_LS_INDEX) := (others => '0'); signal sig_ok_to_post_rd_addr : std_logic := '0'; signal sig_rd_addr_posted : std_logic := '0'; signal sig_rd_xfer_cmplt : std_logic := '0'; signal sig_taking_last_token : std_logic := '0'; signal sig_stall_rd_addr_posts : std_logic := '0'; signal sig_incr_token_cntr : std_logic := '0'; signal sig_decr_token_cntr : std_logic := '0'; signal sig_token_eq_max : std_logic := '0'; signal sig_token_eq_zero : std_logic := '0'; signal sig_token_eq_one : std_logic := '0'; signal sig_token_cntr : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_tokens_commited : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_commit_plus_actual : unsigned(THRESH_COMPARE_WIDTH-1 downto 0) := (others => '0'); signal sig_ok_to_post_wr_addr : std_logic := '0'; signal sig_wr_addr_posted : std_logic := '0'; signal sig_wr_xfer_cmplt : std_logic := '0'; signal sig_wr_ld_nxt_len : std_logic := '0'; signal sig_push_len_fifo : std_logic := '0'; signal sig_pop_len_fifo : std_logic := '0'; signal sig_len_fifo_full : std_logic := '0'; signal sig_len_fifo_empty : std_logic := '0'; signal sig_len_fifo_data_in : std_logic_vector(WR_LEN_FIFO_DWIDTH-1 downto 0) := (others => '0'); signal sig_len_fifo_data_out : std_logic_vector(WR_LEN_FIFO_DWIDTH-1 downto 0) := (others => '0'); signal sig_len_fifo_len_out_un : unsigned(WR_LEN_FIFO_DWIDTH-1 downto 0) := (others => '0'); signal sig_uncom_wrcnt : unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0'); signal sig_sub_len_uncom_wrcnt : std_logic := '0'; signal sig_incr_uncom_wrcnt : std_logic := '0'; signal sig_resized_fifo_len : unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0'); signal sig_num_wr_dbeats_needed : unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0'); signal sig_enough_dbeats_rcvd : std_logic := '0'; begin --(architecture implementation) -- Read Side (MM2S) Control Flags port connections ok_to_post_rd_addr <= sig_ok_to_post_rd_addr ; sig_rd_addr_posted <= rd_addr_posted ; sig_rd_xfer_cmplt <= rd_xfer_cmplt ; -- Write Side (S2MM) Control Flags port connections ok_to_post_wr_addr <= sig_ok_to_post_wr_addr ; sig_wr_addr_posted <= wr_addr_posted ; sig_wr_xfer_cmplt <= wr_xfer_cmplt ; sig_wr_ld_nxt_len <= wr_ld_nxt_len ; sig_len_fifo_data_in <= wr_len ; -- Output Stream Port connections sig_sout2sf_tready <= sout2sf_tready ; sf2sout_tvalid <= sig_sf2sout_tvalid ; sf2sout_tdata <= sig_sf2sout_tdata ; sf2sout_tkeep <= sig_sf2sout_tkeep ; sf2sout_tlast <= sig_sf2sout_tlast and sig_sf2sout_tvalid ; -- Input Stream port connections sf2sin_tready <= sig_strm_sin_ready; sig_strm_sin_ready <= not(sig_data_fifo_full); -- Throttle if Read Side Data fifo goes full. -- This should never happen if read address -- posting control is working properly. sig_good_sin_strm_dbeat <= sin2sf_tvalid and sig_strm_sin_ready; ---------------------------------------------------------------- -- Token Counter Logic -- Predicting fifo space availability at some point in the -- future is based on managing a virtual pool of transfer tokens. -- A token represents 1 max length burst worth of space in the -- Data FIFO. ---------------------------------------------------------------- -- calculate how many tokens are commited to pending transfers sig_tokens_commited <= TOKEN_CNT_MAX - sig_token_cntr; -- Decrement the token counter when a token is -- borrowed sig_decr_token_cntr <= '1' when (sig_rd_addr_posted = '1' and sig_token_eq_zero = '0') else '0'; -- Increment the token counter when a -- token is returned. sig_incr_token_cntr <= '1' when (sig_rd_xfer_cmplt = '1' and sig_token_eq_max = '0') else '0'; -- Detect when the xfer token count is at max value sig_token_eq_max <= '1' when (sig_token_cntr = TOKEN_CNT_MAX) Else '0'; -- Detect when the xfer token count is at one sig_token_eq_one <= '1' when (sig_token_cntr = TOKEN_CNT_ONE) Else '0'; -- Detect when the xfer token count is at zero sig_token_eq_zero <= '1' when (sig_token_cntr = TOKEN_CNT_ZERO) Else '0'; -- Look ahead to see if the xfer token pool is going empty sig_taking_last_token <= '1' When (sig_token_eq_one = '1' and sig_rd_addr_posted = '1') Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_TOKEN_CMTR -- -- Process Description: -- Implements the Token counter -- ------------------------------------------------------------- IMP_TOKEN_CMTR : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1' ) then sig_token_cntr <= TOKEN_CNT_MAX; elsif (sig_incr_token_cntr = '1' and sig_decr_token_cntr = '0') then sig_token_cntr <= sig_token_cntr + TOKEN_CNT_ONE; elsif (sig_incr_token_cntr = '0' and sig_decr_token_cntr = '1') then sig_token_cntr <= sig_token_cntr - TOKEN_CNT_ONE; else null; -- hold current value end if; end if; end process IMP_TOKEN_CMTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_TOKEN_AVAIL_FLAG -- -- Process Description: -- Implements the flag indicating that the AXI Read Master -- can post a read address request on the AXI4 bus. -- -- Read address posting can occur if: -- -- - The write side LEN fifo is not empty. -- - The commited plus actual Data FIFO space is less than -- the stall threshold (a max length read burst can fit -- in the data FIFO without overflow). -- - The max allowed commited read count has not been reached. -- -- The flag is cleared after each address has been posted to -- ensure a second unauthotized post occurs. ------------------------------------------------------------- IMP_TOKEN_AVAIL_FLAG : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1' or sig_rd_addr_posted = '1') then sig_ok_to_post_rd_addr <= '0'; else sig_ok_to_post_rd_addr <= not(sig_stall_rd_addr_posts) and -- the commited Data FIFO space is approaching full not(sig_token_eq_zero) and -- max allowed pending reads has not been reached not(sig_taking_last_token); -- the max allowed pending reads is about to be reached end if; end if; end process IMP_TOKEN_AVAIL_FLAG; ---------------------------------------------------------------- -- Data FIFO Logic ------------------------------------------ ---------------------------------------------------------------- -- FIFO Output to output stream attachments sig_sf2sout_tvalid <= sig_data_fifo_dvalid ; sig_sf2sout_tdata <= sig_data_fifo_data_out(DATA_OUT_MSB_INDEX downto DATA_OUT_LSB_INDEX); sig_sf2sout_tkeep <= sig_data_fifo_data_out(TSTRB_OUT_MSB_INDEX downto TSTRB_OUT_LSB_INDEX); sig_sf2sout_tlast <= sig_data_fifo_data_out(TLAST_OUT_INDEX) ; -- Stall Threshold calculations sig_fifo_wr_cnt_unsgnd <= UNSIGNED(sig_data_fifo_wr_cnt); sig_wrcnt_mblen_slice <= sig_fifo_wr_cnt_unsgnd(DATA_FIFO_CNT_WIDTH-1 downto DF_WRCNT_RIP_LS_INDEX); sig_commit_plus_actual <= RESIZE(sig_tokens_commited, THRESH_COMPARE_WIDTH) + RESIZE(sig_wrcnt_mblen_slice, THRESH_COMPARE_WIDTH); -- Compare the commited read space plus the actual used space against the -- stall threshold. Assert the read address posting stall flag if the -- threshold is met or exceeded. sig_stall_rd_addr_posts <= '1' when (sig_commit_plus_actual > RD_ADDR_POST_STALL_THRESH_US) Else '0'; -- FIFO Rd/WR Controls sig_push_data_fifo <= sig_good_sin_strm_dbeat; sig_pop_data_fifo <= sig_sout2sf_tready and sig_data_fifo_dvalid; -- Concatonate the Stream inputs into the single FIFO data in value sig_data_fifo_data_in <= sin2sf_tlast & sin2sf_tkeep & sin2sf_tdata; ------------------------------------------------------------ -- Instance: I_DATA_FIFO -- -- Description: -- Implements the Store and Forward data FIFO (synchronous) -- ------------------------------------------------------------ I_DATA_FIFO : entity axi_cdma_v4_1_14.axi_cdma_sfifo_autord generic map ( C_DWIDTH => DATA_FIFO_WIDTH , C_DEPTH => DATA_FIFO_DEPTH , C_DATA_CNT_WIDTH => DATA_FIFO_CNT_WIDTH , C_NEED_ALMOST_EMPTY => NOT_NEEDED , C_NEED_ALMOST_FULL => NOT_NEEDED , C_USE_BLKMEM => BLK_MEM_FIFO , C_FAMILY => C_FAMILY ) port map ( -- Inputs SFIFO_Sinit => reset , SFIFO_Clk => aclk , SFIFO_Wr_en => sig_push_data_fifo , SFIFO_Din => sig_data_fifo_data_in , SFIFO_Rd_en => sig_pop_data_fifo , SFIFO_Clr_Rd_Data_Valid => LOGIC_LOW , -- Outputs SFIFO_DValid => sig_data_fifo_dvalid , SFIFO_Dout => sig_data_fifo_data_out , SFIFO_Full => sig_data_fifo_full , SFIFO_Empty => open , SFIFO_Almost_full => open , SFIFO_Almost_empty => open , SFIFO_Rd_count => open , SFIFO_Rd_count_minus1 => open , SFIFO_Wr_count => sig_data_fifo_wr_cnt , SFIFO_Rd_ack => open ); -------------------------------------------------------------------- -- Write Side Control Logic -------------------------------------------------------------------- -- Convert the LEN fifo data output to unsigned sig_len_fifo_len_out_un <= unsigned(sig_len_fifo_data_out); -- Resize the unsigned LEN output to the Data FIFO writecount width sig_resized_fifo_len <= RESIZE(sig_len_fifo_len_out_un , DATA_FIFO_CNT_WIDTH); -- The actual number of databeats needed for the queued write transfer -- is the current LEN fifo output plus 1. sig_num_wr_dbeats_needed <= sig_resized_fifo_len + UNCOM_WRCNT_1; -- Compare the uncommited receved data beat count to that needed -- for the next queued write request. sig_enough_dbeats_rcvd <= '1' When (sig_num_wr_dbeats_needed <= sig_uncom_wrcnt) else '0'; -- Increment the uncommited databeat counter on a good input -- stream databeat (Read Side of SF) sig_incr_uncom_wrcnt <= sig_good_sin_strm_dbeat; -- Subtract the current number of databeats needed from the -- uncommited databeat counter when the associated transfer -- address/qualifiers have been posted to the AXI Write -- Address Channel sig_sub_len_uncom_wrcnt <= sig_wr_addr_posted; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_UNCOM_DBEAT_CNTR -- -- Process Description: -- Implements the counter that keeps track of the received read -- data beat count that has not been commited to a transfer on -- the write side with a Write Address posting. -- ------------------------------------------------------------- IMP_UNCOM_DBEAT_CNTR : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1') then sig_uncom_wrcnt <= UNCOM_WRCNT_0; elsif (sig_incr_uncom_wrcnt = '1' and sig_sub_len_uncom_wrcnt = '1') then sig_uncom_wrcnt <= sig_uncom_wrcnt - sig_resized_fifo_len; elsif (sig_incr_uncom_wrcnt = '1' and sig_sub_len_uncom_wrcnt = '0') then sig_uncom_wrcnt <= sig_uncom_wrcnt + UNCOM_WRCNT_1; elsif (sig_incr_uncom_wrcnt = '0' and sig_sub_len_uncom_wrcnt = '1') then sig_uncom_wrcnt <= sig_uncom_wrcnt - sig_num_wr_dbeats_needed; else null; -- hold current value end if; end if; end process IMP_UNCOM_DBEAT_CNTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_WR_ADDR_POST_FLAG -- -- Process Description: -- Implements the flag indicating that the pending write -- transfer's data beat count has been received on the input -- side of the Data FIFO. This means the Write side can post -- the associated write address to the AXI4 bus and the -- associated write data transfer can complete without CDMA -- throttling the Write Data Channel. -- -- The flag is cleared immediately after an address is posted -- to prohibit a second unauthorized posting while the control -- logic stabilizes to the next LEN FIFO value --. ------------------------------------------------------------- IMP_WR_ADDR_POST_FLAG : process (aclk) begin if (aclk'event and aclk = '1') then if (reset = '1' or sig_wr_addr_posted = '1') then sig_ok_to_post_wr_addr <= '0'; else sig_ok_to_post_wr_addr <= not(sig_len_fifo_empty) and sig_enough_dbeats_rcvd; end if; end if; end process IMP_WR_ADDR_POST_FLAG; ------------------------------------------------------------- -- LEN FIFO logic sig_push_len_fifo <= sig_wr_ld_nxt_len and not(sig_len_fifo_full); sig_pop_len_fifo <= wr_addr_posted and not(sig_len_fifo_empty); ------------------------------------------------------------ -- Instance: I_WR_LEN_FIFO -- -- Description: -- Implement the LEN FIFO using SRL FIFO elements -- ------------------------------------------------------------ I_WR_LEN_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f generic map ( C_DWIDTH => WR_LEN_FIFO_DWIDTH , C_DEPTH => WR_LEN_FIFO_DEPTH , C_FAMILY => C_FAMILY ) port map ( Clk => aclk , Reset => reset , FIFO_Write => sig_push_len_fifo , Data_In => sig_len_fifo_data_in , FIFO_Read => sig_pop_len_fifo , Data_Out => sig_len_fifo_data_out , FIFO_Empty => sig_len_fifo_empty , FIFO_Full => sig_len_fifo_full , Addr => open ); end implementation; ------------------------------------------------------------------------------- -- axi_cdma_simple_cntlr ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_simple_cntlr.vhd -- Description: This entity is reset module entity for the AXI DMA core. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.all; ------------------------------------------------------------------------------- entity axi_cdma_simple_cntlr is Generic ( C_DM_CMD_WIDTH : integer := 72; C_DM_DATA_WIDTH : integer := 32; C_DM_MM2S_STATUS_WIDTH : integer := 8; C_DM_S2MM_STATUS_WIDTH : integer := 8; C_ADDR_WIDTH : integer := 32; C_BTT_WIDTH : integer := 23; C_FAMILY : String := "virtex7" ); port ( -- Clock Input axi_aclk : in std_logic ; -- Reset Input (active high) axi_reset : in std_logic ; -- Halt request from the Reset module rst2cntlr_halt : in std_logic ; -- Halt complete status to the Reset module cntlr2rst_halt_cmplt : out std_logic ; -- Register Module transfer Start Control reg2cntlr_go : in std_logic ; -- Register Module SG Mode Control reg2cntlr_sg_mode : in std_logic ; -- MM2S Type of Burst, 1 is increment, 0 is fixed burst_type_read : in std_logic; -- S2MM Type of Burst, 1 is increment, 0 is fixed burst_type_write : in std_logic; -- Transfer Source address from the Register Module reg2cntlr_src_addr : in std_logic_vector(C_ADDR_WIDTH-1 downto 0); -- Transfer Destination address from the Register Module reg2cntlr_dest_addr : in std_logic_vector(C_ADDR_WIDTH-1 downto 0); -- Transfer BTT from the Register Module reg2cntlr_btt : in std_logic_vector(C_BTT_WIDTH-1 downto 0); -- Register Module Status Register Idle Bit set control cntlr2reg_idle_set : out std_logic ; -- Register Module Status Register Idle Bit clear control cntlr2reg_idle_clr : out std_logic ; -- Register Module Status Register Interrupt on Complete Bit set control cntlr2reg_iocirpt_set : out std_logic ; -- Register Module DataMover decode Error Status bit set control cntlr2reg_decerr_set : out std_logic ; -- Register Module DataMover slave Error Status bit set control cntlr2reg_slverr_set : out std_logic ; -- Register Module DataMover internal Error Status bit set control cntlr2reg_interr_set : out std_logic ; -- DataMover MM2S Command ready (AXI Stream) mm2s2cntl_cmd_tready : in std_logic ; -- DataMover MM2S Command tvalid (AXI Stream) cntl2mm2s_cmd_tvalid : out std_logic ; -- DataMover MM2S Command Data (AXI Stream) cntl2mm2s_cmd_tdata : out std_logic_vector(C_DM_CMD_WIDTH-1 downto 0); -- DataMover MM2S Status ready (AXI Stream) cntl2mm2s_sts_tready : out std_logic ; -- DataMover MM2S Status valid (AXI Stream) mm2s2cntl_sts_tvalid : in std_logic ; -- DataMover MM2S Status Data (AXI Stream) mm2s2cntl_sts_tdata : in std_logic_vector(C_DM_MM2S_STATUS_WIDTH-1 downto 0); -- DataMover MM2S Status strb (AXI Stream) mm2s2cntl_sts_tstrb : in std_logic_vector((C_DM_MM2S_STATUS_WIDTH/8)-1 downto 0); -- DataMover MM2S error discrete mm2s2cntl_err : in std_logic ; -- DataMover S2MM Command ready (AXI Stream) s2mm2cntl_cmd_tready : in std_logic ; -- DataMover S2MM Command tvalid (AXI Stream) cntl2s2mm_cmd_tvalid : out std_logic ; -- DataMover S2MM Command Data (AXI Stream) cntl2s2mm_cmd_tdata : out std_logic_vector(C_DM_CMD_WIDTH-1 downto 0); -- DataMover S2MM Status ready (AXI Stream) cntl2s2mm_sts_tready : out std_logic ; -- DataMover S2MM Status valid (AXI Stream) s2mm2cntl_sts_tvalid : in std_logic ; -- DataMover S2MM Status Data (AXI Stream) s2mm2cntl_sts_tdata : in std_logic_vector(C_DM_S2MM_STATUS_WIDTH-1 downto 0); -- DataMover S2MM error discrete s2mm2cntl_sts_tstrb : in std_logic_vector((C_DM_S2MM_STATUS_WIDTH/8)-1 downto 0); -- DataMover S2MM error discrete s2mm2cntl_err : in std_logic ); end axi_cdma_simple_cntlr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_cdma_simple_cntlr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_calc_offset_bits -- -- Function Description: -- Calculates the width of the destination address offset bits -- needed for populating the MM2S Command DSA field. -- ------------------------------------------------------------------- function funct_calc_offset_bits (data_width : integer) return integer is Variable lvar_bits_needed : Integer := 0; begin case data_width is when 32 => lvar_bits_needed := 2; when 64 => lvar_bits_needed := 3; when 128 => lvar_bits_needed := 4; when 256 => lvar_bits_needed := 5; when 512 => lvar_bits_needed := 6; when others => -- 256 bits lvar_bits_needed := 5; end case; Return (lvar_bits_needed); end function funct_calc_offset_bits; ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- Constant NO_SYNCHRONIZERS : integer := 0; Constant POSITIVE_EDGE_TRIGGER : integer := 1; Constant NEGATIVE_EDGE_TRIGGER : integer := 0; Constant TWO_CLKS : integer := 2; Constant ONE_CLK : integer := 1; Constant CMD_TAG_WIDTH : integer := 4; Constant CMD_DSA_WIDTH : integer := 6; Constant DSA_ADDR_OFFSET_WIDTH : integer := funct_calc_offset_bits(C_DM_DATA_WIDTH); Constant CMD_RSVD : std_logic_vector(3 downto 0) := (others => '0'); Constant CMD_DSA_ZEROED : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0'); Constant BTT_ZERO : std_logic_vector(C_BTT_WIDTH-1 downto 0) := (others => '0'); Constant STS_INTERR_INDEX : integer := 4; Constant STS_DECERR_INDEX : integer := 5; Constant STS_SLVERR_INDEX : integer := 6; Constant STS_OK_INDEX : integer := 7; ------------------------------------------------------------------------------- -- Type Declarations ------------------------------------------------------------------------------- type cdma_sm_type is ( INIT , WAIT_FOR_GO , LD_DM_CMD , GET_MM2S_STATUS, GET_S2MM_STATUS, SCORE_STATUS , XFER_DONE , ERROR_TRAP ); ------------------------------------------------------------------------------- -- Signal Declarations ------------------------------------------------------------------------------- signal sig_sm_state : cdma_sm_type := INIT; signal sig_sm_state_ns : cdma_sm_type := INIT; signal sig_sm_ld_cmd : std_logic := '0'; signal sig_sm_ld_cmd_ns : std_logic := '0'; signal sig_sm_set_idle : std_logic := '0'; signal sig_sm_set_idle_ns : std_logic := '0'; signal sig_sm_clr_idle : std_logic := '0'; signal sig_sm_clr_idle_ns : std_logic := '0'; signal sig_sm_set_ioc : std_logic := '0'; signal sig_sm_set_ioc_ns : std_logic := '0'; signal sig_sm_set_err : std_logic := '0'; signal sig_sm_set_err_ns : std_logic := '0'; signal sig_sm_pop_mm2s_sts : std_logic := '0'; signal sig_sm_pop_mm2s_sts_ns : std_logic := '0'; signal sig_sm_pop_s2mm_sts : std_logic := '0'; signal sig_sm_pop_s2mm_sts_ns : std_logic := '0'; signal sig_mm2s_s2mm_cmd_rdy : std_logic := '0'; signal sig_cdma_xfer_go : std_logic := '0'; signal sig_mm2s_cmd : std_logic_vector(C_DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_cmd_valid : std_logic := '0'; signal sig_mm2s_cmd_ready : std_logic := '0'; signal sig_mm2s_sts_tready : std_logic ; signal sig_mm2s_sts_tvalid : std_logic ; signal sig_mm2s_sts_tdata : std_logic_vector(C_DM_MM2S_STATUS_WIDTH-1 downto 0); signal sig_mm2s_sts_tstrb : std_logic_vector((C_DM_MM2S_STATUS_WIDTH/8)-1 downto 0); signal sig_s2mm_cmd : std_logic_vector(C_DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_s2mm_cmd_valid : std_logic := '0'; signal sig_s2mm_cmd_ready : std_logic := '0'; signal sig_s2mm_sts_tready : std_logic ; signal sig_s2mm_sts_tvalid : std_logic ; signal sig_s2mm_sts_tdata : std_logic_vector(C_DM_MM2S_STATUS_WIDTH-1 downto 0); signal sig_s2mm_sts_tstrb : std_logic_vector((C_DM_MM2S_STATUS_WIDTH/8)-1 downto 0); signal sig_cmd_tag : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_cntr : unsigned(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_dsa_offset : std_logic_vector(DSA_ADDR_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_dsa_field : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_status_reg : std_logic_vector(C_DM_MM2S_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_s2mm_status_reg : std_logic_vector(C_DM_MM2S_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_slverr : std_logic := '0'; signal sig_mm2s_decerr : std_logic := '0'; signal sig_mm2s_interr : std_logic := '0'; signal sig_mm2s_ok : std_logic := '0'; signal sig_s2mm_slverr : std_logic := '0'; signal sig_s2mm_decerr : std_logic := '0'; signal sig_s2mm_interr : std_logic := '0'; signal sig_s2mm_ok : std_logic := '0'; signal sig_mm2s2cntl_err : std_logic := '0'; signal sig_s2mm2cntl_err : std_logic := '0'; signal sig_halt_request : std_logic := '0'; signal sig_halt_cmplt_reg : std_logic := '0'; signal sig_composite_error : std_logic := '0'; signal type_of_burst : std_logic; signal type_of_burst_wr : std_logic; signal ZERO_WORD : std_logic_vector (31 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- Misc logic ------------------------------------------------------------------------------- -- GO signal to start the transfer from the Register Module sig_cdma_xfer_go <= reg2cntlr_go; -- See if DataMover is ready for next command sig_mm2s_s2mm_cmd_rdy <= sig_mm2s_cmd_ready and sig_s2mm_cmd_ready; -- Since only 1 parent command per CDMA transfer is allowed, a revolving -- TAG count is not needed for debug support. sig_cmd_tag <= (others => '0'); ------------------------------------------------------------------------------- -- MM2S Command Generation ------------------------------------------------------------------------------- cntl2mm2s_cmd_tdata <= sig_mm2s_cmd ; cntl2mm2s_cmd_tvalid <= sig_mm2s_cmd_valid ; sig_mm2s_cmd_ready <= mm2s2cntl_cmd_tready ; sig_mm2s_cmd_valid <= sig_sm_ld_cmd ; type_of_burst <= '1' and (not burst_type_read); -- Formulate the MM2S Command sig_mm2s_cmd <= CMD_RSVD & -- reserved sig_cmd_tag & -- Tag reg2cntlr_src_addr & -- Address '1' & -- DRR bit '1' & -- EOF bit sig_mm2s_dsa_field & -- DSA Field Assignment type_of_burst & -- '1' & -- Incrementing burst type reg2cntlr_btt ; -- BTT -- Rip the Destnation address offset bits --ORIGINAL : if C_DM_DATA_WIDTH <= 64 generate --begin -- Rip the Destnation address offset bits sig_mm2s_dsa_offset <= reg2cntlr_dest_addr(DSA_ADDR_OFFSET_WIDTH-1 downto 0); --end generate ORIGINAL; --NEWDRE : if C_DM_DATA_WIDTH > 64 generate --begin -- -- Rip the Destnation address offset bits -- sig_mm2s_dsa_offset <= (others => '0'); --end generate NEWDRE; -- Size the dest addr offset to the DSA field width sig_mm2s_dsa_field <= STD_LOGIC_VECTOR(RESIZE(UNSIGNED(sig_mm2s_dsa_offset), CMD_DSA_WIDTH)); ------------------------------------------------------------------------------- -- MM2S Status Reg and logic ------------------------------------------------------------------------------- cntl2mm2s_sts_tready <= sig_sm_pop_mm2s_sts ; sig_mm2s_sts_tvalid <= mm2s2cntl_sts_tvalid ; sig_mm2s_sts_tdata <= mm2s2cntl_sts_tdata ; sig_mm2s_sts_tstrb <= mm2s2cntl_sts_tstrb ; -- DataMover MM2S Error discrete sig_mm2s2cntl_err <= mm2s2cntl_err ; -- Rip the status bits from the status register sig_mm2s_interr <= sig_mm2s_status_reg(STS_INTERR_INDEX); sig_mm2s_decerr <= sig_mm2s_status_reg(STS_DECERR_INDEX); sig_mm2s_slverr <= sig_mm2s_status_reg(STS_SLVERR_INDEX); sig_mm2s_ok <= sig_mm2s_status_reg(STS_OK_INDEX) ; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_MM2S_STATUS_REG -- -- Process Description: -- Implements the MM2S status reply holding register. -- ------------------------------------------------------------- IMP_MM2S_STATUS_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_sm_set_idle = '1') then sig_mm2s_status_reg <= (others => '0'); elsif (sig_sm_pop_mm2s_sts = '1') then sig_mm2s_status_reg <= sig_mm2s_sts_tdata; else null; -- hold current state end if; end if; end process IMP_MM2S_STATUS_REG; ------------------------------------------------------------------------------- -- S2MM Command Generation ------------------------------------------------------------------------------- cntl2s2mm_cmd_tdata <= sig_s2mm_cmd ; cntl2s2mm_cmd_tvalid <= sig_s2mm_cmd_valid ; sig_s2mm_cmd_ready <= s2mm2cntl_cmd_tready ; sig_s2mm_cmd_valid <= sig_sm_ld_cmd ; type_of_burst_wr <= '1' and (not burst_type_write); -- Formulate the S2MM Command sig_s2mm_cmd <= CMD_RSVD & -- reserved sig_cmd_tag & -- Tag reg2cntlr_dest_addr & -- Address '1' & -- DRR bit '1' & -- EOF bit CMD_DSA_ZEROED & -- DSA Field Assignment type_of_burst_wr & -- 1 is increment, 0 is fixed -- '1' & -- Incrementing burst type reg2cntlr_btt ; -- BTT ------------------------------------------------------------------------------- -- S2MM Status Reg and logic ------------------------------------------------------------------------------- cntl2s2mm_sts_tready <= sig_sm_pop_s2mm_sts ; sig_s2mm_sts_tvalid <= s2mm2cntl_sts_tvalid ; sig_s2mm_sts_tdata <= s2mm2cntl_sts_tdata ; sig_s2mm_sts_tstrb <= s2mm2cntl_sts_tstrb ; -- DataMover S2MM Error discrete sig_s2mm2cntl_err <= s2mm2cntl_err ; -- Rip the status bits from the status register sig_s2mm_interr <= sig_s2mm_status_reg(STS_INTERR_INDEX); sig_s2mm_decerr <= sig_s2mm_status_reg(STS_DECERR_INDEX); sig_s2mm_slverr <= sig_s2mm_status_reg(STS_SLVERR_INDEX); sig_s2mm_ok <= sig_s2mm_status_reg(STS_OK_INDEX) ; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_S2MM_STATUS_REG -- -- Process Description: -- Implements the MM2S status reply holding register. -- ------------------------------------------------------------- IMP_S2MM_STATUS_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_sm_set_idle = '1') then sig_s2mm_status_reg <= (others => '0'); elsif (sig_sm_pop_s2mm_sts = '1') then sig_s2mm_status_reg <= sig_s2mm_sts_tdata; else null; -- hold current state end if; end if; end process IMP_S2MM_STATUS_REG; ------------------------------------------------------------------------------- -- Bit Set logic to Register Module ------------------------------------------------------------------------------- -- Idle bit set and clear cntlr2reg_idle_set <= sig_sm_set_idle; cntlr2reg_idle_clr <= sig_sm_clr_idle; -- Set the interrupt on Complete cntlr2reg_iocirpt_set <= sig_sm_set_ioc; -- Decode error set logic cntlr2reg_decerr_set <= sig_sm_set_err and (sig_s2mm_decerr or sig_mm2s_decerr); -- Slave error set logic cntlr2reg_slverr_set <= sig_sm_set_err and (sig_s2mm_slverr or sig_mm2s_slverr); -- Slave error set logic cntlr2reg_interr_set <= sig_sm_set_err and (sig_s2mm_interr or sig_s2mm2cntl_err or sig_mm2s_interr or sig_mm2s2cntl_err); -- Composite error flag used by the state machine sig_composite_error <= sig_s2mm_decerr or sig_mm2s_decerr or sig_s2mm_slverr or sig_mm2s_slverr or sig_s2mm_interr or sig_s2mm2cntl_err or sig_mm2s_interr or sig_mm2s2cntl_err; ------------------------------------------------------------------------------- -- HALT Logic (Soft Reset) ------------------------------------------------------------------------------- -- HALT logic cntlr2rst_halt_cmplt <= sig_halt_cmplt_reg; sig_halt_request <= rst2cntlr_halt; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_CMPLT_REG -- -- Process Description: -- Implements the MM2S status reply holding register. -- ------------------------------------------------------------- IMP_HALT_CMPLT_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_halt_cmplt_reg <= '0'; elsif (sig_sm_set_idle = '1' and sig_halt_request = '1') then sig_halt_cmplt_reg <= '1'; else null; -- hold current state end if; end if; end process IMP_HALT_CMPLT_REG; ------------------------------------------------------------------------------- -- Simple DMA State Machine ------------------------------------------------------------------------------- ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_CDMA_SM_COMB -- -- Process Description: -- Implements the combinatorial portion of the CDMA simple -- DMA state machine. -- ------------------------------------------------------------- IMP_CDMA_SM_COMB : process (sig_sm_state , sig_cdma_xfer_go , sig_mm2s_s2mm_cmd_rdy, sig_mm2s_sts_tvalid , sig_s2mm_sts_tvalid , sig_composite_error ) begin -- assign the default values sig_sm_state_ns <= INIT ; sig_sm_ld_cmd_ns <= '0' ; sig_sm_set_idle_ns <= '0' ; sig_sm_clr_idle_ns <= '0' ; sig_sm_set_ioc_ns <= '0' ; sig_sm_set_err_ns <= '0' ; sig_sm_pop_mm2s_sts_ns <= '0' ; sig_sm_pop_s2mm_sts_ns <= '0' ; case sig_sm_state is --------------------------------- when INIT => sig_sm_state_ns <= WAIT_FOR_GO ; sig_sm_set_idle_ns <= '1' ; --------------------------------- when WAIT_FOR_GO => if (sig_cdma_xfer_go = '1' and sig_mm2s_s2mm_cmd_rdy = '1') then sig_sm_state_ns <= LD_DM_CMD ; sig_sm_clr_idle_ns <= '1' ; else sig_sm_state_ns <= WAIT_FOR_GO ; end if; --------------------------------- when LD_DM_CMD => sig_sm_state_ns <= GET_MM2S_STATUS ; sig_sm_ld_cmd_ns <= '1' ; --------------------------------- when GET_MM2S_STATUS => if (sig_mm2s_sts_tvalid = '1') then sig_sm_state_ns <= GET_S2MM_STATUS ; sig_sm_pop_mm2s_sts_ns <= '1' ; else sig_sm_state_ns <= GET_MM2S_STATUS ; end if; --------------------------------- when GET_S2MM_STATUS => if (sig_s2mm_sts_tvalid = '1') then sig_sm_state_ns <= SCORE_STATUS ; sig_sm_pop_s2mm_sts_ns <= '1' ; else sig_sm_state_ns <= GET_S2MM_STATUS ; end if; --------------------------------- when SCORE_STATUS => sig_sm_state_ns <= XFER_DONE ; sig_sm_set_err_ns <= '1' ; --------------------------------- when XFER_DONE => sig_sm_set_ioc_ns <= '1' ; sig_sm_set_idle_ns <= '1' ; if (sig_composite_error = '1') then sig_sm_state_ns <= ERROR_TRAP ; else sig_sm_state_ns <= WAIT_FOR_GO ; end if; --------------------------------- when ERROR_TRAP => sig_sm_state_ns <= ERROR_TRAP ; --------------------------------- when others => sig_sm_state_ns <= INIT ; end case; end process IMP_CDMA_SM_COMB; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CDMA_SM_REG -- -- Process Description: -- Implements the registered portion of the CDMA simple -- DMA state machine. -- ------------------------------------------------------------- IMP_CDMA_SM_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_halt_request = '1') then sig_sm_state <= INIT ; sig_sm_ld_cmd <= '0' ; sig_sm_set_idle <= '1' ; sig_sm_clr_idle <= '0' ; sig_sm_set_ioc <= '0' ; sig_sm_set_err <= '0' ; sig_sm_pop_mm2s_sts <= '0' ; sig_sm_pop_s2mm_sts <= '0' ; else sig_sm_state <= sig_sm_state_ns ; sig_sm_ld_cmd <= sig_sm_ld_cmd_ns ; sig_sm_set_idle <= sig_sm_set_idle_ns ; sig_sm_clr_idle <= sig_sm_clr_idle_ns ; sig_sm_set_ioc <= sig_sm_set_ioc_ns ; sig_sm_set_err <= sig_sm_set_err_ns ; sig_sm_pop_mm2s_sts <= sig_sm_pop_mm2s_sts_ns ; sig_sm_pop_s2mm_sts <= sig_sm_pop_s2mm_sts_ns ; end if; end if; end process IMP_CDMA_SM_REG; end implementation; ------------------------------------------------------------------------------- -- axi_cdma_simple_wrap ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_simple_wrap.vhd -- -- Description: -- -- This file is the module wrapper for the AXI CDMA core when parameterized -- for only Simple Mode DMA operations. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- -- VHDL Libraries -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.max2; library axi_datamover_v5_1_16; use axi_datamover_v5_1_16.axi_datamover; library axi_cdma_v4_1_14; use axi_cdma_v4_1_14.axi_cdma_pkg.all; use axi_cdma_v4_1_14.axi_cdma_reset; use axi_cdma_v4_1_14.axi_cdma_reg_module; use axi_cdma_v4_1_14.axi_cdma_simple_cntlr; use axi_cdma_v4_1_14.axi_cdma_sf; ------------------------------------------------------------------------------- entity axi_cdma_simple_wrap is generic( ----------------------------------------------------------------------- -- AXI Lite Register Interface Parameters ----------------------------------------------------------------------- C_S_AXI_LITE_ADDR_WIDTH : integer range 2 to 32 := 6; -- Address width of the AXI Lite Interface (bits) C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32; -- Data width of the AXI Lite Interface (bits) C_AXI_LITE_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the AXI Lite Register interface needs to -- be asynchronous to the CDMA data transport path clocking -- 0 = Use same clocking as data path -- 1 = Use special AXI Lite clock for the axi lite interface ----------------------------------------------------------------------- -- DataMover Memory Map Master Interface Parameters ----------------------------------------------------------------------- C_M_AXI_ADDR_WIDTH : integer range 32 to 64 := 32; -- DataMover Master AXI Memory Map Address Width (bits) C_M_AXI_DATA_WIDTH : integer range 32 to 1024 := 32; -- DataMover Master AXI Memory Map Data Width (bits) C_M_AXI_MAX_BURST_LEN : integer range 2 to 256 := 16; -- DataMover Maximum burst length to use for AXI MMAP requests -- Allowed values are 16, 32, 64, 128, and 256 (data beats) C_INCLUDE_DRE : integer range 0 to 1 := 0; -- Include or exclude DataMover Data Realignment (DRE) -- NOTE: DRE is only available for 32 and 64 bit data widths -- 0 = Exclude DRE -- 1 = Include DRE C_USE_DATAMOVER_LITE : integer range 0 to 1 := 0; -- Enable DataMover Lite mode -- NOTE: Data widths limited to 32 and 64 bits, max burst -- limited to 16, 32, and 64 data beats, no DRE, 4K address -- guarding must be done by SW programmer. -- 0 = Normal DataMover mode -- 1 = Lite dataMover mode C_READ_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 4; -- This parameter specifies the depth of the DataMover -- read address pipelining queues for the Main data transport -- channels. The effective address pipelining on the AXI4 Read -- Address Channel will be the value assigned plus 2. C_WRITE_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 4; -- This parameter specifies the depth of the DataMover -- write address pipelining queues for the Main data transport -- channel. The effective address pipelining on the AXI4 Write -- Address Channel will be the value assigned plus 2. ----------------------------------------------------------------------- -- Store and Forward Parameters ----------------------------------------------------------------------- C_INCLUDE_SF : integer range 0 to 1 := 1; -- This parameter includes includes/omits Store and Forward. C_SF_FIFO_DEPTH : Integer range 128 to 8192 := 128 ; -- This parameter sets the depth of the Store and Forward FIFO. ----------------------------------------------------------------------- -- Soft Reset Assertion Time ----------------------------------------------------------------------- C_SOFT_RST_TIME_CLKS : integer range 1 to 64 := 8; -- Specifies the time of the soft reset assertion in -- axi_aclk clock periods. ----------------------------------------------------------------------- -- Target FPGA Family Parameter ----------------------------------------------------------------------- C_FAMILY : string := "virtex7" -- Target FPGA Device Family ); port ( ----------------------------------------------------------------------- -- Primary Clock ----------------------------------------------------------------------- axi_aclk : in std_logic ; ----------------------------------------------------------------------- -- Primary Reset Input (active low) ----------------------------------------------------------------------- axi_resetn : in std_logic ; ----------------------------------------------------------------------- -- AXI Lite clock ----------------------------------------------------------------------- axi_lite_aclk : in std_logic ; ----------------------------------------------------------------------- -- AXI Lite reset ----------------------------------------------------------------------- axi_lite_resetn : in std_logic ; ----------------------------------------------------------------------- -- Interrupt output ----------------------------------------------------------------------- cdma_introut : out std_logic ; ----------------------------------------------------------------------- -- Error Discrete output ----------------------------------------------------------------------- cdma_error_out : out std_logic ; --------------------------------------------------------------------------------- -- AXI Lite Register Access Interface --------------------------------------------------------------------------------- -- AXI Lite Write Address Channel -- AXI4-Lite s_axi_lite_awready : out std_logic ;-- AXI4-Lite s_axi_lite_awvalid : in std_logic ;-- AXI4-Lite s_axi_lite_awaddr : in std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Write Data Channel -- AXI4-Lite s_axi_lite_wready : out std_logic ;-- AXI4-Lite s_axi_lite_wvalid : in std_logic ;-- AXI4-Lite s_axi_lite_wdata : in std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_DATA_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Write Response Channel -- AXI4-Lite s_axi_lite_bready : in std_logic ;-- AXI4-Lite s_axi_lite_bvalid : out std_logic ;-- AXI4-Lite s_axi_lite_bresp : out std_logic_vector(1 downto 0) ;-- AXI4-Lite -- AXI4-Lite -- AXI Lite Read Address Channel -- AXI4-Lite s_axi_lite_arready : out std_logic ;-- AXI4-Lite s_axi_lite_arvalid : in std_logic ;-- AXI4-Lite s_axi_lite_araddr : in std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Read Data Channel -- AXI4-Lite s_axi_lite_rready : in std_logic ;-- AXI4-Lite s_axi_lite_rvalid : out std_logic ;-- AXI4-Lite s_axi_lite_rdata : out std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_DATA_WIDTH-1 downto 0);-- AXI4-Lite s_axi_lite_rresp : out std_logic_vector(1 downto 0) ;-- AXI4-Lite ---------------------------------------------------------------------------- -- AXI DataMover Read Channel ---------------------------------------------------------------------------- -- DataMover MMap Read Address Channel -- AXI4 m_axi_arready : in std_logic ;-- AXI4 m_axi_arvalid : out std_logic ;-- AXI4 m_axi_araddr : out std_logic_vector -- AXI4 (C_M_AXI_ADDR_WIDTH-1 downto 0) ;-- AXI4 m_axi_arlen : out std_logic_vector(7 downto 0) ;-- AXI4 m_axi_arsize : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_arburst : out std_logic_vector(1 downto 0) ;-- AXI4 m_axi_arprot : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_arcache : out std_logic_vector(3 downto 0) ;-- AXI4 -- AXI4 -- DataMover MMap Read Data Channel -- AXI4 m_axi_rready : out std_logic ;-- AXI4 m_axi_rvalid : in std_logic ;-- AXI4 m_axi_rdata : in std_logic_vector -- AXI4 (C_M_AXI_DATA_WIDTH-1 downto 0) ;-- AXI4 m_axi_rresp : in std_logic_vector(1 downto 0) ;-- AXI4 m_axi_rlast : in std_logic ;-- AXI4 ----------------------------------------------------------------------------- -- AXI DataMover Write Channel ----------------------------------------------------------------------------- -- DataMover Write Address Channel -- AXI4 m_axi_awready : in std_logic ;-- AXI4 m_axi_awvalid : out std_logic ;-- AXI4 m_axi_awaddr : out std_logic_vector -- AXI4 (C_M_AXI_ADDR_WIDTH-1 downto 0) ;-- AXI4 m_axi_awlen : out std_logic_vector(7 downto 0) ;-- AXI4 m_axi_awsize : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_awburst : out std_logic_vector(1 downto 0) ;-- AXI4 m_axi_awprot : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_awcache : out std_logic_vector(3 downto 0) ;-- AXI4 -- AXI4 -- DataMover Write Data Channel -- AXI4 m_axi_wready : in std_logic ;-- AXI4 m_axi_wvalid : out std_logic ;-- AXI4 m_axi_wdata : out std_logic_vector -- AXI4 (C_M_AXI_DATA_WIDTH-1 downto 0) ;-- AXI4 m_axi_wstrb : out std_logic_vector -- AXI4 ((C_M_AXI_DATA_WIDTH/8)-1 downto 0);-- AXI4 m_axi_wlast : out std_logic ;-- AXI4 -- AXI4 -- DataMover Write Response Channel -- AXI4 m_axi_bready : out std_logic ;-- AXI4 m_axi_bvalid : in std_logic ;-- AXI4 m_axi_bresp : in std_logic_vector(1 downto 0) ;-- AXI4 -- Debug test vector (Xilinx use only) axi_cdma_tstvec : out std_logic_vector(31 downto 0) ); ----------------------------------------------------------------- -- End of PSFUtil MPD attributes ----------------------------------------------------------------- end axi_cdma_simple_wrap; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_cdma_simple_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; function func_include_dre (need_dre : integer; needed_data_width : integer) return integer is Variable include_dre : Integer := 0; begin If (need_dre = 1 and needed_data_width > 64) Then include_dre := 1; Else include_dre := 0; End if; Return (include_dre); end function func_include_dre; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- General Use Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant ADDR_ZEROS : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); -- AXI CDMA Build Mode (Simple Only or with SG); 0 = Simple only constant CDMA_BUILD_MODE : integer := 0; -- AXI DataMover Include Status FIFO constant DM_INCLUDE_STS_FIFO : integer := 1; -- AXI DataMover Command / Status FIFO Depth constant DM_CMDSTS_FIFO_DEPTH : integer := 1; -- AXI MM2S DataMover Full mode value constant MM2S_FULL_MODE : integer := 1; -- AXI MM2S DataMover Lite mode value constant MM2S_LITE_MODE : integer := 2; -- AXI S2MM DataMover Full mode value constant S2MM_FULL_MODE : integer := 1; -- AXI S2MM DataMover Lite mode value constant S2MM_LITE_MODE : integer := 2; -- AXI DataMover clocking mode constant DM_USE_SYNC_CLOCKS : integer := 0; -- AXI DataMover BTT Used width (Set the to the max allowed) constant DM_BTT_WIDTH : integer := 23; -- AXI DataMover S2MM DRE Enable (set to disabled) constant DM_S2MM_DRE_DISABLED : integer := 0;--func_include_dre (C_INCLUDE_DRE, C_M_AXI_DATA_WIDTH); -- AXI DataMover Include Store and Forward constant DM_OMIT_S2MM_STORE_FORWARD : integer := 0; constant DM_ENABLE_S2MM_STORE_FORWARD : integer := 1; Constant STORE_FORWARD_CNTL : integer := DM_OMIT_S2MM_STORE_FORWARD; -- AXI DataMover Stream Backend width constant DM_STREAM_DWIDTH : integer := C_M_AXI_DATA_WIDTH; -- AXI DataMover Base status vector width constant BASE_STATUS_WIDTH : integer := 8; -- AXI DataMover S2MM status stream data width delta -- if Store and Forward enabled Constant SF_ADDED_STS_WIDTH : integer := 24; -- AXI DataMover status stream data width (S2MM is based on mode of operation) constant DM_MM2S_STATUS_WIDTH : integer := BASE_STATUS_WIDTH; constant DM_S2MM_STATUS_WIDTH : integer := BASE_STATUS_WIDTH + (STORE_FORWARD_CNTL * SF_ADDED_STS_WIDTH); -- DataMover Command Stream data Width in bits constant DM_CMD_WIDTH : integer := 72+(C_M_AXI_ADDR_WIDTH-32); -- constant DM_CMD_WIDTH : integer := 104; -- CDMA Interupt Delay value zeroed Constant IRQ_DLY_THRESH_ZEROS : std_logic_vector(7 downto 0) := (others => '0'); -- AXI DataMover pipeline depth constants Constant DM_READ_ADDR_PIPE_DEPTH : integer := C_READ_ADDR_PIPE_DEPTH; Constant DM_WRITE_ADDR_PIPE_DEPTH : integer := C_WRITE_ADDR_PIPE_DEPTH; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_max -- -- Function Description: -- Returns the greater of two integers. -- ------------------------------------------------------------------- function funct_get_max (value_in_1 : integer; value_in_2 : integer) return integer is Variable max_value : Integer := 0; begin If (value_in_1 > value_in_2) Then max_value := value_in_1; else max_value := value_in_2; End if; Return (max_value); end function funct_get_max; -------------------------------------- ------------------------------------------------------------------- function funct_rnd2pwr_of_2 (input_value : integer) return integer is Variable temp_pwr2 : Integer := 128; begin if (input_value <= 128) then temp_pwr2 := 128; elsif (input_value <= 256) then temp_pwr2 := 256; elsif (input_value <= 512) then temp_pwr2 := 512; elsif (input_value <= 1024) then temp_pwr2 := 1024; elsif (input_value <= 2048) then temp_pwr2 := 2048; elsif (input_value <= 4096) then temp_pwr2 := 4096; else temp_pwr2 := 8192; end if; Return (temp_pwr2); end function funct_rnd2pwr_of_2; -- Calculates the minimum needed depth of the CDMA Store and Forward FIFO Constant PIPEDEPTH_BURST_LEN_PROD : integer := (funct_get_max(4, 4)+2) * C_M_AXI_MAX_BURST_LEN; -- Assigns the depth of the CDMA Store and Forward FIFO to the nearest -- power of 2 Constant SF_FIFO_DEPTH : integer range 128 to 8192 := funct_rnd2pwr_of_2(PIPEDEPTH_BURST_LEN_PROD); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Reset Module signals signal sig_rst2lite_bside_reset : std_logic := '0'; signal sig_rst2lite_cside_reset : std_logic := '0'; signal sig_rst2reg_reset : std_logic := '0'; signal sig_rst2cntlr_reset : std_logic := '0'; signal sig_rst2dm_resetn : std_logic := '0'; signal sig_rst2cntlr_halt : std_logic := '0'; signal sig_cntlr2rst_halt_cmplt : std_logic := '0'; signal sig_dm_mm2s_halt : std_logic := '0'; signal sig_dm_mm2s_halt_cmplt : std_logic := '0'; signal sig_dm_s2mm_halt : std_logic := '0'; signal sig_dm_s2mm_halt_cmplt : std_logic := '0'; -- Register Module Signals signal sig_reg2cntlr_src_addr : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_reg2cntlr_dest_addr : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_reg2cntlr_btt : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_reg2cntlr_go : std_logic := '0'; signal sig_cntlr2reg_idle_set : std_logic := '0'; signal sig_cntlr2reg_idle_clr : std_logic := '0'; signal sig_cntlr2reg_decerr_set : std_logic := '0'; signal sig_cntlr2reg_slverr_set : std_logic := '0'; signal sig_cntlr2reg_interr_set : std_logic := '0'; signal sig_cntlr2reg_ioc_set : std_logic := '0'; signal sig_cntlr2reg_iocirpt_set : std_logic := '0'; signal sig_reg2rst_soft_reset : std_logic := '0'; signal sig_rst2reg_soft_reset_clr : std_logic := '0'; signal sig_reg2cntlr_sg_mode : std_logic := '0'; -- DataMover MM2S error discrete signal sig_dm_mm2s_err : std_logic := '0'; -- DataMover MM2S command Stream signal sig_cntl2mm2s_cmd_tdata : std_logic_vector(DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s2cntl_cmd_tready : std_logic := '0'; signal sig_cntl2mm2s_cmd_tvalid : std_logic := '0'; -- DataMover MM2S status Stream signal sig_mm2s2cntl_sts_tdata : std_logic_vector(DM_MM2S_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s2cntl_sts_tkeep : std_logic_vector((DM_MM2S_STATUS_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mm2s2cntl_sts_tvalid : std_logic := '0'; signal sig_cntl2mm2s_sts_tready : std_logic := '0'; -- DataMover S2MM error discrete signal sig_dm_s2mm_err : std_logic := '0'; -- DataMover S2MM command Stream signal sig_cntl2s2mm_cmd_tdata : std_logic_vector(DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cntl2s2mm_cmd_tvalid : std_logic := '0'; signal sig_s2mm2cntl_cmd_tready : std_logic := '0'; -- DataMover S2MM status Stream signal sig_s2mm2cntl_sts_tdata : std_logic_vector(BASE_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_s2mm2cntl_sts_tkeep : std_logic_vector((BASE_STATUS_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_s2mm2cntl_sts_tvalid : std_logic := '0'; signal sig_cntl2s2mm_sts_tready : std_logic := '0'; -- DataMover stream loopback hookup signal sig_mm2s_axis_tready : std_logic := '0'; signal sig_mm2s_axis_tvalid : std_logic := '0'; signal sig_mm2s_axis_tdata : std_logic_vector(DM_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_axis_tkeep : std_logic_vector((DM_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_mm2s_axis_tlast : std_logic := '0'; signal sig_s2mm_axis_tready : std_logic := '0'; signal sig_s2mm_axis_tvalid : std_logic := '0'; signal sig_s2mm_axis_tdata : std_logic_vector(DM_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_s2mm_axis_tkeep : std_logic_vector((DM_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_s2mm_axis_tlast : std_logic := '0'; signal sig_dm_s2mm_sts_tdata : std_logic_vector(DM_S2MM_STATUS_WIDTH-1 downto 0) := (others => '0'); -- fullfull Store and forward status data width signal sig_dm_s2mm_sts_tkeep : std_logic_vector((DM_S2MM_STATUS_WIDTH/8)-1 downto 0) := (others => '0'); -- Store and forward status strobe width -- DataMover Address Pipe Controls signal sig_mm2s_allow_addr_req : std_logic := '0'; signal sig_mm2s_addr_req_posted : std_logic := '0'; signal sig_mm2s_rd_xfer_cmplt : std_logic := '0'; signal sig_s2mm_allow_addr_req : std_logic := '0'; signal sig_s2mm_addr_req_posted : std_logic := '0'; signal sig_s2mm_wr_xfer_cmplt : std_logic := '0'; signal sig_s2mm_ld_nxt_len : std_logic := '0'; signal sig_s2mm_wr_len : std_logic_vector(7 downto 0) := (others => '0'); -- store and Forward module Reset signal sig_sf_reset : std_logic := '0'; signal burst_type : std_logic; signal dma_keyhole_write : std_logic; signal dma_keyhole_read : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- AXI CDMA Test Vector (For Xilinx Internal Use Only) ------------------------------------------------------------------------------- -- Simple Mode axi_cdma_tstvec(0) <= sig_reg2cntlr_go ; axi_cdma_tstvec(1) <= sig_cntlr2reg_idle_set ; axi_cdma_tstvec(2) <= sig_cntlr2reg_idle_clr ; axi_cdma_tstvec(3) <= sig_cntlr2reg_iocirpt_set ; axi_cdma_tstvec(4) <= sig_cntlr2reg_decerr_set ; axi_cdma_tstvec(5) <= sig_cntlr2reg_slverr_set ; axi_cdma_tstvec(6) <= sig_cntlr2reg_interr_set ; axi_cdma_tstvec(31 downto 7) <= (others => '0') ; -- Create a postive reset for the Store and Forward module -- from the inverted DataMover active low reset. -- CR591254 change -- sig_sf_reset <= not(sig_rst2dm_resetn) ; sig_sf_reset <= sig_rst2cntlr_reset ; ------------------------------------------------------------------------------- -- Module Instances ------------------------------------------------------------------------------- ------------------------------------------------------------ -- Instance: I_SIMPLE_RST_MODULE -- -- Description: -- Instance for the Reset Module used with Simple Mode -- operation. It manages both hard and soft reset generation -- and synchronization when the AXI Lite clock and reset are -- asynchronous to the Primary clock and reset. -- ------------------------------------------------------------ I_SIMPLE_RST_MODULE : entity axi_cdma_v4_1_14.axi_cdma_reset generic map( C_AXI_LITE_IS_ASYNC => C_AXI_LITE_IS_ASYNC , C_SOFT_RST_TIME_CLKS => C_SOFT_RST_TIME_CLKS ) port map( -- Primary Clock and Reset Sources axi_aclk => axi_aclk , axi_resetn => axi_resetn , -- AXI Lite Clock and Reset Sources axi_lite_aclk => axi_lite_aclk , axi_lite_resetn => axi_lite_resetn , -- CDMA Module hard reset outputs rst2lite_bside_reset => sig_rst2lite_bside_reset , rst2lite_cside_reset => sig_rst2lite_cside_reset , rst2reg_reset => sig_rst2reg_reset , rst2cntlr_reset => sig_rst2cntlr_reset , rst2dm_resetn => sig_rst2dm_resetn , -- Soft Reset Request from Register module reg2rst_soft_reset_in => sig_reg2rst_soft_reset , rst2reg_soft_reset_clr => sig_rst2reg_soft_reset_clr , -- CDMA Controller halt rst2cntlr_halt => sig_rst2cntlr_halt , cntlr2rst_halt_cmplt => sig_cntlr2rst_halt_cmplt , -- CDMA SG halt rst2sg_halt => open , sg2rst_halt_cmplt => LOGIC_HIGH , -- CDMA DatMover MM2S Halt rst2dm_mm2s_halt => sig_dm_mm2s_halt , dm2rst_mm2s_halt_cmplt => sig_dm_mm2s_halt_cmplt , -- CDMA DatMover S2MM Halt rst2dm_s2mm_halt => sig_dm_s2mm_halt , dm2rst_s2mm_halt_cmplt => sig_dm_s2mm_halt_cmplt ); ------------------------------------------------------------ -- Instance: I_SIMPLE_REG_MODULE -- -- Description: -- Instance for the Register Module used with Simple Mode -- operation. -- ------------------------------------------------------------ I_SIMPLE_REG_MODULE : entity axi_cdma_v4_1_14.axi_cdma_reg_module generic map( C_CDMA_BUILD_MODE => CDMA_BUILD_MODE , C_S_AXI_LITE_ADDR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH , C_AXI_LITE_IS_ASYNC => C_AXI_LITE_IS_ASYNC , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH ) port map( -- AXI Lite Bus Side Clock and Reset axi_lite_aclk => axi_lite_aclk , axi_lite_reset => sig_rst2lite_bside_reset , -- AXI Lite Core side Reset axi_lite_cside_reset => sig_rst2lite_cside_reset , -- AXI Lite Write Address Channel s_axi_lite_awvalid => s_axi_lite_awvalid , s_axi_lite_awready => s_axi_lite_awready , s_axi_lite_awaddr => s_axi_lite_awaddr , -- AXI Lite Write Data Channel s_axi_lite_wvalid => s_axi_lite_wvalid , s_axi_lite_wready => s_axi_lite_wready , s_axi_lite_wdata => s_axi_lite_wdata , -- AXI Lite Write Response Channel s_axi_lite_bresp => s_axi_lite_bresp , s_axi_lite_bvalid => s_axi_lite_bvalid , s_axi_lite_bready => s_axi_lite_bready , -- AXI Lite Read Address Channel s_axi_lite_arvalid => s_axi_lite_arvalid , s_axi_lite_arready => s_axi_lite_arready , s_axi_lite_araddr => s_axi_lite_araddr , -- AXI Lite Read Data Channel s_axi_lite_rvalid => s_axi_lite_rvalid , s_axi_lite_rready => s_axi_lite_rready , s_axi_lite_rdata => s_axi_lite_rdata , s_axi_lite_rresp => s_axi_lite_rresp , -- Register Clock and Reset axi_aclk => axi_aclk , axi_reg_reset => sig_rst2reg_reset , -- Composite Interrupt Output reg_introut => cdma_introut , -- Composite error Output reg_error_out => cdma_error_out , -- Soft Reset Control reg_soft_reset_request => sig_reg2rst_soft_reset , reg_soft_reset_clr => sig_rst2reg_soft_reset_clr , -- DMA Go Control reg_dma_go => sig_reg2cntlr_go , -- SG Mode control reg_dma_sg_mode => sig_reg2cntlr_sg_mode , -- Key Hole write dma_keyhole_write => dma_keyhole_write , -- Key Hole read dma_keyhole_read => dma_keyhole_read , -- CDMASR Control reg_idle_set => sig_cntlr2reg_idle_set , reg_idle_clr => sig_cntlr2reg_idle_clr , reg_ioc_irq_set => sig_cntlr2reg_iocirpt_set , reg_dly_irq_set => LOGIC_LOW , reg_irqdelay_status => IRQ_DLY_THRESH_ZEROS , reg_irqthresh_status => IRQ_DLY_THRESH_ZEROS , reg_irqthresh_wren => open , reg_irqdelay_wren => open , -- DataMover Errors reg_dma_decerr_set => sig_cntlr2reg_decerr_set , reg_dma_slverr_set => sig_cntlr2reg_slverr_set , reg_dma_interr_set => sig_cntlr2reg_interr_set , -- SG Descriptor Fetch errors reg_ftch_interr_set => LOGIC_LOW , reg_ftch_slverr_set => LOGIC_LOW , reg_ftch_decerr_set => LOGIC_LOW , reg_ftch_error_addr => ADDR_ZEROS , -- SG Descriptor Update errors reg_updt_interr_set => LOGIC_LOW , reg_updt_slverr_set => LOGIC_LOW , reg_updt_decerr_set => LOGIC_LOW , reg_updt_error_addr => ADDR_ZEROS , -- SG CURDESC Update reg_new_curdesc_wren => LOGIC_LOW , reg_new_curdesc => ADDR_ZEROS , -- SG Detected SW Register Update reg_tailpntr_updated => open , reg_currdesc_updated => open , -- Register State Out reg_dmacr => open , reg_dmasr => open , reg_curdesc => open , reg_taildesc => open , reg_src_addr => sig_reg2cntlr_src_addr , reg_dest_addr => sig_reg2cntlr_dest_addr , reg_btt => sig_reg2cntlr_btt ); ------------------------------------------------------------ -- Instance: I_SIMPLE_DMA_CNTLR -- -- Description: -- -- Control Logic module for the Simple Mode CDMA operation. -- ------------------------------------------------------------ I_SIMPLE_DMA_CNTLR : entity axi_cdma_v4_1_14.axi_cdma_simple_cntlr generic map( C_DM_CMD_WIDTH => DM_CMD_WIDTH , C_DM_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_DM_MM2S_STATUS_WIDTH => DM_MM2S_STATUS_WIDTH , C_DM_S2MM_STATUS_WIDTH => BASE_STATUS_WIDTH , C_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH , C_BTT_WIDTH => DM_BTT_WIDTH , C_FAMILY => C_FAMILY ) port map( -- Clock and reset axi_aclk => axi_aclk , axi_reset => sig_rst2cntlr_reset , -- Halt request rst2cntlr_halt => sig_rst2cntlr_halt , cntlr2rst_halt_cmplt => sig_cntlr2rst_halt_cmplt , -- Register Module Start and Mode Controls reg2cntlr_go => sig_reg2cntlr_go , reg2cntlr_sg_mode => sig_reg2cntlr_sg_mode , burst_type_write => dma_keyhole_write , burst_type_read => dma_keyhole_read , -- Register Module command qualifiers reg2cntlr_src_addr => sig_reg2cntlr_src_addr , reg2cntlr_dest_addr => sig_reg2cntlr_dest_addr , reg2cntlr_btt => sig_reg2cntlr_btt(DM_BTT_WIDTH-1 downto 0) , -- General Status Bit controls cntlr2reg_idle_set => sig_cntlr2reg_idle_set , cntlr2reg_idle_clr => sig_cntlr2reg_idle_clr , cntlr2reg_iocirpt_set => sig_cntlr2reg_iocirpt_set , -- DataMover Error Status bit controls cntlr2reg_decerr_set => sig_cntlr2reg_decerr_set , cntlr2reg_slverr_set => sig_cntlr2reg_slverr_set , cntlr2reg_interr_set => sig_cntlr2reg_interr_set , -- DataMover MM2S Command Interface Ports (AXI Stream) mm2s2cntl_cmd_tready => sig_mm2s2cntl_cmd_tready , cntl2mm2s_cmd_tvalid => sig_cntl2mm2s_cmd_tvalid , cntl2mm2s_cmd_tdata => sig_cntl2mm2s_cmd_tdata , -- DataMover MM2S Status Interface Ports (AXI Stream) cntl2mm2s_sts_tready => sig_cntl2mm2s_sts_tready , mm2s2cntl_sts_tvalid => sig_mm2s2cntl_sts_tvalid , mm2s2cntl_sts_tdata => sig_mm2s2cntl_sts_tdata , mm2s2cntl_sts_tstrb => sig_mm2s2cntl_sts_tkeep , -- DataMover MM2S error discrete mm2s2cntl_err => sig_dm_mm2s_err , -- DataMover S2MM Command Interface Ports (AXI Stream) cntl2s2mm_cmd_tdata => sig_cntl2s2mm_cmd_tdata , cntl2s2mm_cmd_tvalid => sig_cntl2s2mm_cmd_tvalid , s2mm2cntl_cmd_tready => sig_s2mm2cntl_cmd_tready , -- DataMover S2MM Status Interface Ports (AXI Stream) s2mm2cntl_sts_tdata => sig_s2mm2cntl_sts_tdata , s2mm2cntl_sts_tstrb => sig_s2mm2cntl_sts_tkeep , s2mm2cntl_sts_tvalid => sig_s2mm2cntl_sts_tvalid , cntl2s2mm_sts_tready => sig_cntl2s2mm_sts_tready , -- DataMover S2MM error discrete s2mm2cntl_err => sig_dm_s2mm_err ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_DM_LITE -- -- If Generate Description: -- Instantiates the AXI DataMover in the Lite configuration. -- -- ------------------------------------------------------------ GEN_DM_LITE : if (C_USE_DATAMOVER_LITE = 1) generate begin ------------------------------------------------------------ -- Instance: I_DATAMOVER -- -- Description: -- -- Data Path DataMover -- Reads data from the AXI MMAP Read Channel and Writes the data -- to the AXI MMAP Write Channel via commands from the Controller -- Module. -- -- ------------------------------------------------------------ I_DATAMOVER_LITE : entity axi_datamover_v5_1_16.axi_datamover generic map( C_INCLUDE_MM2S => MM2S_LITE_MODE , C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH , C_M_AXI_MM2S_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_M_AXIS_MM2S_TDATA_WIDTH => DM_STREAM_DWIDTH , C_INCLUDE_MM2S_STSFIFO => DM_INCLUDE_STS_FIFO , C_MM2S_STSCMD_FIFO_DEPTH => DM_CMDSTS_FIFO_DEPTH , C_MM2S_STSCMD_IS_ASYNC => DM_USE_SYNC_CLOCKS , C_INCLUDE_MM2S_DRE => C_INCLUDE_DRE , C_MM2S_BURST_SIZE => C_M_AXI_MAX_BURST_LEN , C_MM2S_BTT_USED => DM_BTT_WIDTH , C_MM2S_ADDR_PIPE_DEPTH => DM_READ_ADDR_PIPE_DEPTH , C_MM2S_INCLUDE_SF => 0 , C_ENABLE_CACHE_USER => 0, C_ENABLE_SKID_BUF => "11000" , C_CMD_WIDTH => DM_CMD_WIDTH , C_INCLUDE_S2MM => S2MM_LITE_MODE , C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH , C_M_AXI_S2MM_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_S_AXIS_S2MM_TDATA_WIDTH => DM_STREAM_DWIDTH , C_INCLUDE_S2MM_STSFIFO => DM_INCLUDE_STS_FIFO , C_S2MM_STSCMD_FIFO_DEPTH => DM_CMDSTS_FIFO_DEPTH , C_S2MM_STSCMD_IS_ASYNC => DM_USE_SYNC_CLOCKS , C_INCLUDE_S2MM_DRE => DM_S2MM_DRE_DISABLED , C_S2MM_BURST_SIZE => C_M_AXI_MAX_BURST_LEN , C_S2MM_BTT_USED => DM_BTT_WIDTH , C_S2MM_SUPPORT_INDET_BTT => STORE_FORWARD_CNTL , C_S2MM_ADDR_PIPE_DEPTH => DM_WRITE_ADDR_PIPE_DEPTH , C_S2MM_INCLUDE_SF => 0 , C_FAMILY => C_FAMILY ) port map( -- MM2S Primary Clock / Reset input m_axi_mm2s_aclk => axi_aclk , m_axi_mm2s_aresetn => sig_rst2dm_resetn , -- MM2S Soft Shutdown mm2s_halt => sig_dm_mm2s_halt , mm2s_halt_cmplt => sig_dm_mm2s_halt_cmplt , -- MM2S Error output discrete mm2s_err => sig_dm_mm2s_err , -- Memory Map to Stream Command FIFO and Status FIFO Async CLK/RST -------------- m_axis_mm2s_cmdsts_aclk => LOGIC_LOW , m_axis_mm2s_cmdsts_aresetn => LOGIC_HIGH , -- User Command Interface Ports (AXI Stream) s_axis_mm2s_cmd_tvalid => sig_cntl2mm2s_cmd_tvalid , s_axis_mm2s_cmd_tready => sig_mm2s2cntl_cmd_tready , s_axis_mm2s_cmd_tdata => sig_cntl2mm2s_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_mm2s_sts_tvalid => sig_mm2s2cntl_sts_tvalid , m_axis_mm2s_sts_tready => sig_cntl2mm2s_sts_tready , m_axis_mm2s_sts_tdata => sig_mm2s2cntl_sts_tdata , m_axis_mm2s_sts_tkeep => sig_mm2s2cntl_sts_tkeep , -- Address Posting contols mm2s_allow_addr_req => sig_mm2s_allow_addr_req , mm2s_addr_req_posted => sig_mm2s_addr_req_posted , mm2s_rd_xfer_cmplt => sig_mm2s_rd_xfer_cmplt , -- MM2S AXI Address Channel I/O -------------------------------------- m_axi_mm2s_arid => open , m_axi_mm2s_araddr => m_axi_araddr , m_axi_mm2s_arlen => m_axi_arlen , m_axi_mm2s_arsize => m_axi_arsize , m_axi_mm2s_arburst => m_axi_arburst , m_axi_mm2s_arprot => m_axi_arprot , m_axi_mm2s_arcache => m_axi_arcache , m_axi_mm2s_aruser => open, --m_axi_mm2s_aruser , m_axi_mm2s_arvalid => m_axi_arvalid , m_axi_mm2s_arready => m_axi_arready , -- MM2S AXI MMap Read Data Channel I/O ------------------------------- m_axi_mm2s_rdata => m_axi_rdata , m_axi_mm2s_rresp => m_axi_rresp , m_axi_mm2s_rlast => m_axi_rlast , m_axi_mm2s_rvalid => m_axi_rvalid , m_axi_mm2s_rready => m_axi_rready , -- MM2S AXI Master Stream Channel I/O -------------------------------- m_axis_mm2s_tdata => sig_mm2s_axis_tdata , m_axis_mm2s_tkeep => sig_mm2s_axis_tkeep , m_axis_mm2s_tlast => sig_mm2s_axis_tlast , m_axis_mm2s_tvalid => sig_mm2s_axis_tvalid , m_axis_mm2s_tready => sig_mm2s_axis_tready , -- Testing Support I/O mm2s_dbg_sel => (others => '0') , mm2s_dbg_data => open , -- S2MM Primary Clock/Reset input m_axi_s2mm_aclk => axi_aclk , m_axi_s2mm_aresetn => sig_rst2dm_resetn , -- S2MM Soft Shutdown s2mm_halt => sig_dm_s2mm_halt , s2mm_halt_cmplt => sig_dm_s2mm_halt_cmplt , -- S2MM Error output discrete s2mm_err => sig_dm_s2mm_err , -- Stream to Memory Map Command FIFO and Status FIFO I/O -------------- m_axis_s2mm_cmdsts_awclk => LOGIC_LOW , m_axis_s2mm_cmdsts_aresetn => LOGIC_HIGH , -- User Command Interface Ports (AXI Stream) s_axis_s2mm_cmd_tvalid => sig_cntl2s2mm_cmd_tvalid , s_axis_s2mm_cmd_tready => sig_s2mm2cntl_cmd_tready , s_axis_s2mm_cmd_tdata => sig_cntl2s2mm_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_s2mm_sts_tvalid => sig_s2mm2cntl_sts_tvalid , m_axis_s2mm_sts_tready => sig_cntl2s2mm_sts_tready , m_axis_s2mm_sts_tdata => sig_s2mm2cntl_sts_tdata , m_axis_s2mm_sts_tkeep => sig_s2mm2cntl_sts_tkeep , -- Address posting controls s2mm_allow_addr_req => sig_s2mm_allow_addr_req , s2mm_addr_req_posted => sig_s2mm_addr_req_posted , s2mm_wr_xfer_cmplt => sig_s2mm_wr_xfer_cmplt , s2mm_ld_nxt_len => sig_s2mm_ld_nxt_len , s2mm_wr_len => sig_s2mm_wr_len , -- S2MM AXI Address Channel I/O -------------------------------------- m_axi_s2mm_awid => open , m_axi_s2mm_awaddr => m_axi_awaddr , m_axi_s2mm_awlen => m_axi_awlen , m_axi_s2mm_awsize => m_axi_awsize , m_axi_s2mm_awburst => m_axi_awburst , m_axi_s2mm_awprot => m_axi_awprot , m_axi_s2mm_awcache => m_axi_awcache , m_axi_s2mm_awuser => open, --m_axi_s2mm_awuser , m_axi_s2mm_awvalid => m_axi_awvalid , m_axi_s2mm_awready => m_axi_awready , -- S2MM AXI MMap Write Data Channel I/O ------------------------------ m_axi_s2mm_wdata => m_axi_wdata , m_axi_s2mm_wstrb => m_axi_wstrb , m_axi_s2mm_wlast => m_axi_wlast , m_axi_s2mm_wvalid => m_axi_wvalid , m_axi_s2mm_wready => m_axi_wready , -- S2MM AXI MMap Write response Channel I/O -------------------------- m_axi_s2mm_bresp => m_axi_bresp , m_axi_s2mm_bvalid => m_axi_bvalid , m_axi_s2mm_bready => m_axi_bready , -- S2MM AXI Slave Stream Channel I/O --------------------------------- s_axis_s2mm_tdata => sig_s2mm_axis_tdata , s_axis_s2mm_tkeep => sig_s2mm_axis_tkeep , s_axis_s2mm_tlast => sig_s2mm_axis_tlast , s_axis_s2mm_tvalid => sig_s2mm_axis_tvalid , s_axis_s2mm_tready => sig_s2mm_axis_tready , -- Testing Support I/O s2mm_dbg_sel => (others => '0') , s2mm_dbg_data => open ); end generate GEN_DM_LITE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_DM_FULL -- -- If Generate Description: -- Instance for FULL mode DataMover -- -- ------------------------------------------------------------ GEN_DM_FULL : if (C_USE_DATAMOVER_LITE = 0) generate begin -- Rip the basic status output from the DataMover S2MM status reply stream sig_s2mm2cntl_sts_tdata <= sig_dm_s2mm_sts_tdata(BASE_STATUS_WIDTH-1 downto 0); sig_s2mm2cntl_sts_tkeep <= sig_dm_s2mm_sts_tkeep((BASE_STATUS_WIDTH/8)-1 downto 0); ------------------------------------------------------------ -- Instance: I_DATAMOVER -- -- Description: -- -- Data Path DataMover -- Reads data from the AXI MMAP Read Channel and Writes the data -- to the AXI MMAP Write Channel via commands from the Controller -- Module. -- -- ------------------------------------------------------------ I_DATAMOVER_FULL : entity axi_datamover_v5_1_16.axi_datamover generic map( C_INCLUDE_MM2S => MM2S_FULL_MODE , C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH , C_M_AXI_MM2S_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_M_AXIS_MM2S_TDATA_WIDTH => DM_STREAM_DWIDTH , C_INCLUDE_MM2S_STSFIFO => DM_INCLUDE_STS_FIFO , C_MM2S_STSCMD_FIFO_DEPTH => DM_CMDSTS_FIFO_DEPTH , C_MM2S_STSCMD_IS_ASYNC => DM_USE_SYNC_CLOCKS , C_INCLUDE_MM2S_DRE => C_INCLUDE_DRE , C_MM2S_BURST_SIZE => C_M_AXI_MAX_BURST_LEN , C_MM2S_BTT_USED => DM_BTT_WIDTH , C_MM2S_ADDR_PIPE_DEPTH => DM_READ_ADDR_PIPE_DEPTH , C_MM2S_INCLUDE_SF => 0 , C_ENABLE_CACHE_USER => 0, C_ENABLE_SKID_BUF => "11000" , C_CMD_WIDTH => DM_CMD_WIDTH , C_INCLUDE_S2MM => S2MM_FULL_MODE , C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH , C_M_AXI_S2MM_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_S_AXIS_S2MM_TDATA_WIDTH => DM_STREAM_DWIDTH , C_INCLUDE_S2MM_STSFIFO => DM_INCLUDE_STS_FIFO , C_S2MM_STSCMD_FIFO_DEPTH => DM_CMDSTS_FIFO_DEPTH , C_S2MM_STSCMD_IS_ASYNC => DM_USE_SYNC_CLOCKS , C_INCLUDE_S2MM_DRE => DM_S2MM_DRE_DISABLED , C_S2MM_BURST_SIZE => C_M_AXI_MAX_BURST_LEN , C_S2MM_BTT_USED => DM_BTT_WIDTH , C_S2MM_SUPPORT_INDET_BTT => STORE_FORWARD_CNTL , C_S2MM_ADDR_PIPE_DEPTH => DM_WRITE_ADDR_PIPE_DEPTH , C_S2MM_INCLUDE_SF => 0 , C_FAMILY => C_FAMILY ) port map( -- MM2S Primary Clock / Reset input m_axi_mm2s_aclk => axi_aclk , m_axi_mm2s_aresetn => sig_rst2dm_resetn , -- MM2S Soft Shutdown mm2s_halt => sig_dm_mm2s_halt , mm2s_halt_cmplt => sig_dm_mm2s_halt_cmplt , -- MM2S Error output discrete mm2s_err => sig_dm_mm2s_err , -- Memory Map to Stream Command FIFO and Status FIFO Async CLK/RST -------------- m_axis_mm2s_cmdsts_aclk => LOGIC_LOW , m_axis_mm2s_cmdsts_aresetn => LOGIC_HIGH , -- User Command Interface Ports (AXI Stream) s_axis_mm2s_cmd_tvalid => sig_cntl2mm2s_cmd_tvalid , s_axis_mm2s_cmd_tready => sig_mm2s2cntl_cmd_tready , s_axis_mm2s_cmd_tdata => sig_cntl2mm2s_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_mm2s_sts_tvalid => sig_mm2s2cntl_sts_tvalid , m_axis_mm2s_sts_tready => sig_cntl2mm2s_sts_tready , m_axis_mm2s_sts_tdata => sig_mm2s2cntl_sts_tdata , m_axis_mm2s_sts_tkeep => sig_mm2s2cntl_sts_tkeep , -- Address Posting contols mm2s_allow_addr_req => sig_mm2s_allow_addr_req , mm2s_addr_req_posted => sig_mm2s_addr_req_posted , mm2s_rd_xfer_cmplt => sig_mm2s_rd_xfer_cmplt , -- MM2S AXI Address Channel I/O -------------------------------------- m_axi_mm2s_arid => open , m_axi_mm2s_araddr => m_axi_araddr , m_axi_mm2s_arlen => m_axi_arlen , m_axi_mm2s_arsize => m_axi_arsize , m_axi_mm2s_arburst => m_axi_arburst , m_axi_mm2s_arprot => m_axi_arprot , m_axi_mm2s_arcache => m_axi_arcache , m_axi_mm2s_aruser => open, --m_axi_arcache , m_axi_mm2s_arvalid => m_axi_arvalid , m_axi_mm2s_arready => m_axi_arready , -- MM2S AXI MMap Read Data Channel I/O ------------------------------- m_axi_mm2s_rdata => m_axi_rdata , m_axi_mm2s_rresp => m_axi_rresp , m_axi_mm2s_rlast => m_axi_rlast , m_axi_mm2s_rvalid => m_axi_rvalid , m_axi_mm2s_rready => m_axi_rready , -- MM2S AXI Master Stream Channel I/O -------------------------------- m_axis_mm2s_tdata => sig_mm2s_axis_tdata , m_axis_mm2s_tkeep => sig_mm2s_axis_tkeep , m_axis_mm2s_tlast => sig_mm2s_axis_tlast , m_axis_mm2s_tvalid => sig_mm2s_axis_tvalid , m_axis_mm2s_tready => sig_mm2s_axis_tready , -- Testing Support I/O mm2s_dbg_sel => (others => '0') , mm2s_dbg_data => open , -- S2MM Primary Clock/Reset input m_axi_s2mm_aclk => axi_aclk , m_axi_s2mm_aresetn => sig_rst2dm_resetn , -- S2MM Soft Shutdown s2mm_halt => sig_dm_s2mm_halt , s2mm_halt_cmplt => sig_dm_s2mm_halt_cmplt , -- S2MM Error output discrete s2mm_err => sig_dm_s2mm_err , -- Stream to Memory Map Command FIFO and Status FIFO I/O -------------- m_axis_s2mm_cmdsts_awclk => LOGIC_LOW , m_axis_s2mm_cmdsts_aresetn => LOGIC_HIGH , -- User Command Interface Ports (AXI Stream) s_axis_s2mm_cmd_tvalid => sig_cntl2s2mm_cmd_tvalid , s_axis_s2mm_cmd_tready => sig_s2mm2cntl_cmd_tready , s_axis_s2mm_cmd_tdata => sig_cntl2s2mm_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_s2mm_sts_tvalid => sig_s2mm2cntl_sts_tvalid , m_axis_s2mm_sts_tready => sig_cntl2s2mm_sts_tready , -- m_axis_s2mm_sts_tdata => sig_s2mm2cntl_sts_tdata , m_axis_s2mm_sts_tdata => sig_dm_s2mm_sts_tdata , -- m_axis_s2mm_sts_tkeep => sig_s2mm2cntl_sts_tstrb , m_axis_s2mm_sts_tkeep => sig_dm_s2mm_sts_tkeep , -- Address posting controls s2mm_allow_addr_req => sig_s2mm_allow_addr_req , s2mm_addr_req_posted => sig_s2mm_addr_req_posted , s2mm_wr_xfer_cmplt => sig_s2mm_wr_xfer_cmplt , s2mm_ld_nxt_len => sig_s2mm_ld_nxt_len , s2mm_wr_len => sig_s2mm_wr_len , -- S2MM AXI Address Channel I/O -------------------------------------- m_axi_s2mm_awid => open , m_axi_s2mm_awaddr => m_axi_awaddr , m_axi_s2mm_awlen => m_axi_awlen , m_axi_s2mm_awsize => m_axi_awsize , m_axi_s2mm_awburst => m_axi_awburst , m_axi_s2mm_awprot => m_axi_awprot , m_axi_s2mm_awcache => m_axi_awcache , m_axi_s2mm_awuser => open, --m_axi_awcache , m_axi_s2mm_awvalid => m_axi_awvalid , m_axi_s2mm_awready => m_axi_awready , -- S2MM AXI MMap Write Data Channel I/O ------------------------------ m_axi_s2mm_wdata => m_axi_wdata , m_axi_s2mm_wstrb => m_axi_wstrb , m_axi_s2mm_wlast => m_axi_wlast , m_axi_s2mm_wvalid => m_axi_wvalid , m_axi_s2mm_wready => m_axi_wready , -- S2MM AXI MMap Write response Channel I/O -------------------------- m_axi_s2mm_bresp => m_axi_bresp , m_axi_s2mm_bvalid => m_axi_bvalid , m_axi_s2mm_bready => m_axi_bready , -- S2MM AXI Slave Stream Channel I/O --------------------------------- s_axis_s2mm_tdata => sig_s2mm_axis_tdata , s_axis_s2mm_tkeep => sig_s2mm_axis_tkeep , s_axis_s2mm_tlast => sig_s2mm_axis_tlast , s_axis_s2mm_tvalid => sig_s2mm_axis_tvalid , s_axis_s2mm_tready => sig_s2mm_axis_tready , -- Testing Support I/O s2mm_dbg_sel => (others => '0') , s2mm_dbg_data => open ); end generate GEN_DM_FULL; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_SF -- -- If Generate Description: -- This IfGen includes the Store and Forward module -- -- ------------------------------------------------------------ GEN_INCLUDE_SF : if (C_INCLUDE_SF = 1) generate begin ------------------------------------------------------------ -- Instance: I_STORE_FORWARD -- -- Description: -- This is the instance for the AXI CDMA Store and Forward -- Module. -- ------------------------------------------------------------ I_STORE_FORWARD : entity axi_cdma_v4_1_14.axi_cdma_sf generic map ( C_WR_ADDR_PIPE_DEPTH => DM_WRITE_ADDR_PIPE_DEPTH, C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , C_MAX_BURST_LEN => C_M_AXI_MAX_BURST_LEN , C_DRE_IS_USED => C_INCLUDE_DRE , C_STREAM_DWIDTH => C_M_AXI_DATA_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock input aclk => axi_aclk , -- Reset input reset => sig_sf_reset , -- DataMover Read Side Address Pipelining control Interface ---- ok_to_post_rd_addr => sig_mm2s_allow_addr_req , rd_addr_posted => sig_mm2s_addr_req_posted , rd_xfer_cmplt => sig_mm2s_rd_xfer_cmplt , -- Read Side Stream In from DataMover MM2S --------------------- sf2sin_tready => sig_mm2s_axis_tready , sin2sf_tvalid => sig_mm2s_axis_tvalid , sin2sf_tdata => sig_mm2s_axis_tdata , sin2sf_tkeep => sig_mm2s_axis_tkeep , sin2sf_tlast => sig_mm2s_axis_tlast , -- DataMover Write Side Address Pipelining control Interface --- ok_to_post_wr_addr => sig_s2mm_allow_addr_req , wr_addr_posted => sig_s2mm_addr_req_posted , wr_xfer_cmplt => sig_s2mm_wr_xfer_cmplt , wr_ld_nxt_len => sig_s2mm_ld_nxt_len , wr_len => sig_s2mm_wr_len , -- Write Side Stream Out to DataMover S2MM --------------------- sout2sf_tready => sig_s2mm_axis_tready , sf2sout_tvalid => sig_s2mm_axis_tvalid , sf2sout_tdata => sig_s2mm_axis_tdata , sf2sout_tkeep => sig_s2mm_axis_tkeep , sf2sout_tlast => sig_s2mm_axis_tlast ); end generate GEN_INCLUDE_SF; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_SF -- -- If Generate Description: -- This IfGen includes the Store and Forward module -- -- ------------------------------------------------------------ GEN_OMIT_SF : if (C_INCLUDE_SF = 0) generate begin sig_mm2s_allow_addr_req <= LOGIC_HIGH; sig_s2mm_allow_addr_req <= LOGIC_HIGH; sig_mm2s_axis_tready <= sig_s2mm_axis_tready ; sig_s2mm_axis_tvalid <= sig_mm2s_axis_tvalid ; sig_s2mm_axis_tdata <= sig_mm2s_axis_tdata ; sig_s2mm_axis_tkeep <= sig_mm2s_axis_tkeep ; sig_s2mm_axis_tlast <= sig_mm2s_axis_tlast ; end generate GEN_OMIT_SF; end implementation; ------------------------------------------------------------------------------- -- axi_cdma_sg_cntlr ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_sg_cntlr.vhd -- Description: This entity is reset module entity for the AXI DMA core. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.all; library axi_cdma_v4_1_14; use axi_cdma_v4_1_14.axi_cdma_pulse_gen; ------------------------------------------------------------------------------- entity axi_cdma_sg_cntlr is Generic ( C_SG_ADDR_WIDTH : integer := 32; C_SG_FETCH_DWIDTH : integer := 32; C_SG_PTR_UPDATE_DWIDTH : integer := 32; C_SG_STS_UPDATE_DWIDTH : integer := 33; C_DM_CMD_WIDTH : integer := 72; C_DM_DATA_WIDTH : integer := 32; C_DM_MM2S_STATUS_WIDTH : integer := 8; C_DM_S2MM_STATUS_WIDTH : integer := 8; C_FAMILY : String := "virtex7" ); port ( -- Clock Input axi_aclk : in std_logic ; -- Reset Input (active high) axi_reset : in std_logic ; -- Halt request from the Reset module rst2sgcntl_halt : in std_logic ; -- Halt complete status to the Reset module sgcntl2rst_halt_cmplt : out std_logic ; -- SG Queue Flush Request sgcntlr2sg_desc_flush : out std_logic ; -- Register Module SG Mode Control reg2sgcntl_sg_mode : in std_logic ; -- MM2S Type of Burst, 1 is increment, 0 is fixed burst_type_read : in std_logic; -- S2MM Type of Burst, 1 is increment, 0 is fixed burst_type_write : in std_logic; -- Register Module Tail pointer updated flag reg2sgcntl_tailpntr_updated : in std_logic ; -- Register Module Current Desciptor pointer updated flag reg2sgcntl_currdesc_updated : in std_logic ; -- Run/Stop Control to SG sgcntlr2sg_run_stop : out std_logic ; -- Idle bit set to Register Module Status Register sgcntl2reg_idle_set : out std_logic ; -- Idle bit clear to Register Module Status Register sgcntl2reg_idle_clr : out std_logic ; -- SOF control to SG sgcntl2sg_pkt_sof : out std_logic ; -- EOF control to SG sgcntl2sg_pkt_eof : out std_logic ; -- Interrupt on complete status bit set from SG sg2sgcntl_ioc_irq_set : in std_logic ; -- Delay Interrupt status bit set from SG sg2sgcntl_dly_irq_set : in std_logic ; -- Interrupt on complete status bit set to Register Module sgcntl2reg_ioc_irq_set : out std_logic ; -- Delay Interrupt status bit set to Register Module sgcntl2reg_dly_irq_set : out std_logic ; -- Descriptor Fetch Stream Interface from SG sgcntl2sg_ftch_tready : out std_logic ; -- Axi4-Stream sg2sgcntlr_ftch_tvalid : in std_logic ; -- Axi4-Stream sg2sgcntlr_ftch_tvalid_new : in std_logic ; -- Axi4-Stream sg2sgcntlr_ftch_tdata : in std_logic_vector(C_SG_FETCH_DWIDTH-1 downto 0) ; -- Axi4-Stream sg2sgcntlr_ftch_tdata_new : in std_logic_vector(127+(3*(C_SG_ADDR_WIDTH-32)) downto 0) ; -- Axi4-Stream sg2sgcntlr_ftch_tlast : in std_logic ; -- Axi4-Stream sig_sg2sgcntlr_ftch_desc_available : in std_logic; -- Descriptor Pointer Update Stream to SG sg2sgcntlr_updptr_tready : in std_logic ; -- Axi4-Stream sgcntl2sg_updptr_tvalid : out std_logic ; -- Axi4-Stream sgcntl2sg_updptr_tdata : out std_logic_vector(C_SG_PTR_UPDATE_DWIDTH-1 downto 0) ;-- Axi4-Stream sgcntl2sg_updptr_tlast : out std_logic ; -- Axi4-Stream -- Descriptor Status Update Stream to SG sg2sgcntlr_updsts_tready : in std_logic ; -- Axi4-Stream sgcntl2sg_updsts_tvalid : out std_logic ; -- Axi4-Stream sgcntl2sg_updsts_tdata : out std_logic_vector(C_SG_STS_UPDATE_DWIDTH-1 downto 0) ;-- Axi4-Stream sgcntl2sg_updsts_tlast : out std_logic ; -- Axi4-Stream -- Descriptor Fetch Idle status from SG sg2sgcntlr_ftch_idle : in std_logic ; -- Descriptor Fetch error early from SG sg2sgcntlr_ftch_err_early : in std_logic ; -- Descriptor Fetch stale descriptor error from SG sg2sgcntlr_ftch_stale_desc : in std_logic ; -- Descriptor Fetch error from SG sg2sgcntlr_ftch_error : in std_logic ; -- Descriptor update Idle status from SG sg2sgcntlr_updt_idle : in std_logic ; -- Descriptor interrupt on complete bit set from SG sg2sgcntlr_updt_ioc_irq_set : in std_logic ; -- Descriptor Update error from SG sg2sgcntlr_updt_error : in std_logic ; -- Echo of Main DataMover internal error from SG sg2sgcntlr_dma_interr_set : in std_logic ; -- Echo of Main DataMover Slave error from SG sg2sgcntlr_dma_slverr_set : in std_logic ; -- Echo of Main DataMover Decode error from SG sg2sgcntlr_dma_decerr_set : in std_logic ; -- Echo of Main DataMover internal error to the Register Module sgcntlr2reg_dma_interr_set : out std_logic ; -- Echo of Main DataMover Slave error to the Register Module sgcntlr2reg_dma_slverr_set : out std_logic ; -- Echo of Main DataMover Decode error to the Register Module sgcntlr2reg_dma_decerr_set : out std_logic ; -- Current Descriptor write control to Register Module sgcntlr2reg_new_curdesc_wren : out std_logic ; -- Current Descriptor to Register Module sgcntlr2reg_new_curdesc : out std_logic_vector(C_SG_ADDR_WIDTH-1 downto 0) ; -- DataMover MM2S Command Interface Ports (AXI Stream) mm2s2sgcntl_cmd_tready : in std_logic ; -- DM MM2S CMD IF sgcntl2mm2s_cmd_tvalid : out std_logic ; -- DM MM2S CMD IF sgcntl2mm2s_cmd_tdata : out std_logic_vector(C_DM_CMD_WIDTH-1 downto 0); -- DM MM2S CMD IF -- DataMover MM2S Status Interface Ports (AXI Stream) sgcntl2mm2s_sts_tready : out std_logic ; -- DM MM2S Status IF mm2s2sgcntl_sts_tvalid : in std_logic ; -- DM MM2S Status IF mm2s2sgcntl_sts_tdata : in std_logic_vector(C_DM_MM2S_STATUS_WIDTH-1 downto 0); -- DM MM2S Status IF mm2s2sgcntl_sts_tstrb : in std_logic_vector((C_DM_MM2S_STATUS_WIDTH/8)-1 downto 0); -- DM MM2S Status IF -- DataMover MM2S error discrete mm2s2sgcntl_err : in std_logic ; -- DataMover MM2S Halt request input sgcntl2mm2s_halt : Out std_logic ; -- DataMover MM2S Halt complete flag mm2s2sgcntl_halt_cmplt : In std_logic ; -- DataMover S2MM Command Interface Ports (AXI Stream) s2mm2sgcntl_cmd_tready : in std_logic ; -- DM S2MM CMD IF sgcntl2s2mm_cmd_tvalid : out std_logic ; -- DM S2MM CMD IF sgcntl2s2mm_cmd_tdata : out std_logic_vector(C_DM_CMD_WIDTH-1 downto 0); -- DM S2MM CMD IF -- DataMover S2MM Status Interface Ports (AXI Stream) sgcntl2s2mm_sts_tready : out std_logic ; -- DM S2MM Status IF s2mm2sgcntl_sts_tvalid : in std_logic ; -- DM S2MM Status IF s2mm2sgcntl_sts_tdata : in std_logic_vector(C_DM_S2MM_STATUS_WIDTH-1 downto 0); -- DM S2MM Status IF s2mm2sgcntl_sts_tstrb : in std_logic_vector((C_DM_S2MM_STATUS_WIDTH/8)-1 downto 0);-- DM S2MM Status IF -- DataMover S2MM error discrete s2mm2sgcntl_err : in std_logic ; -- DataMover S2MM Halt request input sgcntl2s2mm_halt : Out std_logic ; -- DataMover S2MM Halt complete flag s2mm2sgcntl_halt_cmplt : In std_logic ); end axi_cdma_sg_cntlr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_cdma_sg_cntlr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_calc_offset_bits -- -- Function Description: -- Calculates the width of the destination address offset bits -- needed for populating the MM2S Command DSA field. -- ------------------------------------------------------------------- function funct_calc_offset_bits (data_width : integer) return integer is Variable lvar_bits_needed : Integer := 0; begin case data_width is when 32 => lvar_bits_needed := 2; when 64 => lvar_bits_needed := 3; when 128 => lvar_bits_needed := 4; when 256 => lvar_bits_needed := 5; when 512 => lvar_bits_needed := 6; when others => -- 256 bits lvar_bits_needed := 5; end case; Return (lvar_bits_needed); end function funct_calc_offset_bits; ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- Constant DM_CMD_PEND_WIDTH : integer := 5; -- bits Constant DM_CMD_PEND_ONE : unsigned(DM_CMD_PEND_WIDTH-1 downto 0) := TO_UNSIGNED(1,DM_CMD_PEND_WIDTH); Constant DM_CMD_PEND_ZERO : unsigned(DM_CMD_PEND_WIDTH-1 downto 0) := TO_UNSIGNED(0,DM_CMD_PEND_WIDTH); Constant NO_SYNCHRONIZERS : integer := 0; Constant POSITIVE_EDGE_TRIGGER : integer := 1; Constant NEGATIVE_EDGE_TRIGGER : integer := 0; Constant TWO_CLKS : integer := 2; Constant ONE_CLK : integer := 1; Constant CMD_TAG_WIDTH : integer := 4; Constant CMD_DSA_WIDTH : integer := 6; Constant DSA_ADDR_OFFSET_WIDTH : integer := funct_calc_offset_bits(C_DM_DATA_WIDTH); Constant CMD_RSVD : std_logic_vector(3 downto 0) := (others => '0'); Constant CMD_DSA_ZEROED : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0'); Constant STS_TAG_MS_INDEX : integer := CMD_TAG_WIDTH-1; Constant STS_INTERR_INDEX : integer := STS_TAG_MS_INDEX+1; Constant STS_DECERR_INDEX : integer := STS_INTERR_INDEX+1; Constant STS_SLVERR_INDEX : integer := STS_DECERR_INDEX+1; Constant STS_OK_INDEX : integer := STS_SLVERR_INDEX+1; Constant DM_ADDR_FIELD_WIDTH : integer := 32; Constant DM_BTT_FIELD_WIDTH : integer := 23; Constant BTT_ZERO : std_logic_vector(DM_BTT_FIELD_WIDTH-1 downto 0) := (others => '0'); Constant TAG_CNT_ONE : unsigned(CMD_TAG_WIDTH-1 downto 0) := TO_UNSIGNED(1,CMD_TAG_WIDTH); Constant DESCR_DBEAT_CNT_WIDTH : integer := 3; -- bits Constant DESCR_DBEAT_CNT_ONE : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(1,DESCR_DBEAT_CNT_WIDTH); -- Descriptor Load databeat positions Constant CDA_LS : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(0,DESCR_DBEAT_CNT_WIDTH); Constant CDA_MS : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(1,DESCR_DBEAT_CNT_WIDTH); -- Constant SA_LS : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(2,DESCR_DBEAT_CNT_WIDTH); Constant SA_LS : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(1,DESCR_DBEAT_CNT_WIDTH); Constant SA_MS : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(3,DESCR_DBEAT_CNT_WIDTH); -- Constant DA_LS : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(4,DESCR_DBEAT_CNT_WIDTH); Constant DA_LS : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(2,DESCR_DBEAT_CNT_WIDTH); Constant DA_MS : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(5,DESCR_DBEAT_CNT_WIDTH); -- Constant BTT : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(6,DESCR_DBEAT_CNT_WIDTH); Constant BTT : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(3,DESCR_DBEAT_CNT_WIDTH); Constant STATUS : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := TO_UNSIGNED(7,DESCR_DBEAT_CNT_WIDTH); -- Status update word reserved field Constant STATUS_USED_WIDTH : integer := 1 -- Update IOC bit + 1 -- Cmplt bit + 1 -- DMADecErr bit + 1 -- DMASlvErr bit + 1 ; -- DMAIntErr bit Constant STATUS_RSVD_WIDTH : integer := C_SG_STS_UPDATE_DWIDTH - STATUS_USED_WIDTH; Constant STATUS_RSVD : std_logic_vector(STATUS_RSVD_WIDTH-1 downto 0) := (others => '0'); Constant FTCH_UPDT_CNTR_WIDTH : integer := 5; -- 5 bits wide Constant FTCH_UPDT_ZERO : unsigned(FTCH_UPDT_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(0, FTCH_UPDT_CNTR_WIDTH); Constant FTCH_UPDT_ONE : unsigned(FTCH_UPDT_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, FTCH_UPDT_CNTR_WIDTH); Constant UPDT_FLTR_WIDTH : integer := 8; -- 8 clocks Constant UPDT_FLTR_CNTR_WIDTH : integer := 4; -- 4 bits wide Constant UPDT_FLTR_CNTR_LD_VALUE : unsigned(UPDT_FLTR_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(UPDT_FLTR_WIDTH, UPDT_FLTR_CNTR_WIDTH); Constant UPDT_FLTR_CNTR_ZERO : unsigned(UPDT_FLTR_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(0, UPDT_FLTR_CNTR_WIDTH); Constant UPDT_FLTR_CNTR_ONE : unsigned(UPDT_FLTR_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, UPDT_FLTR_CNTR_WIDTH); Constant FETCH_LIMIT : integer := 4; -- limit of allowed prefetches for DM Cmds Constant FTCH_LIMITER_CNTR_WIDTH : integer := 4; -- 4 bits wide (16 values) Constant FTCH_LIMIT_VALUE : unsigned(UPDT_FLTR_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(FETCH_LIMIT, FTCH_LIMITER_CNTR_WIDTH); Constant FTCH_LIMITER_CNTR_ZERO : unsigned(UPDT_FLTR_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(0, FTCH_LIMITER_CNTR_WIDTH); Constant FTCH_LIMITER_CNTR_ONE : unsigned(UPDT_FLTR_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, FTCH_LIMITER_CNTR_WIDTH); ------------------------------------------------------------------------------- -- Type Declarations ------------------------------------------------------------------------------- -- Define the Fetch State Machine type and states type sg_fetch_sm_type is (FTCH_IDLE , CHK_SG_DM_RDY , LOAD_DESC , XFER_DONE ); -- Define the Status State Machine type and states type sg_status_sm_type is (STS_IDLE , GET_MM2S_STATUS , GET_S2MM_STATUS , DO_UPDATE ); -- Update Stream arbiter type type update_arb_type is (ARB_IDLE , ARB_GRANT_FETCH , ARB_GRANT_STATUS ); -- shutdown sequencer type type shtdwn_type is (SHTDWN_IDLE , HALT_FTCH_DM , WAIT_FTCH_IDLE , WAIT_FTCH_UPDATE , WAIT_DM_HALT_CMPLT , WAIT_STS_IDLE , WAIT_STS_UPDATE , WAIT_SG_UPDATE , SHTDWN_CMPLT ); ------------------------------------------------------------------------------- -- Signal Declarations ------------------------------------------------------------------------------- -- Fetch State machine signal sig_ftch_sm_state : sg_fetch_sm_type := FTCH_IDLE; signal sig_ftch_sm_state_ns : sg_fetch_sm_type := FTCH_IDLE; signal sig_ftch_sm_set_getdesc : std_logic := '0'; signal sig_ftch_sm_set_getdesc_ns : std_logic := '0'; signal sig_ftch_sm_ld_dm_cmd : std_logic := '0'; signal sig_ftch_sm_ld_dm_cmd_ns : std_logic := '0'; signal sig_ftch_sm_push_updt : std_logic := '0'; signal sig_ftch_sm_push_updt_ns : std_logic := '0'; signal sig_ftch_sm_done : std_logic := '0'; signal sig_ftch_sm_done_ns : std_logic := '0'; -- Status State machine signal sig_sts_sm_state : sg_status_sm_type := STS_IDLE; signal sig_sts_sm_state_ns : sg_status_sm_type := STS_IDLE; signal sig_sts_sm_pop_mm2s_sts : std_logic := '0'; signal sig_sts_sm_pop_mm2s_sts_ns : std_logic := '0'; signal sig_sts_sm_pop_s2mm_sts : std_logic := '0'; signal sig_sts_sm_pop_s2mm_sts_ns : std_logic := '0'; signal sig_sts_sm_push_updt : std_logic := '0'; signal sig_sts_sm_push_updt_ns : std_logic := '0'; -- High level control signal sig_sg_active : std_logic := '1'; signal sig_sg_run : std_logic := '0'; signal sig_idle_clr : std_logic := '0'; signal sig_idle_set : std_logic := '0'; signal sig_dm_cmd_pend_cntr : unsigned(DM_CMD_PEND_WIDTH-1 downto 0) := (others => '0'); signal sig_inc_cmd_pending : std_logic := '0'; signal sig_decr_cmd_pending : std_logic := '0'; signal sig_dm_cmd_pend_eq0 : std_logic := '0'; signal sig_composite_idle : std_logic := '0'; -- Soft shutdown support signal sig_halt_request : std_logic := '0'; signal sig_halt_cmplt_reg : std_logic := '0'; -- DataMover Cmd/Status IF signal sig_cmd_tag : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_tag_cntr : unsigned(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_dsa_offset : std_logic_vector(DSA_ADDR_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_dsa_field : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0'); signal sig_btt_dm_slice : std_logic_vector(DM_BTT_FIELD_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_s2mm_cmd_rdy : std_logic := '0'; signal sig_mm2s_cmd : std_logic_vector(C_DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_cmd_valid : std_logic := '0'; signal sig_mm2s_cmd_ready : std_logic := '0'; signal sig_mm2s_sts_tready : std_logic ; signal sig_mm2s_sts_tvalid : std_logic ; signal sig_mm2s_sts_tdata : std_logic_vector(C_DM_MM2S_STATUS_WIDTH-1 downto 0); signal sig_s2mm_cmd : std_logic_vector(C_DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_s2mm_cmd_valid : std_logic := '0'; signal sig_s2mm_cmd_ready : std_logic := '0'; signal sig_s2mm_sts_tready : std_logic ; signal sig_s2mm_sts_tvalid : std_logic ; signal sig_s2mm_sts_tdata : std_logic_vector(C_DM_MM2S_STATUS_WIDTH-1 downto 0); -- DataMover Status Scoring and Update signal sig_mm2s_status_reg : std_logic_vector(C_DM_MM2S_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_s2mm_status_reg : std_logic_vector(C_DM_MM2S_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_tag : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_slverr : std_logic := '0'; signal sig_mm2s_decerr : std_logic := '0'; signal sig_mm2s_interr : std_logic := '0'; signal sig_s2mm_tag : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_s2mm_slverr : std_logic := '0'; signal sig_s2mm_decerr : std_logic := '0'; signal sig_s2mm_interr : std_logic := '0'; signal sig_mm2s2cntl_err : std_logic := '0'; signal sig_s2mm2cntl_err : std_logic := '0'; signal sig_composite_interr : std_logic := '0'; signal sig_composite_slverr : std_logic := '0'; signal sig_composite_decerr : std_logic := '0'; signal sig_tag_error : std_logic := '0'; -- SG Update Ready signals signal sig_fetch_updptr_tready : std_logic := '0'; signal sig_status_updsts_tready : std_logic := '0'; -- Descriptor Fetch support signal sig_fetch_dbeat_cnt : unsigned(DESCR_DBEAT_CNT_WIDTH-1 downto 0) := (others => '0'); signal sig_good_fetch_dbeat : std_logic := '0'; signal sig_fetch_go : std_logic := '0'; signal sig_fetch_done : std_logic := '0'; signal sig_fetch_last : std_logic := '0'; -- Descriptor fetch holding registers signal sig_curr_desc_pntr_reg : std_logic_vector(C_SG_FETCH_DWIDTH-1 downto 0) := (others => '0'); signal sig_curr_desc_pntr_reg_64 : std_logic_vector(C_SG_FETCH_DWIDTH-1 downto 0) := (others => '0'); signal sig_src_addr_reg : std_logic_vector(C_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_dest_addr_reg : std_logic_vector(C_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_btt_reg : std_logic_vector(C_SG_FETCH_DWIDTH-1 downto 0) := (others => '0'); -- Descriptor fetch SG update support signal sig_ld_fetch_update_reg : std_logic := '0'; signal sig_pop_fetch_update_reg : std_logic := '0'; signal sig_fetch_update_reg : std_logic_vector(C_SG_PTR_UPDATE_DWIDTH-1 downto 0) := (others => '0'); signal sig_fetch_update_full_1 : std_logic := '0'; signal sig_fetch_update_empty_1 : std_logic := '0'; signal sig_fetch_update_full : std_logic := '0'; signal sig_fetch_update_empty : std_logic := '0'; signal sig_fetch_update_last : std_logic := '0'; -- Status SG Update support signal sig_ld_dm_status_reg : std_logic := '0'; signal sig_pop_dm_status_reg : std_logic := '0'; signal sig_dm_status_reg : std_logic_vector(C_SG_STS_UPDATE_DWIDTH-1 downto 0) := (others => '0'); signal sig_dm_status_full : std_logic := '0'; signal sig_dm_status_empty : std_logic := '0'; -- Controlled Shutdown support Signal sig_shtdwn_sm_state : shtdwn_type := SHTDWN_IDLE; Signal sig_shtdwn_sm_state_ns : shtdwn_type := SHTDWN_IDLE; signal sig_shtdwn_sm_flush_sg : std_logic := '0'; signal sig_shtdwn_sm_flush_sg_ns : std_logic := '0'; signal sig_shtdwn_sm_set_ftch_halt : std_logic := '0'; signal sig_shtdwn_sm_set_ftch_halt_ns : std_logic := '0'; signal sig_shtdwn_sm_set_dm_halt : std_logic := '0'; signal sig_shtdwn_sm_set_dm_halt_ns : std_logic := '0'; signal sig_shtdwn_sm_set_sts_halt : std_logic := '0'; signal sig_shtdwn_sm_set_sts_halt_ns : std_logic := '0'; signal sig_shtdwn_sm_set_cmplt : std_logic := '0'; signal sig_shtdwn_sm_set_cmplt_ns : std_logic := '0'; signal sig_do_shutdown : std_logic := '0'; signal sig_sg_error : std_logic := '0'; signal sig_halt_fetch : std_logic := '0'; signal sig_halt_status : std_logic := '0'; signal sig_halt_dm : std_logic := '0'; signal sig_dmhalt_cmplt : std_logic := '0'; signal sig_flush_sg : std_logic := '0'; signal sig_ftchsm_idle : std_logic := '0'; signal sig_stssm_idle : std_logic := '0'; -- SG Idle detection enhancement signal sig_ftch_updt_cntr : unsigned(FTCH_UPDT_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_incr_ftch_updt_cntr : std_logic := '0'; signal sig_decr_ftch_updt_cntr : std_logic := '0'; signal sig_ftch_updt_cntr_eq0 : std_logic := '0'; signal sig_final_updt_idle : std_logic := '0'; signal sig_update_idle_rising : std_logic := '0'; signal sig_shutdown_idle : std_logic := '0'; signal sig_shutdown_idle_rising : std_logic := '0'; signal sig_updt_filter_cntr : unsigned(UPDT_FLTR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_updt_filter_cntr_eq0 : std_logic := '0'; signal sig_ld_updt_filter_cntr : std_logic := '0'; -- SG Fetch Limiter (lock up avoidance) signal sig_ftch_limit_cntr : unsigned(FTCH_LIMITER_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_incr_ftch_limit_cntr : std_logic := '0'; signal sig_decr_ftch_limit_cntr : std_logic := '0'; signal sig_ftch_limit_cntr_eq0 : std_logic := '0'; signal sig_ftch_limit_cntr_eqlimit : std_logic := '0'; signal type_of_burst_write : std_logic; signal type_of_burst : std_logic; signal ZERO_WORD : std_logic_vector (31 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- SG Run/Stop ------------------------------------------------------------------------------- sgcntlr2sg_run_stop <= sig_sg_run ; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SG_RUN_FLOP -- -- Process Description: -- Implements the flop for the SG Run control. The Run/Stop -- control is set when SG Mode is enabled and the Current -- Descriptor Register is updated by SW (in the Reg Module). -- ------------------------------------------------------------- IMP_SG_RUN_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or reg2sgcntl_sg_mode = '0') then sig_sg_run <= '0'; elsif (reg2sgcntl_currdesc_updated = '1') then sig_sg_run <= '1'; else null; -- Hold Current State end if; end if; end process IMP_SG_RUN_FLOP; ------------------------------------------------------------------------------- -- SG Xfer "Really" Done Detection ------------------------------------------------------------------------------- sig_idle_set <= (not(sig_do_shutdown) and -- not in a shutdown sequence sig_update_idle_rising and -- update engine done sig_ftch_updt_cntr_eq0 and -- the last update queued sig_updt_filter_cntr_eq0 and sg2sgcntlr_ftch_idle) or -- not in update filter period sig_shutdown_idle_rising; -- in shutdown and complete sig_incr_ftch_updt_cntr <= sig_ftch_sm_set_getdesc ; sig_decr_ftch_updt_cntr <= sig_pop_dm_status_reg ; sig_ftch_updt_cntr_eq0 <= '1' when sig_ftch_updt_cntr = FTCH_UPDT_ZERO Else '0'; sig_final_updt_idle <= sig_ftch_updt_cntr_eq0 and -- all fetches have corresponding updates sg2sgcntlr_updt_idle ; -- and the SG Update engine is idle ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FTCH_UPDT_CNTR -- -- Process Description: -- Implements a counter to keep track of the number of -- descriptor fetches and updates. This is used to detirmine -- when SG operation are really completed. -- ------------------------------------------------------------- IMP_FTCH_UPDT_CNTR : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_ftch_updt_cntr <= FTCH_UPDT_ZERO; elsif (sig_incr_ftch_updt_cntr = '1' and sig_decr_ftch_updt_cntr = '0') then sig_ftch_updt_cntr <= sig_ftch_updt_cntr + FTCH_UPDT_ONE; Elsif (sig_ftch_updt_cntr_eq0 = '0' and sig_decr_ftch_updt_cntr = '1' and sig_incr_ftch_updt_cntr = '0') Then sig_ftch_updt_cntr <= sig_ftch_updt_cntr - FTCH_UPDT_ONE; else null; -- Hold Current State end if; end if; end process IMP_FTCH_UPDT_CNTR; ------------------------------------------------------------ -- Instance: I_GEN_SG_IDLE_RISE -- -- Description: -- Generates a pulse signaling the last SG update -- operation has completed. -- ------------------------------------------------------------ I_GEN_SG_IDLE_RISE : entity axi_cdma_v4_1_14.axi_cdma_pulse_gen generic map ( C_INCLUDE_SYNCHRO => NO_SYNCHRONIZERS , C_POS_EDGE_TRIG => POSITIVE_EDGE_TRIGGER , C_PULSE_WIDTH_CLKS => ONE_CLK ) port map ( Clk_In => axi_aclk , Rst_In => axi_reset , Sig_in => sg2sgcntlr_updt_idle , Pulse_Out => sig_update_idle_rising ); ------------------------------------------------------------------------------- -- Update Filter Counter -- -- Used to filter the lag between the acceptance of an update by the SG -- and the Update Idle flag being reset by the SG (going to not idle). -- ------------------------------------------------------------------------------- -- Start the filter counter when a status update is accepted -- by the SG Update interface sig_ld_updt_filter_cntr <= sig_pop_dm_status_reg; sig_updt_filter_cntr_eq0 <= '1' when (sig_updt_filter_cntr = UPDT_FLTR_CNTR_ZERO) else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_UPDT_FILTER_CNTR -- -- Process Description: -- Implements a counter to filter the time lag between an update -- being accepted by the SG and the Update Idle Flag being reset -- by the SG. -- ------------------------------------------------------------- IMP_UPDT_FILTER_CNTR : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_updt_filter_cntr <= UPDT_FLTR_CNTR_ZERO; Elsif (sig_ld_updt_filter_cntr = '1') Then sig_updt_filter_cntr <= UPDT_FLTR_CNTR_LD_VALUE; Elsif (sig_updt_filter_cntr_eq0 = '0') Then sig_updt_filter_cntr <= sig_updt_filter_cntr - UPDT_FLTR_CNTR_ONE; else null; -- Hold Current State end if; end if; end process IMP_UPDT_FILTER_CNTR; ------------------------------------------------------------------------------- -- Idle Set and Clear logic ------------------------------------------------------------------------------- -- The SG operation starts when the Tail Pointer is written by SW in the -- Register Module sgcntl2reg_idle_set <= sig_idle_set ; sgcntl2reg_idle_clr <= sig_idle_clr ; ------------------------------------------------------------ -- Instance: I_GEN_IDLE_CLR -- -- Description: -- Generates the Idle Clear pulse of 1 clock width. -- ------------------------------------------------------------ I_GEN_IDLE_CLR : entity axi_cdma_v4_1_14.axi_cdma_pulse_gen generic map ( C_INCLUDE_SYNCHRO => NO_SYNCHRONIZERS , C_POS_EDGE_TRIG => POSITIVE_EDGE_TRIGGER , C_PULSE_WIDTH_CLKS => ONE_CLK ) port map ( Clk_In => axi_aclk , Rst_In => axi_reset , Sig_in => reg2sgcntl_tailpntr_updated, Pulse_Out => sig_idle_clr ); sig_shutdown_idle <= (sig_do_shutdown and -- In a shutdown sequence and sig_halt_cmplt_reg ); -- shutdown complete ------------------------------------------------------------ -- Instance: I_GEN_IDLE_SET -- -- Description: -- Generates the Idle Set pulse of 1 clock width. -- ------------------------------------------------------------ I_GEN_IDLE_SET : entity axi_cdma_v4_1_14.axi_cdma_pulse_gen generic map ( C_INCLUDE_SYNCHRO => NO_SYNCHRONIZERS , C_POS_EDGE_TRIG => POSITIVE_EDGE_TRIGGER , C_PULSE_WIDTH_CLKS => ONE_CLK ) port map ( Clk_In => axi_aclk , Rst_In => axi_reset , Sig_in => sig_shutdown_idle , Pulse_Out => sig_shutdown_idle_rising ); -- Controls for the DataMover Command pending counter sig_inc_cmd_pending <= sig_ftch_sm_ld_dm_cmd; sig_decr_cmd_pending <= sig_sts_sm_push_updt ; sig_dm_cmd_pend_eq0 <= '1' when (sig_dm_cmd_pend_cntr = DM_CMD_PEND_ZERO) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DM_CMD_PEND_CNTR -- -- Process Description: -- -- ------------------------------------------------------------- IMP_DM_CMD_PEND_CNTR : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_dm_cmd_pend_cntr <= DM_CMD_PEND_ZERO; elsif (sig_inc_cmd_pending = '1' and sig_decr_cmd_pending = '0') then sig_dm_cmd_pend_cntr <= sig_dm_cmd_pend_cntr + DM_CMD_PEND_ONE; elsif (sig_inc_cmd_pending = '0' and sig_decr_cmd_pending = '1' and sig_dm_cmd_pend_eq0 = '0') then sig_dm_cmd_pend_cntr <= sig_dm_cmd_pend_cntr - DM_CMD_PEND_ONE; else null; -- Hold Current State end if; end if; end process IMP_DM_CMD_PEND_CNTR; ------------------------------------------------------------------------------- -- SOF/EOF control logic ------------------------------------------------------------------------------- -- Since CDMA does not need to support SOF/EOF concept (no Stream IF) then -- every descriptor processed is by definition a EOF/SOF case (from the -- viewpoint of the DataMover and the SG engine). sgcntl2sg_pkt_sof <= sig_idle_clr or -- Used to stop Delay Timer when a descr is ready or sig_shtdwn_sm_set_cmplt ; -- Stop delay timer on shutdown completion sgcntl2sg_pkt_eof <= sig_idle_set ; -- Used to start Delay timer ------------------------------------------------------------------------------- -- IOC and Delay Interrupt set control logic ------------------------------------------------------------------------------- -- Just pass these through the SG Controller for now. These were -- brought through the SG Controller just in case the need arose -- for some protection from the register module during simple DMA -- mode. sgcntl2reg_ioc_irq_set <= sg2sgcntl_ioc_irq_set; sgcntl2reg_dly_irq_set <= sg2sgcntl_dly_irq_set; ------------------------------------------------------------------------------- -- Current Descriptor Update to Register module control logic ------------------------------------------------------------------------------- -- Update the Register module with the latest Descriptor's Current -- DEscriptor Address when the SG Fetch Update occurs. sgcntlr2reg_new_curdesc_wren <= sig_ftch_sm_push_updt ; sgcntlr2reg_new_curdesc (31 downto 0) <= sig_curr_desc_pntr_reg ; ------------------------------------------------------------------------------- -- SG DMA Error set control logic ------------------------------------------------------------------------------- -- Just pass these through the SG Controller for now. These were -- brought through the SG Controller just in case the need arose -- for some protection from the register module during simple DMA -- mode. sgcntlr2reg_dma_interr_set <= sg2sgcntlr_dma_interr_set ; sgcntlr2reg_dma_slverr_set <= sg2sgcntlr_dma_slverr_set ; sgcntlr2reg_dma_decerr_set <= sg2sgcntlr_dma_decerr_set ; ------------------------------------------------------------------------------- -- Misc logic ------------------------------------------------------------------------------- -- See if DataMover is ready for next command sig_mm2s_s2mm_cmd_rdy <= sig_mm2s_cmd_ready and sig_s2mm_cmd_ready; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SG_ACTIVE_FLAG -- -- Process Description: -- Internal flag for enable and disable of state machines. -- ------------------------------------------------------------- IMP_SG_ACTIVE_FLAG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_idle_set = '1') then -- sig_sg_active <= '0'; elsif (sig_idle_clr = '1') then -- sig_sg_active <= '1'; else null; -- Hold Current State end if; end if; end process IMP_SG_ACTIVE_FLAG; ------------------------------------------------------------------------------- -- FETCH Prefetch Limiter Logic ------------------------------------------------------------------------------- sig_incr_ftch_limit_cntr <= sig_ftch_sm_set_getdesc ; sig_decr_ftch_limit_cntr <= sig_pop_dm_status_reg ; sig_ftch_limit_cntr_eq0 <= '1' when sig_ftch_limit_cntr = FTCH_LIMITER_CNTR_ZERO Else '0'; sig_ftch_limit_cntr_eqlimit <= '1' when sig_ftch_limit_cntr = FTCH_LIMIT_VALUE Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FTCH_LIMIT_CNTR -- -- Process Description: -- Implements a counter to keep track of the number of -- descriptor fetches and updates. This is used to limit the -- difference to a fixed value to keep the SG Update Queue from -- going full. The SG Update Queue full can lead to SG lockup. -- ------------------------------------------------------------- IMP_FTCH_LIMIT_CNTR : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_ftch_limit_cntr <= FTCH_LIMITER_CNTR_ZERO; elsif (sig_incr_ftch_limit_cntr = '1' and sig_decr_ftch_limit_cntr = '0') then sig_ftch_limit_cntr <= sig_ftch_limit_cntr + FTCH_LIMITER_CNTR_ONE; Elsif (sig_ftch_limit_cntr_eq0 = '0' and sig_decr_ftch_limit_cntr = '1' and sig_incr_ftch_limit_cntr = '0') Then sig_ftch_limit_cntr <= sig_ftch_limit_cntr - FTCH_LIMITER_CNTR_ONE; else null; -- Hold Current State end if; end if; end process IMP_FTCH_LIMIT_CNTR; ------------------------------------------------------------------------------- -- Descriptor Fetch Logic ------------------------------------------------------------------------------- sgcntl2sg_ftch_tready <= sig_fetch_go or sig_halt_fetch ; -- force tready high on a shutdown -- sig_fetch_last <= sg2sgcntlr_ftch_tlast; sig_fetch_last <= '1'; --sg2sgcntlr_ftch_tlast; -- sig_good_fetch_dbeat <= sig_fetch_go and sig_good_fetch_dbeat <= sg2sgcntlr_ftch_tvalid_new; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FETCH_GO_FLOP -- -- Process Description: -- Implements the fetch go and done flags -- ------------------------------------------------------------- IMP_FETCH_GO_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_ftch_sm_done = '1') then -- sig_fetch_go <= '0'; -- sig_fetch_done <= '0'; elsif (sig_ftch_sm_set_getdesc_ns = '1') then -- sig_fetch_go <= '1'; -- sig_fetch_done <= '0'; Elsif (sig_good_fetch_dbeat = '1' and sig_fetch_last = '1') Then -- sig_fetch_go <= '0'; -- sig_fetch_done <= '1'; else null; -- hold current state end if; end if; end process IMP_FETCH_GO_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FETCH_DB_CNTR -- -- Process Description: -- Implements the descriptor fetch data beat counter -- ------------------------------------------------------------- IMP_FETCH_DB_CNTR : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_ftch_sm_set_getdesc_ns = '1') then sig_fetch_dbeat_cnt <= (others => '0'); elsif (sig_good_fetch_dbeat = '1' and sig_fetch_done = '0' and sig_fetch_last = '0') then sig_fetch_dbeat_cnt <= sig_fetch_dbeat_cnt + DESCR_DBEAT_CNT_ONE ; else null; -- Hold Current State end if; end if; end process IMP_FETCH_DB_CNTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CURR_DESC_PNTR_REG -- -- Process Description: -- Implements the Next descriptor pointer reg. It is -- cleared when the fetch process is complete. -- ------------------------------------------------------------- -- IMP_CURR_DESC_PNTR_REG : process (axi_aclk) -- begin -- if (axi_aclk'event and axi_aclk = '1') then -- if (axi_reset = '1' or -- sig_ftch_sm_done = '1') then -- sig_curr_desc_pntr_reg <= (others => '0'); -- elsif (sig_good_fetch_dbeat = '1' and -- sig_fetch_dbeat_cnt = CDA_LS) then sig_curr_desc_pntr_reg <= sg2sgcntlr_ftch_tdata_new (127 downto 96); -- else -- null; -- Hold Current State -- end if; -- end if; -- end process IMP_CURR_DESC_PNTR_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SRC_ADDR_REG -- -- Process Description: -- Implements the Source Address register. It is -- cleared when the fetch process is complete. -- ------------------------------------------------------------- -- IMP_SRC_ADDR_REG : process (axi_aclk) -- begin -- if (axi_aclk'event and axi_aclk = '1') then -- if (axi_reset = '1' or -- sig_ftch_sm_done = '1') then -- sig_src_addr_reg <= (others => '0'); -- elsif (sig_good_fetch_dbeat = '1' and -- sig_fetch_dbeat_cnt = SA_LS) then sig_src_addr_reg (31 downto 0) <= sg2sgcntlr_ftch_tdata_new (31 downto 0); -- else -- null; -- Hold Current State -- end if; -- end if; -- end process IMP_SRC_ADDR_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DEST_ADDR_REG -- -- Process Description: -- Implements the Destination Address register. It is -- cleared when the fetch process is complete. -- ------------------------------------------------------------- -- IMP_DEST_ADDR_REG : process (axi_aclk) -- begin -- if (axi_aclk'event and axi_aclk = '1') then -- if (axi_reset = '1' or -- sig_ftch_sm_done = '1') then -- sig_dest_addr_reg <= (others => '0'); -- elsif (sig_good_fetch_dbeat = '1' and -- sig_fetch_dbeat_cnt = DA_LS) then sig_dest_addr_reg(31 downto 0) <= sg2sgcntlr_ftch_tdata_new (63 downto 32); -- else -- null; -- Hold Current State -- end if; -- end if; -- end process IMP_DEST_ADDR_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_BTT_REG -- -- Process Description: -- Implements the BTT register. It is -- cleared when the fetch process is complete. -- ------------------------------------------------------------- -- IMP_BTT_REG : process (axi_aclk) -- begin -- if (axi_aclk'event and axi_aclk = '1') then -- if (axi_reset = '1' or -- sig_ftch_sm_done = '1') then -- sig_btt_reg <= (others => '0'); -- elsif (sig_good_fetch_dbeat = '1' and -- sig_fetch_dbeat_cnt = BTT) then sig_btt_reg <= sg2sgcntlr_ftch_tdata_new (95 downto 64); -- else -- null; -- Hold Current State -- end if; -- end if; -- end process IMP_BTT_REG; ------------------------------------------------------------------------------- -- Rip the needed BTT bits for the DataMover from the descriptor BTT register ------------------------------------------------------------------------------- sig_btt_dm_slice <= sig_btt_reg(DM_BTT_FIELD_WIDTH-1 downto 0); ------------------------------------------------------------------------------- -- Command TAG Generator (just an incrementing counter) -- The Command tag is used for test and debug to track command execution flow -- through the DataMover. ------------------------------------------------------------------------------- sig_cmd_tag <= STD_LOGIC_VECTOR(sig_cmd_tag_cntr); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DM_TAG_CNTR -- -- Process Description: -- Command tag generator. This is just a simple counter -- that increments every time a command is loaded into the -- DataMover. Counter rollover is ok. -- ------------------------------------------------------------- DM_TAG_CNTR : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or reg2sgcntl_sg_mode = '0') then sig_cmd_tag_cntr <= (others => '0'); elsif (sig_ftch_sm_ld_dm_cmd = '1') then sig_cmd_tag_cntr <= sig_cmd_tag_cntr + TAG_CNT_ONE; else null; -- hold current state end if; end if; end process DM_TAG_CNTR; ------------------------------------------------------------------------------- -- MM2S Command Generation ------------------------------------------------------------------------------- sgcntl2mm2s_cmd_tdata <= sig_mm2s_cmd ; sgcntl2mm2s_cmd_tvalid <= sig_mm2s_cmd_valid ; sig_mm2s_cmd_ready <= mm2s2sgcntl_cmd_tready ; sig_mm2s_cmd_valid <= sig_ftch_sm_ld_dm_cmd ; type_of_burst <= '1' and (not burst_type_read); -- Formulate the MM2S Command sig_mm2s_cmd <= CMD_RSVD & -- reserved sig_cmd_tag & -- Tag sig_src_addr_reg & -- Address '1' & -- DRR bit '1' & -- EOF bit sig_mm2s_dsa_field & -- DSA Field Assignment type_of_burst & -- '1' & -- Incrementing burst type sig_btt_dm_slice ; -- BTT --ORIGINAL : if C_DM_DATA_WIDTH <= 64 generate --begin -- Rip the Destnation address offset bits sig_mm2s_dsa_offset <= sig_dest_addr_reg(DSA_ADDR_OFFSET_WIDTH-1 downto 0); --end generate ORIGINAL; --NEWDRE : if C_DM_DATA_WIDTH > 64 generate --begin -- -- Rip the Destnation address offset bits -- sig_mm2s_dsa_offset <= (others => '0'); --end generate NEWDRE; -- Size the dest addr offset to the DSA field width sig_mm2s_dsa_field <= STD_LOGIC_VECTOR(RESIZE(UNSIGNED(sig_mm2s_dsa_offset), CMD_DSA_WIDTH)); ------------------------------------------------------------------------------- -- MM2S Status Reg and logic ------------------------------------------------------------------------------- sgcntl2mm2s_sts_tready <= sig_sts_sm_pop_mm2s_sts or sig_halt_dm ; -- allow status to flush on shutdown sig_mm2s_sts_tvalid <= mm2s2sgcntl_sts_tvalid ; sig_mm2s_sts_tdata <= mm2s2sgcntl_sts_tdata ; -- DataMover MM2S Error discrete sig_mm2s2cntl_err <= mm2s2sgcntl_err ; -- Rip the status bits from the status register sig_mm2s_tag <= sig_mm2s_status_reg(STS_TAG_MS_INDEX downto 0); sig_mm2s_interr <= sig_mm2s_status_reg(STS_INTERR_INDEX); sig_mm2s_decerr <= sig_mm2s_status_reg(STS_DECERR_INDEX); sig_mm2s_slverr <= sig_mm2s_status_reg(STS_SLVERR_INDEX); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_MM2S_STATUS_REG -- -- Process Description: -- Implements the MM2S status reply holding register. -- ------------------------------------------------------------- IMP_MM2S_STATUS_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_sts_sm_push_updt = '1') then sig_mm2s_status_reg <= (others => '0'); elsif (sig_sts_sm_pop_mm2s_sts = '1') then sig_mm2s_status_reg <= sig_mm2s_sts_tdata; else null; -- hold current state end if; end if; end process IMP_MM2S_STATUS_REG; ------------------------------------------------------------------------------- -- S2MM Command Generation ------------------------------------------------------------------------------- sgcntl2s2mm_cmd_tdata <= sig_s2mm_cmd ; sgcntl2s2mm_cmd_tvalid <= sig_s2mm_cmd_valid ; sig_s2mm_cmd_ready <= s2mm2sgcntl_cmd_tready ; sig_s2mm_cmd_valid <= sig_ftch_sm_ld_dm_cmd ; type_of_burst_write <= '1' and (not burst_type_write); -- Formulate the S2MM Command sig_s2mm_cmd <= CMD_RSVD & -- reserved sig_cmd_tag & -- Tag sig_dest_addr_reg & -- Address '1' & -- DRR bit '1' & -- EOF bit CMD_DSA_ZEROED & -- DSA Field Assignment type_of_burst_write & -- '1' & -- Incrementing burst type sig_btt_dm_slice ; -- BTT ------------------------------------------------------------------------------- -- S2MM Status Reg and logic ------------------------------------------------------------------------------- sgcntl2s2mm_sts_tready <= sig_sts_sm_pop_s2mm_sts or sig_halt_dm ; -- allow status to flush on shutdown; sig_s2mm_sts_tvalid <= s2mm2sgcntl_sts_tvalid ; sig_s2mm_sts_tdata <= s2mm2sgcntl_sts_tdata ; -- DataMover S2MM Error discrete sig_s2mm2cntl_err <= s2mm2sgcntl_err ; -- Rip the status bits from the status register sig_s2mm_tag <= sig_s2mm_status_reg(STS_TAG_MS_INDEX downto 0); sig_s2mm_interr <= sig_s2mm_status_reg(STS_INTERR_INDEX); sig_s2mm_decerr <= sig_s2mm_status_reg(STS_DECERR_INDEX); sig_s2mm_slverr <= sig_s2mm_status_reg(STS_SLVERR_INDEX); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_S2MM_STATUS_REG -- -- Process Description: -- Implements the MM2S status reply holding register. -- ------------------------------------------------------------- IMP_S2MM_STATUS_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_sts_sm_push_updt = '1') then sig_s2mm_status_reg <= (others => '0'); elsif (sig_sts_sm_pop_s2mm_sts = '1') then sig_s2mm_status_reg <= sig_s2mm_sts_tdata; else null; -- hold current state end if; end if; end process IMP_S2MM_STATUS_REG; ------------------------------------------------------------------------------- -- Fetch Update words formulation ------------------------------------------------------------------------------- -- Assign the Fetch update outputs to the SG Fetch Update port sgcntl2sg_updptr_tvalid <= sig_fetch_update_full ; sgcntl2sg_updptr_tdata <= sig_fetch_update_reg ; sgcntl2sg_updptr_tlast <= sig_fetch_update_last ; sig_fetch_updptr_tready <= sg2sgcntlr_updptr_tready ; sig_fetch_update_last <= sig_fetch_update_full;-- and -- not(sig_fetch_update_full_1); sig_ld_fetch_update_reg <= sig_ftch_sm_push_updt and sig_fetch_update_empty ; sig_pop_fetch_update_reg <= sig_fetch_update_full and sig_fetch_updptr_tready ; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FETCH_UPDATE_FLAGS -- -- Process Description: -- Implements the Fetch Update Register status flags. -- Note that this simulates a 2-deep register requiring -- 2 pops to become empty (not full). -- ------------------------------------------------------------- IMP_FETCH_UPDATE_FLAGS : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_pop_fetch_update_reg = '1' or sig_halt_fetch = '1') then -- sig_fetch_update_full_1 <= '0'; sig_fetch_update_full <= '0'; -- sig_fetch_update_empty_1 <= '1'; sig_fetch_update_empty <= '1'; elsif (sig_ld_fetch_update_reg = '1') then -- sig_fetch_update_full_1 <= '1'; sig_fetch_update_full <= '1'; -- sig_fetch_update_empty_1 <= '0'; sig_fetch_update_empty <= '0'; -- elsif (sig_pop_fetch_update_reg = '1') then -- sig_fetch_update_full_1 <= '0'; -- sig_fetch_update_full <= sig_fetch_update_full_1; -- sig_fetch_update_empty_1 <= '1'; -- sig_fetch_update_empty <= sig_fetch_update_empty_1; else null; -- Hold Current State end if; end if; end process IMP_FETCH_UPDATE_FLAGS; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FETCH_UPDATE_REG -- -- Process Description: -- Implements the fetch update register for the current -- descriptor address write to the SG Update port. -- ------------------------------------------------------------- IMP_FETCH_UPDATE_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_pop_fetch_update_reg = '1') then sig_fetch_update_reg (31 downto 0) <= (others => '0'); elsif (sig_ld_fetch_update_reg = '1') then sig_fetch_update_reg (31 downto 0) <= sig_curr_desc_pntr_reg; -- Curr Descr Pointer LS else null; -- Hold Current State end if; end if; end process IMP_FETCH_UPDATE_REG; GEN_64_ADDR : if (C_SG_ADDR_WIDTH = 64) generate begin IMP_FETCH_UPDATE_REG1 : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_pop_fetch_update_reg = '1') then sig_fetch_update_reg (63 downto 32) <= (others => '0'); elsif (sig_ld_fetch_update_reg = '1') then sig_fetch_update_reg (63 downto 32) <= sig_curr_desc_pntr_reg_64; -- Curr Descr Pointer LS else null; -- Hold Current State end if; end if; end process IMP_FETCH_UPDATE_REG1; sgcntlr2reg_new_curdesc (63 downto 32) <= sig_curr_desc_pntr_reg_64 ; sig_src_addr_reg (63 downto 32) <= sg2sgcntlr_ftch_tdata_new (159 downto 128); sig_dest_addr_reg(63 downto 32) <= sg2sgcntlr_ftch_tdata_new (191 downto 160); sig_curr_desc_pntr_reg_64 <= sg2sgcntlr_ftch_tdata_new (223 downto 192); end generate GEN_64_ADDR; ------------------------------------------------------------------------------- -- Status DM Error merging ------------------------------------------------------------------------------- -- If the MM2S Status tag does not match the S2MM Status tag, -- this is a nasty internal error where a status reply has been -- dropped by the DataMover. This is a unique condition for the -- CDMA application. sig_tag_error <= '0' When (sig_halt_dm = '1') else '1' when (sig_s2mm_tag /= sig_mm2s_tag) Else '0'; sig_composite_interr <= (sig_s2mm_interr or sig_mm2s_interr or sig_tag_error) and not(sig_halt_dm) ; sig_composite_slverr <= (sig_s2mm_slverr or sig_mm2s_slverr) and not(sig_halt_dm) ; sig_composite_decerr <= (sig_s2mm_decerr or sig_mm2s_decerr) and not(sig_halt_dm) ; ------------------------------------------------------------------------------- -- Status Update Register Logic ------------------------------------------------------------------------------- -- Assign Output Stream port to SG Status Update interface sgcntl2sg_updsts_tvalid <= sig_dm_status_full; sgcntl2sg_updsts_tdata <= sig_dm_status_reg ; sgcntl2sg_updsts_tlast <= '1' ; sig_status_updsts_tready <= sg2sgcntlr_updsts_tready ; sig_ld_dm_status_reg <= sig_sts_sm_push_updt and sig_dm_status_empty; sig_pop_dm_status_reg <= sig_dm_status_full and sig_status_updsts_tready ; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DM_STATUS_REG -- -- Process Description: -- Implements the composite transfer status register for the -- descriptor. -- ------------------------------------------------------------- IMP_DM_STATUS_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1' or sig_pop_dm_status_reg = '1' or sig_halt_status = '1') then sig_dm_status_reg <= (others => '0'); sig_dm_status_full <= '0'; sig_dm_status_empty <= '1'; elsif (sig_ld_dm_status_reg = '1') then sig_dm_status_reg <= '1' & -- SG Update IOC bit '1' & -- Descriptor Cmplt bit sig_composite_decerr & -- DM Decode Error sig_composite_slverr & -- DM Slave Error sig_composite_interr & -- DM Internal Error STATUS_RSVD ; -- Unused (zeros) sig_dm_status_full <= '1'; sig_dm_status_empty <= '0'; else null; -- Hold Current State end if; end if; end process IMP_DM_STATUS_REG; ------------------------------------------------------------------------------- -- Descriptor Fetch State Machine ------------------------------------------------------------------------------- ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FETCH_SM_COMB -- -- Process Description: -- Implements the combinatorial portion of the Descriptor -- Fetch from SG state machine. -- ------------------------------------------------------------- IMP_FETCH_SM_COMB : process (sig_ftch_sm_state , sig_halt_fetch , sig_sg_active , sig_sg2sgcntlr_ftch_desc_available , sig_mm2s_s2mm_cmd_rdy , sig_fetch_update_empty , -- sig_fetch_done , sig_ftch_limit_cntr_eqlimit ) begin -- assign the default values sig_ftch_sm_state_ns <= FTCH_IDLE ; sig_ftch_sm_set_getdesc_ns <= '0' ; sig_ftch_sm_ld_dm_cmd_ns <= '0' ; sig_ftch_sm_push_updt_ns <= '0' ; sig_ftch_sm_done_ns <= '0' ; case sig_ftch_sm_state is --------------------------------- when FTCH_IDLE => If (sig_sg_active = '1' and sig_halt_fetch = '0') Then -- Start operations sig_ftch_sm_state_ns <= CHK_SG_DM_RDY ; Else -- wait here sig_ftch_sm_state_ns <= FTCH_IDLE ; End if; --------------------------------- when CHK_SG_DM_RDY => if (sig_halt_fetch = '1') then sig_ftch_sm_state_ns <= XFER_DONE ; elsif (sig_ftch_limit_cntr_eqlimit = '0' and sig_sg2sgcntlr_ftch_desc_available = '1' and sig_mm2s_s2mm_cmd_rdy = '1' and sig_fetch_update_empty = '1') then sig_ftch_sm_state_ns <= LOAD_DESC ; sig_ftch_sm_set_getdesc_ns <= '1' ; sig_ftch_sm_ld_dm_cmd_ns <= '1' ; sig_ftch_sm_push_updt_ns <= '1' ; else sig_ftch_sm_state_ns <= CHK_SG_DM_RDY ; end if; --------------------------------- when LOAD_DESC => sig_ftch_sm_set_getdesc_ns <= '0' ; if (sig_halt_fetch = '1') then sig_ftch_sm_state_ns <= XFER_DONE ; else --if (sig_fetch_done = '1') then sig_ftch_sm_state_ns <= XFER_DONE ; -- sig_ftch_sm_ld_dm_cmd_ns <= '1' ; -- sig_ftch_sm_push_updt_ns <= '1' ; -- else -- sig_ftch_sm_state_ns <= LOAD_DESC ; end if; --------------------------------- when XFER_DONE => sig_ftch_sm_state_ns <= FTCH_IDLE ; sig_ftch_sm_done_ns <= '1' ; --------------------------------- when others => sig_ftch_sm_state_ns <= FTCH_IDLE ; end case; end process IMP_FETCH_SM_COMB; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FETCH_SM_REG -- -- Process Description: -- Implements the registered portion of the descriptor Fetch -- State Machine. -- ------------------------------------------------------------- IMP_FETCH_SM_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_ftch_sm_state <= FTCH_IDLE ; sig_ftch_sm_set_getdesc <= '0' ; sig_ftch_sm_ld_dm_cmd <= '0' ; sig_ftch_sm_push_updt <= '0' ; sig_ftch_sm_done <= '0' ; -- sig_fetch_go <= '0' ; sig_fetch_done <= '0' ; else sig_ftch_sm_state <= sig_ftch_sm_state_ns ; sig_ftch_sm_set_getdesc <= sig_ftch_sm_set_getdesc_ns ; -- sig_fetch_go <= sig_ftch_sm_set_getdesc_ns ; sig_fetch_done <= sig_fetch_go; sig_ftch_sm_ld_dm_cmd <= sig_ftch_sm_ld_dm_cmd_ns ; sig_ftch_sm_push_updt <= sig_ftch_sm_push_updt_ns ; sig_ftch_sm_done <= sig_ftch_sm_done_ns ; end if; end if; end process IMP_FETCH_SM_REG; sig_fetch_go <= sig_ftch_sm_set_getdesc_ns ; ------------------------------------------------------------------------------- -- Status Update State Machine ------------------------------------------------------------------------------- ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_STATUS_SM_COMB -- -- Process Description: -- Implements the combinatorial portion of the Status Update -- State Machine. -- ------------------------------------------------------------- IMP_STATUS_SM_COMB : process (sig_sts_sm_state , sig_halt_status , sig_sg_active , sig_dm_cmd_pend_eq0 , sig_mm2s_sts_tvalid , sig_s2mm_sts_tvalid , sig_dm_status_empty ) begin -- assign the default values sig_sts_sm_state_ns <= STS_IDLE ; sig_sts_sm_pop_mm2s_sts_ns <= '0' ; sig_sts_sm_pop_s2mm_sts_ns <= '0' ; sig_sts_sm_push_updt_ns <= '0' ; case sig_sts_sm_state is --------------------------------- when STS_IDLE => If (sig_sg_active = '1' and sig_halt_status = '0') Then -- Start operations sig_sts_sm_state_ns <= GET_MM2S_STATUS ; Else -- wait here sig_sts_sm_state_ns <= STS_IDLE ; End if; --------------------------------- when GET_MM2S_STATUS => if (sig_halt_status = '1') then sig_sts_sm_state_ns <= STS_IDLE ; elsif (sig_mm2s_sts_tvalid = '1') then sig_sts_sm_state_ns <= GET_S2MM_STATUS ; sig_sts_sm_pop_mm2s_sts_ns <= '1' ; else sig_sts_sm_state_ns <= GET_MM2S_STATUS ; end if; --------------------------------- when GET_S2MM_STATUS => if (sig_halt_status = '1') then sig_sts_sm_state_ns <= STS_IDLE ; elsif (sig_s2mm_sts_tvalid = '1') then sig_sts_sm_state_ns <= DO_UPDATE ; sig_sts_sm_pop_s2mm_sts_ns <= '1' ; else sig_sts_sm_state_ns <= GET_S2MM_STATUS ; end if; --------------------------------- when DO_UPDATE => If (sig_dm_status_empty = '1') Then sig_sts_sm_state_ns <= STS_IDLE ; sig_sts_sm_push_updt_ns <= '1' ; Else sig_sts_sm_state_ns <= DO_UPDATE ; End if; --------------------------------- when others => -- shouldn't ever get here sig_sts_sm_state_ns <= STS_IDLE ; end case; end process IMP_STATUS_SM_COMB; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_STATUS_SM_REG -- -- Process Description: -- Implements the registered portion of the Status Update -- State Machine. -- ------------------------------------------------------------- IMP_STATUS_SM_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_sts_sm_state <= STS_IDLE ; sig_sts_sm_pop_mm2s_sts <= '0' ; sig_sts_sm_pop_s2mm_sts <= '0' ; sig_sts_sm_push_updt <= '0' ; else sig_sts_sm_state <= sig_sts_sm_state_ns ; sig_sts_sm_pop_mm2s_sts <= sig_sts_sm_pop_mm2s_sts_ns ; sig_sts_sm_pop_s2mm_sts <= sig_sts_sm_pop_s2mm_sts_ns ; sig_sts_sm_push_updt <= sig_sts_sm_push_updt_ns ; end if; end if; end process IMP_STATUS_SM_REG; ------------------------------------------------------------------------------- -- controlled Shutdown State Machine and related logic ------------------------------------------------------------------------------- -- Reset Module HALT request and complete reply sig_halt_request <= rst2sgcntl_halt ; sgcntl2rst_halt_cmplt <= sig_halt_cmplt_reg; -- SG Descriptor Queue flush request sgcntlr2sg_desc_flush <= sig_flush_sg ; -- DataMover Halt requests sgcntl2mm2s_halt <= sig_halt_dm ; sgcntl2s2mm_halt <= sig_halt_dm ; -- Composite DataMover halt complete flag sig_dmhalt_cmplt <= mm2s2sgcntl_halt_cmplt and s2mm2sgcntl_halt_cmplt ; -- Fetch State Machine Idle flag sig_ftchsm_idle <= '1' when (sig_ftch_sm_state = FTCH_IDLE) Else '0'; -- Status State Machine Idle flag sig_stssm_idle <= '1' when (sig_sts_sm_state = STS_IDLE) Else '0'; -- Composite error flag indicating that an error occured -- during a descriptor fetch or update operation sig_sg_error <= sg2sgcntlr_ftch_error or sg2sgcntlr_updt_error ; -- Formulate the shutdown request decision logic sig_do_shutdown <= sig_halt_request or sig_sg_error or sg2sgcntlr_ftch_stale_desc or sg2sgcntlr_dma_interr_set or sg2sgcntlr_dma_slverr_set or sg2sgcntlr_dma_decerr_set ; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_CMPLT_REG -- -- Process Description: -- Implements the Halt Complete register. -- This is sticky and is only cleared by a reset. -- ------------------------------------------------------------- IMP_HALT_CMPLT_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_halt_cmplt_reg <= '0'; elsif (sig_shtdwn_sm_set_cmplt_ns = '1') then sig_halt_cmplt_reg <= '1'; else null; -- hold current state end if; end if; end process IMP_HALT_CMPLT_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DM_HALT_FLOP -- -- Process Description: -- Implements the sticky flag that requests a DataMover -- HALT. -- This is sticky and is only cleared by a reset. -- ------------------------------------------------------------- IMP_DM_HALT_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_halt_dm <= '0'; elsif (sig_shtdwn_sm_set_dm_halt_ns = '1') then sig_halt_dm <= '1'; else null; -- Hold Current State end if; end if; end process IMP_DM_HALT_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FTCH_HALT_FLOP -- -- Process Description: -- Implements the sticky flag that requests a DataMover -- HALT. -- This is sticky and is only cleared by a reset. -- ------------------------------------------------------------- IMP_FTCH_HALT_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_halt_fetch <= '0'; elsif (sig_shtdwn_sm_set_ftch_halt_ns = '1') then sig_halt_fetch <= '1'; else null; -- Hold Current State end if; end if; end process IMP_FTCH_HALT_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DM_SG_FLUSH -- -- Process Description: -- Implements the sticky flag that requests a SG -- Queue flush. The Shutdown state Machine controls -- when it is set. -- This is sticky and is only cleared by a reset. -- ------------------------------------------------------------- IMP_DM_SG_FLUSH : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_flush_sg <= '0'; elsif (sig_shtdwn_sm_flush_sg_ns = '1') then sig_flush_sg <= '1'; else null; -- Hold Current State end if; end if; end process IMP_DM_SG_FLUSH; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_STS_HALT_FLOP -- -- Process Description: -- Implements the sticky flag that requests a Status State -- Machine halt. -- This is sticky and is only cleared by a reset. -- ------------------------------------------------------------- IMP_STS_HALT_FLOP : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_halt_status <= '0'; elsif (sig_shtdwn_sm_set_sts_halt_ns = '1') then sig_halt_status <= '1'; else null; -- Hold Current State end if; end if; end process IMP_STS_HALT_FLOP; ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_SHTDWN_SM_COMB -- -- Process Description: -- Implements the combinatorial portion of the Shutdown -- State Machine. The shutdown sequence is activated by -- either a soft reset request from the reset module or -- by a detected error condition. -- ------------------------------------------------------------- IMP_SHTDWN_SM_COMB : process (sig_shtdwn_sm_state , sig_do_shutdown , sg2sgcntlr_ftch_idle , sg2sgcntlr_updt_idle , sig_ftchsm_idle , sig_stssm_idle , sig_dm_status_empty , sig_fetch_update_empty , sig_dmhalt_cmplt , sig_sg_error ) begin -- assign the default values sig_shtdwn_sm_state_ns <= SHTDWN_IDLE ; sig_shtdwn_sm_flush_sg_ns <= '0'; sig_shtdwn_sm_set_ftch_halt_ns <= '0'; sig_shtdwn_sm_set_dm_halt_ns <= '0'; sig_shtdwn_sm_set_sts_halt_ns <= '0'; sig_shtdwn_sm_set_cmplt_ns <= '0'; case sig_shtdwn_sm_state is --------------------------------- when SHTDWN_IDLE => if (sig_do_shutdown = '1') then -- start shutdown sequence sig_shtdwn_sm_state_ns <= HALT_FTCH_DM; sig_shtdwn_sm_set_ftch_halt_ns <= '1'; sig_shtdwn_sm_set_dm_halt_ns <= '1'; else -- Stay here sig_shtdwn_sm_state_ns <= SHTDWN_IDLE ; end if; --------------------------------- when HALT_FTCH_DM => sig_shtdwn_sm_state_ns <= WAIT_FTCH_IDLE ; sig_shtdwn_sm_flush_sg_ns <= '1' ; --------------------------------- when WAIT_FTCH_IDLE => if (sig_ftchsm_idle = '1') then sig_shtdwn_sm_state_ns <= WAIT_FTCH_UPDATE ; else sig_shtdwn_sm_state_ns <= WAIT_FTCH_IDLE ; end if; --------------------------------- when WAIT_FTCH_UPDATE => if (sg2sgcntlr_ftch_idle = '1' and sig_ftchsm_idle = '1') then sig_shtdwn_sm_state_ns <= WAIT_DM_HALT_CMPLT ; else sig_shtdwn_sm_state_ns <= WAIT_FTCH_UPDATE ; end if; --------------------------------- when WAIT_DM_HALT_CMPLT => if (sig_dmhalt_cmplt = '1') then sig_shtdwn_sm_state_ns <= WAIT_STS_IDLE ; sig_shtdwn_sm_set_sts_halt_ns <= '1' ; else sig_shtdwn_sm_state_ns <= WAIT_DM_HALT_CMPLT ; end if; --------------------------------- when WAIT_STS_IDLE => if (sig_stssm_idle = '1') then sig_shtdwn_sm_state_ns <= WAIT_STS_UPDATE ; else sig_shtdwn_sm_state_ns <= WAIT_STS_IDLE ; end if; --------------------------------- when WAIT_STS_UPDATE => if (sig_dm_status_empty = '1') then sig_shtdwn_sm_state_ns <= WAIT_SG_UPDATE ; else sig_shtdwn_sm_state_ns <= WAIT_STS_UPDATE ; end if; --------------------------------- when WAIT_SG_UPDATE => if (sg2sgcntlr_updt_idle = '1') then sig_shtdwn_sm_state_ns <= SHTDWN_CMPLT ; sig_shtdwn_sm_set_cmplt_ns <= '1'; else sig_shtdwn_sm_state_ns <= WAIT_SG_UPDATE ; end if; --------------------------------- when SHTDWN_CMPLT => sig_shtdwn_sm_state_ns <= SHTDWN_CMPLT ; sig_shtdwn_sm_set_cmplt_ns <= '1'; --------------------------------- when others => -- shouldn't ever get here sig_shtdwn_sm_state_ns <= SHTDWN_IDLE ; end case; end process IMP_SHTDWN_SM_COMB; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SHTDWN_SM_REG -- -- Process Description: -- Implements the registered portion of the shutdown -- State Machine. -- ------------------------------------------------------------- IMP_SHTDWN_SM_REG : process (axi_aclk) begin if (axi_aclk'event and axi_aclk = '1') then if (axi_reset = '1') then sig_shtdwn_sm_state <= SHTDWN_IDLE ; sig_shtdwn_sm_flush_sg <= '0' ; sig_shtdwn_sm_set_ftch_halt <= '0' ; sig_shtdwn_sm_set_dm_halt <= '0' ; sig_shtdwn_sm_set_sts_halt <= '0' ; sig_shtdwn_sm_set_cmplt <= '0' ; else sig_shtdwn_sm_state <= sig_shtdwn_sm_state_ns ; sig_shtdwn_sm_flush_sg <= sig_shtdwn_sm_flush_sg_ns ; sig_shtdwn_sm_set_ftch_halt <= sig_shtdwn_sm_set_ftch_halt_ns ; sig_shtdwn_sm_set_dm_halt <= sig_shtdwn_sm_set_dm_halt_ns ; sig_shtdwn_sm_set_sts_halt <= sig_shtdwn_sm_set_sts_halt_ns ; sig_shtdwn_sm_set_cmplt <= sig_shtdwn_sm_set_cmplt_ns ; end if; end if; end process IMP_SHTDWN_SM_REG; end implementation; ------------------------------------------------------------------------------- -- axi_cdma_sg_wrap ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma_sg_wrap.vhd -- -- Description: -- -- This file is the module wrapper for the AXI CDMA core when parameterized -- for only Simple Mode DMA operations. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- -- VHDL Libraries -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.all; library axi_datamover_v5_1_16; use axi_datamover_v5_1_16.axi_datamover; library axi_sg_v4_1_7; use axi_sg_v4_1_7.axi_sg; library axi_cdma_v4_1_14; use axi_cdma_v4_1_14.axi_cdma_pkg.all; use axi_cdma_v4_1_14.axi_cdma_reset; use axi_cdma_v4_1_14.axi_cdma_reg_module; use axi_cdma_v4_1_14.axi_cdma_simple_cntlr; use axi_cdma_v4_1_14.axi_cdma_sg_cntlr; use axi_cdma_v4_1_14.axi_cdma_sf; ------------------------------------------------------------------------------- entity axi_cdma_sg_wrap is generic( ----------------------------------------------------------------------- -- AXI Lite Register Interface Parameters ----------------------------------------------------------------------- C_S_AXI_LITE_ADDR_WIDTH : integer range 2 to 32 := 6; -- Address width of the AXI Lite Interface (bits) C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32; -- Data width of the AXI Lite Interface (bits) C_AXI_LITE_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the AXI Lite Register interface needs to -- be asynchronous to the CDMA data transport path clocking -- 0 = Use same clocking as data path -- 1 = Use special AXI Lite clock for the axi lite interface ----------------------------------------------------------------------- -- DataMover Memory Map Master Interface Parameters ----------------------------------------------------------------------- C_M_AXI_ADDR_WIDTH : integer range 32 to 64 := 32; -- DataMover Master AXI Memory Map Address Width (bits) C_M_AXI_DATA_WIDTH : integer range 32 to 1024 := 32; -- DataMover Master AXI Memory Map Data Width (bits) C_M_AXI_MAX_BURST_LEN : integer range 2 to 256 := 16; -- DataMover Maximum burst length to use for AXI MMAP requests -- Allowed values are 16, 32, 64, 128, and 256 (data beats) C_INCLUDE_DRE : integer range 0 to 1 := 0; -- Include or exclude DataMover Data Realignment (DRE) -- NOTE: DRE is only available for 32 and 64 bit data widths -- 0 = Exclude DRE -- 1 = Include DRE C_USE_DATAMOVER_LITE : integer range 0 to 1 := 0; -- Enable DataMover Lite mode -- NOTE: Data widths limited to 32 and 64 bits, max burst -- limited to 16, 32, and 64 data beats, no DRE, 4K address -- guarding must be done by SW programmer. -- 0 = Normal DataMover mode -- 1 = Lite dataMover mode C_READ_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 4; -- This parameter specifies the depth of the DataMover -- read address pipelining queues for the Main data transport -- channels. The effective address pipelining on the AXI4 Read -- Address Channel will be the value assigned plus 2. C_WRITE_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 4; -- This parameter specifies the depth of the DataMover -- write address pipelining queues for the Main data transport -- channel. The effective address pipelining on the AXI4 Write -- Address Channel will be the value assigned plus 2. ----------------------------------------------------------------------- -- Store and Forward Parameters ----------------------------------------------------------------------- C_INCLUDE_SF : integer range 0 to 1 := 1; -- This parameter includes includes/omits Store and Forward. C_SF_FIFO_DEPTH : Integer range 128 to 8192 := 128 ; -- This parameter sets the depth of the Store and Forward FIFO. ----------------------------------------------------------------------- -- Scatter Gather Parameters ----------------------------------------------------------------------- C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather -- R/W Port (bits) C_M_AXI_SG_DATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Data Width for Scatter Gather -- R/W Port (bits) C_DLYTMR_RESOLUTION : integer range 1 to 100000 := 125; -- Interrupt Delay Timer resolution in clock ticks of axi_aclk ----------------------------------------------------------------------- -- Soft Reset Assertion Time ----------------------------------------------------------------------- C_SOFT_RST_TIME_CLKS : integer range 1 to 64 := 8; -- Specifies the time of the soft reset assertion in -- axi_aclk clock periods. C_ACTUAL_ADDR : integer range 32 to 64 := 32; ----------------------------------------------------------------------- -- Target FPGA Family Parameter ----------------------------------------------------------------------- C_FAMILY : string := "virtex7" -- Target FPGA Device Family ); port ( ----------------------------------------------------------------------- -- Primary Clock ----------------------------------------------------------------------- axi_aclk : in std_logic ; ----------------------------------------------------------------------- -- Primary Reset Input (active low synchronous to axi_aclk) ----------------------------------------------------------------------- axi_resetn : in std_logic ; ----------------------------------------------------------------------- -- AXI Lite clock ----------------------------------------------------------------------- axi_lite_aclk : in std_logic ; ----------------------------------------------------------------------- -- AXI Lite reset (active low synchronous to axi_lite_aclk) ----------------------------------------------------------------------- axi_lite_resetn : in std_logic ; ----------------------------------------------------------------------- -- Interrupt output ----------------------------------------------------------------------- cdma_introut : out std_logic ; ----------------------------------------------------------------------- -- Error Discrete output ----------------------------------------------------------------------- cdma_error_out : out std_logic ; --------------------------------------------------------------------------------- -- AXI Lite Register Access Interface --------------------------------------------------------------------------------- -- AXI Lite Write Address Channel -- AXI4-Lite s_axi_lite_awready : out std_logic ;-- AXI4-Lite s_axi_lite_awvalid : in std_logic ;-- AXI4-Lite s_axi_lite_awaddr : in std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Write Data Channel -- AXI4-Lite s_axi_lite_wready : out std_logic ;-- AXI4-Lite s_axi_lite_wvalid : in std_logic ;-- AXI4-Lite s_axi_lite_wdata : in std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_DATA_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Write Response Channel -- AXI4-Lite s_axi_lite_bready : in std_logic ;-- AXI4-Lite s_axi_lite_bvalid : out std_logic ;-- AXI4-Lite s_axi_lite_bresp : out std_logic_vector(1 downto 0) ;-- AXI4-Lite -- AXI4-Lite -- AXI Lite Read Address Channel -- AXI4-Lite s_axi_lite_arready : out std_logic ;-- AXI4-Lite s_axi_lite_arvalid : in std_logic ;-- AXI4-Lite s_axi_lite_araddr : in std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Read Data Channel -- AXI4-Lite s_axi_lite_rready : in std_logic ;-- AXI4-Lite s_axi_lite_rvalid : out std_logic ;-- AXI4-Lite s_axi_lite_rdata : out std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_DATA_WIDTH-1 downto 0);-- AXI4-Lite s_axi_lite_rresp : out std_logic_vector(1 downto 0) ;-- AXI4-Lite ---------------------------------------------------------------------------- -- AXI DataMover Read Channel ---------------------------------------------------------------------------- -- DataMover MMap Read Address Channel -- AXI4 m_axi_arready : in std_logic ;-- AXI4 m_axi_arvalid : out std_logic ;-- AXI4 m_axi_araddr : out std_logic_vector -- AXI4 (C_M_AXI_ADDR_WIDTH-1 downto 0) ;-- AXI4 m_axi_arlen : out std_logic_vector(7 downto 0) ;-- AXI4 m_axi_arsize : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_arburst : out std_logic_vector(1 downto 0) ;-- AXI4 m_axi_arprot : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_arcache : out std_logic_vector(3 downto 0) ;-- AXI4 -- AXI4 -- DataMover MMap Read Data Channel -- AXI4 m_axi_rready : out std_logic ;-- AXI4 m_axi_rvalid : in std_logic ;-- AXI4 m_axi_rdata : in std_logic_vector -- AXI4 (C_M_AXI_DATA_WIDTH-1 downto 0) ;-- AXI4 m_axi_rresp : in std_logic_vector(1 downto 0) ;-- AXI4 m_axi_rlast : in std_logic ;-- AXI4 ----------------------------------------------------------------------------- -- AXI DataMover Write Channel ----------------------------------------------------------------------------- -- DataMover Write Address Channel -- AXI4 m_axi_awready : in std_logic ;-- AXI4 m_axi_awvalid : out std_logic ;-- AXI4 m_axi_awaddr : out std_logic_vector -- AXI4 (C_M_AXI_ADDR_WIDTH-1 downto 0) ;-- AXI4 m_axi_awlen : out std_logic_vector(7 downto 0) ;-- AXI4 m_axi_awsize : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_awburst : out std_logic_vector(1 downto 0) ;-- AXI4 m_axi_awprot : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_awcache : out std_logic_vector(3 downto 0) ;-- AXI4 -- AXI4 -- DataMover Write Data Channel -- AXI4 m_axi_wready : in std_logic ;-- AXI4 m_axi_wvalid : out std_logic ;-- AXI4 m_axi_wdata : out std_logic_vector -- AXI4 (C_M_AXI_DATA_WIDTH-1 downto 0) ;-- AXI4 m_axi_wstrb : out std_logic_vector -- AXI4 ((C_M_AXI_DATA_WIDTH/8)-1 downto 0);-- AXI4 m_axi_wlast : out std_logic ;-- AXI4 -- AXI4 -- DataMover Write Response Channel -- AXI4 m_axi_bready : out std_logic ;-- AXI4 m_axi_bvalid : in std_logic ;-- AXI4 m_axi_bresp : in std_logic_vector(1 downto 0) ;-- AXI4 ---------------------------------------------------------------------------- -- AXI Scatter Gather Interface ---------------------------------------------------------------------------- -- Scatter Gather Write Address Channel -- AXI4 m_axi_sg_awready : in std_logic ;-- AXI4 m_axi_sg_awvalid : out std_logic ;-- AXI4 m_axi_sg_awaddr : out std_logic_vector -- AXI4 (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ;-- AXI4 m_axi_sg_awlen : out std_logic_vector(7 downto 0) ;-- AXI4 m_axi_sg_awsize : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_sg_awburst : out std_logic_vector(1 downto 0) ;-- AXI4 m_axi_sg_awprot : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_sg_awcache : out std_logic_vector(3 downto 0) ;-- AXI4 -- AXI4 -- Scatter Gather Write Data Channel -- AXI4 m_axi_sg_wready : in std_logic ;-- AXI4 m_axi_sg_wvalid : out std_logic ;-- AXI4 m_axi_sg_wdata : out std_logic_vector -- AXI4 (C_M_AXI_SG_DATA_WIDTH-1 downto 0);-- AXI4 m_axi_sg_wstrb : out std_logic_vector -- AXI4 ((C_M_AXI_SG_DATA_WIDTH/8)-1 downto 0);-- AXI4 m_axi_sg_wlast : out std_logic ;-- AXI4 -- AXI4 -- Scatter Gather Write Response Channel -- AXI4 m_axi_sg_bready : out std_logic ;-- AXI4 m_axi_sg_bvalid : in std_logic ;-- AXI4 m_axi_sg_bresp : in std_logic_vector(1 downto 0) ;-- AXI4 -- AXI4 -- Scatter Gather Read Address Channel -- AXI4 m_axi_sg_arready : in std_logic ;-- AXI4 m_axi_sg_arvalid : out std_logic ;-- AXI4 m_axi_sg_araddr : out std_logic_vector -- AXI4 (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ;-- AXI4 m_axi_sg_arlen : out std_logic_vector(7 downto 0) ;-- AXI4 m_axi_sg_arsize : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_sg_arburst : out std_logic_vector(1 downto 0) ;-- AXI4 m_axi_sg_arprot : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_sg_arcache : out std_logic_vector(3 downto 0) ;-- AXI4 -- AXI4 -- Scatter Gather Read Data Channel -- AXI4 m_axi_sg_rready : out std_logic ;-- AXI4 m_axi_sg_rvalid : in std_logic ;-- AXI4 m_axi_sg_rdata : in std_logic_vector -- AXI4 (C_M_AXI_SG_DATA_WIDTH-1 downto 0) ;-- AXI4 m_axi_sg_rresp : in std_logic_vector(1 downto 0) ;-- AXI4 m_axi_sg_rlast : in std_logic ;-- AXI4 -- Debug test vector (Xilinx use only) axi_cdma_tstvec : out std_logic_vector(31 downto 0) ); ----------------------------------------------------------------- -- End of PSFUtil MPD attributes ----------------------------------------------------------------- end axi_cdma_sg_wrap; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_cdma_sg_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; function func_include_dre (need_dre : integer; needed_data_width : integer) return integer is Variable include_dre : Integer := 0; begin If (need_dre = 1 and needed_data_width > 64) Then include_dre := 1; Else include_dre := 0; End if; Return (include_dre); end function func_include_dre; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- General Use Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; -- AXI CDMA Build Mode (This Wrapper is with SG); 1 = Scatter Gather Enabled constant CDMA_BUILD_MODE : integer := 1; -- Indicates that ACLK is synchronous to ch1_aclk and ch2_aclk Constant ACLK_IS_SYNC : integer := 0; -- SG Channel 1 Include/omit Constant INCLUDE_CH1 : integer := 1; -- SG Channel 2 Include/omit Constant OMIT_CH2 : integer := 0; -- SG Include Update Engine Constant INCLUDE_UPDATE_ENG : integer := 1; -- SG Include Interrupt Controller Constant INCLUDE_IRPT_CNTLR : integer := 1; -- SG Include Delay Timer Constant INCLUDE_DLY_TIMER : integer := 1; -- SG Fetch Master Stream Width Constant M_AXIS_SG_TDATA_WIDTH : integer := 32; -- SG Pointer Update Stream Width Constant S_AXIS_UPDPTR_TDATA_WIDTH : integer := 32; -- SG Status Update Stream Width Constant C_S_AXIS_UPDSTS_TDATA_WIDTH : integer := 33; -- SG Update Slave Stream Width Constant S_AXIS_SG_DATA_WIDTH : integer := 34; -- SG Fetch Descriptor Queue Depth Constant SG_FTCH_DESC2QUEUE : integer := 4; -- SG Update Descriptor Queue Depth --Constant SG_UPDT_DESC2QUEUE : integer := 8; Constant SG_UPDT_DESC2QUEUE : integer := 4; -- SG Ch1 number of descriptor words to fetch Constant SG_CH1_WORDS_TO_FETCH : integer := 8; -- SG Ch1 number of descriptor words to update Constant SG_CH1_WORDS_TO_UPDATE : integer := 1; -- SG Ch1 First Update word offset from desctiptor start Constant SG_CH1_FIRST_UPDATE_WORD : integer := 7; -- SG Ch1 Stale Descriptor Error enable Constant SG_CH1_ENBL_STALE_ERROR : integer := 1; -- SG Ch2 words to fetch (Not used in CDMA application) Constant SG_CH2_WORDS_TO_FETCH : integer := 4; -- SG Ch2 words to update (Not used in CDMA application) Constant SG_CH2_WORDS_TO_UPDATE : integer := 1; -- SG Ch2 First Update word offset from desctiptor start (Not used in CDMA application) Constant SG_CH2_FIRST_UPDATE_WORD : integer := 0; -- SG Ch2 Stale Descriptor Error enable (Not used in CDMA application) Constant SG_CH2_ENBL_STALE_ERROR : integer := 0; -- AXI DataMover Include Status FIFO constant DM_INCLUDE_STS_FIFO : integer := 1; -- AXI DataMover Command / Status FIFO Depth constant DM_SG_CMDSTS_FIFO_DEPTH : integer := 1; -- AXI MM2S DataMover Full mode value constant MM2S_FULL_MODE : integer := 1; -- AXI MM2S DataMover Lite mode value constant MM2S_LITE_MODE : integer := 2; -- AXI S2MM DataMover Full mode value constant S2MM_FULL_MODE : integer := 1; -- AXI S2MM DataMover LITE mode value constant S2MM_LITE_MODE : integer := 4; -- AXI DataMover clocking mode constant DM_USE_SYNC_CLOCKS : integer := 0; -- AXI DataMover BTT Used width (Set the to the max allowed) constant DM_BTT_WIDTH : integer := 23; -- AXI DataMover S2MM DRE Enable (set to disabled) constant DM_S2MM_DRE_DISABLED : integer := 0 ;--func_include_dre (C_INCLUDE_DRE, C_M_AXI_DATA_WIDTH); -- AXI DataMover Include Store and Forward constant DM_OMIT_S2MM_STORE_FORWARD : integer := 0; constant DM_ENABLE_S2MM_STORE_FORWARD : integer := 1; Constant STORE_FORWARD_CNTL : integer := DM_OMIT_S2MM_STORE_FORWARD; -- AXI DataMover Stream Backend width constant DM_STREAM_DWIDTH : integer := C_M_AXI_DATA_WIDTH; -- AXI DataMover Base status vector width constant BASE_STATUS_WIDTH : integer := 8; -- AXI DataMover S2MM status stream data width delta -- if Store and Forward enabled Constant SF_ADDED_STS_WIDTH : integer := 24; -- AXI DataMover status stream data width (S2MM is based on mode of operation) constant DM_MM2S_STATUS_WIDTH : integer := BASE_STATUS_WIDTH; constant DM_S2MM_STATUS_WIDTH : integer := BASE_STATUS_WIDTH + (STORE_FORWARD_CNTL * SF_ADDED_STS_WIDTH); -- DataMover Command Stream data Width in bits constant DM_CMD_WIDTH : integer := 72+(C_M_AXI_ADDR_WIDTH-32); -- constant DM_CMD_WIDTH : integer := 104; -- SG Delay and Threshold field widths Constant DLY_THRESH_WIDTH : integer := 8; -- SG Delay and Threshold zero values Constant IRQ_DLY_THRESH_ZEROS : std_logic_vector(DLY_THRESH_WIDTH-1 downto 0) := (others => '0'); -- SG Address zero value Constant SG_ADDR_ZEROS : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); -- SG Descriptor Fetch data width Constant SG_FETCH_DWIDTH : integer := M_AXIS_SG_TDATA_WIDTH; -- SG Descriptor Update data width Constant SG_UPDATE_DWIDTH : integer := S_AXIS_SG_DATA_WIDTH; -- SG Pointer Update data value of zeroes Constant SG_UPDPTR_DATA_ZEROS : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); -- SG Status Update data value of zeroes Constant SG_UPDSTS_DATA_ZEROS : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) := (others => '0'); -- AXI DataMover pipeline depth constants Constant DM_READ_ADDR_PIPE_DEPTH : integer := C_READ_ADDR_PIPE_DEPTH; Constant DM_WRITE_ADDR_PIPE_DEPTH : integer := C_WRITE_ADDR_PIPE_DEPTH; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_max -- -- Function Description: -- Returns the greater of two integers. -- ------------------------------------------------------------------- function funct_get_max (value_in_1 : integer; value_in_2 : integer) return integer is Variable max_value : Integer := 0; begin If (value_in_1 > value_in_2) Then max_value := value_in_1; else max_value := value_in_2; End if; Return (max_value); end function funct_get_max; ------------------------------------------------------------------- -- Function Name: funct_rnd2pwr_of_2 -- -- Function Description: -- Rounds the input value up to the nearest power of 2 between -- 128 and 8192. -- ------------------------------------------------------------------- function funct_rnd2pwr_of_2 (input_value : integer) return integer is Variable temp_pwr2 : Integer := 128; begin if (input_value <= 128) then temp_pwr2 := 128; elsif (input_value <= 256) then temp_pwr2 := 256; elsif (input_value <= 512) then temp_pwr2 := 512; elsif (input_value <= 1024) then temp_pwr2 := 1024; elsif (input_value <= 2048) then temp_pwr2 := 2048; elsif (input_value <= 4096) then temp_pwr2 := 4096; else temp_pwr2 := 8192; end if; Return (temp_pwr2); end function funct_rnd2pwr_of_2; ------------------------------------------------------------------- -- Calculates the minimum needed depth of the CDMA Store and Forward FIFO Constant PIPEDEPTH_BURST_LEN_PROD : integer := (funct_get_max(4, 4)+2) * C_M_AXI_MAX_BURST_LEN; -- Assigns the depth of the CDMA Store and Forward FIFO to the nearest -- power of 2 Constant SF_FIFO_DEPTH : integer range 128 to 8192 := funct_rnd2pwr_of_2(PIPEDEPTH_BURST_LEN_PROD); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Reset Module signals signal sig_rst2lite_bside_reset : std_logic := '0'; signal sig_rst2lite_cside_reset : std_logic := '0'; signal sig_rst2reg_reset : std_logic := '0'; signal sig_rst2cntlr_reset : std_logic := '0'; signal sig_rst2sgcntlr_reset : std_logic := '0'; signal sig_rst2sg_resetn : std_logic := '0'; signal sig_rst2dm_resetn : std_logic := '0'; signal sig_rst2cntlr_halt : std_logic := '0'; signal sig_cntlr2rst_halt_cmplt : std_logic := '0'; signal sig_dm_mm2s_halt : std_logic := '0'; signal sig_dm_mm2s_halt_cmplt : std_logic := '0'; signal sig_dm_s2mm_halt : std_logic := '0'; signal sig_dm_s2mm_halt_cmplt : std_logic := '0'; -- Register Module Signals signal sig_reg2cntlr_src_addr : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_reg2cntlr_dest_addr : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_reg2cntlr_btt : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_reg2cntlr_go : std_logic := '0'; signal sig_cntlr2reg_idle_set : std_logic := '0'; signal sig_cntlr2reg_idle_clr : std_logic := '0'; signal sig_cntlr2reg_decerr_set : std_logic := '0'; signal sig_cntlr2reg_slverr_set : std_logic := '0'; signal sig_cntlr2reg_interr_set : std_logic := '0'; signal sig_cntlr2reg_ioc_set : std_logic := '0'; signal sig_cntlr2reg_iocirpt_set : std_logic := '0'; signal sig_reg2rst_soft_reset : std_logic := '0'; signal sig_rst2reg_soft_reset_clr : std_logic := '0'; signal sig_reg2cntlr_sg_mode : std_logic := '0'; -- DataMover MM2S error discrete signal sig_dm_mm2s_err : std_logic := '0'; -- DataMover MM2S command Stream signal sig_cntl2mm2s_cmd_tdata : std_logic_vector(DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s2cntl_cmd_tready : std_logic := '0'; signal sig_cntl2mm2s_cmd_tvalid : std_logic := '0'; -- DataMover MM2S status Stream signal sig_mm2s2cntl_sts_tdata : std_logic_vector(DM_MM2S_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s2cntl_sts_tstrb : std_logic_vector((DM_MM2S_STATUS_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mm2s2cntl_sts_tvalid : std_logic := '0'; signal sig_cntl2mm2s_sts_tready : std_logic := '0'; -- DataMover S2MM error discrete signal sig_dm_s2mm_err : std_logic := '0'; -- DataMover S2MM command Stream signal sig_cntl2s2mm_cmd_tdata : std_logic_vector(DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cntl2s2mm_cmd_tvalid : std_logic := '0'; signal sig_s2mm2cntl_cmd_tready : std_logic := '0'; -- DataMover S2MM status Stream signal sig_s2mm2cntl_sts_tdata : std_logic_vector(DM_S2MM_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_s2mm2cntl_sts_tstrb : std_logic_vector((DM_S2MM_STATUS_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_s2mm2cntl_sts_tvalid : std_logic := '0'; signal sig_cntl2s2mm_sts_tready : std_logic := '0'; -- DataMover stream loopback hookup signal sig_mm2s_axis_tdata : std_logic_vector(DM_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_mm2s_axis_tkeep : std_logic_vector((DM_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_mm2s_axis_tlast : std_logic := '0'; signal sig_mm2s_axis_tvalid : std_logic := '0'; signal sig_mm2s_axis_tready : std_logic := '0'; -- SG/Register signals signal sig_reg2sg_dmacr : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_reg2sg_dmasr : std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_reg2sg_curdesc : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_reg2sg_taildesc : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_reg2sgcntlr_currdesc_updated : std_logic := '0'; signal sig_reg2sg_tailpntr_updated : std_logic := '0'; signal sig_reg2sg_irqdelay_wren : std_logic := '0'; signal sig_reg2sg_irqthresh_wren : std_logic := '0'; signal sig_sg2reg_ftch_interr_set : std_logic := '0'; signal sig_sg2reg_ftch_slverr_set : std_logic := '0'; signal sig_sg2reg_ftch_decerr_set : std_logic := '0'; signal sig_sg2reg_updt_interr_set : std_logic := '0'; signal sig_sg2reg_updt_slverr_set : std_logic := '0'; signal sig_sg2reg_updt_decerr_set : std_logic := '0'; signal sig_sg2sgcntlr_ftch_error : std_logic := '0'; signal sig_sg2reg_ftch_error_addr : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_sg2sgcntlr_updt_error : std_logic := '0'; signal sig_sg2reg_updt_error_addr : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_sg2reg_irqdelay_status : std_logic_vector(DLY_THRESH_WIDTH-1 downto 0) := (others => '0'); signal sig_sg2reg_irqthresh_status : std_logic_vector(DLY_THRESH_WIDTH-1 downto 0) := (others => '0'); -- SG Controller signals signal sig_rst2sgcntl_halt : std_logic := '0'; signal sig_sgcntl2rst_halt_cmplt : std_logic := '0'; signal sig_sgcntl2reg_idle_set : std_logic := '0'; signal sig_sgcntl2reg_idle_clr : std_logic := '0'; signal sig_sgcntl2sg_pkt_sof : std_logic := '0'; signal sig_sgcntl2sg_pkt_eof : std_logic := '0'; signal sig_sg2sgcntl_ioc_irq_set : std_logic := '0'; signal sig_sg2sgcntl_dly_irq_set : std_logic := '0'; signal sig_sgcntl2reg_ioc_irq_set : std_logic := '0'; signal sig_sgcntl2reg_dly_irq_set : std_logic := '0'; signal sig_sg2sgcntlr_ftch_tdata : std_logic_vector(SG_FETCH_DWIDTH-1 downto 0) := (others => '0'); signal sig_sg2sgcntlr_ftch_tdata_new : std_logic_vector(127+(3*(C_M_AXI_ADDR_WIDTH-32)) downto 0) := (others => '0'); signal sig_sg2sgcntlr_ftch_tvalid : std_logic := '0'; signal sig_sg2sgcntlr_ftch_tvalid_new : std_logic := '0'; signal sig_sgcntl2sg_ftch_tready : std_logic := '0'; signal sig_sg2sgcntlr_ftch_tlast : std_logic := '0'; signal sig_sg2sgcntlr_ftch_desc_available : std_logic := '0'; signal sig_sg2sgcntlr_updptr_tready : std_logic := '0'; signal sig_sgcntl2sg_updptr_tvalid : std_logic := '0'; signal sig_sgcntl2sg_updptr_tdata : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_sgcntl2sg_updptr_tlast : std_logic := '0'; signal sig_sg2sgcntlr_updsts_tready : std_logic := '0'; signal sig_sgcntl2sg_updsts_tvalid : std_logic := '0'; signal sig_sgcntl2sg_updsts_tdata : std_logic_vector(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_sgcntl2sg_updsts_tlast : std_logic := '0'; signal sig_sgcntlr2sg_run_stop : std_logic := '0'; signal sig_sgcntlr2sg_desc_flush : std_logic := '0'; signal sig_sg2sgcntlr_ftch_idle : std_logic := '0'; signal sig_sg2sgcntlr_ftch_err_early : std_logic := '0'; signal sig_sg2sgcntlr_ftch_stale_desc : std_logic := '0'; signal sig_sg2sgcntlr_updt_idle : std_logic := '0'; signal sig_sg2sgcntlr_updt_ioc_irq_set : std_logic := '0'; signal sig_sg2sgcntlr_dma_interr_set : std_logic := '0'; signal sig_sg2sgcntlr_dma_slverr_set : std_logic := '0'; signal sig_sg2sgcntlr_dma_decerr_set : std_logic := '0'; signal sig_sgcntlr2reg_dma_interr_set : std_logic := '0'; signal sig_sgcntlr2reg_dma_slverr_set : std_logic := '0'; signal sig_sgcntlr2reg_dma_decerr_set : std_logic := '0'; signal sig_sgcntlr2reg_new_curdesc_wren : std_logic := '0'; signal sig_sgcntlr2reg_new_curdesc : std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); -- Shared SG and Simple Mode register IF signals signal sig_composite_idle_set : std_logic := '0'; signal sig_composite_idle_clr : std_logic := '0'; signal sig_composite_iocirpt_set : std_logic := '0'; signal sig_composite_dm_decerr_set : std_logic := '0'; signal sig_composite_dm_slverr_set : std_logic := '0'; signal sig_composite_dm_interr_set : std_logic := '0'; -- DataMover input signals multiplexed between Simple and SG Mode controllers signal sig_dm_mm2s_cmd_tdata : std_logic_vector(DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_dm_mm2s_cmd_tvalid : std_logic := '0'; signal sig_dm_mm2s_sts_tready : std_logic := '0'; signal sig_dm_s2mm_cmd_tdata : std_logic_vector(DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_dm_s2mm_cmd_tvalid : std_logic := '0'; signal sig_dm_s2mm_sts_tready : std_logic := '0'; -- DataMover output signals shared between the Simple and SG Contorllers signal sig_dm_mm2s_cmd_tready : std_logic := '0'; signal sig_dm_mm2s_sts_tvalid : std_logic := '0'; signal sig_dm_mm2s_sts_tdata : std_logic_vector(DM_MM2S_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_dm_mm2s_sts_tkeep : std_logic_vector((DM_MM2S_STATUS_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_dm_s2mm_cmd_tready : std_logic := '0'; signal sig_dm_s2mm_sts_tvalid : std_logic := '0'; -- SG DataMover Interface signals -- DataMover MM2S error discrete signal sig_mm2s2sgcntl_err : std_logic := '0'; -- DataMover MM2S command Stream signal sig_sgcntl2mm2s_cmd_tdata : std_logic_vector(DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_sgcntl2mm2s_cmd_tvalid : std_logic := '0'; signal sig_mm2s2sgcntl_cmd_tready : std_logic := '0'; -- DataMover MM2S status Stream signal sig_mm2s2sgcntl_sts_tdata : std_logic_vector(DM_MM2S_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_mm2s2sgcntl_sts_tstrb : std_logic_vector((DM_MM2S_STATUS_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mm2s2sgcntl_sts_tvalid : std_logic := '0'; signal sig_sgcntl2mm2s_sts_tready : std_logic := '0'; -- DataMover S2MM error discrete signal sig_s2mm2sgcntl_err : std_logic := '0'; -- DataMover S2MM command Stream signal sig_sgcntl2s2mm_cmd_tdata : std_logic_vector(DM_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_sgcntl2s2mm_cmd_tvalid : std_logic := '0'; signal sig_s2mm2sgcntl_cmd_tready : std_logic := '0'; -- DataMover S2MM status Stream signal sig_s2mm2sgcntl_sts_tdata : std_logic_vector(BASE_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_s2mm2sgcntl_sts_tstrb : std_logic_vector((BASE_STATUS_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_s2mm2sgcntl_sts_tvalid : std_logic := '0'; signal sig_sgcntl2s2mm_sts_tready : std_logic := '0'; -- DataMover halt control signal sig_rst2mm2s_halt : std_logic := '0'; signal sig_rst2s2mm_halt : std_logic := '0'; signal sig_sgcntl2mm2s_halt : std_logic := '0'; signal sig_sgcntl2s2mm_halt : std_logic := '0'; -- DataMover Input Stream signal sig_s2mm_axis_tready : std_logic := '0'; signal sig_s2mm_axis_tvalid : std_logic := '0'; signal sig_s2mm_axis_tdata : std_logic_vector(DM_STREAM_DWIDTH-1 downto 0) := (others => '0'); signal sig_s2mm_axis_tkeep : std_logic_vector((DM_STREAM_DWIDTH/8)-1 downto 0) := (others => '0'); signal sig_s2mm_axis_tlast : std_logic := '0'; signal sig_dm_s2mm_sts_tdata : std_logic_vector(DM_S2MM_STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_dm_s2mm_sts_tkeep : std_logic_vector((DM_S2MM_STATUS_WIDTH/8)-1 downto 0) := (others => '0'); -- DataMover Address Pipe Controls signal sig_mm2s_allow_addr_req : std_logic := '0'; signal sig_mm2s_addr_req_posted : std_logic := '0'; signal sig_mm2s_rd_xfer_cmplt : std_logic := '0'; signal sig_s2mm_allow_addr_req : std_logic := '0'; signal sig_s2mm_addr_req_posted : std_logic := '0'; signal sig_s2mm_wr_xfer_cmplt : std_logic := '0'; signal sig_s2mm_ld_nxt_len : std_logic := '0'; signal sig_s2mm_wr_len : std_logic_vector(7 downto 0) := (others => '0'); -- store and Forward module Reset signal sig_sf_reset : std_logic := '0'; signal dma_keyhole_write : std_logic; signal dma_keyhole_read : std_logic; signal dma_cyclic : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- AXI CDMA Test Vector (For Xilinx Internal Use Only) ------------------------------------------------------------------------------- -- Simple Mode (bits 7 downto 0) axi_cdma_tstvec(0) <= sig_reg2cntlr_go ; axi_cdma_tstvec(1) <= sig_cntlr2reg_idle_set ; axi_cdma_tstvec(2) <= sig_cntlr2reg_idle_clr ; axi_cdma_tstvec(3) <= sig_cntlr2reg_iocirpt_set ; axi_cdma_tstvec(4) <= sig_cntlr2reg_decerr_set ; axi_cdma_tstvec(5) <= sig_cntlr2reg_slverr_set ; axi_cdma_tstvec(6) <= sig_cntlr2reg_interr_set ; axi_cdma_tstvec(7) <= '0' ; -- SG Mode bits 31 downto 8) axi_cdma_tstvec(8) <= sig_sgcntlr2sg_run_stop ; axi_cdma_tstvec(9) <= sig_sgcntl2sg_pkt_sof ; axi_cdma_tstvec(10) <= sig_sgcntl2sg_pkt_eof ; axi_cdma_tstvec(11) <= sig_sgcntl2reg_ioc_irq_set ; axi_cdma_tstvec(12) <= sig_sgcntl2reg_dly_irq_set ; axi_cdma_tstvec(13) <= sig_sg2sgcntlr_ftch_error ; axi_cdma_tstvec(14) <= sig_sg2sgcntlr_ftch_stale_desc ; axi_cdma_tstvec(15) <= sig_sg2reg_ftch_interr_set ; axi_cdma_tstvec(16) <= sig_sg2reg_ftch_slverr_set ; axi_cdma_tstvec(17) <= sig_sg2reg_ftch_decerr_set ; axi_cdma_tstvec(18) <= sig_sg2sgcntlr_updt_error ; axi_cdma_tstvec(19) <= sig_sg2reg_updt_interr_set ; axi_cdma_tstvec(20) <= sig_sg2reg_updt_slverr_set ; axi_cdma_tstvec(21) <= sig_sg2reg_updt_decerr_set ; axi_cdma_tstvec(22) <= sig_sgcntlr2reg_dma_interr_set ; axi_cdma_tstvec(23) <= sig_sgcntlr2reg_dma_slverr_set ; axi_cdma_tstvec(24) <= sig_sgcntlr2reg_dma_decerr_set ; axi_cdma_tstvec(31 downto 25) <= (others => '0') ; -- Create a postive reset for the Store and Forward module -- from the inverted DataMover active low reset. -- CR591254 change -- sig_sf_reset <= not(sig_rst2dm_resetn) ; sig_sf_reset <= sig_rst2cntlr_reset ; ------------------------------------------------------------------------------- -- Module Instances ------------------------------------------------------------------------------- ------------------------------------------------------------ -- Instance: I_RST_MODULE -- -- Description: -- Instance for the Reset Module used with Simple Mode -- operation. It manages both hard and soft reset generation. -- ------------------------------------------------------------ I_RST_MODULE : entity axi_cdma_v4_1_14.axi_cdma_reset generic map( C_AXI_LITE_IS_ASYNC => C_AXI_LITE_IS_ASYNC , C_SOFT_RST_TIME_CLKS => C_SOFT_RST_TIME_CLKS ) port map( -- Primary Clock and Reset Sources axi_aclk => axi_aclk , axi_resetn => axi_resetn , -- AXI Lite Clock and Reset Sources axi_lite_aclk => axi_lite_aclk , axi_lite_resetn => axi_lite_resetn , -- CDMA Module hard reset outputs rst2lite_bside_reset => sig_rst2lite_bside_reset , rst2lite_cside_reset => sig_rst2lite_cside_reset , rst2reg_reset => sig_rst2reg_reset , rst2cntlr_reset => sig_rst2cntlr_reset , rst2sgcntlr_reset => sig_rst2sgcntlr_reset , rst2sg_resetn => sig_rst2sg_resetn , rst2dm_resetn => sig_rst2dm_resetn , -- Soft Reset Request from Register module reg2rst_soft_reset_in => sig_reg2rst_soft_reset , rst2reg_soft_reset_clr => sig_rst2reg_soft_reset_clr , -- CDMA Simple Controller halt rst2cntlr_halt => sig_rst2cntlr_halt , cntlr2rst_halt_cmplt => sig_cntlr2rst_halt_cmplt , -- CDMA SG Controller halt rst2sg_halt => sig_rst2sgcntl_halt , sg2rst_halt_cmplt => sig_sgcntl2rst_halt_cmplt , -- CDMA DatMover MM2S Halt rst2dm_mm2s_halt => sig_rst2mm2s_halt , dm2rst_mm2s_halt_cmplt => sig_dm_mm2s_halt_cmplt , -- CDMA DatMover S2MM Halt rst2dm_s2mm_halt => sig_rst2s2mm_halt , dm2rst_s2mm_halt_cmplt => sig_dm_s2mm_halt_cmplt ); ------------------------------------------------------------- -- Combinational Process -- -- Label: REG_IF_MUX -- -- Process Description: -- This process implements a mux for Register Module input -- signals that are shared between the Simple DMA mode and -- SG mode operations. -- ------------------------------------------------------------- REG_IF_MUX : process (sig_reg2cntlr_sg_mode, sig_sgcntl2reg_idle_set , sig_sgcntl2reg_idle_clr , sig_sgcntl2reg_ioc_irq_set , sig_sgcntlr2reg_dma_decerr_set , sig_sgcntlr2reg_dma_slverr_set , sig_sgcntlr2reg_dma_interr_set , sig_cntlr2reg_idle_set , sig_cntlr2reg_idle_clr , sig_cntlr2reg_iocirpt_set , sig_cntlr2reg_decerr_set , sig_cntlr2reg_slverr_set , sig_cntlr2reg_interr_set ) begin case sig_reg2cntlr_sg_mode is when '1' => -- SG Mode Enabled sig_composite_idle_set <= sig_sgcntl2reg_idle_set ; sig_composite_idle_clr <= sig_sgcntl2reg_idle_clr ; sig_composite_iocirpt_set <= sig_sgcntl2reg_ioc_irq_set ; sig_composite_dm_decerr_set <= sig_sgcntlr2reg_dma_decerr_set ; sig_composite_dm_slverr_set <= sig_sgcntlr2reg_dma_slverr_set ; sig_composite_dm_interr_set <= sig_sgcntlr2reg_dma_interr_set ; when others => -- Simple DMA Enabled sig_composite_idle_set <= sig_cntlr2reg_idle_set ; sig_composite_idle_clr <= sig_cntlr2reg_idle_clr ; sig_composite_iocirpt_set <= sig_cntlr2reg_iocirpt_set ; sig_composite_dm_decerr_set <= sig_cntlr2reg_decerr_set ; sig_composite_dm_slverr_set <= sig_cntlr2reg_slverr_set ; sig_composite_dm_interr_set <= sig_cntlr2reg_interr_set ; end case; end process REG_IF_MUX; ------------------------------------------------------------ -- Instance: I_HYBRID_REG_MODULE -- -- Description: -- Instance for the Register Module used with Simple and -- SG Mode operations. -- ------------------------------------------------------------ I_HYBRID_REG_MODULE : entity axi_cdma_v4_1_14.axi_cdma_reg_module generic map( C_CDMA_BUILD_MODE => CDMA_BUILD_MODE , C_S_AXI_LITE_ADDR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH , C_AXI_LITE_IS_ASYNC => C_AXI_LITE_IS_ASYNC , C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH ) port map( -- AXI Lite Clock and Reset axi_lite_aclk => axi_lite_aclk , axi_lite_reset => sig_rst2lite_bside_reset , -- AXI Lite Core side Reset axi_lite_cside_reset => sig_rst2lite_cside_reset , -- AXI Lite Write Address Channel s_axi_lite_awvalid => s_axi_lite_awvalid , s_axi_lite_awready => s_axi_lite_awready , s_axi_lite_awaddr => s_axi_lite_awaddr , -- AXI Lite Write Data Channel s_axi_lite_wvalid => s_axi_lite_wvalid , s_axi_lite_wready => s_axi_lite_wready , s_axi_lite_wdata => s_axi_lite_wdata , -- AXI Lite Write Response Channel s_axi_lite_bresp => s_axi_lite_bresp , s_axi_lite_bvalid => s_axi_lite_bvalid , s_axi_lite_bready => s_axi_lite_bready , -- AXI Lite Read Address Channel s_axi_lite_arvalid => s_axi_lite_arvalid , s_axi_lite_arready => s_axi_lite_arready , s_axi_lite_araddr => s_axi_lite_araddr , -- AXI Lite Read Data Channel s_axi_lite_rvalid => s_axi_lite_rvalid , s_axi_lite_rready => s_axi_lite_rready , s_axi_lite_rdata => s_axi_lite_rdata , s_axi_lite_rresp => s_axi_lite_rresp , -- Register Clock and Reset axi_aclk => axi_aclk , axi_reg_reset => sig_rst2reg_reset , -- Composite Interrupt Output reg_introut => cdma_introut , -- Composite error Output reg_error_out => cdma_error_out , -- Soft Reset Control reg_soft_reset_request => sig_reg2rst_soft_reset , reg_soft_reset_clr => sig_rst2reg_soft_reset_clr , -- Simple DMA Go Control reg_dma_go => sig_reg2cntlr_go , -- SG Mode control reg_dma_sg_mode => sig_reg2cntlr_sg_mode , -- Key Hole write dma_keyhole_write => dma_keyhole_write , -- Key Hole read dma_keyhole_read => dma_keyhole_read , -- Key Hole read dma_cyclic => dma_cyclic , -- CDMASR Control inputs reg_idle_set => sig_composite_idle_set , reg_idle_clr => sig_composite_idle_clr , reg_ioc_irq_set => sig_composite_iocirpt_set , reg_dly_irq_set => sig_sgcntl2reg_dly_irq_set , -- Status from SG indicating current Delay and Thresh cntr values reg_irqdelay_status => sig_sg2reg_irqdelay_status , reg_irqthresh_status => sig_sg2reg_irqthresh_status , -- Controls to SG to load new DMACR Delay and Thresh values reg_irqthresh_wren => sig_reg2sg_irqthresh_wren , reg_irqdelay_wren => sig_reg2sg_irqdelay_wren , -- DataMover Errors reg_dma_decerr_set => sig_composite_dm_decerr_set , reg_dma_slverr_set => sig_composite_dm_slverr_set , reg_dma_interr_set => sig_composite_dm_interr_set , -- SG Descriptor Fetch errors reg_ftch_interr_set => sig_sg2reg_ftch_interr_set , reg_ftch_slverr_set => sig_sg2reg_ftch_slverr_set , reg_ftch_decerr_set => sig_sg2reg_ftch_decerr_set , reg_ftch_error_addr => sig_sg2reg_ftch_error_addr , -- SG Descriptor Update errors reg_updt_interr_set => sig_sg2reg_updt_interr_set , reg_updt_slverr_set => sig_sg2reg_updt_slverr_set , reg_updt_decerr_set => sig_sg2reg_updt_decerr_set , reg_updt_error_addr => sig_sg2reg_updt_error_addr , -- From SG Controller CURDESC Update reg_new_curdesc_wren => sig_sgcntlr2reg_new_curdesc_wren , reg_new_curdesc => sig_sgcntlr2reg_new_curdesc , -- To SG TAILDESC Update (also used to start SG operations in SGMode) reg_tailpntr_updated => sig_reg2sg_tailpntr_updated , reg_currdesc_updated => sig_reg2sgcntlr_currdesc_updated, -- Register State Out reg_dmacr => sig_reg2sg_dmacr , reg_dmasr => sig_reg2sg_dmasr , reg_curdesc => sig_reg2sg_curdesc , reg_taildesc => sig_reg2sg_taildesc , reg_src_addr => sig_reg2cntlr_src_addr , reg_dest_addr => sig_reg2cntlr_dest_addr , reg_btt => sig_reg2cntlr_btt ); ------------------------------------------------------------ -- Instance: I_SIMPLE_DMA_CNTLR -- -- Description: -- -- Control Logic module for the Simple Mode CDMA operation. -- ------------------------------------------------------------ I_SIMPLE_DMA_CNTLR : entity axi_cdma_v4_1_14.axi_cdma_simple_cntlr generic map( C_DM_CMD_WIDTH => DM_CMD_WIDTH , C_DM_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_DM_MM2S_STATUS_WIDTH => DM_MM2S_STATUS_WIDTH , C_DM_S2MM_STATUS_WIDTH => BASE_STATUS_WIDTH , C_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH , C_BTT_WIDTH => DM_BTT_WIDTH , C_FAMILY => C_FAMILY ) port map( -- Clock and reset axi_aclk => axi_aclk , axi_reset => sig_rst2cntlr_reset , -- Halt request rst2cntlr_halt => sig_rst2cntlr_halt , cntlr2rst_halt_cmplt => sig_cntlr2rst_halt_cmplt , -- Register Module Start and Mode Controls reg2cntlr_go => sig_reg2cntlr_go , reg2cntlr_sg_mode => sig_reg2cntlr_sg_mode , burst_type_read => dma_keyhole_read , burst_type_write => dma_keyhole_write , -- Register Module command qualifiers reg2cntlr_src_addr => sig_reg2cntlr_src_addr , reg2cntlr_dest_addr => sig_reg2cntlr_dest_addr , reg2cntlr_btt => sig_reg2cntlr_btt(DM_BTT_WIDTH-1 downto 0) , -- General Status Bit controls cntlr2reg_idle_set => sig_cntlr2reg_idle_set , cntlr2reg_idle_clr => sig_cntlr2reg_idle_clr , cntlr2reg_iocirpt_set => sig_cntlr2reg_iocirpt_set , -- DataMover Error Status bit controls cntlr2reg_decerr_set => sig_cntlr2reg_decerr_set , cntlr2reg_slverr_set => sig_cntlr2reg_slverr_set , cntlr2reg_interr_set => sig_cntlr2reg_interr_set , -- DataMover MM2S Command Interface Ports (AXI Stream) mm2s2cntl_cmd_tready => sig_mm2s2cntl_cmd_tready , cntl2mm2s_cmd_tvalid => sig_cntl2mm2s_cmd_tvalid , cntl2mm2s_cmd_tdata => sig_cntl2mm2s_cmd_tdata , -- DataMover MM2S Status Interface Ports (AXI Stream) cntl2mm2s_sts_tready => sig_cntl2mm2s_sts_tready , mm2s2cntl_sts_tvalid => sig_mm2s2cntl_sts_tvalid , mm2s2cntl_sts_tdata => sig_dm_mm2s_sts_tdata , mm2s2cntl_sts_tstrb => sig_dm_mm2s_sts_tkeep , -- DataMover MM2S error discrete mm2s2cntl_err => sig_dm_mm2s_err , -- DataMover S2MM Command Interface Ports (AXI Stream) cntl2s2mm_cmd_tdata => sig_cntl2s2mm_cmd_tdata , cntl2s2mm_cmd_tvalid => sig_cntl2s2mm_cmd_tvalid , s2mm2cntl_cmd_tready => sig_s2mm2cntl_cmd_tready , -- DataMover S2MM Status Interface Ports (AXI Stream) s2mm2cntl_sts_tdata => sig_s2mm2sgcntl_sts_tdata , s2mm2cntl_sts_tstrb => sig_s2mm2sgcntl_sts_tstrb , s2mm2cntl_sts_tvalid => sig_s2mm2cntl_sts_tvalid , cntl2s2mm_sts_tready => sig_cntl2s2mm_sts_tready , -- DataMover S2MM error discrete s2mm2cntl_err => sig_dm_s2mm_err ); ------------------------------------------------------------ -- Instance: I_SG_CNTLR -- -- Description: -- -- Control Logic module for the Scatter Gather Mode CDMA -- operation. -- ------------------------------------------------------------ I_SG_CNTLR : entity axi_cdma_v4_1_14.axi_cdma_sg_cntlr generic map( C_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_SG_FETCH_DWIDTH => SG_FETCH_DWIDTH , C_SG_PTR_UPDATE_DWIDTH => C_M_AXI_SG_ADDR_WIDTH, --S_AXIS_UPDPTR_TDATA_WIDTH , C_SG_STS_UPDATE_DWIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH , C_DM_CMD_WIDTH => DM_CMD_WIDTH , C_DM_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_DM_MM2S_STATUS_WIDTH => DM_MM2S_STATUS_WIDTH , C_DM_S2MM_STATUS_WIDTH => BASE_STATUS_WIDTH , C_FAMILY => C_FAMILY ) port map( -- Clock and reset axi_aclk => axi_aclk , axi_reset => sig_rst2sgcntlr_reset , -- Halt request rst2sgcntl_halt => sig_rst2sgcntl_halt , sgcntl2rst_halt_cmplt => sig_sgcntl2rst_halt_cmplt , sgcntlr2sg_desc_flush => sig_sgcntlr2sg_desc_flush , -- MM2S Type of Burst, 1 is increment, 0 is fixed burst_type_read => dma_keyhole_read , -- S2MM Type of Burst, 1 is increment, 0 is fixed burst_type_write => dma_keyhole_write , -- Register Module Start and Mode Controls reg2sgcntl_sg_mode => sig_reg2cntlr_sg_mode , reg2sgcntl_tailpntr_updated => sig_reg2sg_tailpntr_updated , reg2sgcntl_currdesc_updated => sig_reg2sgcntlr_currdesc_updated , -- Misc Controls to SG sgcntlr2sg_run_stop => sig_sgcntlr2sg_run_stop , -- Idle bit control to Register sgcntl2reg_idle_set => sig_sgcntl2reg_idle_set , sgcntl2reg_idle_clr => sig_sgcntl2reg_idle_clr , -- SOF/EOF control to SG sgcntl2sg_pkt_sof => sig_sgcntl2sg_pkt_sof , sgcntl2sg_pkt_eof => sig_sgcntl2sg_pkt_eof , -- Interrupt status from SG sg2sgcntl_ioc_irq_set => sig_sg2sgcntl_ioc_irq_set , sg2sgcntl_dly_irq_set => sig_sg2sgcntl_dly_irq_set , -- Interrupt control to Register sgcntl2reg_ioc_irq_set => sig_sgcntl2reg_ioc_irq_set , sgcntl2reg_dly_irq_set => sig_sgcntl2reg_dly_irq_set , -- Descriptor Fetch Stream from SG sgcntl2sg_ftch_tready => sig_sgcntl2sg_ftch_tready , sg2sgcntlr_ftch_tvalid => sig_sg2sgcntlr_ftch_tvalid , sg2sgcntlr_ftch_tvalid_new => sig_sg2sgcntlr_ftch_tvalid_new , sg2sgcntlr_ftch_tdata => sig_sg2sgcntlr_ftch_tdata , sg2sgcntlr_ftch_tdata_new => sig_sg2sgcntlr_ftch_tdata_new , sg2sgcntlr_ftch_tlast => sig_sg2sgcntlr_ftch_tlast , sig_sg2sgcntlr_ftch_desc_available => sig_sg2sgcntlr_ftch_desc_available , -- Descriptor Pointer Update Stream to SG sg2sgcntlr_updptr_tready => sig_sg2sgcntlr_updptr_tready , sgcntl2sg_updptr_tvalid => sig_sgcntl2sg_updptr_tvalid , sgcntl2sg_updptr_tdata => sig_sgcntl2sg_updptr_tdata , sgcntl2sg_updptr_tlast => sig_sgcntl2sg_updptr_tlast , -- Descriptor Status Update Stream to SG sg2sgcntlr_updsts_tready => sig_sg2sgcntlr_updsts_tready , sgcntl2sg_updsts_tvalid => sig_sgcntl2sg_updsts_tvalid , sgcntl2sg_updsts_tdata => sig_sgcntl2sg_updsts_tdata , sgcntl2sg_updsts_tlast => sig_sgcntl2sg_updsts_tlast , -- Descriptor Fetch status from SG sg2sgcntlr_ftch_idle => sig_sg2sgcntlr_ftch_idle , sg2sgcntlr_ftch_err_early => sig_sg2sgcntlr_ftch_err_early , sg2sgcntlr_ftch_stale_desc => sig_sg2sgcntlr_ftch_stale_desc , sg2sgcntlr_ftch_error => sig_sg2sgcntlr_ftch_error , -- Descriptor Update status from SG sg2sgcntlr_updt_idle => sig_sg2sgcntlr_updt_idle , sg2sgcntlr_updt_ioc_irq_set => sig_sg2sgcntlr_updt_ioc_irq_set , sg2sgcntlr_updt_error => sig_sg2sgcntlr_updt_error , -- Echo of Main DataMover detected errors from SG sg2sgcntlr_dma_interr_set => sig_sg2sgcntlr_dma_interr_set , sg2sgcntlr_dma_slverr_set => sig_sg2sgcntlr_dma_slverr_set , sg2sgcntlr_dma_decerr_set => sig_sg2sgcntlr_dma_decerr_set , -- Main DM Error bit controls to Register sgcntlr2reg_dma_interr_set => sig_sgcntlr2reg_dma_interr_set , sgcntlr2reg_dma_slverr_set => sig_sgcntlr2reg_dma_slverr_set , sgcntlr2reg_dma_decerr_set => sig_sgcntlr2reg_dma_decerr_set , -- Current DEscriptor Update control to Register sgcntlr2reg_new_curdesc_wren => sig_sgcntlr2reg_new_curdesc_wren , sgcntlr2reg_new_curdesc => sig_sgcntlr2reg_new_curdesc , -- DataMover MM2S Command Interface Ports (AXI Stream) mm2s2sgcntl_cmd_tready => sig_mm2s2sgcntl_cmd_tready , sgcntl2mm2s_cmd_tvalid => sig_sgcntl2mm2s_cmd_tvalid , sgcntl2mm2s_cmd_tdata => sig_sgcntl2mm2s_cmd_tdata , -- DataMover MM2S Status Interface Ports (AXI Stream) sgcntl2mm2s_sts_tready => sig_sgcntl2mm2s_sts_tready , mm2s2sgcntl_sts_tvalid => sig_mm2s2sgcntl_sts_tvalid , mm2s2sgcntl_sts_tdata => sig_dm_mm2s_sts_tdata , mm2s2sgcntl_sts_tstrb => sig_dm_mm2s_sts_tkeep , -- DataMover MM2S error discrete mm2s2sgcntl_err => sig_dm_mm2s_err , -- DataMover MM2S Halt sgcntl2mm2s_halt => sig_sgcntl2mm2s_halt , mm2s2sgcntl_halt_cmplt => sig_dm_mm2s_halt_cmplt , -- DataMover S2MM Command Interface Ports (AXI Stream) s2mm2sgcntl_cmd_tready => sig_s2mm2sgcntl_cmd_tready , sgcntl2s2mm_cmd_tvalid => sig_sgcntl2s2mm_cmd_tvalid , sgcntl2s2mm_cmd_tdata => sig_sgcntl2s2mm_cmd_tdata , -- DataMover S2MM Status Interface Ports (AXI Stream) sgcntl2s2mm_sts_tready => sig_sgcntl2s2mm_sts_tready , s2mm2sgcntl_sts_tvalid => sig_s2mm2sgcntl_sts_tvalid , s2mm2sgcntl_sts_tdata => sig_s2mm2sgcntl_sts_tdata , s2mm2sgcntl_sts_tstrb => sig_s2mm2sgcntl_sts_tstrb , -- DataMover S2MM error discrete s2mm2sgcntl_err => sig_dm_s2mm_err , -- DataMover MM2S Halt sgcntl2s2mm_halt => sig_sgcntl2s2mm_halt , s2mm2sgcntl_halt_cmplt => sig_dm_s2mm_halt_cmplt ); ------------------------------------------------------------------------------- -- Scatter Gather Engine ------------------------------------------------------------------------------- I_SG_ENGINE : entity axi_sg_v4_1_7.axi_sg generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXI_SG_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_M_AXIS_SG_TDATA_WIDTH => M_AXIS_SG_TDATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH , C_SG_FTCH_DESC2QUEUE => SG_FTCH_DESC2QUEUE , C_SG_UPDT_DESC2QUEUE => SG_UPDT_DESC2QUEUE , C_SG_CH1_WORDS_TO_FETCH => SG_CH1_WORDS_TO_FETCH , C_SG_CH1_WORDS_TO_UPDATE => SG_CH1_WORDS_TO_UPDATE , C_SG_CH1_FIRST_UPDATE_WORD => SG_CH1_FIRST_UPDATE_WORD , C_SG_CH1_ENBL_STALE_ERROR => SG_CH1_ENBL_STALE_ERROR , C_SG_CH2_WORDS_TO_FETCH => SG_CH2_WORDS_TO_FETCH , C_SG_CH2_WORDS_TO_UPDATE => SG_CH2_WORDS_TO_UPDATE , C_SG_CH2_FIRST_UPDATE_WORD => SG_CH2_FIRST_UPDATE_WORD , C_SG_CH2_ENBL_STALE_ERROR => SG_CH2_ENBL_STALE_ERROR , C_INCLUDE_CH1 => INCLUDE_CH1 , C_INCLUDE_CH2 => OMIT_CH2 , C_INCLUDE_DESC_UPDATE => INCLUDE_UPDATE_ENG , C_INCLUDE_INTRPT => INCLUDE_IRPT_CNTLR , C_INCLUDE_DLYTMR => INCLUDE_DLY_TIMER , C_DLYTMR_RESOLUTION => C_DLYTMR_RESOLUTION , C_AXIS_IS_ASYNC => 0 , C_ENABLE_MULTI_CHANNEL => 0 , C_ENABLE_CDMA => 1 , C_NUM_S2MM_CHANNELS => 1 , C_NUM_MM2S_CHANNELS => 1 , C_ACTUAL_ADDR => C_ACTUAL_ADDR , C_FAMILY => C_FAMILY ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => axi_aclk , m_axi_mm2s_aclk => axi_aclk , p_reset_n => '1' , m_axi_sg_aresetn => sig_rst2sg_resetn , dm_resetn => sig_rst2dm_resetn , sg_ctl => "00000000" , -- Scatter Gather Write Address Channel m_axi_sg_awaddr => m_axi_sg_awaddr , m_axi_sg_awlen => m_axi_sg_awlen , m_axi_sg_awsize => m_axi_sg_awsize , m_axi_sg_awburst => m_axi_sg_awburst , m_axi_sg_awprot => m_axi_sg_awprot , m_axi_sg_awcache => m_axi_sg_awcache , m_axi_sg_awuser => open, --m_axi_sg_awcache , m_axi_sg_awvalid => m_axi_sg_awvalid , m_axi_sg_awready => m_axi_sg_awready , -- Scatter Gather Write Data Channel m_axi_sg_wdata => m_axi_sg_wdata , m_axi_sg_wstrb => m_axi_sg_wstrb , m_axi_sg_wlast => m_axi_sg_wlast , m_axi_sg_wvalid => m_axi_sg_wvalid , m_axi_sg_wready => m_axi_sg_wready , -- Scatter Gather Write Response Channel m_axi_sg_bresp => m_axi_sg_bresp , m_axi_sg_bvalid => m_axi_sg_bvalid , m_axi_sg_bready => m_axi_sg_bready , -- Scatter Gather Read Address Channel m_axi_sg_araddr => m_axi_sg_araddr , m_axi_sg_arlen => m_axi_sg_arlen , m_axi_sg_arsize => m_axi_sg_arsize , m_axi_sg_arburst => m_axi_sg_arburst , m_axi_sg_arprot => m_axi_sg_arprot , m_axi_sg_arcache => m_axi_sg_arcache , m_axi_sg_aruser => open, --m_axi_sg_arcache , m_axi_sg_arvalid => m_axi_sg_arvalid , m_axi_sg_arready => m_axi_sg_arready , -- Memory Map to Stream Scatter Gather Read Data Channel m_axi_sg_rdata => m_axi_sg_rdata , m_axi_sg_rresp => m_axi_sg_rresp , m_axi_sg_rlast => m_axi_sg_rlast , m_axi_sg_rvalid => m_axi_sg_rvalid , m_axi_sg_rready => m_axi_sg_rready , -- Channel 1 Control and Status ch1_run_stop => sig_sgcntlr2sg_run_stop , ch1_cyclic => dma_cyclic, ch1_desc_flush => sig_sgcntlr2sg_desc_flush , ch1_cntrl_strm_stop => '0' , ch1_ftch_idle => sig_sg2sgcntlr_ftch_idle , ch1_ftch_interr_set => sig_sg2reg_ftch_interr_set , ch1_ftch_slverr_set => sig_sg2reg_ftch_slverr_set , ch1_ftch_decerr_set => sig_sg2reg_ftch_decerr_set , ch1_ftch_err_early => sig_sg2sgcntlr_ftch_err_early , ch1_ftch_stale_desc => sig_sg2sgcntlr_ftch_stale_desc , ch1_updt_idle => sig_sg2sgcntlr_updt_idle , ch1_updt_ioc_irq_set => sig_sg2sgcntlr_updt_ioc_irq_set , ch1_updt_interr_set => sig_sg2reg_updt_interr_set , ch1_updt_slverr_set => sig_sg2reg_updt_slverr_set , ch1_updt_decerr_set => sig_sg2reg_updt_decerr_set , ch1_dma_interr_set => sig_sg2sgcntlr_dma_interr_set , ch1_dma_slverr_set => sig_sg2sgcntlr_dma_slverr_set , ch1_dma_decerr_set => sig_sg2sgcntlr_dma_decerr_set , ch1_tailpntr_enabled => sig_reg2sg_dmacr(DMACR_TAILPEN_BIT) , ch1_taildesc_wren => sig_reg2sg_tailpntr_updated , ch1_taildesc => sig_reg2sg_taildesc , ch1_curdesc => sig_reg2sg_curdesc , -- Channel 1 Interrupt Coalescing Signals ch1_irqthresh_rstdsbl => LOGIC_LOW , ch1_dlyirq_dsble => sig_reg2sg_dmasr(DMASR_DLYIRQ_BIT) , ch1_irqdelay_wren => sig_reg2sg_irqdelay_wren , ch1_irqdelay => sig_reg2sg_dmacr(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) , ch1_irqthresh_wren => sig_reg2sg_irqthresh_wren , ch1_irqthresh => sig_reg2sg_dmacr(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) , ch1_packet_sof => sig_sgcntl2sg_pkt_sof , ch1_packet_eof => sig_sgcntl2sg_pkt_eof , ch1_ioc_irq_set => sig_sg2sgcntl_ioc_irq_set , ch1_dly_irq_set => sig_sg2sgcntl_dly_irq_set , ch1_irqdelay_status => sig_sg2reg_irqdelay_status , ch1_irqthresh_status => sig_sg2reg_irqthresh_status , -- Channel 1 AXI Fetch Stream Out m_axis_ch1_ftch_aclk => axi_aclk , m_axis_ch1_ftch_tdata => sig_sg2sgcntlr_ftch_tdata , m_axis_ch1_ftch_tdata_new => sig_sg2sgcntlr_ftch_tdata_new , m_axis_ch1_ftch_tvalid => sig_sg2sgcntlr_ftch_tvalid , m_axis_ch1_ftch_tvalid_new => sig_sg2sgcntlr_ftch_tvalid_new , m_axis_ch1_ftch_tready => sig_sgcntl2sg_ftch_tready , m_axis_ch1_ftch_tlast => sig_sg2sgcntlr_ftch_tlast , m_axis_ftch1_desc_available => sig_sg2sgcntlr_ftch_desc_available, -- Channel 1 Pointer Update Stream In s_axis_ch1_updt_aclk => axi_aclk , s_axis_ch1_updtptr_tdata => sig_sgcntl2sg_updptr_tdata , s_axis_ch1_updtptr_tvalid => sig_sgcntl2sg_updptr_tvalid , s_axis_ch1_updtptr_tready => sig_sg2sgcntlr_updptr_tready , s_axis_ch1_updtptr_tlast => sig_sgcntl2sg_updptr_tlast , -- Channel 1 Status Update Stream In s_axis_ch1_updtsts_tdata => sig_sgcntl2sg_updsts_tdata , s_axis_ch1_updtsts_tvalid => sig_sgcntl2sg_updsts_tvalid , s_axis_ch1_updtsts_tready => sig_sg2sgcntlr_updsts_tready , s_axis_ch1_updtsts_tlast => sig_sgcntl2sg_updsts_tlast , -- Channel 2 Control and Status ch2_run_stop => LOGIC_LOW , ch2_cyclic => '0', ch2_desc_flush => LOGIC_LOW , ch2_ftch_idle => open , ch2_ftch_interr_set => open , ch2_ftch_slverr_set => open , ch2_ftch_decerr_set => open , ch2_ftch_err_early => open , ch2_ftch_stale_desc => open , ch2_updt_idle => open , ch2_updt_ioc_irq_set => open , ch2_updt_interr_set => open , ch2_updt_slverr_set => open , ch2_updt_decerr_set => open , ch2_dma_interr_set => open , ch2_dma_slverr_set => open , ch2_dma_decerr_set => open , ch2_tailpntr_enabled => LOGIC_LOW , ch2_taildesc_wren => LOGIC_LOW , ch2_taildesc_wren_pkt => LOGIC_LOW , ch2_taildesc => SG_ADDR_ZEROS , ch2_curdesc => SG_ADDR_ZEROS , -- Channel 2 Interrupt Coalescing Signals ch2_irqthresh_rstdsbl => LOGIC_LOW , ch2_dlyirq_dsble => LOGIC_LOW , ch2_irqdelay_wren => LOGIC_LOW , ch2_irqdelay => IRQ_DLY_THRESH_ZEROS , ch2_irqthresh_wren => LOGIC_LOW , ch2_irqthresh => IRQ_DLY_THRESH_ZEROS , ch2_packet_sof => LOGIC_LOW , ch2_packet_eof => LOGIC_LOW , ch2_ioc_irq_set => open , ch2_dly_irq_set => open , ch2_irqdelay_status => open , ch2_irqthresh_status => open , -- Channel 2 AXI Fetch Stream Out m_axis_ch2_ftch_aclk => axi_aclk , m_axis_ch2_ftch_tdata => open , m_axis_ch2_ftch_tvalid => open , m_axis_ch2_ftch_tready => LOGIC_LOW , m_axis_ch2_ftch_tlast => open , -- Channel 2 Pointer Update Stream In s_axis_ch2_updt_aclk => axi_aclk , s_axis_ch2_updtptr_tdata => SG_UPDPTR_DATA_ZEROS , s_axis_ch2_updtptr_tvalid => LOGIC_LOW , s_axis_ch2_updtptr_tready => open , s_axis_ch2_updtptr_tlast => LOGIC_LOW , -- Channel 2 Status Update Stream In s_axis_ch2_updtsts_tdata => SG_UPDSTS_DATA_ZEROS , s_axis_ch2_updtsts_tvalid => LOGIC_LOW , s_axis_ch2_updtsts_tready => open , s_axis_ch2_updtsts_tlast => LOGIC_LOW , -- Error addresses ftch_error => sig_sg2sgcntlr_ftch_error , ftch_error_addr => sig_sg2reg_ftch_error_addr , updt_error => sig_sg2sgcntlr_updt_error , updt_error_addr => sig_sg2reg_updt_error_addr ); ------------------------------------------------------------- -- Combinational Process -- -- Label: DM_IF_MUX -- -- Process Description: -- THis process implements a multiplexer for the input controls -- to the Main dataMover that are driven by the Simple Mode -- Controller or the SG Mode Controller. -- ------------------------------------------------------------- DM_IF_MUX : process (sig_reg2cntlr_sg_mode , sig_sgcntl2mm2s_cmd_tdata , sig_sgcntl2mm2s_cmd_tvalid , sig_sgcntl2mm2s_sts_tready , sig_sgcntl2s2mm_cmd_tdata , sig_sgcntl2s2mm_cmd_tvalid , sig_sgcntl2s2mm_sts_tready , sig_cntl2mm2s_cmd_tdata , sig_cntl2mm2s_cmd_tvalid , sig_cntl2mm2s_sts_tready , sig_cntl2s2mm_cmd_tdata , sig_cntl2s2mm_cmd_tvalid , sig_cntl2s2mm_sts_tready , sig_sgcntl2mm2s_halt , sig_sgcntl2s2mm_halt , sig_rst2mm2s_halt , sig_rst2s2mm_halt ) begin case sig_reg2cntlr_sg_mode is when '1' => -- SG Mode Enabled sig_dm_mm2s_cmd_tdata <= sig_sgcntl2mm2s_cmd_tdata ; sig_dm_mm2s_cmd_tvalid <= sig_sgcntl2mm2s_cmd_tvalid ; sig_dm_mm2s_sts_tready <= sig_sgcntl2mm2s_sts_tready ; sig_dm_s2mm_cmd_tdata <= sig_sgcntl2s2mm_cmd_tdata ; sig_dm_s2mm_cmd_tvalid <= sig_sgcntl2s2mm_cmd_tvalid ; sig_dm_s2mm_sts_tready <= sig_sgcntl2s2mm_sts_tready ; sig_dm_mm2s_halt <= sig_sgcntl2mm2s_halt ; sig_dm_s2mm_halt <= sig_sgcntl2s2mm_halt ; when others => -- Simple DMA Enabled sig_dm_mm2s_cmd_tdata <= sig_cntl2mm2s_cmd_tdata ; sig_dm_mm2s_cmd_tvalid <= sig_cntl2mm2s_cmd_tvalid ; sig_dm_mm2s_sts_tready <= sig_cntl2mm2s_sts_tready ; sig_dm_s2mm_cmd_tdata <= sig_cntl2s2mm_cmd_tdata ; sig_dm_s2mm_cmd_tvalid <= sig_cntl2s2mm_cmd_tvalid ; sig_dm_s2mm_sts_tready <= sig_cntl2s2mm_sts_tready ; sig_dm_mm2s_halt <= sig_rst2mm2s_halt ; sig_dm_s2mm_halt <= sig_rst2s2mm_halt ; end case; end process DM_IF_MUX; ------------------------------------------------------------- -- Combinational Process -- -- Label: DM_RDY_VLD_DEMUX -- -- Process Description: -- This process implements a demultiplexer for the DataMover -- Ready/Valid signals driven back to the Simple Mode Controller -- and the SG Mode Controller. Data and Strobes are not -- Demux'd. -- ------------------------------------------------------------- DM_RDY_VLD_DEMUX : process (sig_reg2cntlr_sg_mode , sig_dm_mm2s_cmd_tready , sig_dm_mm2s_sts_tvalid , sig_dm_s2mm_cmd_tready , sig_dm_s2mm_sts_tvalid ) begin case sig_reg2cntlr_sg_mode is when '1' => -- SG Mode Enabled sig_mm2s2cntl_cmd_tready <= '0'; sig_mm2s2sgcntl_cmd_tready <= sig_dm_mm2s_cmd_tready; sig_mm2s2cntl_sts_tvalid <= '0'; sig_mm2s2sgcntl_sts_tvalid <= sig_dm_mm2s_sts_tvalid; sig_s2mm2cntl_cmd_tready <= '0'; sig_s2mm2sgcntl_cmd_tready <= sig_dm_s2mm_cmd_tready; sig_s2mm2cntl_sts_tvalid <= '0'; sig_s2mm2sgcntl_sts_tvalid <= sig_dm_s2mm_sts_tvalid; when others => -- Simple DMA Mode Enabled sig_mm2s2cntl_cmd_tready <= sig_dm_mm2s_cmd_tready; sig_mm2s2sgcntl_cmd_tready <= '0'; sig_mm2s2cntl_sts_tvalid <= sig_dm_mm2s_sts_tvalid; sig_mm2s2sgcntl_sts_tvalid <= '0'; sig_s2mm2cntl_cmd_tready <= sig_dm_s2mm_cmd_tready; sig_s2mm2sgcntl_cmd_tready <= '0'; sig_s2mm2cntl_sts_tvalid <= sig_dm_s2mm_sts_tvalid; sig_s2mm2sgcntl_sts_tvalid <= '0'; end case; end process DM_RDY_VLD_DEMUX; --------------------------------------------------------------------------------------- -- DataMover and support -- Rip the basic status output from the DataMover S2MM status reply stream sig_s2mm2sgcntl_sts_tdata <= sig_dm_s2mm_sts_tdata(BASE_STATUS_WIDTH-1 downto 0); sig_s2mm2sgcntl_sts_tstrb <= sig_dm_s2mm_sts_tkeep((BASE_STATUS_WIDTH/8)-1 downto 0); ------------------------------------------------------------ -- Instance: I_DATAMOVER -- -- Description: -- -- Data Path DataMover -- Reads data from the AXI MMAP Read Channel and Writes the data -- to the AXI MMAP Write Channel via commands from the Controller -- Module. -- -- ------------------------------------------------------------ I_DATAMOVER : entity axi_datamover_v5_1_16.axi_datamover generic map( C_INCLUDE_MM2S => MM2S_FULL_MODE , C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH , C_M_AXI_MM2S_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_M_AXIS_MM2S_TDATA_WIDTH => DM_STREAM_DWIDTH , C_INCLUDE_MM2S_STSFIFO => DM_INCLUDE_STS_FIFO , C_MM2S_STSCMD_FIFO_DEPTH => DM_SG_CMDSTS_FIFO_DEPTH , C_MM2S_STSCMD_IS_ASYNC => DM_USE_SYNC_CLOCKS , C_INCLUDE_MM2S_DRE => C_INCLUDE_DRE , C_MM2S_BURST_SIZE => C_M_AXI_MAX_BURST_LEN , C_MM2S_BTT_USED => DM_BTT_WIDTH , C_MM2S_ADDR_PIPE_DEPTH => DM_READ_ADDR_PIPE_DEPTH , C_MM2S_INCLUDE_SF => 0 , C_ENABLE_CACHE_USER => 0 , C_ENABLE_SKID_BUF => "11000" , C_CMD_WIDTH => DM_CMD_WIDTH , C_INCLUDE_S2MM => S2MM_FULL_MODE , C_M_AXI_S2MM_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH , C_M_AXI_S2MM_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_S_AXIS_S2MM_TDATA_WIDTH => DM_STREAM_DWIDTH , C_INCLUDE_S2MM_STSFIFO => DM_INCLUDE_STS_FIFO , C_S2MM_STSCMD_FIFO_DEPTH => DM_SG_CMDSTS_FIFO_DEPTH , C_S2MM_STSCMD_IS_ASYNC => DM_USE_SYNC_CLOCKS , C_INCLUDE_S2MM_DRE => DM_S2MM_DRE_DISABLED , C_S2MM_BURST_SIZE => C_M_AXI_MAX_BURST_LEN , C_S2MM_BTT_USED => DM_BTT_WIDTH , C_S2MM_SUPPORT_INDET_BTT => STORE_FORWARD_CNTL , C_S2MM_ADDR_PIPE_DEPTH => DM_WRITE_ADDR_PIPE_DEPTH , C_S2MM_INCLUDE_SF => 0 , C_FAMILY => C_FAMILY ) port map( -- MM2S Primary Clock / Reset input m_axi_mm2s_aclk => axi_aclk , m_axi_mm2s_aresetn => sig_rst2dm_resetn , -- MM2S Soft Shutdown mm2s_halt => sig_dm_mm2s_halt , mm2s_halt_cmplt => sig_dm_mm2s_halt_cmplt , -- MM2S Error output discrete mm2s_err => sig_dm_mm2s_err , -- Memory Map to Stream Command FIFO and Status FIFO Async CLK/RST -------------- m_axis_mm2s_cmdsts_aclk => LOGIC_LOW , m_axis_mm2s_cmdsts_aresetn => LOGIC_HIGH , -- User Command Interface Ports (AXI Stream) s_axis_mm2s_cmd_tvalid => sig_dm_mm2s_cmd_tvalid , s_axis_mm2s_cmd_tready => sig_dm_mm2s_cmd_tready , s_axis_mm2s_cmd_tdata => sig_dm_mm2s_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_mm2s_sts_tvalid => sig_dm_mm2s_sts_tvalid , m_axis_mm2s_sts_tready => sig_dm_mm2s_sts_tready , m_axis_mm2s_sts_tdata => sig_dm_mm2s_sts_tdata , m_axis_mm2s_sts_tkeep => sig_dm_mm2s_sts_tkeep , m_axis_mm2s_sts_tlast => open , -- Address Posting contols mm2s_allow_addr_req => sig_mm2s_allow_addr_req , mm2s_addr_req_posted => sig_mm2s_addr_req_posted , mm2s_rd_xfer_cmplt => sig_mm2s_rd_xfer_cmplt , -- MM2S AXI Address Channel I/O -------------------------------------- m_axi_mm2s_arid => open , m_axi_mm2s_araddr => m_axi_araddr , m_axi_mm2s_arlen => m_axi_arlen , m_axi_mm2s_arsize => m_axi_arsize , m_axi_mm2s_arburst => m_axi_arburst , m_axi_mm2s_arprot => m_axi_arprot , m_axi_mm2s_arcache => m_axi_arcache , m_axi_mm2s_aruser => open, --m_axi_arcache , m_axi_mm2s_arvalid => m_axi_arvalid , m_axi_mm2s_arready => m_axi_arready , -- MM2S AXI MMap Read Data Channel I/O ------------------------------- m_axi_mm2s_rdata => m_axi_rdata , m_axi_mm2s_rresp => m_axi_rresp , m_axi_mm2s_rlast => m_axi_rlast , m_axi_mm2s_rvalid => m_axi_rvalid , m_axi_mm2s_rready => m_axi_rready , -- MM2S AXI Master Stream Channel I/O -------------------------------- m_axis_mm2s_tdata => sig_mm2s_axis_tdata , m_axis_mm2s_tkeep => sig_mm2s_axis_tkeep , m_axis_mm2s_tlast => sig_mm2s_axis_tlast , m_axis_mm2s_tvalid => sig_mm2s_axis_tvalid , m_axis_mm2s_tready => sig_mm2s_axis_tready , -- Testing Support I/O mm2s_dbg_sel => (others => '0') , mm2s_dbg_data => open , -- S2MM Primary Clock/Reset input m_axi_s2mm_aclk => axi_aclk , m_axi_s2mm_aresetn => sig_rst2dm_resetn , -- S2MM Soft Shutdown s2mm_halt => sig_dm_s2mm_halt , s2mm_halt_cmplt => sig_dm_s2mm_halt_cmplt , -- S2MM Error output discrete s2mm_err => sig_dm_s2mm_err , -- Stream to Memory Map Command FIFO and Status FIFO I/O -------------- m_axis_s2mm_cmdsts_awclk => LOGIC_LOW , m_axis_s2mm_cmdsts_aresetn => LOGIC_HIGH , -- User Command Interface Ports (AXI Stream) s_axis_s2mm_cmd_tvalid => sig_dm_s2mm_cmd_tvalid , s_axis_s2mm_cmd_tready => sig_dm_s2mm_cmd_tready , s_axis_s2mm_cmd_tdata => sig_dm_s2mm_cmd_tdata , -- User Status Interface Ports (AXI Stream) m_axis_s2mm_sts_tvalid => sig_dm_s2mm_sts_tvalid , m_axis_s2mm_sts_tready => sig_dm_s2mm_sts_tready , m_axis_s2mm_sts_tdata => sig_dm_s2mm_sts_tdata , m_axis_s2mm_sts_tkeep => sig_dm_s2mm_sts_tkeep , m_axis_s2mm_sts_tlast => open , -- Address posting controls s2mm_allow_addr_req => sig_s2mm_allow_addr_req , s2mm_addr_req_posted => sig_s2mm_addr_req_posted , s2mm_wr_xfer_cmplt => sig_s2mm_wr_xfer_cmplt , s2mm_ld_nxt_len => sig_s2mm_ld_nxt_len , s2mm_wr_len => sig_s2mm_wr_len , -- S2MM AXI Address Channel I/O -------------------------------------- m_axi_s2mm_awid => open , m_axi_s2mm_awaddr => m_axi_awaddr , m_axi_s2mm_awlen => m_axi_awlen , m_axi_s2mm_awsize => m_axi_awsize , m_axi_s2mm_awburst => m_axi_awburst , m_axi_s2mm_awprot => m_axi_awprot , m_axi_s2mm_awcache => m_axi_awcache , m_axi_s2mm_awuser => open, --m_axi_awcache , m_axi_s2mm_awvalid => m_axi_awvalid , m_axi_s2mm_awready => m_axi_awready , -- S2MM AXI MMap Write Data Channel I/O ------------------------------ m_axi_s2mm_wdata => m_axi_wdata , m_axi_s2mm_wstrb => m_axi_wstrb , m_axi_s2mm_wlast => m_axi_wlast , m_axi_s2mm_wvalid => m_axi_wvalid , m_axi_s2mm_wready => m_axi_wready , -- S2MM AXI MMap Write response Channel I/O -------------------------- m_axi_s2mm_bresp => m_axi_bresp , m_axi_s2mm_bvalid => m_axi_bvalid , m_axi_s2mm_bready => m_axi_bready , -- S2MM AXI Slave Stream Channel I/O --------------------------------- s_axis_s2mm_tdata => sig_s2mm_axis_tdata , s_axis_s2mm_tkeep => sig_s2mm_axis_tkeep , s_axis_s2mm_tlast => sig_s2mm_axis_tlast , s_axis_s2mm_tvalid => sig_s2mm_axis_tvalid , s_axis_s2mm_tready => sig_s2mm_axis_tready , -- Testing Support I/O s2mm_dbg_sel => (others => '0') , s2mm_dbg_data => open ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_SF -- -- If Generate Description: -- This IfGen includes the Store and Forward module -- -- ------------------------------------------------------------ GEN_INCLUDE_SF : if (C_INCLUDE_SF = 1) generate begin ------------------------------------------------------------ -- Instance: I_STORE_FORWARD -- -- Description: -- This is the instance for the AXI CDMA Store and Forward -- Module. -- ------------------------------------------------------------ I_STORE_FORWARD : entity axi_cdma_v4_1_14.axi_cdma_sf generic map ( C_WR_ADDR_PIPE_DEPTH => DM_WRITE_ADDR_PIPE_DEPTH, C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , C_MAX_BURST_LEN => C_M_AXI_MAX_BURST_LEN , C_DRE_IS_USED => C_INCLUDE_DRE , C_STREAM_DWIDTH => C_M_AXI_DATA_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock input aclk => axi_aclk , -- Reset input reset => sig_sf_reset , -- DataMover Read Side Address Pipelining control Interface ---- ok_to_post_rd_addr => sig_mm2s_allow_addr_req , rd_addr_posted => sig_mm2s_addr_req_posted , rd_xfer_cmplt => sig_mm2s_rd_xfer_cmplt , -- Read Side Stream In from DataMover MM2S --------------------- sf2sin_tready => sig_mm2s_axis_tready , sin2sf_tvalid => sig_mm2s_axis_tvalid , sin2sf_tdata => sig_mm2s_axis_tdata , sin2sf_tkeep => sig_mm2s_axis_tkeep , sin2sf_tlast => sig_mm2s_axis_tlast , -- DataMover Write Side Address Pipelining control Interface --- ok_to_post_wr_addr => sig_s2mm_allow_addr_req , wr_addr_posted => sig_s2mm_addr_req_posted , wr_xfer_cmplt => sig_s2mm_wr_xfer_cmplt , wr_ld_nxt_len => sig_s2mm_ld_nxt_len , wr_len => sig_s2mm_wr_len , -- Write Side Stream Out to DataMover S2MM -------------------- sout2sf_tready => sig_s2mm_axis_tready , sf2sout_tvalid => sig_s2mm_axis_tvalid , sf2sout_tdata => sig_s2mm_axis_tdata , sf2sout_tkeep => sig_s2mm_axis_tkeep , sf2sout_tlast => sig_s2mm_axis_tlast ); end generate GEN_INCLUDE_SF; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_SF -- -- If Generate Description: -- This IfGen includes the Store and Forward module -- -- ------------------------------------------------------------ GEN_OMIT_SF : if (C_INCLUDE_SF = 0) generate begin sig_mm2s_allow_addr_req <= LOGIC_HIGH; sig_s2mm_allow_addr_req <= LOGIC_HIGH; sig_mm2s_axis_tready <= sig_s2mm_axis_tready ; sig_s2mm_axis_tvalid <= sig_mm2s_axis_tvalid ; sig_s2mm_axis_tdata <= sig_mm2s_axis_tdata ; sig_s2mm_axis_tkeep <= sig_mm2s_axis_tkeep ; sig_s2mm_axis_tlast <= sig_mm2s_axis_tlast ; end generate GEN_OMIT_SF; end implementation; ------------------------------------------------------------------------------- -- axi_cdma ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_cdma.vhd -- Description: This entity is the top level entity for the AXI CDMA core. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_cdma_v4_1_14; use axi_cdma_v4_1_14.axi_cdma_pkg.all; use axi_cdma_v4_1_14.axi_cdma_simple_wrap; use axi_cdma_v4_1_14.axi_cdma_sg_wrap; ------------------------------------------------------------------------------- entity axi_cdma is generic( ----------------------------------------------------------------------- -- AXI Lite Register Interface Parameters ----------------------------------------------------------------------- C_S_AXI_LITE_ADDR_WIDTH : integer range 2 to 32 := 6; -- Address width of the AXI Lite Interface (bits) C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32; -- Data width of the AXI Lite Interface (bits) C_AXI_LITE_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the AXI Lite Register interface needs to -- be asynchronous to the CDMA data transport path clocking -- 0 = s_axi_lite_aclk is the same as m_axi_aclk -- 1 = s_axi_lite_aclk is asynchronous to the m_axi_aclk ----------------------------------------------------------------------- -- DataMover Parameters ----------------------------------------------------------------------- C_M_AXI_ADDR_WIDTH : integer range 32 to 64 := 32; -- DataMover Master AXI Memory Map Address Width (bits) C_M_AXI_DATA_WIDTH : integer range 32 to 1024 := 32; -- DataMover Master AXI Memory Map Data Width (bits) C_M_AXI_MAX_BURST_LEN : integer range 2 to 256 := 16; -- DataMover Maximum burst length to use for AXI MMAP requests -- Allowed values are 16, 32, 64, 128, and 256 (data beats) C_INCLUDE_DRE : integer range 0 to 1 := 0; -- Include or exclude DataMover Data Realignment (DRE) -- NOTE: DRE is only available for 32 and 64 bit data widths -- 0 = Exclude DRE -- 1 = Include DRE C_USE_DATAMOVER_LITE : integer range 0 to 1 := 0; -- Enable DataMover Lite mode -- NOTE: Data widths limited to 32 and 64 bits, max burst -- limited to 16, 32, and 64 data beats, no DRE, 4K address -- guarding must be done by SW programmer. -- 0 = Normal DataMover mode -- 1 = Lite dataMover mode C_READ_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 4; -- This parameter specifies the depth of the DataMover -- read address pipelining queues for the Main data transport -- channels. The effective address pipelining on the AXI4 Read -- Address Channel will be the value assigned plus 2. C_WRITE_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 4; -- This parameter specifies the depth of the DataMover -- write address pipelining queues for the Main data transport -- channel. The effective address pipelining on the AXI4 Write -- Address Channel will be the value assigned plus 2. ----------------------------------------------------------------------- -- Store and Forward Parameters ----------------------------------------------------------------------- C_INCLUDE_SF : integer range 0 to 1 := 1; -- 0 = Omit Store and Forward functionality -- 1 = Include Store and Forward functionality ----------------------------------------------------------------------- -- Scatter Gather Parameters ----------------------------------------------------------------------- C_INCLUDE_SG : integer range 0 to 1 := 0; -- 0 = Omit Scatter Gather functionality -- 1 = Include Scatter Gather functionality C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather -- R/W Port (bits) C_M_AXI_SG_DATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Data Width for Scatter Gather -- R/W Port (bits) C_DLYTMR_RESOLUTION : integer range 1 to 100000 := 125; -- Interrupt Delay Timer resolution in clock ticks of axi_clk ----------------------------------------------------------------------- -- Target FPGA Family Parameter ----------------------------------------------------------------------- C_FAMILY : string := "virtex7"; -- Target FPGA Device Family C_INSTANCE : string := "axi_cdma" ); port ( ----------------------------------------------------------------------- -- Primary Clock ----------------------------------------------------------------------- m_axi_aclk : in std_logic ;-- AXI4 ----------------------------------------------------------------------- -- Primary Reset Input (active low) ----------------------------------------------------------------------- -- m_axi_aresetn : in std_logic ;-- AXI4 ----------------------------------------------------------------------- -- AXI Lite clock ----------------------------------------------------------------------- s_axi_lite_aclk : in std_logic ;-- AXI4-Lite ----------------------------------------------------------------------- -- AXI Lite reset ----------------------------------------------------------------------- s_axi_lite_aresetn : in std_logic ;-- AXI4-Lite ----------------------------------------------------------------------- -- Interrupt output ----------------------------------------------------------------------- cdma_introut : out std_logic ;-- Interupt Out --------------------------------------------------------------------------------- -- AXI4-Lite Register Access Interface --------------------------------------------------------------------------------- -- AXI Lite Write Address Channel -- AXI4-Lite s_axi_lite_awready : out std_logic ;-- AXI4-Lite s_axi_lite_awvalid : in std_logic ;-- AXI4-Lite s_axi_lite_awaddr : in std_logic_vector -- AXI4-Lite (5 downto 0);-- AXI4-Lite -- s_axi_lite_awaddr : in std_logic_vector -- AXI4-Lite -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Write Data Channel -- AXI4-Lite s_axi_lite_wready : out std_logic ;-- AXI4-Lite s_axi_lite_wvalid : in std_logic ;-- AXI4-Lite s_axi_lite_wdata : in std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_DATA_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Write Response Channel -- AXI4-Lite s_axi_lite_bready : in std_logic ;-- AXI4-Lite s_axi_lite_bvalid : out std_logic ;-- AXI4-Lite s_axi_lite_bresp : out std_logic_vector(1 downto 0) ;-- AXI4-Lite -- AXI4-Lite -- AXI Lite Read Address Channel -- AXI4-Lite s_axi_lite_arready : out std_logic ;-- AXI4-Lite s_axi_lite_arvalid : in std_logic ;-- AXI4-Lite s_axi_lite_araddr : in std_logic_vector -- AXI4-Lite (5 downto 0);-- AXI4-Lite -- s_axi_lite_araddr : in std_logic_vector -- AXI4-Lite -- (C_S_AXI_LITE_ADDR_WIDTH-1 downto 0);-- AXI4-Lite -- AXI4-Lite -- AXI Lite Read Data Channel -- AXI4-Lite s_axi_lite_rready : in std_logic ;-- AXI4-Lite s_axi_lite_rvalid : out std_logic ;-- AXI4-Lite s_axi_lite_rdata : out std_logic_vector -- AXI4-Lite (C_S_AXI_LITE_DATA_WIDTH-1 downto 0);-- AXI4-Lite s_axi_lite_rresp : out std_logic_vector(1 downto 0) ;-- AXI4-Lite ---------------------------------------------------------------------------- -- AXI4 DataMover Read Channel ---------------------------------------------------------------------------- -- DataMover MMap Read Address Channel -- AXI4 m_axi_arready : in std_logic ;-- AXI4 m_axi_arvalid : out std_logic ;-- AXI4 m_axi_araddr : out std_logic_vector -- AXI4 (C_M_AXI_ADDR_WIDTH-1 downto 0) ;-- AXI4 m_axi_arlen : out std_logic_vector(7 downto 0) ;-- AXI4 m_axi_arsize : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_arburst : out std_logic_vector(1 downto 0) ;-- AXI4 m_axi_arprot : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_arcache : out std_logic_vector(3 downto 0) ;-- AXI4 -- AXI4 -- DataMover MMap Read Data Channel -- AXI4 m_axi_rready : out std_logic ;-- AXI4 m_axi_rvalid : in std_logic ;-- AXI4 m_axi_rdata : in std_logic_vector -- AXI4 (C_M_AXI_DATA_WIDTH-1 downto 0) ;-- AXI4 m_axi_rresp : in std_logic_vector(1 downto 0) ;-- AXI4 m_axi_rlast : in std_logic ;-- AXI4 ----------------------------------------------------------------------------- -- AXI4 DataMover Write Channel ----------------------------------------------------------------------------- -- DataMover Write Address Channel -- AXI4 m_axi_awready : in std_logic ; -- AXI4 m_axi_awvalid : out std_logic ; -- AXI4 m_axi_awaddr : out std_logic_vector -- AXI4 (C_M_AXI_ADDR_WIDTH-1 downto 0) ; -- AXI4 m_axi_awlen : out std_logic_vector(7 downto 0) ; -- AXI4 m_axi_awsize : out std_logic_vector(2 downto 0) ; -- AXI4 m_axi_awburst : out std_logic_vector(1 downto 0) ; -- AXI4 m_axi_awprot : out std_logic_vector(2 downto 0) ; -- AXI4 m_axi_awcache : out std_logic_vector(3 downto 0) ; -- AXI4 -- AXI4 -- DataMover Write Data Channel -- AXI4 m_axi_wready : in std_logic ; -- AXI4 m_axi_wvalid : out std_logic ; -- AXI4 m_axi_wdata : out std_logic_vector -- AXI4 (C_M_AXI_DATA_WIDTH-1 downto 0) ; -- AXI4 m_axi_wstrb : out std_logic_vector -- AXI4 ((C_M_AXI_DATA_WIDTH/8)-1 downto 0);-- AXI4 m_axi_wlast : out std_logic ; -- AXI4 -- AXI4 -- DataMover Write Response Channel -- AXI4 m_axi_bready : out std_logic ; -- AXI4 m_axi_bvalid : in std_logic ; -- AXI4 m_axi_bresp : in std_logic_vector(1 downto 0) ; -- AXI4 ---------------------------------------------------------------------------- -- AXI4 Scatter Gather Interface ---------------------------------------------------------------------------- -- Scatter Gather Write Address Channel -- AXI4 m_axi_sg_awready : in std_logic := '0' ;-- AXI4 m_axi_sg_awvalid : out std_logic ;-- AXI4 m_axi_sg_awaddr : out std_logic_vector -- AXI4 (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ;-- AXI4 m_axi_sg_awlen : out std_logic_vector(7 downto 0) ;-- AXI4 m_axi_sg_awsize : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_sg_awburst : out std_logic_vector(1 downto 0) ;-- AXI4 m_axi_sg_awprot : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_sg_awcache : out std_logic_vector(3 downto 0) ;-- AXI4 -- AXI4 -- Scatter Gather Write Data Channel -- AXI4 m_axi_sg_wready : in std_logic := '0' ;-- AXI4 m_axi_sg_wvalid : out std_logic ;-- AXI4 m_axi_sg_wdata : out std_logic_vector -- AXI4 (C_M_AXI_SG_DATA_WIDTH-1 downto 0);-- AXI4 m_axi_sg_wstrb : out std_logic_vector -- AXI4 ((C_M_AXI_SG_DATA_WIDTH/8)-1 downto 0);-- AXI4 m_axi_sg_wlast : out std_logic ;-- AXI4 -- AXI4 -- Scatter Gather Write Response Channel -- AXI4 m_axi_sg_bready : out std_logic ;-- AXI4 m_axi_sg_bvalid : in std_logic := '0' ;-- AXI4 m_axi_sg_bresp : in std_logic_vector(1 downto 0) := (others => '0') ;-- AXI4 -- AXI4 -- Scatter Gather Read Address Channel -- AXI4 m_axi_sg_arready : in std_logic := '0' ;-- AXI4 m_axi_sg_arvalid : out std_logic ;-- AXI4 m_axi_sg_araddr : out std_logic_vector -- AXI4 (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ;-- AXI4 m_axi_sg_arlen : out std_logic_vector(7 downto 0) ;-- AXI4 m_axi_sg_arsize : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_sg_arburst : out std_logic_vector(1 downto 0) ;-- AXI4 m_axi_sg_arprot : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_sg_arcache : out std_logic_vector(3 downto 0) ;-- AXI4 -- AXI4 -- Scatter Gather Read Data Channel -- AXI4 m_axi_sg_rready : out std_logic ;-- AXI4 m_axi_sg_rvalid : in std_logic := '0' ;-- AXI4 m_axi_sg_rdata : in std_logic_vector -- AXI4 (C_M_AXI_SG_DATA_WIDTH-1 downto 0) := (others => '0') ;-- AXI4 m_axi_sg_rresp : in std_logic_vector(1 downto 0) := (others => '0') ;-- AXI4 m_axi_sg_rlast : in std_logic := '0' ;-- AXI4 ----------------------------------------------------------------------- -- Test Support for Xilinx internal use ----------------------------------------------------------------------- cdma_tvect_out : Out std_logic_vector(31 downto 0) ); ----------------------------------------------------------------- -- Start of PSFUtil MPD attributes ----------------------------------------------------------------- --attribute IP_GROUP : string; --attribute IP_GROUP of axi_cdma : entity is "LOGICORE"; --attribute IPTYPE : string; --attribute IPTYPE of axi_cdma : entity is "PERIPHERAL"; --attribute RUN_NGCBUILD : string; --attribute RUN_NGCBUILD of axi_cdma : entity is "TRUE"; ----------------------------------------------------------------- -- End of PSFUtil MPD attributes ----------------------------------------------------------------- end axi_cdma; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_cdma is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_max -- -- Function Description: -- Returns the greater of two integers. -- ------------------------------------------------------------------- function funct_get_max (value_in_1 : integer; value_in_2 : integer) return integer is Variable max_value : Integer := 0; begin If (value_in_1 > value_in_2) Then max_value := value_in_1; else max_value := value_in_2; End if; Return (max_value); end function funct_get_max; ------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_rnd2pwr_of_2 -- -- Function Description: -- Rounds the input value up to the nearest power of 2 between -- 128 and 8192. -- ------------------------------------------------------------------- function funct_rnd2pwr_of_2 (input_value : integer) return integer is Variable temp_pwr2 : Integer := 128; begin if (input_value <= 128) then temp_pwr2 := 128; elsif (input_value <= 256) then temp_pwr2 := 256; elsif (input_value <= 512) then temp_pwr2 := 512; elsif (input_value <= 1024) then temp_pwr2 := 1024; elsif (input_value <= 2048) then temp_pwr2 := 2048; elsif (input_value <= 4096) then temp_pwr2 := 4096; else temp_pwr2 := 8192; end if; Return (temp_pwr2); end function funct_rnd2pwr_of_2; ------------------------------------------------------------------- function width_calc (value_in : integer) return integer is variable addr_value : integer := 32; begin if (value_in > 32) then addr_value := 64; else addr_value :=32; end if; return(addr_value); end function width_calc; ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- Constant SOFT_RST_TIME_CLKS : integer := 8; -- Specifies the time of the soft reset assertion in -- m_axi_aclk clock periods. -- Calculates the minimum needed depth of the CDMA Store and Forward FIFO Constant PIPEDEPTH_BURST_LEN_PROD : integer := (funct_get_max(C_READ_ADDR_PIPE_DEPTH, C_WRITE_ADDR_PIPE_DEPTH)+2) * C_M_AXI_MAX_BURST_LEN; -- Assigns the depth of the CDMA Store and Forward FIFO to the nearest -- power of 2 Constant SF_FIFO_DEPTH : integer range 128 to 8192 := funct_rnd2pwr_of_2(PIPEDEPTH_BURST_LEN_PROD); constant ADDR_WIDTH : integer := width_calc (C_M_AXI_ADDR_WIDTH); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- No signals Declared ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- signal m_axi_aresetn : std_logic; signal m_axi_sg_awaddr_internal : std_logic_vector (ADDR_WIDTH-1 downto 0) ; signal m_axi_sg_araddr_internal : std_logic_vector (ADDR_WIDTH-1 downto 0) ; signal m_axi_araddr_internal : std_logic_vector (ADDR_WIDTH-1 downto 0) ; signal m_axi_awaddr_internal : std_logic_vector (ADDR_WIDTH-1 downto 0) ; begin m_axi_aresetn <= '1'; m_axi_araddr <= m_axi_araddr_internal (C_M_AXI_ADDR_WIDTH-1 downto 0); m_axi_awaddr <= m_axi_awaddr_internal (C_M_AXI_ADDR_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_SIMPLE_MODE -- -- If Generate Description: -- This IfGen Implements the CDMA with Simple Mode -- -- ------------------------------------------------------------ GEN_SIMPLE_MODE : if (C_INCLUDE_SG = 0) generate begin ----------------------------------------------------------- -- Housekeep the Scatter Gather output signals that are not -- used in Simple DMA mode. ----------------------------------------------------------- -- Scatter Gather Write Address Channel m_axi_sg_awvalid <= '0' ; m_axi_sg_awaddr <= (others => '0'); m_axi_sg_awlen <= (others => '0'); m_axi_sg_awsize <= (others => '0'); m_axi_sg_awburst <= (others => '0'); m_axi_sg_awprot <= (others => '0'); m_axi_sg_awcache <= (others => '0'); -- Scatter Gather Write Data Channel m_axi_sg_wvalid <= '0'; m_axi_sg_wdata <= (others => '0'); m_axi_sg_wstrb <= (others => '0'); m_axi_sg_wlast <= '0' ; -- Scatter Gather Write Response Channel m_axi_sg_bready <= '0' ; -- Scatter Gather Read Address Channel m_axi_sg_arvalid <= '0' ; m_axi_sg_araddr <= (others => '0'); m_axi_sg_arlen <= (others => '0'); m_axi_sg_arsize <= (others => '0'); m_axi_sg_arburst <= (others => '0'); m_axi_sg_arprot <= (others => '0'); m_axi_sg_arcache <= (others => '0'); -- Memory Map to Stream Scatter Gather Read Data Channel m_axi_sg_rready <= '0' ; ------------------------------------------------------------ -- Instance: I_SIMPLE_MODE_WRAP -- -- Description: -- Instance for the CDMA Simple Mode Wrapper -- ------------------------------------------------------------ I_SIMPLE_MODE_WRAP : entity axi_cdma_v4_1_14.axi_cdma_simple_wrap generic map ( C_S_AXI_LITE_ADDR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH , C_AXI_LITE_IS_ASYNC => C_AXI_LITE_IS_ASYNC , C_M_AXI_ADDR_WIDTH => ADDR_WIDTH, --C_M_AXI_ADDR_WIDTH , C_M_AXI_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_M_AXI_MAX_BURST_LEN => C_M_AXI_MAX_BURST_LEN , C_INCLUDE_DRE => C_INCLUDE_DRE , C_USE_DATAMOVER_LITE => C_USE_DATAMOVER_LITE , C_READ_ADDR_PIPE_DEPTH => C_READ_ADDR_PIPE_DEPTH , C_WRITE_ADDR_PIPE_DEPTH => C_WRITE_ADDR_PIPE_DEPTH , C_INCLUDE_SF => C_INCLUDE_SF , C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , C_SOFT_RST_TIME_CLKS => SOFT_RST_TIME_CLKS , C_FAMILY => C_FAMILY ) port map ( -- Primary Clock and Reset axi_aclk => m_axi_aclk , axi_resetn => m_axi_aresetn , -- AXI Lite clock and Reset axi_lite_aclk => s_axi_lite_aclk , axi_lite_resetn => s_axi_lite_aresetn , -- Interrupt output cdma_introut => cdma_introut , -- Error Discrete output cdma_error_out => open , -- AXI Lite Write Address Channel s_axi_lite_awready => s_axi_lite_awready , s_axi_lite_awvalid => s_axi_lite_awvalid , s_axi_lite_awaddr => s_axi_lite_awaddr , -- AXI Lite Write Data Channel s_axi_lite_wready => s_axi_lite_wready , s_axi_lite_wvalid => s_axi_lite_wvalid , s_axi_lite_wdata => s_axi_lite_wdata , -- AXI Lite Write Response Channel s_axi_lite_bready => s_axi_lite_bready , s_axi_lite_bvalid => s_axi_lite_bvalid , s_axi_lite_bresp => s_axi_lite_bresp , -- AXI Lite Read Address Channel s_axi_lite_arready => s_axi_lite_arready , s_axi_lite_arvalid => s_axi_lite_arvalid , s_axi_lite_araddr => s_axi_lite_araddr , -- AXI Lite Read Data Channel s_axi_lite_rready => s_axi_lite_rready , s_axi_lite_rvalid => s_axi_lite_rvalid , s_axi_lite_rdata => s_axi_lite_rdata , s_axi_lite_rresp => s_axi_lite_rresp , -- DataMover MMap Read Address Channel m_axi_arready => m_axi_arready , m_axi_arvalid => m_axi_arvalid , m_axi_araddr => m_axi_araddr_internal, --m_axi_araddr , m_axi_arlen => m_axi_arlen , m_axi_arsize => m_axi_arsize , m_axi_arburst => m_axi_arburst , m_axi_arprot => m_axi_arprot , m_axi_arcache => m_axi_arcache , -- DataMover MMap Read Data Channel m_axi_rready => m_axi_rready , m_axi_rvalid => m_axi_rvalid , m_axi_rdata => m_axi_rdata , m_axi_rresp => m_axi_rresp , m_axi_rlast => m_axi_rlast , -- DataMover Write Address Channel m_axi_awready => m_axi_awready , m_axi_awvalid => m_axi_awvalid , m_axi_awaddr => m_axi_awaddr_internal, --m_axi_awaddr , m_axi_awlen => m_axi_awlen , m_axi_awsize => m_axi_awsize , m_axi_awburst => m_axi_awburst , m_axi_awprot => m_axi_awprot , m_axi_awcache => m_axi_awcache , -- DataMover Write Data Channel m_axi_wready => m_axi_wready , m_axi_wvalid => m_axi_wvalid , m_axi_wdata => m_axi_wdata , m_axi_wstrb => m_axi_wstrb , m_axi_wlast => m_axi_wlast , -- DataMover Write Response Channel m_axi_bready => m_axi_bready , m_axi_bvalid => m_axi_bvalid , m_axi_bresp => m_axi_bresp , -- Debug test vector (Xilinx use only) axi_cdma_tstvec => cdma_tvect_out ); end generate GEN_SIMPLE_MODE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_SG_MODE -- -- If Generate Description: -- This IfGen Implements the CDMA with Scatter Gather Mode -- -- ------------------------------------------------------------ GEN_SG_MODE : if (C_INCLUDE_SG = 1) generate begin ------------------------------------------------------------ -- Instance: I_SG_MODE_WRAP -- -- Description: -- Instance for the CDMA Scatter Gather Mode Wrapper -- ------------------------------------------------------------ I_SG_MODE_WRAP : entity axi_cdma_v4_1_14.axi_cdma_sg_wrap generic map ( C_S_AXI_LITE_ADDR_WIDTH => C_S_AXI_LITE_ADDR_WIDTH , C_S_AXI_LITE_DATA_WIDTH => C_S_AXI_LITE_DATA_WIDTH , C_AXI_LITE_IS_ASYNC => C_AXI_LITE_IS_ASYNC , C_M_AXI_ADDR_WIDTH => ADDR_WIDTH, --C_M_AXI_ADDR_WIDTH , C_M_AXI_DATA_WIDTH => C_M_AXI_DATA_WIDTH , C_M_AXI_MAX_BURST_LEN => C_M_AXI_MAX_BURST_LEN , C_INCLUDE_DRE => C_INCLUDE_DRE , C_READ_ADDR_PIPE_DEPTH => C_READ_ADDR_PIPE_DEPTH , C_WRITE_ADDR_PIPE_DEPTH => C_WRITE_ADDR_PIPE_DEPTH , C_INCLUDE_SF => C_INCLUDE_SF , C_SF_FIFO_DEPTH => SF_FIFO_DEPTH , C_M_AXI_SG_ADDR_WIDTH => ADDR_WIDTH, --C_M_AXI_SG_ADDR_WIDTH , C_M_AXI_SG_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_DLYTMR_RESOLUTION => C_DLYTMR_RESOLUTION , C_SOFT_RST_TIME_CLKS => SOFT_RST_TIME_CLKS , C_ACTUAL_ADDR => C_M_AXI_SG_ADDR_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Primary Clock and Reset axi_aclk => m_axi_aclk , axi_resetn => m_axi_aresetn , -- AXI Lite clock and Reset axi_lite_aclk => s_axi_lite_aclk , axi_lite_resetn => s_axi_lite_aresetn , -- Interrupt output cdma_introut => cdma_introut , -- Error Discrete output cdma_error_out => open , -- AXI Lite Write Address Channel s_axi_lite_awready => s_axi_lite_awready , s_axi_lite_awvalid => s_axi_lite_awvalid , s_axi_lite_awaddr => s_axi_lite_awaddr , -- AXI Lite Write Data Channel s_axi_lite_wready => s_axi_lite_wready , s_axi_lite_wvalid => s_axi_lite_wvalid , s_axi_lite_wdata => s_axi_lite_wdata , -- AXI Lite Write Response Channel s_axi_lite_bready => s_axi_lite_bready , s_axi_lite_bvalid => s_axi_lite_bvalid , s_axi_lite_bresp => s_axi_lite_bresp , -- AXI Lite Read Address Channel s_axi_lite_arready => s_axi_lite_arready , s_axi_lite_arvalid => s_axi_lite_arvalid , s_axi_lite_araddr => s_axi_lite_araddr , -- AXI Lite Read Data Channel s_axi_lite_rready => s_axi_lite_rready , s_axi_lite_rvalid => s_axi_lite_rvalid , s_axi_lite_rdata => s_axi_lite_rdata , s_axi_lite_rresp => s_axi_lite_rresp , -- DataMover MMap Read Address Channel m_axi_arready => m_axi_arready , m_axi_arvalid => m_axi_arvalid , m_axi_araddr => m_axi_araddr_internal, --m_axi_araddr , m_axi_arlen => m_axi_arlen , m_axi_arsize => m_axi_arsize , m_axi_arburst => m_axi_arburst , m_axi_arprot => m_axi_arprot , m_axi_arcache => m_axi_arcache , -- DataMover MMap Read Data Channel m_axi_rready => m_axi_rready , m_axi_rvalid => m_axi_rvalid , m_axi_rdata => m_axi_rdata , m_axi_rresp => m_axi_rresp , m_axi_rlast => m_axi_rlast , -- DataMover Write Address Channel m_axi_awready => m_axi_awready , m_axi_awvalid => m_axi_awvalid , m_axi_awaddr => m_axi_awaddr_internal, --m_axi_awaddr , m_axi_awlen => m_axi_awlen , m_axi_awsize => m_axi_awsize , m_axi_awburst => m_axi_awburst , m_axi_awprot => m_axi_awprot , m_axi_awcache => m_axi_awcache , -- DataMover Write Data Channel m_axi_wready => m_axi_wready , m_axi_wvalid => m_axi_wvalid , m_axi_wdata => m_axi_wdata , m_axi_wstrb => m_axi_wstrb , m_axi_wlast => m_axi_wlast , -- DataMover Write Response Channel m_axi_bready => m_axi_bready , m_axi_bvalid => m_axi_bvalid , m_axi_bresp => m_axi_bresp , -- Scatter Gather Write Address Channel m_axi_sg_awready => m_axi_sg_awready , m_axi_sg_awvalid => m_axi_sg_awvalid , m_axi_sg_awaddr => m_axi_sg_awaddr_internal, --m_axi_sg_awaddr , m_axi_sg_awlen => m_axi_sg_awlen , m_axi_sg_awsize => m_axi_sg_awsize , m_axi_sg_awburst => m_axi_sg_awburst , m_axi_sg_awprot => m_axi_sg_awprot , m_axi_sg_awcache => m_axi_sg_awcache , -- Scatter Gather Write Data Channel m_axi_sg_wready => m_axi_sg_wready , m_axi_sg_wvalid => m_axi_sg_wvalid , m_axi_sg_wdata => m_axi_sg_wdata , m_axi_sg_wstrb => m_axi_sg_wstrb , m_axi_sg_wlast => m_axi_sg_wlast , -- Scatter Gather Write Response Channel m_axi_sg_bready => m_axi_sg_bready , m_axi_sg_bvalid => m_axi_sg_bvalid , m_axi_sg_bresp => m_axi_sg_bresp , -- Scatter Gather Read Address Channel m_axi_sg_arready => m_axi_sg_arready , m_axi_sg_arvalid => m_axi_sg_arvalid , m_axi_sg_araddr => m_axi_sg_araddr_internal, --m_axi_sg_araddr , m_axi_sg_arlen => m_axi_sg_arlen , m_axi_sg_arsize => m_axi_sg_arsize , m_axi_sg_arburst => m_axi_sg_arburst , m_axi_sg_arprot => m_axi_sg_arprot , m_axi_sg_arcache => m_axi_sg_arcache , -- Scatter Gather Read Data Channel m_axi_sg_rready => m_axi_sg_rready , m_axi_sg_rvalid => m_axi_sg_rvalid , m_axi_sg_rdata => m_axi_sg_rdata , m_axi_sg_rresp => m_axi_sg_rresp , m_axi_sg_rlast => m_axi_sg_rlast , -- Debug test vector (Xilinx use only) axi_cdma_tstvec => cdma_tvect_out ); m_axi_sg_araddr <= m_axi_sg_araddr_internal (C_M_AXI_ADDR_WIDTH-1 downto 0); m_axi_sg_awaddr <= m_axi_sg_awaddr_internal (C_M_AXI_ADDR_WIDTH-1 downto 0); end generate GEN_SG_MODE; end implementation;
mit
ddee1a1a5c84d27af327ee0bff54ecee
0.447645
4.24221
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-digilent-atlys/config.vhd
1
7,185
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := spartan6; constant CFG_MEMTECH : integer := spartan6; constant CFG_PADTECH : integer := spartan6; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := spartan6; constant CFG_CLKMUL : integer := (2); constant CFG_CLKDIV : integer := (4); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 1; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 16#32# + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 1; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (2); constant CFG_PWD : integer := 1*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 8; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 0; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 2; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 4; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1*2 + 4*0; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 8; constant CFG_DTLBNUM : integer := 8; constant CFG_TLB_TYPE : integer := 0 + 1*2; constant CFG_TLB_REP : integer := 0; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 4; constant CFG_ATBSZ : integer := 4; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 0; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 2; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0033#; constant CFG_ETH_ENM : integer := 16#020765#; constant CFG_ETH_ENL : integer := 16#003456#; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 0; constant CFG_MCTRL_RAM8BIT : integer := 0; constant CFG_MCTRL_RAM16BIT : integer := 0; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 0; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- DDR controller constant CFG_DDR2SP : integer := 1; constant CFG_DDR2SP_INIT : integer := 1; constant CFG_DDR2SP_FREQ : integer := 100; constant CFG_DDR2SP_TRFC : integer := (130); constant CFG_DDR2SP_DATAWIDTH : integer := (16); constant CFG_DDR2SP_FTEN : integer := 0; constant CFG_DDR2SP_FTWIDTH : integer := 0; constant CFG_DDR2SP_COL : integer := (10); constant CFG_DDR2SP_SIZE : integer := (128); constant CFG_DDR2SP_DELAY0 : integer := (0); constant CFG_DDR2SP_DELAY1 : integer := (0); constant CFG_DDR2SP_DELAY2 : integer := (0); constant CFG_DDR2SP_DELAY3 : integer := (0); constant CFG_DDR2SP_DELAY4 : integer := (0); constant CFG_DDR2SP_DELAY5 : integer := (0); constant CFG_DDR2SP_DELAY6 : integer := (0); constant CFG_DDR2SP_DELAY7 : integer := (0); constant CFG_DDR2SP_NOSYNC : integer := 1; -- AHB status register constant CFG_AHBSTAT : integer := 1; constant CFG_AHBSTATN : integer := (1); -- AHB ROM constant CFG_AHBROMEN : integer := 1; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#100#; constant CFG_ROMMASK : integer := 16#E00# + 16#100#; -- AHB RAM constant CFG_AHBRAMEN : integer := 1; constant CFG_AHBRSZ : integer := 16; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 32; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 8; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#0000#; constant CFG_GRGPIO_WIDTH : integer := (32); -- VGA and PS2/ interface constant CFG_KBD_ENABLE : integer := 1; constant CFG_VGA_ENABLE : integer := 1; constant CFG_SVGA_ENABLE : integer := 0; -- SPI memory controller constant CFG_SPIMCTRL : integer := 1; constant CFG_SPIMCTRL_SDCARD : integer := 0; constant CFG_SPIMCTRL_READCMD : integer := 16#03#; constant CFG_SPIMCTRL_DUMMYBYTE : integer := 0; constant CFG_SPIMCTRL_DUALOUTPUT : integer := 0; constant CFG_SPIMCTRL_SCALER : integer := (1); constant CFG_SPIMCTRL_ASCALER : integer := (8); constant CFG_SPIMCTRL_PWRUPCNT : integer := (30000); constant CFG_SPIMCTRL_OFFSET : integer := 16#0#; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
gpl-2.0
7038fcf38b0c369357d7cf5d9898bc65
0.650244
3.571074
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab2/CNN_Optimization/cnn_optimization/solution_OH/impl/verilog/project.srcs/sources_1/ip/convolve_kernel_ap_fadd_7_full_dsp_32/synth/convolve_kernel_ap_fadd_7_full_dsp_32.vhd
1
12,842
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_4; USE floating_point_v7_1_4.floating_point_v7_1_4; ENTITY convolve_kernel_ap_fadd_7_full_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END convolve_kernel_ap_fadd_7_full_dsp_32; ARCHITECTURE convolve_kernel_ap_fadd_7_full_dsp_32_arch OF convolve_kernel_ap_fadd_7_full_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF convolve_kernel_ap_fadd_7_full_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_4 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_4; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF convolve_kernel_ap_fadd_7_full_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_4,Vivado 2017.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF convolve_kernel_ap_fadd_7_full_dsp_32_arch : ARCHITECTURE IS "convolve_kernel_ap_fadd_7_full_dsp_32,floating_point_v7_1_4,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF convolve_kernel_ap_fadd_7_full_dsp_32_arch: ARCHITECTURE IS "convolve_kernel_ap_fadd_7_full_dsp_32,floating_point_v7_1_4,{x_ipProduct=Vivado 2017.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=zynq,C_HAS_ADD=1,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_" & "FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=7,C_OPTIMIZATION=1,C_MULT_USAGE=2,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=" & "0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0" & "}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_4 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_HAS_ADD => 1, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 7, C_OPTIMIZATION => 1, C_MULT_USAGE => 2, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END convolve_kernel_ap_fadd_7_full_dsp_32_arch;
mit
702b8b30a55ae64961bb38734cfcc9b3
0.651612
3.008199
false
false
false
false
JimLewis/OSVVM
ScoreboardPkg_slv.vhd
1
2,291
-- -- File Name: ScoreBoardPkg_slv.vhd -- Design Unit Name: ScoreBoardPkg_slv -- Revision: STANDARD VERSION -- -- Maintainer: Jim Lewis email: [email protected] -- Contributor(s): -- Jim Lewis email: [email protected] -- -- -- Description: -- Instance of Generic Package ScoreboardGenericPkg for std_logic_vector -- -- Developed for: -- SynthWorks Design Inc. -- VHDL Training Classes -- 11898 SW 128th Ave. Tigard, Or 97223 -- http://www.SynthWorks.com -- -- Revision History: -- Date Version Description -- 08/2012 2012.08 Generic Instance of ScoreboardGenericPkg -- 08/2014 2013.08 Updated interface for Match and to_string -- 11/2016 2016.11 Released as part of OSVVM library -- 01/2020 2020.01 Updated Licenses to Apache -- 10/2020 2020.10 Replaced STD_MATCH for std_logic family with AlertLogPkg.MetaMatch -- -- -- This file is part of OSVVM. -- -- Copyright (c) 2006 - 2020 by SynthWorks Design Inc. -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- https://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- use std.textio.all ; library ieee ; use ieee.std_logic_1164.all ; use ieee.numeric_std.all ; package ScoreBoardPkg_slv is new work.ScoreboardGenericPkg generic map ( ExpectedType => std_logic_vector, ActualType => std_logic_vector, -- Match => std_match, -- "=", [std_logic_vector, std_logic_vector return boolean] Match => work.AlertLogPkg.MetaMatch, -- "=", [std_logic_vector, std_logic_vector return boolean] expected_to_string => to_hstring, -- [std_logic_vector return string] actual_to_string => to_hstring -- [std_logic_vector return string] ) ;
artistic-2.0
1a8fb453048998ca1e63dd37ecd2c9fa
0.649498
3.689211
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/gaisler/misc/ahbtrace_mmb.vhd
1
19,416
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: ahbtrace_mmb -- File: ahbtrace_mmb.vhd -- Author: Jiri Gaisler - Gaisler Research -- Modified: Jan Andersson - Aeroflex Gaisler -- Description: AHB trace unit that can have registers on a separate bus and -- select between several trace buses. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; library gaisler; entity ahbtrace_mmb is generic ( hindex : integer := 0; ioaddr : integer := 16#000#; iomask : integer := 16#E00#; tech : integer := DEFMEMTECH; irq : integer := 0; kbytes : integer := 1; bwidth : integer := 32; ahbfilt : integer := 0; ntrace : integer range 1 to 8 := 1); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; -- Register interface ahbso : out ahb_slv_out_type; tahbmiv : in ahb_mst_in_vector_type(0 to ntrace-1); -- Trace tahbsiv : in ahb_slv_in_vector_type(0 to ntrace-1) ); end; architecture rtl of ahbtrace_mmb is constant TBUFABITS : integer := log2(kbytes) + 6; constant TIMEBITS : integer := 32; constant FILTEN : boolean := ahbfilt /= 0; constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBTRACE, 0, 0, irq), 4 => ahb_iobar (ioaddr, iomask), others => zero32); type tracebuf_in_type is record addr : std_logic_vector(TBUFABITS-1 downto 0); data : std_logic_vector(255 downto 0); enable : std_logic; write : std_logic_vector(7 downto 0); end record; type tracebuf_out_type is record data : std_logic_vector(255 downto 0); end record; type trace_break_reg is record addr : std_logic_vector(31 downto 2); mask : std_logic_vector(31 downto 2); read : std_logic; write : std_logic; end record; type regtype is record thaddr : std_logic_vector(31 downto 0); thwrite : std_logic; thtrans : std_logic_vector(1 downto 0); thsize : std_logic_vector(2 downto 0); thburst : std_logic_vector(2 downto 0); thmaster : std_logic_vector(3 downto 0); thmastlock : std_logic; ahbactive : std_logic; timer : std_logic_vector(TIMEBITS-1 downto 0); aindex : std_logic_vector(TBUFABITS - 1 downto 0); -- buffer index hready : std_logic; hready2 : std_logic; hready3 : std_logic; hsel : std_logic; hwrite : std_logic; haddr : std_logic_vector(TBUFABITS+4 downto 2); hrdata : std_logic_vector(31 downto 0); regacc : std_logic; enable : std_logic; -- trace enable bahb : std_logic; -- break on AHB watchpoint hit bhit : std_logic; -- breakpoint hit dcnten : std_logic; -- delay counter enable delaycnt : std_logic_vector(TBUFABITS - 1 downto 0); -- delay counter tbreg1 : trace_break_reg; tbreg2 : trace_break_reg; end record; type fregtype is record shsel : std_logic_vector(0 to NAHBSLV-1); af : std_ulogic; -- Address filtering fr : std_ulogic; -- Filter reads fw : std_ulogic; -- Filter writes smask : std_logic_vector(15 downto 0); mmask : std_logic_vector(15 downto 0); rf : std_ulogic; -- Retry filtering end record; type bregtype is record bsel : std_logic_vector(log2(ntrace) downto 0); end record; function ahb_filt_hit ( r : regtype; rf : fregtype; hresp : std_logic_vector(1 downto 0)) return boolean is variable hit : boolean; begin -- filter hit -> inhibit hit := false; -- Filter on read/write if ((rf.fw and r.thwrite) or (rf.fr and not r.thwrite)) = '1' then hit := true; end if; -- Filter on address range if (((r.tbreg2.addr xor r.thaddr(31 downto 2)) and r.tbreg2.mask) /= zero32(29 downto 0)) then if rf.af = '1' then hit := true; end if; end if; -- Filter on master mask for i in rf.mmask'range loop if i > NAHBMST-1 then exit; end if; if i = conv_integer(r.thmaster) and rf.mmask(i) = '1' then hit := true; end if; end loop; -- Filter on slave mask for i in rf.smask'range loop if i > NAHBSLV-1 then exit; end if; if (rf.shsel(i) and rf.smask(i)) /= '0' then hit := true; end if; end loop; -- Filter on retry response if (rf.rf = '1' and hresp = HRESP_RETRY) then hit := true; end if; return hit; end function ahb_filt_hit; signal tbi : tracebuf_in_type; signal tbo : tracebuf_out_type; signal enable : std_logic_vector(1 downto 0); signal r, rin : regtype; signal rf, rfin : fregtype; signal rb, rbin : bregtype; begin ctrl : process(rst, ahbsi, tahbmiv, tahbsiv, r, rf, rb, tbo) variable v : regtype; variable vabufi : tracebuf_in_type; variable regsd : std_logic_vector(31 downto 0); -- data from registers variable aindex : std_logic_vector(TBUFABITS - 1 downto 0); -- buffer index variable bphit : std_logic; variable wdata, rdata : std_logic_vector(127 downto 0); variable hwdata : std_logic_vector(31 downto 0); variable hirq : std_logic_vector(NAHBIRQ-1 downto 0); variable tahbmi : ahb_mst_in_type; variable tahbsi : ahb_slv_in_type; variable vf : fregtype; variable vb : bregtype; variable regaddr : std_logic_vector(4 downto 2); variable tbaddr : std_logic_vector(3 downto 2); begin v := r; regsd := (others => '0'); vabufi.enable := '0'; vabufi.data := (others => '0'); vabufi.addr := (others => '0'); vabufi.write := (others => '0'); bphit := '0'; v.hready := r.hready2; v.hready2 := r.hready3; v.hready3 := '0'; hwdata := ahbreadword(ahbsi.hwdata, r.haddr(4 downto 2)); hirq := (others => '0'); hirq(irq) := r.bhit; vf := rf; vb := rb; if ntrace = 1 then tahbmi := tahbmiv(0); tahbsi := tahbsiv(0); else tahbmi := tahbmiv(conv_integer(rb.bsel)); tahbsi := tahbsiv(conv_integer(rb.bsel)); end if; regaddr := r.haddr(4 downto 2); --tbaddr := r.haddr(3 downto 2); -- trace buffer index and delay counters if r.enable = '1' then v.timer := r.timer + 1; end if; aindex := r.aindex + 1; -- check for AHB watchpoints if (tahbsi.hready and r.ahbactive ) = '1' then if ((((r.tbreg1.addr xor r.thaddr(31 downto 2)) and r.tbreg1.mask) = zero32(29 downto 0)) and (((r.tbreg1.read and not r.thwrite) or (r.tbreg1.write and r.thwrite)) = '1')) or ((((r.tbreg2.addr xor r.thaddr(31 downto 2)) and r.tbreg2.mask) = zero32(29 downto 0)) and (((r.tbreg2.read and not r.thwrite) or (r.tbreg2.write and r.thwrite)) = '1')) then if (r.enable = '1') and (r.dcnten = '0') and (r.delaycnt /= zero32(TBUFABITS-1 downto 0)) then v.dcnten := '1'; bphit := '1'; --else bphit := '1'; v.enable := '0'; end if; elsif (r.enable = '1') and (r.dcnten = '0') then bphit := '1'; v.enable := '0'; end if; end if; end if; -- generate buffer inputs vabufi.write := "00000000"; wdata(AHBDW-1 downto 0) := tahbsi.hwdata; rdata(AHBDW-1 downto 0) := tahbmi.hrdata; if r.enable = '1' then vabufi.addr(TBUFABITS-1 downto 0) := r.aindex; vabufi.data(127 downto 96) := r.timer; vabufi.data(95) := bphit; vabufi.data(94 downto 80) := tahbmi.hirq(15 downto 1); vabufi.data(79) := r.thwrite; vabufi.data(78 downto 77) := r.thtrans; vabufi.data(76 downto 74) := r.thsize; vabufi.data(73 downto 71) := r.thburst; vabufi.data(70 downto 67) := r.thmaster; vabufi.data(66) := r.thmastlock; vabufi.data(65 downto 64) := tahbmi.hresp; if r.thwrite = '1' then vabufi.data(63 downto 32) := wdata(31 downto 0); vabufi.data(223 downto 128) := wdata(127 downto 32); else vabufi.data(63 downto 32) := rdata(31 downto 0); vabufi.data(223 downto 128) := rdata(127 downto 32); end if; vabufi.data(31 downto 0) := r.thaddr; else if bwidth = 32 then vabufi.addr(TBUFABITS-1 downto 0) := r.haddr(TBUFABITS+3 downto 4); else vabufi.addr(TBUFABITS-1 downto 0) := r.haddr(TBUFABITS+4 downto 5); end if; -- Note: HWDATA from register i/f vabufi.data := hwdata & hwdata & hwdata & hwdata & hwdata & hwdata & hwdata & hwdata; end if; -- write trace buffer if r.enable = '1' then if (r.ahbactive and tahbsi.hready) = '1' then if not (FILTEN and ahb_filt_hit(r, rf, tahbmi.hresp)) then v.aindex := aindex; vabufi.enable := '1'; vabufi.write := "11111111"; end if; end if; end if; -- trace buffer delay counter handling if (r.dcnten = '1') and (r.ahbactive and tahbsi.hready) = '1' then if (r.delaycnt = zero32(TBUFABITS-1 downto 0)) then v.enable := '0'; v.dcnten := '0'; end if; v.delaycnt := r.delaycnt - 1; end if; -- save AHB transfer parameters if (tahbsi.hready = '1' ) then v.thaddr := tahbsi.haddr; v.thwrite := tahbsi.hwrite; v.thtrans := tahbsi.htrans; v.thsize := tahbsi.hsize; v.thburst := tahbsi.hburst; v.thmaster := tahbsi.hmaster; v.thmastlock := tahbsi.hmastlock; v.ahbactive := tahbsi.htrans(1); if FILTEN then vf.shsel := tahbsi.hsel; end if; end if; -- AHB transfer parameters for register accesses if (ahbsi.hready = '1' ) then v.haddr := ahbsi.haddr(TBUFABITS+4 downto 2); v.hwrite := ahbsi.hwrite; v.regacc := ahbsi.haddr(16); v.hsel := ahbsi.htrans(1) and ahbsi.hsel(hindex); end if; -- AHB slave access to DSU registers and trace buffers if (r.hsel and not r.hready) = '1' then if r.regacc = '0' then -- registers v.hready := '1'; case regaddr is when "000" => regsd((TBUFABITS + 15) downto 16) := r.delaycnt; if ntrace /= 1 then regsd(15) := '1'; regsd(log2(ntrace)+12 downto 12) := vb.bsel; end if; regsd(7 downto 6) := conv_std_logic_vector(log2(bwidth/32), 2); if FILTEN then regsd(5) := rf.rf; regsd(4) := rf.af; regsd(3) := rf.fr; regsd(2) := rf.fw; end if; regsd(1 downto 0) := r.dcnten & r.enable; if r.hwrite = '1' then v.delaycnt := ahbsi.hwdata((TBUFABITS+ 15) downto 16); if ntrace /= 1 then vb.bsel := ahbsi.hwdata(log2(ntrace)+12 downto 12); end if; if FILTEN then vf.rf := ahbsi.hwdata(5); vf.af := ahbsi.hwdata(4); vf.fr := ahbsi.hwdata(3); vf.fw := ahbsi.hwdata(2); end if; v.dcnten := ahbsi.hwdata(1); v.enable := ahbsi.hwdata(0); end if; when "001" => regsd((TBUFABITS - 1 + 4) downto 4) := r.aindex; if r.hwrite = '1' then v.aindex := ahbsi.hwdata((TBUFABITS- 1) downto 0); end if; when "010" => regsd((TIMEBITS - 1) downto 0) := r.timer; if r.hwrite = '1' then v.timer := ahbsi.hwdata((TIMEBITS- 1) downto 0); end if; when "011" => if FILTEN then regsd(31 downto 0) := rf.smask & rf.mmask; if r.hwrite = '1' then vf.smask := ahbsi.hwdata(31 downto 16); vf.mmask := ahbsi.hwdata(15 downto 0); end if; end if; when "100" => regsd(31 downto 2) := r.tbreg1.addr; if r.hwrite = '1' then v.tbreg1.addr := ahbsi.hwdata(31 downto 2); end if; when "101" => regsd := r.tbreg1.mask & r.tbreg1.read & r.tbreg1.write; if r.hwrite = '1' then v.tbreg1.mask := ahbsi.hwdata(31 downto 2); v.tbreg1.read := ahbsi.hwdata(1); v.tbreg1.write := ahbsi.hwdata(0); end if; when "110" => regsd(31 downto 2) := r.tbreg2.addr; if r.hwrite = '1' then v.tbreg2.addr := ahbsi.hwdata(31 downto 2); end if; when others => regsd := r.tbreg2.mask & r.tbreg2.read & r.tbreg2.write; if r.hwrite = '1' then v.tbreg2.mask := ahbsi.hwdata(31 downto 2); v.tbreg2.read := ahbsi.hwdata(1); v.tbreg2.write := ahbsi.hwdata(0); end if; end case; v.hrdata := regsd; else -- read/write access to trace buffer if r.hwrite = '1' then v.hready := '1'; else v.hready2 := not (r.hready2 or r.hready); end if; vabufi.enable := not r.enable; case regaddr is when "000" => v.hrdata := tbo.data(127 downto 96); if r.hwrite = '1' then vabufi.write(3) := vabufi.enable; end if; when "001" => v.hrdata := tbo.data(95 downto 64); if r.hwrite = '1' then vabufi.write(2) := vabufi.enable; end if; when "010" => v.hrdata := tbo.data(63 downto 32); if r.hwrite = '1' then vabufi.write(1) := vabufi.enable; end if; when "011" => v.hrdata := tbo.data(31 downto 0); if r.hwrite = '1' then vabufi.write(0) := vabufi.enable; end if; when "100" => if bwidth > 32 then v.hrdata := tbo.data(159 downto 128); if r.hwrite = '1' then vabufi.write(7) := vabufi.enable; end if; else v.hrdata := tbo.data(127 downto 96); if r.hwrite = '1' then vabufi.write(3) := vabufi.enable; end if; end if; when "101" => if bwidth > 32 then if bwidth > 64 then v.hrdata := tbo.data(223 downto 192); if r.hwrite = '1' then vabufi.write(6) := vabufi.enable; end if; else v.hrdata := zero32; end if; else v.hrdata := tbo.data(95 downto 64); if r.hwrite = '1' then vabufi.write(2) := vabufi.enable; end if; end if; when "110" => if bwidth > 32 then if bwidth > 64 then v.hrdata := tbo.data(191 downto 160); if r.hwrite = '1' then vabufi.write(5) := vabufi.enable; end if; else v.hrdata := zero32; end if; else v.hrdata := tbo.data(63 downto 32); if r.hwrite = '1' then vabufi.write(1) := vabufi.enable; end if; end if; when others => if bwidth > 32 then v.hrdata := zero32; else v.hrdata := tbo.data(31 downto 0); if r.hwrite = '1' then vabufi.write(0) := vabufi.enable; end if; end if; end case; end if; end if; if ((ahbsi.hsel(hindex) and ahbsi.hready) = '1') and ((ahbsi.htrans = HTRANS_BUSY) or (ahbsi.htrans = HTRANS_IDLE)) then v.hready := '1'; end if; if rst = '0' then v.ahbactive := '0'; v.enable := '0'; v.timer := (others => '0'); v.hsel := '0'; v.dcnten := '0'; v.bhit := '0'; v.regacc := '0'; v.hready := '1'; v.tbreg1.read := '0'; v.tbreg1.write := '0'; v.tbreg2.read := '0'; v.tbreg2.write := '0'; if FILTEN then vf.smask := (others => '0'); vf.mmask := (others => '0'); end if; if ntrace /= 1 then vb.bsel := (others => '0'); end if; end if; tbi <= vabufi; rin <= v; rfin <= vf; rbin <= vb; ahbso.hconfig <= hconfig; ahbso.hirq <= hirq; ahbso.hsplit <= (others => '0'); ahbso.hrdata <= ahbdrivedata(r.hrdata); ahbso.hready <= r.hready; ahbso.hindex <= hindex; end process; ahbso.hresp <= HRESP_OKAY; regs : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process; fregs : if FILTEN generate regs : process(clk) begin if rising_edge(clk) then rf <= rfin; end if; end process; end generate; nofregs : if not FILTEN generate rf.shsel <= (others => '0'); rf.af <= '0'; rf.fr <= '0'; rf.fw <= '0'; rf.smask <= (others => '0'); rf.mmask <= (others => '0'); rf.rf <= '0'; end generate; bregs : if ntrace /= 1 generate regs : process(clk) begin if rising_edge(clk) then rb <= rbin; end if; end process; end generate; nobregs : if ntrace = 1 generate rb.bsel <= (others => '0'); end generate; enable <= tbi.enable & tbi.enable; mem32 : for i in 0 to 1 generate ram0 : syncram64 generic map (tech => tech, abits => TBUFABITS) port map (clk, tbi.addr(TBUFABITS-1 downto 0), tbi.data(((i*64)+63) downto (i*64)), tbo.data(((i*64)+63) downto (i*64)), enable, tbi.write(i*2+1 downto i*2)); end generate; mem64 : if bwidth > 32 generate -- extra data buffer for 64-bit bus ram0 : syncram generic map (tech => tech, abits => TBUFABITS, dbits => 32) port map ( clk, tbi.addr(TBUFABITS-1 downto 0), tbi.data((128+31) downto 128), tbo.data((128+31) downto 128), tbi.enable, tbi.write(7)); end generate; mem128 : if bwidth > 64 generate -- extra data buffer for 128-bit bus ram0 : syncram64 generic map (tech => tech, abits => TBUFABITS) port map ( clk, tbi.addr(TBUFABITS-1 downto 0), tbi.data((128+95) downto (128+32)), tbo.data((128+95) downto (128+32)), enable, tbi.write(6 downto 5)); end generate; -- pragma translate_off bootmsg : report_version generic map ("ahbtrace" & tost(hindex) & ": AHB Trace Buffer, " & tost(kbytes) & " kbytes"); -- pragma translate_on end;
gpl-2.0
b1b283b94a6f8576ffa514645a5b765d
0.544345
3.571087
false
false
false
false
VerkhovtsovPavel/BSUIR_Labs
Labs/POCP/POCP-7/src/Zeroes/ZeroCounter.vhd
1
2,760
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_UNSIGNED.all; entity ZeroCounter is port ( CLK, RST, Start: in std_logic; Stop: out std_logic ); end ZeroCounter; architecture Beh of ZeroCounter is component MROM is port ( RE: in std_logic; ADR: in std_logic_vector(5 downto 0); DOUT: out std_logic_vector(8 downto 0) ); end component; component MRAM is port ( RW: in std_logic; ADR: in std_logic_vector(5 downto 0); DIN: in std_logic_vector (7 downto 0); DOUT: out std_logic_vector (7 downto 0) ); end component; component DPATH is port( EN: in std_logic; --operation type OT: in std_logic_vector(2 downto 0); --operand OP1: in std_logic_vector(7 downto 0); RES: out std_logic_vector(7 downto 0); --zero flag ZF: out std_logic; -- significant bit set flag SBF: out std_logic ); end component; component CTRL1 is port( CLK, RST, Start: in std_logic; Stop: out std_logic; -- ÏÇÓ ROM_re: out std_logic; ROM_adr: out std_logic_vector(5 downto 0); ROM_dout: in std_logic_vector(8 downto 0); -- ÎÇÓ RAM_rw: out std_logic; RAM_adr: out std_logic_vector(5 downto 0); RAM_din: out std_logic_vector(7 downto 0); RAM_dout: in std_logic_vector(7 downto 0); --datapath DP_op1: out std_logic_vector(7 downto 0); DP_ot: out std_logic_vector(2 downto 0); DP_en: out std_logic; DP_res: in std_logic_vector(7 downto 0); DP_zf: in std_logic; DP_sbf: in std_logic ); end component; signal rom_re: std_logic; signal rom_adr: std_logic_vector(5 downto 0); signal rom_dout: std_logic_vector(8 downto 0); signal ram_rw: std_logic; signal ram_adr: std_logic_vector(5 downto 0); signal ram_din: std_logic_vector(7 downto 0); signal ram_dout: std_logic_vector(7 downto 0); signal dp_op1: std_logic_vector(7 downto 0); signal dp_ot: std_logic_vector(2 downto 0); signal dp_en: std_logic; signal dp_res: std_logic_vector(7 downto 0); signal dp_zf: std_logic; signal dp_sbf: std_logic; begin UMRAM: entity MRAM (Beh_Zer) port map( RW => ram_rw, ADR => ram_adr, DIN => ram_din, DOUT => ram_dout ); UMROM: entity MROM (Beh_Zer) port map ( RE => rom_re, ADR => rom_adr, DOUT => rom_dout ); UDPATH: DPATH port map( EN => dp_en, OT => dp_ot, OP1 => dp_op1, RES => dp_res, ZF => dp_zf, SBF => dp_sbf ); UCTRL1: CTRL1 port map( CLK => CLK, RST => RST, START => Start, STOP => STOP, ROM_RE => rom_re, ROM_ADR => rom_adr, ROM_DOUT => rom_dout, RAM_RW => ram_rw, RAM_ADR => ram_adr, RAM_DIN => ram_din, RAM_DOUT => ram_dout, DP_EN => dp_en, DP_OT => dp_ot, DP_OP1 => dp_op1, DP_RES => dp_res, DP_ZF => dp_zf, DP_SBF => dp_sbf ); end Beh;
mit
18056ec6f68c787195415abb07344626
0.626087
2.546125
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/gaisler/memctrl/memctrl.vhd
1
20,444
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: memctrl -- File: memctrl.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Memory controller package ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.log2; library techmap; use techmap.gencomp.all; package memctrl is type memory_in_type is record data : std_logic_vector(31 downto 0); -- Data bus address brdyn : std_logic; bexcn : std_logic; writen : std_logic; wrn : std_logic_vector(3 downto 0); bwidth : std_logic_vector(1 downto 0); sd : std_logic_vector(63 downto 0); cb : std_logic_vector(15 downto 0); scb : std_logic_vector(15 downto 0); edac : std_logic; end record; constant memory_in_none : memory_in_type := ((others => '0'), '0', '0', '0', (others => '0'), (others => '0'), (others => '0'), (others => '0'), (others => '0'), '0'); type memory_out_type is record address : std_logic_vector(31 downto 0); data : std_logic_vector(31 downto 0); sddata : std_logic_vector(63 downto 0); ramsn : std_logic_vector(7 downto 0); ramoen : std_logic_vector(7 downto 0); ramn : std_ulogic; romn : std_ulogic; mben : std_logic_vector(3 downto 0); iosn : std_logic; romsn : std_logic_vector(7 downto 0); oen : std_logic; writen : std_logic; wrn : std_logic_vector(3 downto 0); bdrive : std_logic_vector(3 downto 0); vbdrive : std_logic_vector(31 downto 0); --vector bus drive svbdrive : std_logic_vector(63 downto 0); --vector bus drive sdram read : std_logic; sa : std_logic_vector(14 downto 0); cb : std_logic_vector(15 downto 0); scb : std_logic_vector(15 downto 0); vcdrive : std_logic_vector(15 downto 0); --vector bus drive cb svcdrive : std_logic_vector(15 downto 0); --vector bus drive cb sdram ce : std_ulogic; sdram_en : std_ulogic; -- SDRAM enabled rs_edac_en : std_ulogic; -- Reed-Solomon enabled end record; constant memory_out_none : memory_out_type := ((others => '0'), (others => '0'), (others => '0'), (others => '1'), (others => '1'), '1', '1', (others => '1'), '1', (others => '1'), '1', '1', (others => '1'), (others => '1'), (others => '1'), (others => '1'), '0', (others => '0'), (others => '1'), (others => '1'), (others => '1'), (others => '1'), '0', '0', '0'); type sdctrl_in_type is record wprot : std_ulogic; data : std_logic_vector (127 downto 0); -- data in cb : std_logic_vector(63 downto 0); regrdata : std_logic_vector(63 downto 0); -- PHY-specific reg in datavalid : std_logic; -- Data-valid signal end record; constant sdctrl_in_none : sdctrl_in_type := ('0', (others => '0'), (others => '0'), (others => '0'), '0'); type sdctrl_out_type is record sdcke : std_logic_vector ( 1 downto 0); -- clk en sdcsn : std_logic_vector ( 1 downto 0); -- chip sel xsdcsn : std_logic_vector ( 7 downto 0); -- ext. chip sel sdwen : std_ulogic; -- write en rasn : std_ulogic; -- row addr stb casn : std_ulogic; -- col addr stb dqm : std_logic_vector ( 15 downto 0); -- data i/o mask bdrive : std_ulogic; -- bus drive qdrive : std_ulogic; -- bus drive nbdrive : std_ulogic; -- bdrive 1 cycle early vbdrive : std_logic_vector(63 downto 0); -- vector bus drive address : std_logic_vector (16 downto 2); -- address out data : std_logic_vector (127 downto 0); -- data out cb : std_logic_vector(63 downto 0); ce : std_ulogic; ba : std_logic_vector (2 downto 0); -- bank address sdck : std_logic_vector(2 downto 0); moben : std_logic; -- Mobile support cal_en : std_logic_vector(7 downto 0); -- enable delay calibration cal_inc : std_logic_vector(7 downto 0); -- inc/dec delay cal_pll : std_logic_vector(1 downto 0); -- (enable,inc/dec) pll phase cal_rst : std_logic; -- calibration reset odt : std_logic_vector(1 downto 0); -- In Die Termination conf : std_logic_vector(63 downto 0); oct : std_logic; -- On Chip Termination vcbdrive : std_logic_vector(31 downto 0); -- cb vector bus drive dqs_gate : std_logic; cbdqm : std_logic_vector(7 downto 0); cbcal_en : std_logic_vector(3 downto 0); cbcal_inc : std_logic_vector(3 downto 0); read_pend : std_logic_vector(7 downto 0); -- Read pending within 7...0 -- cycles (not including phy delays) -- PHY-specific register interface regwdata : std_logic_vector(63 downto 0); regwrite : std_logic_vector(1 downto 0); end record; constant sdctrl_out_none : sdctrl_out_type := ((others => '0'), (others => '0'), (others => '0'), '0', '0', '0', (others => '0'), '0', '0', '0', (others => '0'), (others => '0'), (others => '0'), (others => '0'), '0', (others => '0'), (others => '0'), '0', (others => '0'), (others => '0'), (others => '0'), '0', (others => '0'), (others => '0'), '0', (others => '0'), '0', (others => '0'), (others => '0'), (others => '0'), "00000000", (others => '0'), "00"); type sdram_out_type is record sdcke : std_logic_vector ( 1 downto 0); -- clk en sdcsn : std_logic_vector ( 1 downto 0); -- chip sel sdwen : std_ulogic; -- write en rasn : std_ulogic; -- row addr stb casn : std_ulogic; -- col addr stb dqm : std_logic_vector ( 7 downto 0); -- data i/o mask end record; type zbtssram_out_type is record cen : std_ulogic; oen : std_ulogic; wen : std_ulogic; advld : std_ulogic; addr : std_logic_vector(22 downto 0); bwn : std_logic_vector(15 downto 0); data : std_logic_vector(127 downto 0); dqoen : std_logic_vector(127 downto 0); zz : std_ulogic; shutdown : std_ulogic; end record; constant zbtssram_out_none : zbtssram_out_type := ( '1','1','1','1',(others => '0'),(others => '1'),(others => '0'),(others => '1'),'0','0'); type zbtssram_in_type is record data : std_logic_vector(127 downto 0); mbe : std_logic_vector(7 downto 0); end record; constant zbtssram_in_none : zbtssram_in_type := ( data => (others => '0'), mbe => (others => '0') ); component sdctrl generic ( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; wprot : integer := 0; invclk : integer := 0; fast : integer := 0; pwron : integer := 0; sdbits : integer := 32; oepol : integer := 0; pageburst : integer := 0; mobile : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sdi : in sdctrl_in_type; sdo : out sdctrl_out_type ); end component; component sdctrl64 generic ( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; wprot : integer := 0; invclk : integer := 0; fast : integer := 0; pwron : integer := 0; oepol : integer := 0; pageburst : integer := 0; mobile : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sdi : in sdctrl_in_type; sdo : out sdctrl_out_type ); end component; component ftsdctrl is generic ( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; wprot : integer := 0; invclk : integer := 0; fast : integer := 0; pwron : integer := 0; sdbits : integer := 32; edacen : integer := 1; errcnt : integer := 0; cntbits : integer range 1 to 8 := 1; oepol : integer := 0; pageburst : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sdi : in sdctrl_in_type; sdo : out sdctrl_out_type ); end component; component ftsdctrl64 generic ( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; wprot : integer := 0; invclk : integer := 0; fast : integer := 0; pwron : integer := 0; oepol : integer := 0; pageburst : integer := 0; mobile : integer := 0; edac : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sdi : in sdctrl_in_type; sdo : out sdctrl_out_type ); end component; component srctrl generic ( hindex : integer := 0; romaddr : integer := 0; rommask : integer := 16#ff0#; ramaddr : integer := 16#400#; rammask : integer := 16#ff0#; ioaddr : integer := 16#200#; iomask : integer := 16#ff0#; ramws : integer := 0; romws : integer := 2; iows : integer := 2; rmw : integer := 0; prom8en : integer := 0; oepol : integer := 0; srbanks : integer range 1 to 5 := 1; banksz : integer range 0 to 13 := 13; romasel : integer range 0 to 28 := 19 ); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sri : in memory_in_type; sro : out memory_out_type; sdo : out sdctrl_out_type ); end component; component ftsrctrl is generic ( hindex : integer := 0; romaddr : integer := 0; rommask : integer := 16#ff0#; ramaddr : integer := 16#400#; rammask : integer := 16#ff0#; ioaddr : integer := 16#200#; iomask : integer := 16#ff0#; ramws : integer := 0; romws : integer := 2; iows : integer := 2; rmw : integer := 0; srbanks : integer range 1 to 8 := 1; banksz : integer range 0 to 15 := 15; rombanks : integer range 1 to 8 := 1; rombanksz : integer range 0 to 15 := 15; rombankszdef : integer range 0 to 15 := 15; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; edacen : integer range 0 to 1 := 1; errcnt : integer range 0 to 1 := 0; cntbits : integer range 1 to 8 := 1; wsreg : integer := 0; oepol : integer := 0; prom8en : integer := 0; netlist : integer := 0; tech : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; sri : in memory_in_type; sro : out memory_out_type; sdo : out sdctrl_out_type ); end component; type sdram_in_type is record haddr : std_logic_vector(31 downto 0); -- memory address rhaddr : std_logic_vector(31 downto 0); -- latched memory address hready : std_ulogic; hsize : std_logic_vector(1 downto 0); hsel : std_ulogic; hwrite : std_ulogic; htrans : std_logic_vector(1 downto 0); rhtrans : std_logic_vector(1 downto 0); nhtrans : std_logic_vector(1 downto 0); idle : std_ulogic; enable : std_ulogic; error : std_ulogic; merror : std_ulogic; brmw : std_ulogic; edac : std_ulogic; srdis : std_logic; end record; type sdram_mctrl_out_type is record address : std_logic_vector(16 downto 2); busy : std_ulogic; aload : std_ulogic; bdrive : std_ulogic; hready : std_ulogic; hsel : std_ulogic; bsel : std_ulogic; hresp : std_logic_vector (1 downto 0); vhready : std_ulogic; prdata : std_logic_vector (31 downto 0); end record; type wprot_out_type is record wprothit : std_ulogic; end record; component sdmctrl generic ( pindex : integer := 0; invclk : integer := 0; fast : integer := 0; wprot : integer := 0; sdbits : integer := 32; pageburst : integer := 0; mobile : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; sdi : in sdram_in_type; sdo : out sdram_out_type; apbi : in apb_slv_in_type; wpo : in wprot_out_type; sdmo : out sdram_mctrl_out_type ); end component; component ftsdmctrl generic ( pindex : integer := 0; invclk : integer := 0; fast : integer := 0; wprot : integer := 0; sdbits : integer := 32; syncrst : integer := 0; pageburst : integer := 0; edac : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; sdi : in sdram_in_type; sdo : out sdram_out_type; apbi : in apb_slv_in_type; wpo : in wprot_out_type; sdmo : out sdram_mctrl_out_type ); end component; component ftmctrl generic ( hindex : integer := 0; pindex : integer := 0; romaddr : integer := 16#000#; rommask : integer := 16#E00#; ioaddr : integer := 16#200#; iomask : integer := 16#E00#; ramaddr : integer := 16#400#; rammask : integer := 16#C00#; paddr : integer := 0; pmask : integer := 16#fff#; wprot : integer := 0; invclk : integer := 0; fast : integer := 0; romasel : integer := 28; sdrasel : integer := 29; srbanks : integer := 4; ram8 : integer := 0; ram16 : integer := 0; sden : integer := 0; sepbus : integer := 0; sdbits : integer := 32; sdlsb : integer := 2; -- set to 12 for the GE-HPE board oepol : integer := 0; edac : integer := 0; syncrst : integer := 0; pageburst : integer := 0; scantest : integer := 0; writefb : integer := 0; netlist : integer := 0; tech : integer := 0; rahold : integer := 0; wsshift : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; memi : in memory_in_type; memo : out memory_out_type; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; wpo : in wprot_out_type; sdo : out sdram_out_type ); end component; component ssrctrl generic ( hindex : integer := 0; pindex : integer := 0; romaddr : integer := 0; rommask : integer := 16#ff0#; ramaddr : integer := 16#400#; rammask : integer := 16#ff0#; ioaddr : integer := 16#200#; iomask : integer := 16#ff0#; paddr : integer := 0; pmask : integer := 16#fff#; oepol : integer := 0; bus16 : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; sri : in memory_in_type; sro : out memory_out_type ); end component; component ftsrctrl_v1 generic ( hindex: Integer := 1; romaddr: Integer := 16#000#; rommask: Integer := 16#ff0#; ramaddr: Integer := 16#400#; rammask: Integer := 16#ff0#; ioaddr: Integer := 16#200#; iomask: Integer := 16#ff0#; ramws: Integer := 0; romws: Integer := 0; iows: Integer := 0; rmw: Integer := 1; srbanks: Integer range 1 to 8 := 8; banksz: Integer range 0 to 13 := 0; rombanks: Integer range 1 to 8 := 8; rombanksz: Integer range 0 to 13 := 0; rombankszdef: Integer range 0 to 13 := 6; romasel: Integer range 0 to 28 := 0; pindex: Integer := 0; paddr: Integer := 16#000#; pmask: Integer := 16#fff#; edacen: Integer range 0 to 1 := 1; errcnt: Integer range 0 to 1 := 0; cntbits: Integer range 1 to 8 := 1; wsreg: Integer := 1; oepol: Integer := 0); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; sri : in memory_in_type; sro : out memory_out_type; sdo : out sdctrl_out_type ); end component; component ftsrctrl8 is generic ( hindex : integer := 0; ramaddr : integer := 16#400#; rammask : integer := 16#ff0#; ioaddr : integer := 16#200#; iomask : integer := 16#ff0#; ramws : integer := 0; iows : integer := 2; srbanks : integer range 1 to 8 := 1; banksz : integer range 0 to 15 := 15; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; edacen : integer range 0 to 1 := 1; errcnt : integer range 0 to 1 := 1; cntbits : integer range 1 to 8 := 1; wsreg : integer := 0; oepol : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; sri : in memory_in_type; sro : out memory_out_type ); end component; component p8ctrl generic ( hindex : integer := 0; romaddr : integer := 0; rommask : integer := 16#ff0#; ramaddr : integer := 0; iomask : integer := 16#ff0#; ioaddr : integer := 0; rammask : integer := 16#ff0#; romws : integer := 15; ramws : integer := 15; prom8en : integer := 0; rmw : integer := 0; oepol : integer := 0; romasel : integer range 0 to 28 := 23 ); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sri : in memory_in_type; sro : out memory_out_type; sdo : out sdctrl_out_type ); end component; end;
gpl-2.0
d98a0e8d8a3421032278f3647e316c51
0.516386
3.470968
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-terasic-de0-nano/clkgen_de0.vhd
1
3,543
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; library altera_mf; -- pragma translate_off use altera_mf.altpll; -- pragma translate_on entity clkgen_de0 is generic ( clk_mul : integer := 1; clk_div : integer := 1; clk_freq : integer := 25000; clk2xen : integer := 0; sdramen : integer := 0 ); port ( inclk0 : in std_ulogic; c0 : out std_ulogic; c0_2x : out std_ulogic; e0 : out std_ulogic; locked : out std_ulogic ); end; architecture rtl of clkgen_de0 is component altpll generic ( intended_device_family : string := "Stratix" ; operation_mode : string := "NORMAL" ; compensate_clock : string := "CLK0" ; inclk0_input_frequency : positive; width_clock : positive := 6; clk0_multiply_by : positive := 1; clk0_divide_by : positive := 1; clk1_multiply_by : positive := 1; clk1_divide_by : positive := 1; clk2_multiply_by : positive := 1; clk2_divide_by : positive := 1 ); port ( inclk : in std_logic_vector(1 downto 0); clk : out std_logic_vector(width_clock-1 downto 0); locked : out std_logic ); end component; signal clkout : std_logic_vector (5 downto 0); signal inclk : std_logic_vector (1 downto 0); constant clk_period : integer := 1000000000/clk_freq; constant CLK_MUL2X : integer := clk_mul * 2; begin inclk <= '0' & inclk0; c0 <= clkout(0); c0_2x <= clkout(1); sden : if sdramen = 1 generate altpll0 : altpll generic map ( intended_device_family => "Cyclone II", operation_mode => "ZERO_DELAY_BUFFER", compensate_clock => "CLK2", inclk0_input_frequency => clk_period, clk0_multiply_by => clk_mul, clk0_divide_by => clk_div, clk1_multiply_by => 5, clk1_divide_by => 10, clk2_multiply_by => clk_mul, clk2_divide_by => clk_div) port map (inclk => inclk, clk => clkout, locked => locked); e0 <= clkout(2); end generate; nosd : if sdramen = 0 generate altpll0 : altpll generic map ( intended_device_family => "Cyclone II", operation_mode => "NORMAL", inclk0_input_frequency => clk_period, clk0_multiply_by => clk_mul, clk0_divide_by => clk_div, clk1_multiply_by => 5, clk1_divide_by => 10) port map (inclk => inclk, clk => clkout, locked => locked); e0 <= '0'; end generate; end;
gpl-2.0
7c2d5c9f26d7e905d9a7a143e55698de
0.594694
3.675311
false
false
false
false
VerkhovtsovPavel/BSUIR_Labs
Labs/POCP/POCP-4/src/TestBench/LFSR_In_t.vhd
1
1,189
library ieee; use ieee.std_logic_1164.all; entity LFSR_IN_T is end LFSR_In_T; architecture Beh of LFSR_In_T is component LFSR_In port ( CLK: in std_logic; RST: in std_logic; LS: in std_logic; Pin: in std_logic_vector(0 to 3); Pout: out std_logic_vector(0 to 3) ); end component; signal CLK: std_logic := '0'; signal RST: std_logic := '0'; signal LS: std_logic := '0'; signal Pin: std_logic_vector(0 to 3) := (others => '0'); signal Pout: std_logic_vector(0 to 3); constant CLK_Period: time := 10 ns; begin uut: LFSR_In port map ( CLK => CLK, RST => RST, LS => LS, PIn => Pin, POut => POut ); CLK_Process: process begin CLK <= '0'; wait for CLK_Period/2; CLK <= '1'; wait for CLK_Period/2; end process; stim_proc: process begin wait for CLK_Period; RST <= '0'; wait for CLK_Period; RST <= '1'; wait for 2*CLK_Period; RST <= '0'; wait for CLK_Period; PIn <= "1011"; wait for CLK_Period; LS <= '1'; wait for 8*CLK_period; end process; end Beh; configuration TESTBENCH_FOR_lfsr_in of LFSR_IN_T is for Beh for UUT : lfsr_in use entity work.lfsr_in(behavior); end for; end for; end TESTBENCH_FOR_lfsr_in;
mit
7576180397968f27527e6899c4a28337
0.624054
2.607456
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/my_lab_1/my_lab_1.srcs/sources_1/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_axi_timer_0_1/sim/zqynq_lab_1_design_axi_timer_0_1.vhd
1
8,558
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_timer:2.0 -- IP Revision: 15 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_timer_v2_0_15; USE axi_timer_v2_0_15.axi_timer; ENTITY zqynq_lab_1_design_axi_timer_0_1 IS PORT ( capturetrig0 : IN STD_LOGIC; capturetrig1 : IN STD_LOGIC; generateout0 : OUT STD_LOGIC; generateout1 : OUT STD_LOGIC; pwm0 : OUT STD_LOGIC; interrupt : OUT STD_LOGIC; freeze : IN STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC ); END zqynq_lab_1_design_axi_timer_0_1; ARCHITECTURE zqynq_lab_1_design_axi_timer_0_1_arch OF zqynq_lab_1_design_axi_timer_0_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF zqynq_lab_1_design_axi_timer_0_1_arch: ARCHITECTURE IS "yes"; COMPONENT axi_timer IS GENERIC ( C_FAMILY : STRING; C_COUNT_WIDTH : INTEGER; C_ONE_TIMER_ONLY : INTEGER; C_TRIG0_ASSERT : STD_LOGIC; C_TRIG1_ASSERT : STD_LOGIC; C_GEN0_ASSERT : STD_LOGIC; C_GEN1_ASSERT : STD_LOGIC; C_S_AXI_DATA_WIDTH : INTEGER; C_S_AXI_ADDR_WIDTH : INTEGER ); PORT ( capturetrig0 : IN STD_LOGIC; capturetrig1 : IN STD_LOGIC; generateout0 : OUT STD_LOGIC; generateout1 : OUT STD_LOGIC; pwm0 : OUT STD_LOGIC; interrupt : OUT STD_LOGIC; freeze : IN STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC ); END COMPONENT axi_timer; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF interrupt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 INTERRUPT INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_RST RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; BEGIN U0 : axi_timer GENERIC MAP ( C_FAMILY => "zynq", C_COUNT_WIDTH => 32, C_ONE_TIMER_ONLY => 0, C_TRIG0_ASSERT => '1', C_TRIG1_ASSERT => '1', C_GEN0_ASSERT => '1', C_GEN1_ASSERT => '1', C_S_AXI_DATA_WIDTH => 32, C_S_AXI_ADDR_WIDTH => 5 ) PORT MAP ( capturetrig0 => capturetrig0, capturetrig1 => capturetrig1, generateout0 => generateout0, generateout1 => generateout1, pwm0 => pwm0, interrupt => interrupt, freeze => freeze, s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready ); END zqynq_lab_1_design_axi_timer_0_1_arch;
mit
82b1bb0a9b89f3a71403cb9d8de663ff
0.685791
3.326079
false
false
false
false
VerkhovtsovPavel/BSUIR_Labs
Master/POCP/My_Designs/Stack/src/OneHot.vhd
1
3,376
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_UNSIGNED.all; library stack; use stack.OneHotStack.all; entity OneHot is port ( CLK, RST, Start: in std_logic; Stop: out std_logic ); end OneHot; architecture Beh of OneHot is component MROM is port ( RE: in std_logic; ADDR: in mem_addr; DOUT: out command ); end component; component MRAM is port ( CLK: in std_logic; RW: in std_logic; ADDR: in mem_addr; DIN: in operand; DOUT: out operand ); end component; component DPATH is port( EN: in std_logic; -- synchronization CLK: in std_logic; -- operation type OT: in operation; -- operand OP: in operand; -- result RES: out operand; -- zero flag ZF: out std_logic; -- stop - the processing is finished Stop: out std_logic ); end component; component CTRL1 is port( CLK, RST, Start: in std_logic; Stop: out std_logic; -- ROM ROM_re: out std_logic; ROM_addr: out mem_addr; ROM_dout: in command; -- RAM RAM_rw: out std_logic; RAM_addr: out mem_addr; RAM_din: out operand; RAM_dout: in operand; --datapath DP_op: out operand; DP_ot: out operation; DP_en: out std_logic; DP_res: in operand; DP_zf: in std_logic; DP_stop: in std_logic ); end component; signal rom_re: std_logic; signal rom_addr: mem_addr; signal rom_dout: command; signal ram_rw: std_logic; signal ram_addr: mem_addr; signal ram_din: operand; signal ram_dout: operand; signal dp_op: operand; signal dp_ot: operation; signal dp_en: std_logic; signal dp_res: operand; signal dp_zf: std_logic; signal dp_stop: std_logic; begin UMRAM: MRAM port map( CLK => CLK, RW => ram_rw, ADDR => ram_addr, DIN => ram_din, DOUT => ram_dout ); UMROM: MROM port map ( RE => rom_re, ADDR => rom_addr, DOUT => rom_dout ); UDPATH: DPATH port map( EN => dp_en, CLK => CLK, OT => dp_ot, OP => dp_op, RES => dp_res, ZF => dp_zf, STOP => dp_stop ); UCTRL1: CTRL1 port map( CLK => CLK, RST => RST, START => Start, STOP => STOP, ROM_re => rom_re, ROM_addr => rom_addr, ROM_dout => rom_dout, RAM_rw => ram_rw, RAM_addr => ram_addr, RAM_din => ram_din, RAM_dout => ram_dout, DP_en => dp_en, DP_ot => dp_ot, DP_op => dp_op, DP_res => dp_res, DP_zf => dp_zf, DP_stop => dp_stop ); end Beh;
mit
86da4fed1d722e7e409ffe08c3620e20
0.42891
4.057692
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab2/ug871-design-files/Interface_Synthesis/lab3/array_io_prj/solution4/syn/vhdl/array_io.vhd
1
114,554
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.2 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity array_io is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; d_o_0_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_0_full_n : IN STD_LOGIC; d_o_0_write : OUT STD_LOGIC; d_o_1_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_1_full_n : IN STD_LOGIC; d_o_1_write : OUT STD_LOGIC; d_o_2_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_2_full_n : IN STD_LOGIC; d_o_2_write : OUT STD_LOGIC; d_o_3_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_3_full_n : IN STD_LOGIC; d_o_3_write : OUT STD_LOGIC; d_o_4_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_4_full_n : IN STD_LOGIC; d_o_4_write : OUT STD_LOGIC; d_o_5_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_5_full_n : IN STD_LOGIC; d_o_5_write : OUT STD_LOGIC; d_o_6_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_6_full_n : IN STD_LOGIC; d_o_6_write : OUT STD_LOGIC; d_o_7_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_7_full_n : IN STD_LOGIC; d_o_7_write : OUT STD_LOGIC; d_o_8_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_8_full_n : IN STD_LOGIC; d_o_8_write : OUT STD_LOGIC; d_o_9_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_9_full_n : IN STD_LOGIC; d_o_9_write : OUT STD_LOGIC; d_o_10_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_10_full_n : IN STD_LOGIC; d_o_10_write : OUT STD_LOGIC; d_o_11_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_11_full_n : IN STD_LOGIC; d_o_11_write : OUT STD_LOGIC; d_o_12_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_12_full_n : IN STD_LOGIC; d_o_12_write : OUT STD_LOGIC; d_o_13_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_13_full_n : IN STD_LOGIC; d_o_13_write : OUT STD_LOGIC; d_o_14_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_14_full_n : IN STD_LOGIC; d_o_14_write : OUT STD_LOGIC; d_o_15_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_15_full_n : IN STD_LOGIC; d_o_15_write : OUT STD_LOGIC; d_o_16_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_16_full_n : IN STD_LOGIC; d_o_16_write : OUT STD_LOGIC; d_o_17_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_17_full_n : IN STD_LOGIC; d_o_17_write : OUT STD_LOGIC; d_o_18_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_18_full_n : IN STD_LOGIC; d_o_18_write : OUT STD_LOGIC; d_o_19_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_19_full_n : IN STD_LOGIC; d_o_19_write : OUT STD_LOGIC; d_o_20_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_20_full_n : IN STD_LOGIC; d_o_20_write : OUT STD_LOGIC; d_o_21_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_21_full_n : IN STD_LOGIC; d_o_21_write : OUT STD_LOGIC; d_o_22_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_22_full_n : IN STD_LOGIC; d_o_22_write : OUT STD_LOGIC; d_o_23_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_23_full_n : IN STD_LOGIC; d_o_23_write : OUT STD_LOGIC; d_o_24_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_24_full_n : IN STD_LOGIC; d_o_24_write : OUT STD_LOGIC; d_o_25_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_25_full_n : IN STD_LOGIC; d_o_25_write : OUT STD_LOGIC; d_o_26_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_26_full_n : IN STD_LOGIC; d_o_26_write : OUT STD_LOGIC; d_o_27_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_27_full_n : IN STD_LOGIC; d_o_27_write : OUT STD_LOGIC; d_o_28_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_28_full_n : IN STD_LOGIC; d_o_28_write : OUT STD_LOGIC; d_o_29_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_29_full_n : IN STD_LOGIC; d_o_29_write : OUT STD_LOGIC; d_o_30_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_30_full_n : IN STD_LOGIC; d_o_30_write : OUT STD_LOGIC; d_o_31_din : OUT STD_LOGIC_VECTOR (15 downto 0); d_o_31_full_n : IN STD_LOGIC; d_o_31_write : OUT STD_LOGIC; d_i_0 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_1 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_2 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_3 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_4 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_5 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_6 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_7 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_8 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_9 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_10 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_11 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_12 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_13 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_14 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_15 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_16 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_17 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_18 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_19 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_20 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_21 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_22 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_23 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_24 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_25 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_26 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_27 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_28 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_29 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_30 : IN STD_LOGIC_VECTOR (15 downto 0); d_i_31 : IN STD_LOGIC_VECTOR (15 downto 0) ); end; architecture behav of array_io is attribute CORE_GENERATION_INFO : STRING; attribute CORE_GENERATION_INFO of behav : architecture is "array_io,hls_ip_2017_2,{HLS_INPUT_TYPE=c,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7k160tfbg484-1,HLS_INPUT_CLOCK=4.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=3.359333,HLS_SYN_LAT=2,HLS_SYN_TPT=none,HLS_SYN_MEM=0,HLS_SYN_DSP=0,HLS_SYN_FF=3395,HLS_SYN_LUT=1855}"; constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (2 downto 0) := "001"; constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (2 downto 0) := "010"; constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (2 downto 0) := "100"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_boolean_1 : BOOLEAN := true; signal ap_CS_fsm : STD_LOGIC_VECTOR (2 downto 0) := "001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_CS_fsm_state1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none"; signal acc_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal acc_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal acc_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal acc_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal acc_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal acc_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal acc_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal acc_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal d_o_0_blk_n : STD_LOGIC; signal ap_CS_fsm_state3 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state3 : signal is "none"; signal d_o_1_blk_n : STD_LOGIC; signal d_o_2_blk_n : STD_LOGIC; signal d_o_3_blk_n : STD_LOGIC; signal d_o_4_blk_n : STD_LOGIC; signal d_o_5_blk_n : STD_LOGIC; signal d_o_6_blk_n : STD_LOGIC; signal d_o_7_blk_n : STD_LOGIC; signal d_o_8_blk_n : STD_LOGIC; signal d_o_9_blk_n : STD_LOGIC; signal d_o_10_blk_n : STD_LOGIC; signal d_o_11_blk_n : STD_LOGIC; signal d_o_12_blk_n : STD_LOGIC; signal d_o_13_blk_n : STD_LOGIC; signal d_o_14_blk_n : STD_LOGIC; signal d_o_15_blk_n : STD_LOGIC; signal d_o_16_blk_n : STD_LOGIC; signal d_o_17_blk_n : STD_LOGIC; signal d_o_18_blk_n : STD_LOGIC; signal d_o_19_blk_n : STD_LOGIC; signal d_o_20_blk_n : STD_LOGIC; signal d_o_21_blk_n : STD_LOGIC; signal d_o_22_blk_n : STD_LOGIC; signal d_o_23_blk_n : STD_LOGIC; signal d_o_24_blk_n : STD_LOGIC; signal d_o_25_blk_n : STD_LOGIC; signal d_o_26_blk_n : STD_LOGIC; signal d_o_27_blk_n : STD_LOGIC; signal d_o_28_blk_n : STD_LOGIC; signal d_o_29_blk_n : STD_LOGIC; signal d_o_30_blk_n : STD_LOGIC; signal d_o_31_blk_n : STD_LOGIC; signal tmp_8_fu_586_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_8_reg_1228 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_1_fu_600_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_1_reg_1244 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_2_fu_614_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_2_reg_1260 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_3_fu_628_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_3_reg_1276 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_4_fu_642_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_4_reg_1292 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_5_fu_656_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_5_reg_1308 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_6_fu_670_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_6_reg_1324 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_7_fu_684_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_7_reg_1340 : STD_LOGIC_VECTOR (15 downto 0); signal tmp3_fu_726_p2 : STD_LOGIC_VECTOR (16 downto 0); signal tmp3_reg_1391 : STD_LOGIC_VECTOR (16 downto 0); signal tmp6_fu_736_p2 : STD_LOGIC_VECTOR (16 downto 0); signal tmp6_reg_1401 : STD_LOGIC_VECTOR (16 downto 0); signal tmp9_fu_746_p2 : STD_LOGIC_VECTOR (16 downto 0); signal tmp9_reg_1411 : STD_LOGIC_VECTOR (16 downto 0); signal tmp12_fu_756_p2 : STD_LOGIC_VECTOR (16 downto 0); signal tmp12_reg_1421 : STD_LOGIC_VECTOR (16 downto 0); signal tmp15_fu_766_p2 : STD_LOGIC_VECTOR (16 downto 0); signal tmp15_reg_1431 : STD_LOGIC_VECTOR (16 downto 0); signal tmp18_fu_776_p2 : STD_LOGIC_VECTOR (16 downto 0); signal tmp18_reg_1441 : STD_LOGIC_VECTOR (16 downto 0); signal tmp21_fu_786_p2 : STD_LOGIC_VECTOR (16 downto 0); signal tmp21_reg_1451 : STD_LOGIC_VECTOR (16 downto 0); signal tmp24_fu_796_p2 : STD_LOGIC_VECTOR (16 downto 0); signal tmp24_reg_1461 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_1_8_fu_830_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_8_reg_1466 : STD_LOGIC_VECTOR (15 downto 0); signal ap_CS_fsm_state2 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none"; signal tmp_1_9_fu_839_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_9_reg_1471 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_s_fu_848_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_s_reg_1476 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_10_fu_857_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_10_reg_1481 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_11_fu_866_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_11_reg_1486 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_12_fu_875_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_12_reg_1491 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_13_fu_884_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_13_reg_1496 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_14_fu_893_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_14_reg_1501 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_15_fu_898_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_15_reg_1506 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_16_fu_903_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_16_reg_1512 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_17_fu_908_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_17_reg_1518 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_18_fu_913_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_18_reg_1524 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_19_fu_918_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_19_reg_1530 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_20_fu_923_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_20_reg_1536 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_21_fu_928_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_21_reg_1542 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_22_fu_933_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_22_reg_1548 : STD_LOGIC_VECTOR (15 downto 0); signal ap_block_state3 : BOOLEAN; signal temp_s_fu_956_p2 : STD_LOGIC_VECTOR (31 downto 0); signal temp_1_fu_986_p2 : STD_LOGIC_VECTOR (31 downto 0); signal temp_2_fu_1016_p2 : STD_LOGIC_VECTOR (31 downto 0); signal temp_3_fu_1046_p2 : STD_LOGIC_VECTOR (31 downto 0); signal temp_4_fu_1076_p2 : STD_LOGIC_VECTOR (31 downto 0); signal temp_5_fu_1106_p2 : STD_LOGIC_VECTOR (31 downto 0); signal temp_6_fu_1136_p2 : STD_LOGIC_VECTOR (31 downto 0); signal temp_7_fu_1166_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_1_fu_582_p1 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_9_fu_596_p1 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_10_fu_610_p1 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_11_fu_624_p1 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_12_fu_638_p1 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_13_fu_652_p1 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_14_fu_666_p1 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_15_fu_680_p1 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_16_cast_fu_690_p1 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_24_cast_fu_722_p1 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_17_cast_fu_694_p1 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_25_cast_fu_732_p1 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_18_cast_fu_698_p1 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_26_cast_fu_742_p1 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_19_cast_fu_702_p1 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_27_cast_fu_752_p1 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_20_cast_fu_706_p1 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_28_cast_fu_762_p1 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_21_cast_fu_710_p1 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_29_cast_fu_772_p1 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_22_cast_fu_714_p1 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_30_cast_fu_782_p1 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_23_cast_fu_718_p1 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_31_cast_fu_792_p1 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_fu_802_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp3_cast_fu_943_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp_8_cast_fu_826_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp2_fu_946_p2 : STD_LOGIC_VECTOR (17 downto 0); signal tmp2_cast_fu_952_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp1_fu_938_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_s_fu_805_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp6_cast_fu_973_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp_9_cast_fu_835_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp5_fu_976_p2 : STD_LOGIC_VECTOR (17 downto 0); signal tmp5_cast_fu_982_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp4_fu_968_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_2_fu_808_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp9_cast_fu_1003_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp_10_cast_fu_844_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp8_fu_1006_p2 : STD_LOGIC_VECTOR (17 downto 0); signal tmp8_cast_fu_1012_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp7_fu_998_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_3_fu_811_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp12_cast_fu_1033_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp_11_cast_fu_853_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp11_fu_1036_p2 : STD_LOGIC_VECTOR (17 downto 0); signal tmp11_cast_fu_1042_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp10_fu_1028_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_4_fu_814_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp15_cast_fu_1063_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp_12_cast_fu_862_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp14_fu_1066_p2 : STD_LOGIC_VECTOR (17 downto 0); signal tmp14_cast_fu_1072_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp13_fu_1058_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_5_fu_817_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp18_cast_fu_1093_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp_13_cast_fu_871_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp17_fu_1096_p2 : STD_LOGIC_VECTOR (17 downto 0); signal tmp17_cast_fu_1102_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp16_fu_1088_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_6_fu_820_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp21_cast_fu_1123_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp_14_cast_fu_880_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp20_fu_1126_p2 : STD_LOGIC_VECTOR (17 downto 0); signal tmp20_cast_fu_1132_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp19_fu_1118_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_7_fu_823_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp24_cast_fu_1153_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp_15_cast_fu_889_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp23_fu_1156_p2 : STD_LOGIC_VECTOR (17 downto 0); signal tmp23_cast_fu_1162_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp22_fu_1148_p2 : STD_LOGIC_VECTOR (31 downto 0); signal ap_NS_fsm : STD_LOGIC_VECTOR (2 downto 0); begin ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_fsm_state1; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state2)) then acc_0 <= temp_s_fu_956_p2; acc_1 <= temp_1_fu_986_p2; acc_2 <= temp_2_fu_1016_p2; acc_3 <= temp_3_fu_1046_p2; acc_4 <= temp_4_fu_1076_p2; acc_5 <= temp_5_fu_1106_p2; acc_6 <= temp_6_fu_1136_p2; acc_7 <= temp_7_fu_1166_p2; tmp_1_10_reg_1481 <= tmp_1_10_fu_857_p2; tmp_1_11_reg_1486 <= tmp_1_11_fu_866_p2; tmp_1_12_reg_1491 <= tmp_1_12_fu_875_p2; tmp_1_13_reg_1496 <= tmp_1_13_fu_884_p2; tmp_1_14_reg_1501 <= tmp_1_14_fu_893_p2; tmp_1_15_reg_1506 <= tmp_1_15_fu_898_p2; tmp_1_16_reg_1512 <= tmp_1_16_fu_903_p2; tmp_1_17_reg_1518 <= tmp_1_17_fu_908_p2; tmp_1_18_reg_1524 <= tmp_1_18_fu_913_p2; tmp_1_19_reg_1530 <= tmp_1_19_fu_918_p2; tmp_1_20_reg_1536 <= tmp_1_20_fu_923_p2; tmp_1_21_reg_1542 <= tmp_1_21_fu_928_p2; tmp_1_22_reg_1548 <= tmp_1_22_fu_933_p2; tmp_1_8_reg_1466 <= tmp_1_8_fu_830_p2; tmp_1_9_reg_1471 <= tmp_1_9_fu_839_p2; tmp_1_s_reg_1476 <= tmp_1_s_fu_848_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then tmp12_reg_1421 <= tmp12_fu_756_p2; tmp15_reg_1431 <= tmp15_fu_766_p2; tmp18_reg_1441 <= tmp18_fu_776_p2; tmp21_reg_1451 <= tmp21_fu_786_p2; tmp24_reg_1461 <= tmp24_fu_796_p2; tmp3_reg_1391 <= tmp3_fu_726_p2; tmp6_reg_1401 <= tmp6_fu_736_p2; tmp9_reg_1411 <= tmp9_fu_746_p2; tmp_1_1_reg_1244 <= tmp_1_1_fu_600_p2; tmp_1_2_reg_1260 <= tmp_1_2_fu_614_p2; tmp_1_3_reg_1276 <= tmp_1_3_fu_628_p2; tmp_1_4_reg_1292 <= tmp_1_4_fu_642_p2; tmp_1_5_reg_1308 <= tmp_1_5_fu_656_p2; tmp_1_6_reg_1324 <= tmp_1_6_fu_670_p2; tmp_1_7_reg_1340 <= tmp_1_7_fu_684_p2; tmp_8_reg_1228 <= tmp_8_fu_586_p2; end if; end if; end process; ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin case ap_CS_fsm is when ap_ST_fsm_state1 => if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state1; end if; when ap_ST_fsm_state2 => ap_NS_fsm <= ap_ST_fsm_state3; when ap_ST_fsm_state3 => if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then ap_NS_fsm <= ap_ST_fsm_state1; else ap_NS_fsm <= ap_ST_fsm_state3; end if; when others => ap_NS_fsm <= "XXX"; end case; end process; ap_CS_fsm_state1 <= ap_CS_fsm(0); ap_CS_fsm_state2 <= ap_CS_fsm(1); ap_CS_fsm_state3 <= ap_CS_fsm(2); ap_block_state3_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n) begin ap_block_state3 <= ((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n)); end process; ap_done_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1) begin if (((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_ready_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; d_o_0_blk_n_assign_proc : process(d_o_0_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_0_blk_n <= d_o_0_full_n; else d_o_0_blk_n <= ap_const_logic_1; end if; end process; d_o_0_din <= tmp_8_reg_1228; d_o_0_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_0_write <= ap_const_logic_1; else d_o_0_write <= ap_const_logic_0; end if; end process; d_o_10_blk_n_assign_proc : process(d_o_10_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_10_blk_n <= d_o_10_full_n; else d_o_10_blk_n <= ap_const_logic_1; end if; end process; d_o_10_din <= tmp_1_s_reg_1476; d_o_10_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_10_write <= ap_const_logic_1; else d_o_10_write <= ap_const_logic_0; end if; end process; d_o_11_blk_n_assign_proc : process(d_o_11_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_11_blk_n <= d_o_11_full_n; else d_o_11_blk_n <= ap_const_logic_1; end if; end process; d_o_11_din <= tmp_1_10_reg_1481; d_o_11_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_11_write <= ap_const_logic_1; else d_o_11_write <= ap_const_logic_0; end if; end process; d_o_12_blk_n_assign_proc : process(d_o_12_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_12_blk_n <= d_o_12_full_n; else d_o_12_blk_n <= ap_const_logic_1; end if; end process; d_o_12_din <= tmp_1_11_reg_1486; d_o_12_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_12_write <= ap_const_logic_1; else d_o_12_write <= ap_const_logic_0; end if; end process; d_o_13_blk_n_assign_proc : process(d_o_13_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_13_blk_n <= d_o_13_full_n; else d_o_13_blk_n <= ap_const_logic_1; end if; end process; d_o_13_din <= tmp_1_12_reg_1491; d_o_13_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_13_write <= ap_const_logic_1; else d_o_13_write <= ap_const_logic_0; end if; end process; d_o_14_blk_n_assign_proc : process(d_o_14_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_14_blk_n <= d_o_14_full_n; else d_o_14_blk_n <= ap_const_logic_1; end if; end process; d_o_14_din <= tmp_1_13_reg_1496; d_o_14_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_14_write <= ap_const_logic_1; else d_o_14_write <= ap_const_logic_0; end if; end process; d_o_15_blk_n_assign_proc : process(d_o_15_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_15_blk_n <= d_o_15_full_n; else d_o_15_blk_n <= ap_const_logic_1; end if; end process; d_o_15_din <= tmp_1_14_reg_1501; d_o_15_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_15_write <= ap_const_logic_1; else d_o_15_write <= ap_const_logic_0; end if; end process; d_o_16_blk_n_assign_proc : process(d_o_16_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_16_blk_n <= d_o_16_full_n; else d_o_16_blk_n <= ap_const_logic_1; end if; end process; d_o_16_din <= tmp_1_15_reg_1506; d_o_16_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_16_write <= ap_const_logic_1; else d_o_16_write <= ap_const_logic_0; end if; end process; d_o_17_blk_n_assign_proc : process(d_o_17_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_17_blk_n <= d_o_17_full_n; else d_o_17_blk_n <= ap_const_logic_1; end if; end process; d_o_17_din <= tmp_1_16_reg_1512; d_o_17_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_17_write <= ap_const_logic_1; else d_o_17_write <= ap_const_logic_0; end if; end process; d_o_18_blk_n_assign_proc : process(d_o_18_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_18_blk_n <= d_o_18_full_n; else d_o_18_blk_n <= ap_const_logic_1; end if; end process; d_o_18_din <= tmp_1_17_reg_1518; d_o_18_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_18_write <= ap_const_logic_1; else d_o_18_write <= ap_const_logic_0; end if; end process; d_o_19_blk_n_assign_proc : process(d_o_19_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_19_blk_n <= d_o_19_full_n; else d_o_19_blk_n <= ap_const_logic_1; end if; end process; d_o_19_din <= tmp_1_18_reg_1524; d_o_19_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_19_write <= ap_const_logic_1; else d_o_19_write <= ap_const_logic_0; end if; end process; d_o_1_blk_n_assign_proc : process(d_o_1_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_1_blk_n <= d_o_1_full_n; else d_o_1_blk_n <= ap_const_logic_1; end if; end process; d_o_1_din <= tmp_1_1_reg_1244; d_o_1_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_1_write <= ap_const_logic_1; else d_o_1_write <= ap_const_logic_0; end if; end process; d_o_20_blk_n_assign_proc : process(d_o_20_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_20_blk_n <= d_o_20_full_n; else d_o_20_blk_n <= ap_const_logic_1; end if; end process; d_o_20_din <= tmp_1_19_reg_1530; d_o_20_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_20_write <= ap_const_logic_1; else d_o_20_write <= ap_const_logic_0; end if; end process; d_o_21_blk_n_assign_proc : process(d_o_21_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_21_blk_n <= d_o_21_full_n; else d_o_21_blk_n <= ap_const_logic_1; end if; end process; d_o_21_din <= tmp_1_20_reg_1536; d_o_21_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_21_write <= ap_const_logic_1; else d_o_21_write <= ap_const_logic_0; end if; end process; d_o_22_blk_n_assign_proc : process(d_o_22_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_22_blk_n <= d_o_22_full_n; else d_o_22_blk_n <= ap_const_logic_1; end if; end process; d_o_22_din <= tmp_1_21_reg_1542; d_o_22_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_22_write <= ap_const_logic_1; else d_o_22_write <= ap_const_logic_0; end if; end process; d_o_23_blk_n_assign_proc : process(d_o_23_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_23_blk_n <= d_o_23_full_n; else d_o_23_blk_n <= ap_const_logic_1; end if; end process; d_o_23_din <= tmp_1_22_reg_1548; d_o_23_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_23_write <= ap_const_logic_1; else d_o_23_write <= ap_const_logic_0; end if; end process; d_o_24_blk_n_assign_proc : process(d_o_24_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_24_blk_n <= d_o_24_full_n; else d_o_24_blk_n <= ap_const_logic_1; end if; end process; d_o_24_din <= std_logic_vector(unsigned(d_i_24) + unsigned(tmp_1_15_reg_1506)); d_o_24_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_24_write <= ap_const_logic_1; else d_o_24_write <= ap_const_logic_0; end if; end process; d_o_25_blk_n_assign_proc : process(d_o_25_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_25_blk_n <= d_o_25_full_n; else d_o_25_blk_n <= ap_const_logic_1; end if; end process; d_o_25_din <= std_logic_vector(unsigned(d_i_25) + unsigned(tmp_1_16_reg_1512)); d_o_25_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_25_write <= ap_const_logic_1; else d_o_25_write <= ap_const_logic_0; end if; end process; d_o_26_blk_n_assign_proc : process(d_o_26_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_26_blk_n <= d_o_26_full_n; else d_o_26_blk_n <= ap_const_logic_1; end if; end process; d_o_26_din <= std_logic_vector(unsigned(d_i_26) + unsigned(tmp_1_17_reg_1518)); d_o_26_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_26_write <= ap_const_logic_1; else d_o_26_write <= ap_const_logic_0; end if; end process; d_o_27_blk_n_assign_proc : process(d_o_27_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_27_blk_n <= d_o_27_full_n; else d_o_27_blk_n <= ap_const_logic_1; end if; end process; d_o_27_din <= std_logic_vector(unsigned(d_i_27) + unsigned(tmp_1_18_reg_1524)); d_o_27_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_27_write <= ap_const_logic_1; else d_o_27_write <= ap_const_logic_0; end if; end process; d_o_28_blk_n_assign_proc : process(d_o_28_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_28_blk_n <= d_o_28_full_n; else d_o_28_blk_n <= ap_const_logic_1; end if; end process; d_o_28_din <= std_logic_vector(unsigned(d_i_28) + unsigned(tmp_1_19_reg_1530)); d_o_28_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_28_write <= ap_const_logic_1; else d_o_28_write <= ap_const_logic_0; end if; end process; d_o_29_blk_n_assign_proc : process(d_o_29_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_29_blk_n <= d_o_29_full_n; else d_o_29_blk_n <= ap_const_logic_1; end if; end process; d_o_29_din <= std_logic_vector(unsigned(d_i_29) + unsigned(tmp_1_20_reg_1536)); d_o_29_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_29_write <= ap_const_logic_1; else d_o_29_write <= ap_const_logic_0; end if; end process; d_o_2_blk_n_assign_proc : process(d_o_2_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_2_blk_n <= d_o_2_full_n; else d_o_2_blk_n <= ap_const_logic_1; end if; end process; d_o_2_din <= tmp_1_2_reg_1260; d_o_2_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_2_write <= ap_const_logic_1; else d_o_2_write <= ap_const_logic_0; end if; end process; d_o_30_blk_n_assign_proc : process(d_o_30_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_30_blk_n <= d_o_30_full_n; else d_o_30_blk_n <= ap_const_logic_1; end if; end process; d_o_30_din <= std_logic_vector(unsigned(d_i_30) + unsigned(tmp_1_21_reg_1542)); d_o_30_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_30_write <= ap_const_logic_1; else d_o_30_write <= ap_const_logic_0; end if; end process; d_o_31_blk_n_assign_proc : process(d_o_31_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_31_blk_n <= d_o_31_full_n; else d_o_31_blk_n <= ap_const_logic_1; end if; end process; d_o_31_din <= std_logic_vector(unsigned(d_i_31) + unsigned(tmp_1_22_reg_1548)); d_o_31_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_31_write <= ap_const_logic_1; else d_o_31_write <= ap_const_logic_0; end if; end process; d_o_3_blk_n_assign_proc : process(d_o_3_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_3_blk_n <= d_o_3_full_n; else d_o_3_blk_n <= ap_const_logic_1; end if; end process; d_o_3_din <= tmp_1_3_reg_1276; d_o_3_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_3_write <= ap_const_logic_1; else d_o_3_write <= ap_const_logic_0; end if; end process; d_o_4_blk_n_assign_proc : process(d_o_4_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_4_blk_n <= d_o_4_full_n; else d_o_4_blk_n <= ap_const_logic_1; end if; end process; d_o_4_din <= tmp_1_4_reg_1292; d_o_4_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_4_write <= ap_const_logic_1; else d_o_4_write <= ap_const_logic_0; end if; end process; d_o_5_blk_n_assign_proc : process(d_o_5_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_5_blk_n <= d_o_5_full_n; else d_o_5_blk_n <= ap_const_logic_1; end if; end process; d_o_5_din <= tmp_1_5_reg_1308; d_o_5_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_5_write <= ap_const_logic_1; else d_o_5_write <= ap_const_logic_0; end if; end process; d_o_6_blk_n_assign_proc : process(d_o_6_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_6_blk_n <= d_o_6_full_n; else d_o_6_blk_n <= ap_const_logic_1; end if; end process; d_o_6_din <= tmp_1_6_reg_1324; d_o_6_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_6_write <= ap_const_logic_1; else d_o_6_write <= ap_const_logic_0; end if; end process; d_o_7_blk_n_assign_proc : process(d_o_7_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_7_blk_n <= d_o_7_full_n; else d_o_7_blk_n <= ap_const_logic_1; end if; end process; d_o_7_din <= tmp_1_7_reg_1340; d_o_7_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_7_write <= ap_const_logic_1; else d_o_7_write <= ap_const_logic_0; end if; end process; d_o_8_blk_n_assign_proc : process(d_o_8_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_8_blk_n <= d_o_8_full_n; else d_o_8_blk_n <= ap_const_logic_1; end if; end process; d_o_8_din <= tmp_1_8_reg_1466; d_o_8_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_8_write <= ap_const_logic_1; else d_o_8_write <= ap_const_logic_0; end if; end process; d_o_9_blk_n_assign_proc : process(d_o_9_full_n, ap_CS_fsm_state3) begin if ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_o_9_blk_n <= d_o_9_full_n; else d_o_9_blk_n <= ap_const_logic_1; end if; end process; d_o_9_din <= tmp_1_9_reg_1471; d_o_9_write_assign_proc : process(d_o_0_full_n, d_o_1_full_n, d_o_2_full_n, d_o_3_full_n, d_o_4_full_n, d_o_5_full_n, d_o_6_full_n, d_o_7_full_n, d_o_8_full_n, d_o_9_full_n, d_o_10_full_n, d_o_11_full_n, d_o_12_full_n, d_o_13_full_n, d_o_14_full_n, d_o_15_full_n, d_o_16_full_n, d_o_17_full_n, d_o_18_full_n, d_o_19_full_n, d_o_20_full_n, d_o_21_full_n, d_o_22_full_n, d_o_23_full_n, d_o_24_full_n, d_o_25_full_n, d_o_26_full_n, d_o_27_full_n, d_o_28_full_n, d_o_29_full_n, d_o_30_full_n, d_o_31_full_n, ap_CS_fsm_state3) begin if (((ap_const_logic_1 = ap_CS_fsm_state3) and not(((ap_const_logic_0 = d_o_0_full_n) or (ap_const_logic_0 = d_o_1_full_n) or (ap_const_logic_0 = d_o_2_full_n) or (ap_const_logic_0 = d_o_3_full_n) or (ap_const_logic_0 = d_o_4_full_n) or (ap_const_logic_0 = d_o_5_full_n) or (ap_const_logic_0 = d_o_6_full_n) or (ap_const_logic_0 = d_o_7_full_n) or (ap_const_logic_0 = d_o_8_full_n) or (ap_const_logic_0 = d_o_9_full_n) or (ap_const_logic_0 = d_o_10_full_n) or (ap_const_logic_0 = d_o_11_full_n) or (ap_const_logic_0 = d_o_12_full_n) or (ap_const_logic_0 = d_o_13_full_n) or (ap_const_logic_0 = d_o_14_full_n) or (ap_const_logic_0 = d_o_15_full_n) or (ap_const_logic_0 = d_o_16_full_n) or (ap_const_logic_0 = d_o_17_full_n) or (ap_const_logic_0 = d_o_18_full_n) or (ap_const_logic_0 = d_o_19_full_n) or (ap_const_logic_0 = d_o_20_full_n) or (ap_const_logic_0 = d_o_21_full_n) or (ap_const_logic_0 = d_o_22_full_n) or (ap_const_logic_0 = d_o_23_full_n) or (ap_const_logic_0 = d_o_24_full_n) or (ap_const_logic_0 = d_o_25_full_n) or (ap_const_logic_0 = d_o_26_full_n) or (ap_const_logic_0 = d_o_27_full_n) or (ap_const_logic_0 = d_o_28_full_n) or (ap_const_logic_0 = d_o_29_full_n) or (ap_const_logic_0 = d_o_30_full_n) or (ap_const_logic_0 = d_o_31_full_n))))) then d_o_9_write <= ap_const_logic_1; else d_o_9_write <= ap_const_logic_0; end if; end process; temp_1_fu_986_p2 <= std_logic_vector(signed(tmp5_cast_fu_982_p1) + signed(tmp4_fu_968_p2)); temp_2_fu_1016_p2 <= std_logic_vector(signed(tmp8_cast_fu_1012_p1) + signed(tmp7_fu_998_p2)); temp_3_fu_1046_p2 <= std_logic_vector(signed(tmp11_cast_fu_1042_p1) + signed(tmp10_fu_1028_p2)); temp_4_fu_1076_p2 <= std_logic_vector(signed(tmp14_cast_fu_1072_p1) + signed(tmp13_fu_1058_p2)); temp_5_fu_1106_p2 <= std_logic_vector(signed(tmp17_cast_fu_1102_p1) + signed(tmp16_fu_1088_p2)); temp_6_fu_1136_p2 <= std_logic_vector(signed(tmp20_cast_fu_1132_p1) + signed(tmp19_fu_1118_p2)); temp_7_fu_1166_p2 <= std_logic_vector(signed(tmp23_cast_fu_1162_p1) + signed(tmp22_fu_1148_p2)); temp_s_fu_956_p2 <= std_logic_vector(signed(tmp2_cast_fu_952_p1) + signed(tmp1_fu_938_p2)); tmp10_fu_1028_p2 <= std_logic_vector(unsigned(acc_3) + unsigned(tmp_3_fu_811_p1)); tmp11_cast_fu_1042_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp11_fu_1036_p2),32)); tmp11_fu_1036_p2 <= std_logic_vector(signed(tmp12_cast_fu_1033_p1) + signed(tmp_11_cast_fu_853_p1)); tmp12_cast_fu_1033_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp12_reg_1421),18)); tmp12_fu_756_p2 <= std_logic_vector(signed(tmp_19_cast_fu_702_p1) + signed(tmp_27_cast_fu_752_p1)); tmp13_fu_1058_p2 <= std_logic_vector(unsigned(acc_4) + unsigned(tmp_4_fu_814_p1)); tmp14_cast_fu_1072_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp14_fu_1066_p2),32)); tmp14_fu_1066_p2 <= std_logic_vector(signed(tmp15_cast_fu_1063_p1) + signed(tmp_12_cast_fu_862_p1)); tmp15_cast_fu_1063_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp15_reg_1431),18)); tmp15_fu_766_p2 <= std_logic_vector(signed(tmp_20_cast_fu_706_p1) + signed(tmp_28_cast_fu_762_p1)); tmp16_fu_1088_p2 <= std_logic_vector(unsigned(acc_5) + unsigned(tmp_5_fu_817_p1)); tmp17_cast_fu_1102_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp17_fu_1096_p2),32)); tmp17_fu_1096_p2 <= std_logic_vector(signed(tmp18_cast_fu_1093_p1) + signed(tmp_13_cast_fu_871_p1)); tmp18_cast_fu_1093_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp18_reg_1441),18)); tmp18_fu_776_p2 <= std_logic_vector(signed(tmp_21_cast_fu_710_p1) + signed(tmp_29_cast_fu_772_p1)); tmp19_fu_1118_p2 <= std_logic_vector(unsigned(acc_6) + unsigned(tmp_6_fu_820_p1)); tmp1_fu_938_p2 <= std_logic_vector(unsigned(acc_0) + unsigned(tmp_fu_802_p1)); tmp20_cast_fu_1132_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp20_fu_1126_p2),32)); tmp20_fu_1126_p2 <= std_logic_vector(signed(tmp21_cast_fu_1123_p1) + signed(tmp_14_cast_fu_880_p1)); tmp21_cast_fu_1123_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp21_reg_1451),18)); tmp21_fu_786_p2 <= std_logic_vector(signed(tmp_22_cast_fu_714_p1) + signed(tmp_30_cast_fu_782_p1)); tmp22_fu_1148_p2 <= std_logic_vector(unsigned(acc_7) + unsigned(tmp_7_fu_823_p1)); tmp23_cast_fu_1162_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp23_fu_1156_p2),32)); tmp23_fu_1156_p2 <= std_logic_vector(signed(tmp24_cast_fu_1153_p1) + signed(tmp_15_cast_fu_889_p1)); tmp24_cast_fu_1153_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp24_reg_1461),18)); tmp24_fu_796_p2 <= std_logic_vector(signed(tmp_23_cast_fu_718_p1) + signed(tmp_31_cast_fu_792_p1)); tmp2_cast_fu_952_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp2_fu_946_p2),32)); tmp2_fu_946_p2 <= std_logic_vector(signed(tmp3_cast_fu_943_p1) + signed(tmp_8_cast_fu_826_p1)); tmp3_cast_fu_943_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp3_reg_1391),18)); tmp3_fu_726_p2 <= std_logic_vector(signed(tmp_16_cast_fu_690_p1) + signed(tmp_24_cast_fu_722_p1)); tmp4_fu_968_p2 <= std_logic_vector(unsigned(acc_1) + unsigned(tmp_s_fu_805_p1)); tmp5_cast_fu_982_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp5_fu_976_p2),32)); tmp5_fu_976_p2 <= std_logic_vector(signed(tmp6_cast_fu_973_p1) + signed(tmp_9_cast_fu_835_p1)); tmp6_cast_fu_973_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp6_reg_1401),18)); tmp6_fu_736_p2 <= std_logic_vector(signed(tmp_17_cast_fu_694_p1) + signed(tmp_25_cast_fu_732_p1)); tmp7_fu_998_p2 <= std_logic_vector(unsigned(acc_2) + unsigned(tmp_2_fu_808_p1)); tmp8_cast_fu_1012_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp8_fu_1006_p2),32)); tmp8_fu_1006_p2 <= std_logic_vector(signed(tmp9_cast_fu_1003_p1) + signed(tmp_10_cast_fu_844_p1)); tmp9_cast_fu_1003_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp9_reg_1411),18)); tmp9_fu_746_p2 <= std_logic_vector(signed(tmp_18_cast_fu_698_p1) + signed(tmp_26_cast_fu_742_p1)); tmp_10_cast_fu_844_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_10),18)); tmp_10_fu_610_p1 <= acc_2(16 - 1 downto 0); tmp_11_cast_fu_853_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_11),18)); tmp_11_fu_624_p1 <= acc_3(16 - 1 downto 0); tmp_12_cast_fu_862_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_12),18)); tmp_12_fu_638_p1 <= acc_4(16 - 1 downto 0); tmp_13_cast_fu_871_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_13),18)); tmp_13_fu_652_p1 <= acc_5(16 - 1 downto 0); tmp_14_cast_fu_880_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_14),18)); tmp_14_fu_666_p1 <= acc_6(16 - 1 downto 0); tmp_15_cast_fu_889_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_15),18)); tmp_15_fu_680_p1 <= acc_7(16 - 1 downto 0); tmp_16_cast_fu_690_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_16),17)); tmp_17_cast_fu_694_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_17),17)); tmp_18_cast_fu_698_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_18),17)); tmp_19_cast_fu_702_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_19),17)); tmp_1_10_fu_857_p2 <= std_logic_vector(unsigned(d_i_11) + unsigned(tmp_1_3_reg_1276)); tmp_1_11_fu_866_p2 <= std_logic_vector(unsigned(d_i_12) + unsigned(tmp_1_4_reg_1292)); tmp_1_12_fu_875_p2 <= std_logic_vector(unsigned(d_i_13) + unsigned(tmp_1_5_reg_1308)); tmp_1_13_fu_884_p2 <= std_logic_vector(unsigned(d_i_14) + unsigned(tmp_1_6_reg_1324)); tmp_1_14_fu_893_p2 <= std_logic_vector(unsigned(d_i_15) + unsigned(tmp_1_7_reg_1340)); tmp_1_15_fu_898_p2 <= std_logic_vector(unsigned(d_i_16) + unsigned(tmp_1_8_fu_830_p2)); tmp_1_16_fu_903_p2 <= std_logic_vector(unsigned(d_i_17) + unsigned(tmp_1_9_fu_839_p2)); tmp_1_17_fu_908_p2 <= std_logic_vector(unsigned(d_i_18) + unsigned(tmp_1_s_fu_848_p2)); tmp_1_18_fu_913_p2 <= std_logic_vector(unsigned(d_i_19) + unsigned(tmp_1_10_fu_857_p2)); tmp_1_19_fu_918_p2 <= std_logic_vector(unsigned(d_i_20) + unsigned(tmp_1_11_fu_866_p2)); tmp_1_1_fu_600_p2 <= std_logic_vector(unsigned(d_i_1) + unsigned(tmp_9_fu_596_p1)); tmp_1_20_fu_923_p2 <= std_logic_vector(unsigned(d_i_21) + unsigned(tmp_1_12_fu_875_p2)); tmp_1_21_fu_928_p2 <= std_logic_vector(unsigned(d_i_22) + unsigned(tmp_1_13_fu_884_p2)); tmp_1_22_fu_933_p2 <= std_logic_vector(unsigned(d_i_23) + unsigned(tmp_1_14_fu_893_p2)); tmp_1_2_fu_614_p2 <= std_logic_vector(unsigned(d_i_2) + unsigned(tmp_10_fu_610_p1)); tmp_1_3_fu_628_p2 <= std_logic_vector(unsigned(d_i_3) + unsigned(tmp_11_fu_624_p1)); tmp_1_4_fu_642_p2 <= std_logic_vector(unsigned(d_i_4) + unsigned(tmp_12_fu_638_p1)); tmp_1_5_fu_656_p2 <= std_logic_vector(unsigned(d_i_5) + unsigned(tmp_13_fu_652_p1)); tmp_1_6_fu_670_p2 <= std_logic_vector(unsigned(d_i_6) + unsigned(tmp_14_fu_666_p1)); tmp_1_7_fu_684_p2 <= std_logic_vector(unsigned(d_i_7) + unsigned(tmp_15_fu_680_p1)); tmp_1_8_fu_830_p2 <= std_logic_vector(unsigned(d_i_8) + unsigned(tmp_8_reg_1228)); tmp_1_9_fu_839_p2 <= std_logic_vector(unsigned(d_i_9) + unsigned(tmp_1_1_reg_1244)); tmp_1_fu_582_p1 <= acc_0(16 - 1 downto 0); tmp_1_s_fu_848_p2 <= std_logic_vector(unsigned(d_i_10) + unsigned(tmp_1_2_reg_1260)); tmp_20_cast_fu_706_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_20),17)); tmp_21_cast_fu_710_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_21),17)); tmp_22_cast_fu_714_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_22),17)); tmp_23_cast_fu_718_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_23),17)); tmp_24_cast_fu_722_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_24),17)); tmp_25_cast_fu_732_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_25),17)); tmp_26_cast_fu_742_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_26),17)); tmp_27_cast_fu_752_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_27),17)); tmp_28_cast_fu_762_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_28),17)); tmp_29_cast_fu_772_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_29),17)); tmp_2_fu_808_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_2),32)); tmp_30_cast_fu_782_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_30),17)); tmp_31_cast_fu_792_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_31),17)); tmp_3_fu_811_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_3),32)); tmp_4_fu_814_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_4),32)); tmp_5_fu_817_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_5),32)); tmp_6_fu_820_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_6),32)); tmp_7_fu_823_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_7),32)); tmp_8_cast_fu_826_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_8),18)); tmp_8_fu_586_p2 <= std_logic_vector(unsigned(d_i_0) + unsigned(tmp_1_fu_582_p1)); tmp_9_cast_fu_835_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_9),18)); tmp_9_fu_596_p1 <= acc_1(16 - 1 downto 0); tmp_fu_802_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_0),32)); tmp_s_fu_805_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_1),32)); end behav;
mit
a7843c0ec5a790935908dbb933575912
0.594619
2.093228
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/gaisler/pci/pcipads.vhd
1
10,950
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: pcipads -- File: pcipads.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: PCI pads module ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; use work.pci.all; library grlib; use grlib.stdlib.all; entity pcipads is generic ( padtech : integer := 0; noreset : integer := 0; oepol : integer := 0; host : integer := 1; int : integer := 0; no66 : integer := 0; onchipreqgnt : integer := 0; -- Internal req and gnt signals drivereset : integer := 0; -- Drive PCI rst with outpad constidsel : integer := 0; -- pci_idsel is tied to local constant level : integer := pci33; -- input/output level voltage : integer := x33v; -- input/output voltage nolock : integer := 0 ); port ( pci_rst : inout std_logic; pci_gnt : in std_ulogic; pci_idsel : in std_ulogic; pci_lock : inout std_ulogic; pci_ad : inout std_logic_vector(31 downto 0); pci_cbe : inout std_logic_vector(3 downto 0); pci_frame : inout std_ulogic; pci_irdy : inout std_ulogic; pci_trdy : inout std_ulogic; pci_devsel : inout std_ulogic; pci_stop : inout std_ulogic; pci_perr : inout std_ulogic; pci_par : inout std_ulogic; pci_req : inout std_ulogic; -- tristate pad but never read pci_serr : inout std_ulogic; -- open drain output pci_host : in std_ulogic; pci_66 : in std_ulogic; pcii : out pci_in_type; pcio : in pci_out_type; pci_int : inout std_logic_vector(3 downto 0) --:= conv_std_logic_vector(16#F#, 4) -- Disable int by default --pci_int : inout std_logic_vector(3 downto 0) := -- conv_std_logic_vector(16#F# - (16#F# * oepol), 4) -- Disable int by default ); end; architecture rtl of pcipads is signal vcc : std_ulogic; begin vcc <= '1'; -- Reset rstpad : if noreset = 0 generate nodrive: if drivereset = 0 generate pci_rst_pad : iodpad generic map (tech => padtech, level => level, voltage => voltage, oepol => 0) port map (pci_rst, pcio.rst, pcii.rst); end generate nodrive; drive: if drivereset /= 0 generate pci_rst_pad : outpad generic map (tech => padtech, level => level, voltage => voltage) port map (pci_rst, pcio.rst); pcii.rst <= pcio.rst; end generate drive; end generate; norstpad : if noreset = 1 generate pcii.rst <= pci_rst; end generate; localgnt: if onchipreqgnt = 1 generate pcii.gnt <= pci_gnt; pci_req <= pcio.req when pcio.reqen = conv_std_logic(oepol=1) else '1'; end generate localgnt; extgnt: if onchipreqgnt = 0 generate pad_pci_gnt : inpad generic map (padtech, level, voltage) port map (pci_gnt, pcii.gnt); pad_pci_req : toutpad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_req, pcio.req, pcio.reqen); end generate extgnt; idsel_pad: if constidsel = 0 generate pad_pci_idsel : inpad generic map (padtech, level, voltage) port map (pci_idsel, pcii.idsel); end generate idsel_pad; idsel_local: if constidsel /= 0 generate pcii.idsel <= pci_idsel; end generate idsel_local; onlyhost : if host = 2 generate pcii.host <= '0'; -- Always host end generate; dohost : if host = 1 generate pad_pci_host : inpad generic map (padtech, level, voltage) port map (pci_host, pcii.host); end generate; nohost : if host = 0 generate pcii.host <= '1'; -- disable pci host functionality end generate; do66 : if no66 = 0 generate pad_pci_66 : inpad generic map (padtech, level, voltage) port map (pci_66, pcii.pci66); end generate; dono66 : if no66 = 1 generate pcii.pci66 <= '0'; end generate; dolock : if nolock = 0 generate pad_pci_lock : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_lock, pcio.lock, pcio.locken, pcii.lock); end generate; donolock : if nolock = 1 generate pcii.lock <= pci_lock; end generate; pad_pci_ad : iopadvv generic map (tech => padtech, level => level, voltage => voltage, width => 32, oepol => oepol) port map (pci_ad, pcio.ad, pcio.vaden, pcii.ad); pad_pci_cbe0 : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_cbe(0), pcio.cbe(0), pcio.cbeen(0), pcii.cbe(0)); pad_pci_cbe1 : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_cbe(1), pcio.cbe(1), pcio.cbeen(1), pcii.cbe(1)); pad_pci_cbe2 : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_cbe(2), pcio.cbe(2), pcio.cbeen(2), pcii.cbe(2)); pad_pci_cbe3 : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_cbe(3), pcio.cbe(3), pcio.cbeen(3), pcii.cbe(3)); pad_pci_frame : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_frame, pcio.frame, pcio.frameen, pcii.frame); pad_pci_trdy : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_trdy, pcio.trdy, pcio.trdyen, pcii.trdy); pad_pci_irdy : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_irdy, pcio.irdy, pcio.irdyen, pcii.irdy); pad_pci_devsel: iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_devsel, pcio.devsel, pcio.devselen, pcii.devsel); pad_pci_stop : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_stop, pcio.stop, pcio.stopen, pcii.stop); pad_pci_perr : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_perr, pcio.perr, pcio.perren, pcii.perr); pad_pci_par : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_par, pcio.par, pcio.paren, pcii.par); pad_pci_serr : iopad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_serr, pcio.serr, pcio.serren, pcii.serr); -- PCI interrupt pads -- int = 0 => no interrupt -- int = 1 => PCI_INT[A] = out, PCI_INT[B,C,D] = Not connected -- int = 2 => PCI_INT[B] = out, PCI_INT[A,C,D] = Not connected -- int = 3 => PCI_INT[C] = out, PCI_INT[A,B,D] = Not connected -- int = 4 => PCI_INT[D] = out, PCI_INT[A,B,C] = Not connected -- int = 10 => PCI_INT[A] = inout, PCI_INT[B,C,D] = in -- int = 11 => PCI_INT[B] = inout, PCI_INT[A,C,D] = in -- int = 12 => PCI_INT[C] = inout, PCI_INT[A,B,D] = in -- int = 13 => PCI_INT[D] = inout, PCI_INT[A,B,C] = in -- int = 14 => PCI_INT[A,B,C,D] = in -- int = 100 => PCI_INT[A] = out, PCI_INT[B,C,D] = Not connected -- int = 101 => PCI_INT[A,B] = out, PCI_INT[C,D] = Not connected -- int = 102 => PCI_INT[A,B,C] = out, PCI_INT[D] = Not connected -- int = 103 => PCI_INT[A,B,C,D] = out -- int = 110 => PCI_INT[A] = inout, PCI_INT[B,C,D] = in -- int = 111 => PCI_INT[A,B] = inout, PCI_INT[C,D] = in -- int = 112 => PCI_INT[A,B,C] = inout, PCI_INT[D] = in -- int = 113 => PCI_INT[A,B,C,D] = inout interrupt : if int /= 0 generate x : for i in 0 to 3 generate xo : if i = int - 1 and int < 10 generate pad_pci_int : odpad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_int(i), pcio.inten); end generate; xonon : if i /= int - 1 and int < 10 and int < 100 generate pci_int(i) <= '1'; end generate; xio : if i = (int - 10) and int >= 10 and int < 100 generate pad_pci_int : iodpad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_int(i), pcio.inten, pcii.int(i)); end generate; xi : if i /= (int - 10) and int >= 10 and int < 100 generate pad_pci_int : inpad generic map (tech => padtech, level => level, voltage => voltage) port map (pci_int(i), pcii.int(i)); end generate; x2o : if i <= (int - 100) and int < 110 and int >= 100 generate pad_pci_int : odpad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_int(i), pcio.vinten(i)); end generate; x2onon : if i > (int - 100) and int < 110 and int >= 100 generate pci_int(i) <= '1'; end generate; x2oi : if i <= (int - 110) and int >= 110 generate pad_pci_int : iodpad generic map (tech => padtech, level => level, voltage => voltage, oepol => oepol) port map (pci_int(i), pcio.vinten(i), pcii.int(i)); end generate; x2i : if i > (int - 110) and int >= 110 generate pad_pci_int : inpad generic map (tech => padtech, level => level, voltage => voltage) port map (pci_int(i), pcii.int(i)); end generate; end generate; end generate; nointerrupt : if int = 0 generate pcii.int <= (others => '0'); end generate; pcii.pme_status <= '0'; end;
gpl-2.0
a032dcb668fc860fba8862babae3cd1b
0.571324
3.510741
false
false
false
false
khaledhassan/vhdl-examples
adder_numeric_std/adder_tb.vhd
1
2,093
-- Copyright (c) 2012 Brian Nezvadovitz <http://nezzen.net> -- This software is distributed under the terms of the MIT License shown below. -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to -- deal in the Software without restriction, including without limitation the -- rights to use, copy, modify, merge, publish, distribute, sublicense, and/or -- sell copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING -- FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS -- IN THE SOFTWARE. -- Testbench for the generic adder. library ieee; use ieee.std_logic_1164.all; entity adder_tb is end adder_tb; architecture TB of adder_tb is signal a, b, sum : std_logic_vector(3 downto 0); signal c_in, c_out : std_logic; begin -- Instantiate the unit under test (UUT) UUT : entity work.adder generic map ( WIDTH => 4 ) port map ( a => a, b => b, c_in => c_in, sum => sum, c_out => c_out ); -- Stimulus process process begin a <= (others => '0'); b <= (others => '0'); c_in <= '0'; wait for 10 ns; a <= "0010"; b <= "1000"; wait for 10 ns; a <= "0111"; b <= "1000"; wait for 10 ns; c_in <= '1'; wait; end process; end TB;
mit
503d74d7011885cd6df6dd844a378b8c
0.630196
4.079922
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/techmap/maps/odpad.vhd
1
5,599
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: odpad -- File: odpad.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: tri-state output pad with technology wrapper ------------------------------------------------------------------------------ library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; use techmap.allpads.all; entity odpad is generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; oepol : integer := 0); port (pad : out std_ulogic; i : in std_ulogic; cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end; architecture rtl of odpad is signal gnd, oen, padx : std_ulogic; begin oen <= not i when oepol /= padoen_polarity(tech) else i; gnd <= '0'; gen0 : if has_pads(tech) = 0 generate pad <= gnd -- pragma translate_off after 2 ns -- pragma translate_on when oen = '0' -- pragma translate_off else 'X' after 2 ns when is_x(i) -- pragma translate_on else 'Z' -- pragma translate_off after 2 ns -- pragma translate_on ; end generate; xcv : if (is_unisim(tech) = 1) generate x0 : unisim_toutpad generic map (level, slew, voltage, strength) port map (pad, gnd, oen); end generate; axc : if (tech = axcel) or (tech = axdsp) generate x0 : axcel_toutpad generic map (level, slew, voltage, strength) port map (pad, gnd, oen); end generate; pa3 : if (tech = proasic) or (tech = apa3) generate x0 : apa3_toutpad generic map (level, slew, voltage, strength) port map (pad, gnd, oen); end generate; pa3e : if (tech = apa3e) generate x0 : apa3e_toutpad generic map (level, slew, voltage, strength) port map (pad, gnd, oen); end generate; pa3l : if (tech = apa3l) generate x0 : apa3l_toutpad generic map (level, slew, voltage, strength) port map (pad, gnd, oen); end generate; fus : if (tech = actfus) generate x0 : fusion_toutpad generic map (level, slew, voltage, strength) port map (pad, gnd, oen); end generate; atc : if (tech = atc18s) generate x0 : atc18_toutpad generic map (level, slew, voltage, strength) port map (pad, gnd, oen); end generate; atcrh : if (tech = atc18rha) generate x0 : atc18rha_toutpad generic map (level, slew, voltage, strength) port map (pad, gnd, oen); end generate; um : if (tech = umc) generate x0 : umc_toutpad generic map (level, slew, voltage, strength) port map (pad, gnd, oen); end generate; rhu : if (tech = rhumc) generate x0 : rhumc_toutpad generic map (level, slew, voltage, strength) port map (pad, gnd, oen); end generate; ihp : if (tech = ihp25) generate x0 : ihp25_toutpad generic map(level, slew, voltage, strength) port map (pad, gnd, oen); end generate; rh18t : if (tech = rhlib18t) generate x0 : rh_lib18t_iopad generic map (strength) port map (padx, gnd, oen, open); pad <= padx; end generate; ut025 : if (tech = ut25) generate x0 : ut025crh_iopad generic map (level, slew, voltage, strength) port map (padx, gnd, oen, open); pad <= padx; end generate; ut13 : if (tech = ut130) generate x0 : ut130hbd_iopad generic map (level, slew, voltage, strength) port map (padx, gnd, oen, open); pad <= padx; end generate; pere : if (tech = peregrine) generate x0 : peregrine_iopad generic map (strength) port map (padx, gnd, oen, open); pad <= padx; end generate; nex : if (tech = easic90) generate x0 : nextreme_toutpad generic map (level, slew, voltage, strength) port map (pad, gnd, oen); end generate; n2x : if (tech = easic45) generate x0 : n2x_toutpad generic map (level, slew, voltage, strength) port map (pad, gnd, oen,cfgi(0), cfgi(1), cfgi(19 downto 15), cfgi(14 downto 10), cfgi(9 downto 6), cfgi(5 downto 2)); end generate; end; library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; entity odpadv is generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := 0; strength : integer := 0; width : integer := 1; oepol : integer := 0); port ( pad : out std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end; architecture rtl of odpadv is begin v : for j in width-1 downto 0 generate x0 : odpad generic map (tech, level, slew, voltage, strength, oepol) port map (pad(j), i(j), cfgi); end generate; end;
gpl-2.0
17bb7e4756b4709f12f98b865d57a08d
0.632792
3.561705
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/techmap/maps/scanreg.vhd
1
6,980
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: scanregi, scanrego, scanregio -- File: scanreg.vhd -- Author: Magnus Hjorth - Aeroflex Gaisler -- Description: Technology wrapper for boundary scan registers ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; use techmap.alltap.all; entity scanregi is generic ( tech : integer := 0; intesten: integer := 1 ); port ( pad : in std_ulogic; core : out std_ulogic; tck : in std_ulogic; tckn : in std_ulogic; tdi : in std_ulogic; tdo : out std_ulogic; bsshft : in std_ulogic; bscapt : in std_ulogic; bsupd : in std_ulogic; bsdrive : in std_ulogic; bshighz : in std_ulogic ); end; architecture tmap of scanregi is signal d1, d2, q1, q2, m3i, o1o : std_ulogic; begin gen0: if tech = 0 generate x: scanregi_inf generic map (intesten) port map (pad,core,tck,tckn,tdi,tdo,bsshft,bscapt,bsupd,bsdrive,bshighz); end generate; map0: if tech /= 0 generate iten: if intesten /= 0 generate m1 : grmux2 generic map (tech) port map (pad, q1, bsdrive, core); f1 : grdff generic map (tech) port map (tckn, d1, q1); m2 : grmux2 generic map (tech) port map (q1, q2, bsupd, d1); end generate; itdis: if intesten = 0 generate core <= pad; q1 <= '0'; d1 <= '0'; end generate; m3 : grmux2 generic map (tech) port map (m3i, tdi, bsshft, d2); m4 : grmux2 generic map (tech) port map (q2, o1o, bscapt, m3i); o1 : gror2 generic map (tech) port map (pad, bshighz, o1o); f2 : grdff generic map (tech) port map (tck, d2, q2); tdo <= q2; end generate; end; ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; use techmap.alltap.all; entity scanrego is generic ( tech : integer := 0 ); port ( pad : out std_ulogic; core : in std_ulogic; samp : in std_ulogic; tck : in std_ulogic; tckn : in std_ulogic; tdi : in std_ulogic; tdo : out std_ulogic; bsshft : in std_ulogic; bscapt : in std_ulogic; bsupd : in std_ulogic; bsdrive : in std_ulogic ); end; architecture tmap of scanrego is signal d1, d2, q1, q2, m3i, o1o : std_ulogic; begin gen0: if tech = 0 generate x: scanrego_inf port map (pad,core,samp,tck,tckn,tdi,tdo,bsshft,bscapt,bsupd,bsdrive); end generate; map0: if tech /= 0 generate m1 : grmux2 generic map (tech) port map (core, q1, bsdrive, pad); m2 : grmux2 generic map (tech) port map (q1, q2, bsupd, d1); m3 : grmux2 generic map (tech) port map (m3i, tdi, bsshft, d2); m4 : grmux2 generic map (tech) port map (q2, samp, bscapt, m3i); f1 : grdff generic map (tech) port map (tckn, d1, q1); f2 : grdff generic map (tech) port map (tck, d2, q2); tdo <= q2; end generate; end; library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; use techmap.alltap.all; entity scanregto is generic ( tech : integer := 0; hzsup: integer range 0 to 1 := 1; oepol: integer range 0 to 1 := 1 ); port ( pado : out std_ulogic; padoen : out std_ulogic; samp : in std_ulogic; coreo : in std_ulogic; coreoen : in std_ulogic; tck : in std_ulogic; tckn : in std_ulogic; tdi : in std_ulogic; tdo : out std_ulogic; bsshft : in std_ulogic; bscapt : in std_ulogic; bsupdo : in std_ulogic; bsdrive : in std_ulogic; bshighz : in std_ulogic ); end; architecture tmap of scanregto is signal tdo1, padoenx : std_ulogic; begin x1: scanrego generic map (tech) port map (pado, coreo, samp, tck, tckn, tdo1, tdo, bsshft, bscapt, bsupdo, bsdrive); x2: scanrego generic map (tech) port map (padoenx, coreoen, coreoen, tck, tckn, tdi, tdo1, bsshft, bscapt, bsupdo, bsdrive); hz : if hzsup = 1 generate x3 : if oepol = 0 generate x33 : gror2 generic map (tech) port map (padoenx, bshighz, padoen); end generate; x4 : if oepol = 1 generate x33 : grand12 generic map (tech) port map (padoenx, bshighz, padoen); end generate; end generate; nohz : if hzsup = 0 generate padoen <= padoenx; end generate; end; ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; use techmap.alltap.all; entity scanregio is generic ( tech : integer := 0; hzsup: integer range 0 to 1 := 1; oepol: integer range 0 to 1 := 1; intesten: integer range 0 to 1 := 1 ); port ( pado : out std_ulogic; padoen : out std_ulogic; padi : in std_ulogic; coreo : in std_ulogic; coreoen : in std_ulogic; corei : out std_ulogic; tck : in std_ulogic; tckn : in std_ulogic; tdi : in std_ulogic; tdo : out std_ulogic; bsshft : in std_ulogic; bscapt : in std_ulogic; bsupdi : in std_ulogic; bsupdo : in std_ulogic; bsdrive : in std_ulogic; bshighz : in std_ulogic ); end; architecture tmap of scanregio is signal tdo1, tdo2, padoenx : std_ulogic; begin gen0: if tech = 0 generate x: scanregio_inf generic map (hzsup,intesten) port map (pado,padoen,padi,coreo,coreoen,corei,tck,tckn,tdi,tdo, bsshft,bscapt,bsupdi,bsupdo,bsdrive,bshighz); end generate; map0: if tech /= 0 generate x0: scanregi generic map (tech,intesten) port map (padi, corei, tck, tckn, tdo1, tdo, bsshft, bscapt, bsupdi, bsdrive, bshighz); x1: scanregto generic map (tech, hzsup, oepol) port map (pado, padoen, coreo, coreo, coreoen, tck, tckn, tdi, tdo1, bsshft, bscapt, bsupdo, bsdrive, bshighz); end generate; end;
gpl-2.0
74845a2e20e9af8fb7a192b28daadc1b
0.602006
3.43335
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-digilent-nexys3/config.vhd
1
7,721
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := spartan6; constant CFG_MEMTECH : integer := spartan6; constant CFG_PADTECH : integer := spartan6; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := spartan6; constant CFG_CLKMUL : integer := (5); constant CFG_CLKDIV : integer := (10); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 16#32# + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 0; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 1; constant CFG_NWP : integer := (0); constant CFG_PWD : integer := 0*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 8; constant CFG_ILINE : integer := 4; constant CFG_IREPL : integer := 2; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 2; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 4; constant CFG_DREPL : integer := 2; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 0*2 + 4*0; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 0; constant CFG_ITLBNUM : integer := 2; constant CFG_DTLBNUM : integer := 2; constant CFG_TLB_TYPE : integer := 1 + 0*2; constant CFG_TLB_REP : integer := 1; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2; constant CFG_ATBSZ : integer := 2; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 1; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 2; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0033#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000000#; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 0; constant CFG_MCTRL_RAM16BIT : integer := 1; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 0; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- DDR controller constant CFG_DDR2SP : integer := 0; constant CFG_DDR2SP_INIT : integer := 0; constant CFG_DDR2SP_FREQ : integer := 100; constant CFG_DDR2SP_TRFC : integer := 130; constant CFG_DDR2SP_DATAWIDTH : integer := 64; constant CFG_DDR2SP_FTEN : integer := 0; constant CFG_DDR2SP_FTWIDTH : integer := 0; constant CFG_DDR2SP_COL : integer := 9; constant CFG_DDR2SP_SIZE : integer := 8; constant CFG_DDR2SP_DELAY0 : integer := 0; constant CFG_DDR2SP_DELAY1 : integer := 0; constant CFG_DDR2SP_DELAY2 : integer := 0; constant CFG_DDR2SP_DELAY3 : integer := 0; constant CFG_DDR2SP_DELAY4 : integer := 0; constant CFG_DDR2SP_DELAY5 : integer := 0; constant CFG_DDR2SP_DELAY6 : integer := 0; constant CFG_DDR2SP_DELAY7 : integer := 0; constant CFG_DDR2SP_NOSYNC : integer := 0; -- Xilinx MIG constant CFG_MIG_DDR2 : integer := 1; constant CFG_MIG_RANKS : integer := (1); constant CFG_MIG_COLBITS : integer := (10); constant CFG_MIG_ROWBITS : integer := (13); constant CFG_MIG_BANKBITS: integer := (2); constant CFG_MIG_HMASK : integer := 16#F00#; -- AHB ROM constant CFG_AHBROMEN : integer := 0; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#000#; constant CFG_ROMMASK : integer := 16#E00# + 16#000#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 4; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 1; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 0; constant CFG_GRGPIO_IMASK : integer := 16#0000#; constant CFG_GRGPIO_WIDTH : integer := 1; -- SPI memory controller constant CFG_SPIMCTRL : integer := 0; constant CFG_SPIMCTRL_SDCARD : integer := 0; constant CFG_SPIMCTRL_READCMD : integer := 16#0#; constant CFG_SPIMCTRL_DUMMYBYTE : integer := 0; constant CFG_SPIMCTRL_DUALOUTPUT : integer := 0; constant CFG_SPIMCTRL_SCALER : integer := 1; constant CFG_SPIMCTRL_ASCALER : integer := 1; constant CFG_SPIMCTRL_PWRUPCNT : integer := 0; constant CFG_SPIMCTRL_OFFSET : integer := 16#0#; -- SPI controller constant CFG_SPICTRL_ENABLE : integer := 0; constant CFG_SPICTRL_NUM : integer := 1; constant CFG_SPICTRL_SLVS : integer := 1; constant CFG_SPICTRL_FIFO : integer := 1; constant CFG_SPICTRL_SLVREG : integer := 0; constant CFG_SPICTRL_ODMODE : integer := 0; constant CFG_SPICTRL_AM : integer := 0; constant CFG_SPICTRL_ASEL : integer := 0; constant CFG_SPICTRL_TWEN : integer := 0; constant CFG_SPICTRL_MAXWLEN : integer := 0; constant CFG_SPICTRL_SYNCRAM : integer := 0; constant CFG_SPICTRL_FT : integer := 0; -- GRLIB debugging constant CFG_DUART : integer := 1; end;
gpl-2.0
a65d34dc1e109ec42f629fc431267486
0.654319
3.581169
false
false
false
false
a4a881d4/ringbus4xilinx
src/ep/EPMemIn.vhd
2
2,198
--------------------------------------------------------------------------------------------------- -- -- Title : Bus End Point Recieve to Mem -- Design : Ring Bus -- Author : Zhao Ming -- Company : a4a881d4 -- --------------------------------------------------------------------------------------------------- -- -- File : EPMemIn.vhd -- Generated : 2013/9/9 -- From : -- By : -- --------------------------------------------------------------------------------------------------- -- -- Description : Ring bus end point Recieve to Mem -- -- Rev: 3.1 -- --------------------------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_signed.all; use work.rb_config.all; entity EPMEMIN is generic( Awidth : natural; Bwidth : natural; CS : std_logic_vector( cs_len-1 downto 0 ) ); port( -- system interface clk : in STD_LOGIC; rst : in STD_LOGIC; -- bus interface rx_sop : in std_logic; rx: in std_logic_vector(Bwidth-1 downto 0); -- Mem interface Addr : out std_logic_vector( Awidth-1 downto 0 ); D : out STD_LOGIC_VECTOR( Bwidth-1 downto 0 ); wen : out STD_LOGIC -- ); end EPMEMIN; architecture behave of EPMEMIN is signal addr_i : std_logic_vector( Awidth-1 downto 0 ) := (others => '0'); signal lenc : std_logic_vector( len_length-1 downto 0 ) := (others => '0'); signal hold : std_logic := '0'; begin sopP:process(clk,rst) begin if rst='1' then addr_i<=(others => '0'); lenc<=(others => '0'); hold<='0'; elsif rising_edge(clk) then if rx_sop='1' and rx( command_end downto command_start )=command_write and rx( addr_start+Awidth+cs_len-1 downto addr_start+Awidth )=CS then addr_i<=rx( addr_start+Awidth-1 downto addr_start ); lenc<=rx( len_end downto len_start )-1; hold<='1'; elsif lenc/=zeros( len_length-1 downto 0 ) then lenc<=lenc-1; addr_i<=addr_i+1; else hold<='0'; end if; end if; end process; wen<=hold; addr<=addr_i; D<=rx; end behave;
gpl-2.0
aa8d62594ca891fad50a2279266a9d4b
0.476342
3.270833
false
false
false
false
eamadio/fpgaMSP430
fmsp430/core/fmsp_alu.vhd
1
16,044
------------------------------------------------------------------------------ --! Copyright (C) 2009 , Olivier Girard -- --! Redistribution and use in source and binary forms, with or without --! modification, are permitted provided that the following conditions --! are met: --! * Redistributions of source code must retain the above copyright --! notice, this list of conditions and the following disclaimer. --! * Redistributions in binary form must reproduce the above copyright --! notice, this list of conditions and the following disclaimer in the --! documentation and/or other materials provided with the distribution. --! * Neither the name of the authors nor the names of its contributors --! may be used to endorse or promote products derived from this software --! without specific prior written permission. -- --! THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --! AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE --! IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE --! ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE --! LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, --! OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --! SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --! INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --! CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --! ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF --! THE POSSIBILITY OF SUCH DAMAGE -- ------------------------------------------------------------------------------ -- --! @file fmsp_alu.vhd --! --! @brief fpgaMSP430 ALU -- --! @author Olivier Girard, [email protected] --! @author Emmanuel Amadio, [email protected] (VHDL Rewrite) -- ------------------------------------------------------------------------------ --! @version 1 --! @date: 2017-04-21 ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; --! standard unresolved logic UX01ZWLH- use ieee.numeric_std.all; --! for the signed, unsigned types and arithmetic ops use work.fmsp_core_package.all; use work.fmsp_functions.all; entity fmsp_alu is port ( --! INPUTs dbg_halt_st : in std_logic; --! Halt/Run status from CPU exec_cycle : in std_logic; --! Instruction execution cycle inst_alu : in std_logic_vector(11 downto 0); --! ALU control variables inst_bw : in std_logic; --! Decoded Inst: byte width inst_jmp : in std_logic_vector(7 downto 0); --! Decoded Inst: Conditional jump inst_so : in std_logic_vector(7 downto 0); --! Single-operand arithmetic op_dst : in std_logic_vector(15 downto 0); --! Destination operand op_src : in std_logic_vector(15 downto 0); --! Source operand status : in std_logic_vector(3 downto 0); --! R2 Status {V,N,Z,C} --! OUTPUTs alu_out : out std_logic_vector(15 downto 0); --! ALU output value alu_out_add : out std_logic_vector(15 downto 0); --! ALU adder output value alu_stat : out std_logic_vector(3 downto 0); --! ALU Status {V,N,Z,C} alu_stat_wr : out std_logic_vector(3 downto 0) --! ALU Status write {V,N,Z,C} ); end entity fmsp_alu; architecture RTL of fmsp_alu is --============================================================================= --! 1) FUNCTIONS --============================================================================= type fmsp_alu_in_type is record dbg_halt_st : std_logic; --! Halt/Run status from CPU exec_cycle : std_logic; --! Instruction execution cycle inst_alu : std_logic_vector(11 downto 0); --! ALU control variables inst_bw : std_logic; --! Decoded Inst: byte width inst_jmp : std_logic_vector(7 downto 0); --! Decoded Inst: Conditional jump inst_so : std_logic_vector(7 downto 0); --! Single-operand arithmetic op_dst : std_logic_vector(15 downto 0); --! Destination operand op_src : std_logic_vector(15 downto 0); --! Source operand status : std_logic_vector(3 downto 0); --! R2 Status {V,N,Z,C} end record; signal d : fmsp_alu_in_type; begin d.dbg_halt_st <= dbg_halt_st; --! Halt/Run status from CPU d.exec_cycle <= exec_cycle; --! Instruction execution cycle d.inst_alu <= inst_alu; --! ALU control variables d.inst_bw <= inst_bw; --! Decoded Inst: byte width d.inst_jmp <= inst_jmp; --! Decoded Inst: Conditional jump d.inst_so <= inst_so; --! Single-operand arithmetic d.op_dst <= op_dst; --! Destination operand d.op_src <= op_src; --! Source operand d.status <= status; --! R2 Status {V,N,Z,C} COMB : process (d) --! Invert source for substract and compare instructions. variable v_op_src_inv_cmd : std_logic; variable v_op_src_inv : std_logic_vector(15 downto 0);--! Mask the bit 8 for the Byte instructions for correct flags generation variable v_op_bit8_msk : std_logic; variable v_op_src_in : std_logic_vector(16 downto 0); variable v_op_dst_in : std_logic_vector(16 downto 0); --! Clear the source operand (= jump offset) for conditional jumps variable v_jmp_not_taken : std_logic; variable v_op_src_in_jmp : std_logic_vector(16 downto 0); --! Adder / AND / OR / XOR variable v_alu_add : unsigned(16 downto 0); variable v_alu_and : std_logic_vector(16 downto 0); variable v_alu_or : std_logic_vector(16 downto 0); variable v_alu_xor : std_logic_vector(16 downto 0); --! Incrementer variable v_alu_inc_vector : std_logic_vector(16 downto 0); variable v_alu_inc : unsigned(16 downto 0); variable v_alu_add_inc : unsigned(16 downto 0); --! Decimal adder (DADD) variable v_alu_dadd0 : std_logic_vector(4 downto 0); variable v_alu_dadd1 : std_logic_vector(4 downto 0); variable v_alu_dadd2 : std_logic_vector(4 downto 0); variable v_alu_dadd3 : std_logic_vector(4 downto 0); variable v_alu_dadd : std_logic_vector(16 downto 0); --! Shifter for rotate instructions (RRC & RRA) variable v_alu_shift_msb : std_logic; variable v_alu_shift_7 : std_logic; variable v_alu_shift : std_logic_vector(16 downto 0); --! Swap bytes / Extend Sign variable v_alu_swpb : std_logic_vector(16 downto 0); variable v_alu_sxt : std_logic_vector(16 downto 0); --! Combine short paths toghether to simplify final ALU mux variable v_alu_short : std_logic_vector(16 downto 0); --! ALU output mux variable v_alu_out_nxt : std_logic_vector(16 downto 0); --! STATUS FLAG GENERATION variable v_V_xor : std_logic; variable v_V : std_logic; variable v_N : std_logic; variable v_Z : std_logic; variable v_C : std_logic; variable v_alu_out : std_logic_vector(15 downto 0); --! ALU output value variable v_alu_out_add : std_logic_vector(15 downto 0); --! ALU adder output value variable v_alu_stat : std_logic_vector(3 downto 0); --! ALU Status {V,N,Z,C} variable v_alu_stat_wr : std_logic_vector(3 downto 0); --! ALU Status write {V,N,Z,C} --============================================================================= --! 2) INSTRUCTION FETCH/DECODE CONTROL STATE MACHINE --============================================================================= --! SINGLE-OPERAND ARITHMETIC: ------------------------------------------------------------------------------- --! Mnemonic S-Reg, Operation Status bits --! D-Reg, V N Z C -- --! RRC dst C->MSB->...LSB->C * * * * --! RRA dst MSB->MSB->...LSB->C 0 * * * --! SWPB dst Swap bytes - - - - --! SXT dst Bit7->Bit8...Bit15 0 * * * --! PUSH src SP-2->SP, src->@SP - - - - --! CALL dst SP-2->SP, PC+2->@SP, dst->PC - - - - --! RETI TOS->SR, SP+2->SP, TOS->PC, SP+2->SP * * * * -- ------------------------------------------------------------------------------- --! TWO-OPERAND ARITHMETIC: ------------------------------------------------------------------------------- --! Mnemonic S-Reg, Operation Status bits --! D-Reg, V N Z C -- --! MOV src,dst src -> dst - - - - --! ADD src,dst src + dst -> dst * * * * --! ADDC src,dst src + dst + C -> dst * * * * --! SUB src,dst dst + ~src + 1 -> dst * * * * --! SUBC src,dst dst + ~src + C -> dst * * * * --! CMP src,dst dst + ~src + 1 * * * * --! DADD src,dst src + dst + C -> dst (decimaly) * * * * --! BIT src,dst src & dst 0 * * * --! BIC src,dst ~src & dst -> dst - - - - --! BIS src,dst src | dst -> dst - - - - --! XOR src,dst src ^ dst -> dst * * * * --! AND src,dst src & dst -> dst 0 * * * -- ------------------------------------------------------------------------------- --! * the status bit is affected --! - the status bit is not affected --! 0 the status bit is cleared --! 1 the status bit is set ------------------------------------------------------------------------------- begin --! overriding assignments --! Invert source for substract and compare instructions. v_op_src_inv_cmd := d.exec_cycle and (d.inst_alu(C_ALU_SRC_INV)); if ( v_op_src_inv_cmd = '1' ) then v_op_src_inv := not(d.op_src); else v_op_src_inv := d.op_src; end if; --! Mask the bit 8 for the Byte instructions for correct flags generation v_op_bit8_msk := not(d.exec_cycle) or not(d.inst_bw); if ( v_op_bit8_msk = '1' ) then v_op_src_in := '0' & v_op_src_inv(15 downto 8) & v_op_src_inv(7 downto 0); v_op_dst_in := '0' & d.op_dst(15 downto 8) & d.op_dst(7 downto 0); else v_op_src_in := '0' & x"00" & v_op_src_inv(7 downto 0); v_op_dst_in := '0' & x"00" & d.op_dst(7 downto 0); end if; --! Clear the source operand (= jump offset) for conditional jumps v_jmp_not_taken := ( d.inst_jmp(C_JL) and not(d.status(3) xor d.status(2)) ) or ( d.inst_jmp(C_JGE) and (d.status(3) xor d.status(2)) ) or ( d.inst_jmp(C_JN) and not(d.status(2)) ) or ( d.inst_jmp(C_JC) and not(d.status(0)) ) or ( d.inst_jmp(C_JNC) and d.status(0) ) or ( d.inst_jmp(C_JEQ) and not(d.status(1)) ) or ( d.inst_jmp(C_JNE) and d.status(1) ); for i in 0 to 16 loop v_op_src_in_jmp(i) := v_op_src_in(i) and not(v_jmp_not_taken); end loop; -- v_op_src_in_jmp = v_op_src_in and not(jmp_not_taken); --! Adder / AND / OR / XOR v_alu_add := UNSIGNED(v_op_src_in_jmp) + UNSIGNED(v_op_dst_in); v_alu_and := v_op_src_in and v_op_dst_in; v_alu_or := v_op_src_in or v_op_dst_in; v_alu_xor := v_op_src_in xor v_op_dst_in; --! Incrementer v_alu_inc_vector := x"0000" & (d.exec_cycle and ((d.inst_alu(C_ALU_INC_C) and d.status(0)) or d.inst_alu(C_ALU_INC))); v_alu_inc := UNSIGNED(v_alu_inc_vector); v_alu_add_inc := v_alu_add + v_alu_inc; --! Decimal adder (DADD) v_alu_dadd0 := bcd_add(v_op_src_in(3 downto 0), v_op_dst_in(3 downto 0), d.status(0)); v_alu_dadd1 := bcd_add(v_op_src_in(7 downto 4), v_op_dst_in(7 downto 4), v_alu_dadd0(4)); v_alu_dadd2 := bcd_add(v_op_src_in(11 downto 8), v_op_dst_in(11 downto 8), v_alu_dadd1(4)); v_alu_dadd3 := bcd_add(v_op_src_in(15 downto 12), v_op_dst_in(15 downto 12), v_alu_dadd2(4)); v_alu_dadd := v_alu_dadd3 & v_alu_dadd2(3 downto 0) & v_alu_dadd1(3 downto 0) & v_alu_dadd0(3 downto 0); --! Shifter for rotate instructions (RRC & RRA) if ( d.inst_so(C_RRC) = '1' ) then v_alu_shift_msb := d.status(0); else if ( d.inst_bw = '1' ) then v_alu_shift_msb := d.op_src(7); else v_alu_shift_msb := d.op_src(15); end if; end if; if ( d.inst_bw = '1' ) then v_alu_shift_7 := v_alu_shift_msb; else v_alu_shift_7 := d.op_src(8); end if; v_alu_shift := '0' & v_alu_shift_msb & d.op_src(15 downto 9) & v_alu_shift_7 & d.op_src(7 downto 1); --! Swap bytes / Extend Sign v_alu_swpb := '0' & d.op_src(7 downto 0) & d.op_src(15 downto 8); v_alu_sxt := '0' & d.op_src(7) & d.op_src(7) & d.op_src(7) & d.op_src(7) & d.op_src(7) & d.op_src(7) & d.op_src(7) & d.op_src(7) & d.op_src(7 downto 0); --! Combine short paths toghether to simplify final ALU mux -- alu_short_thro := not( d.inst_alu(C_ALU_AND) or -- d.inst_alu(C_ALU_OR) or -- d.inst_alu(C_ALU_XOR) or -- d.inst_alu(C_ALU_SHIFT) or -- d.inst_so(C_SWPB) or -- d.inst_so(C_SXT) ); for i in 0 to 16 loop v_alu_short(i) := ( d.inst_alu(C_ALU_AND) and v_alu_and(i) ) or ( d.inst_alu(C_ALU_OR) and v_alu_or(i) ) or ( d.inst_alu(C_ALU_XOR) and v_alu_xor(i) ) or ( d.inst_alu(C_ALU_SHIFT) and v_alu_shift(i) ) or ( d.inst_so(C_SWPB) and v_alu_swpb(i) ) or ( d.inst_so(C_SXT) and v_alu_sxt(i) ) or ( not( d.inst_alu(C_ALU_AND) or d.inst_alu(C_ALU_OR) or d.inst_alu(C_ALU_XOR) or d.inst_alu(C_ALU_SHIFT) or d.inst_so(C_SWPB) or d.inst_so(C_SXT) ) and v_op_src_in(i) ); end loop; --! ALU output mux if ( (d.inst_so(C_IRQ) or d.dbg_halt_st or d.inst_alu(C_ALU_ADD)) = '1' ) then v_alu_out_nxt := STD_LOGIC_VECTOR(v_alu_add_inc); else if ( d.inst_alu(C_ALU_DADD) = '1' ) then v_alu_out_nxt := v_alu_dadd; else v_alu_out_nxt := v_alu_short; end if; end if; v_alu_out := v_alu_out_nxt(15 downto 0); v_alu_out_add := STD_LOGIC_VECTOR(v_alu_add(15 downto 0)); ------------------------------------------------------------------------------- --! STATUS FLAG GENERATION ------------------------------------------------------------------------------- if ( d.inst_bw = '1' ) then v_V_xor := (v_op_src_in(7) and v_op_dst_in(7)); v_V := ( (not(v_op_src_in(7)) and not(v_op_dst_in(7)) and v_alu_out(7)) or (v_op_src_in(7) and v_op_dst_in(7) and not( v_alu_out(7))) ); v_N := v_alu_out(7); if ( v_alu_out(7 downto 0) = x"00" ) then v_Z := '1'; else v_Z := '0'; end if; v_C := v_alu_out(8); else v_V_xor := (v_op_src_in(15) and v_op_dst_in(15)); v_V := ( (not(v_op_src_in(15)) and not(v_op_dst_in(15)) and v_alu_out(15)) or (v_op_src_in(15) and v_op_dst_in(15) and not( v_alu_out(15))) ); v_N := v_alu_out(15); if ( v_alu_out = x"0000" ) then v_Z := '1'; else v_Z := '0'; end if; v_C := v_alu_out_nxt(16); end if; if ( d.inst_alu(C_ALU_SHIFT) = '1' ) then v_alu_stat := '0' & v_N & v_Z & v_op_src_in(0); else if ( d.inst_alu(C_ALU_STAT_7) = '1' ) then v_alu_stat := '0' & v_N & v_Z & not(v_Z); else if ( d.inst_alu(C_ALU_XOR) = '1' ) then v_alu_stat := v_V_xor & v_N & v_Z & not(v_Z); else v_alu_stat := v_V & v_N & v_Z & v_C; end if; end if; end if; if ( (d.inst_alu(C_ALU_STAT_F) and d.exec_cycle) = '1' ) then v_alu_stat_wr := "1111"; else v_alu_stat_wr := "0000"; end if; --! OUTPUTs alu_out <= v_alu_out; --! ALU output value alu_out_add <= v_alu_out_add; --! ALU adder output value alu_stat <= v_alu_stat; --! ALU Status {V,N,Z,C} alu_stat_wr <= v_alu_stat_wr; --! ALU Status write {V,N,Z,C} end process COMB; end RTL; --! fmsp_alu
bsd-3-clause
710ee3ef81c51893da6989d856939684
0.524807
2.786868
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-altera-ep2s60-ddr/leon3mp.vhd
1
21,006
----------------------------------------------------------------------------- -- LEON3 Demonstration design -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library gaisler; use gaisler.memctrl.all; use gaisler.ddrpkg.all; use gaisler.leon3.all; use gaisler.uart.all; use gaisler.misc.all; use gaisler.jtag.all; library esa; use esa.memoryctrl.all; use work.config.all; entity leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; ncpu : integer := CFG_NCPU; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; freq : integer := 50000 -- frequency of main clock (used for PLLs) ); port ( resetn : in std_ulogic; clk : in std_ulogic; errorn : out std_ulogic; -- flash/ethernet bus address : out std_logic_vector(23 downto 0); data : inout std_logic_vector(31 downto 0); romsn : out std_ulogic; oen : out std_logic; writen : out std_logic; byten : out std_logic; wpn : out std_logic; -- SSRAM ssram_ce1n : out std_logic; ssram_ce2 : out std_logic; ssram_ce3n : out std_logic; ssram_wen : out std_logic; ssram_bw : out std_logic_vector (0 to 3); ssram_oen : out std_ulogic; ssaddr : out std_logic_vector(20 downto 2); ssdata : inout std_logic_vector(31 downto 0); ssram_clk : out std_ulogic; ssram_adscn : out std_ulogic; ssram_adsp_n : out std_ulogic; ssram_adv_n : out std_ulogic; -- pragma translate_off iosn : out std_ulogic; -- pragma translate_on ddr_clkin : in std_logic; ddr_clk : out std_logic; ddr_clkn : out std_logic; ddr_cke : out std_logic; ddr_csb : out std_logic; ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (12 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (15 downto 0); -- ddr data -- debug support unit dsubren : in std_ulogic; dsuact : out std_ulogic; -- console/debug UART rxd1 : in std_logic; txd1 : out std_logic; -- for smsc lan chip eth_aen : out std_logic; eth_readn : out std_logic; eth_writen: out std_logic; eth_nbe : out std_logic_vector(3 downto 0); eth_lclk : out std_ulogic; eth_nads : out std_logic; eth_ncycle : out std_logic; eth_wnr : out std_logic; eth_nvlbus : out std_logic; eth_nrdyrtn : out std_logic; eth_ndatacs : out std_logic; gpio : inout std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0) -- I/O port ); end; architecture rtl of leon3mp is constant blength : integer := 12; constant fifodepth : integer := 8; constant maxahbm : integer := NCPU+CFG_AHB_UART+CFG_AHB_JTAG; signal vcc, gnd : std_logic_vector(7 downto 0); signal memi, smemi : memory_in_type; signal memo, smemo : memory_out_type; signal wpo : wprot_out_type; signal ddrclkfb, ssrclkfb, ddr_clkl, ddr_clk90l, ddr_clknl, ddr_clk270l : std_ulogic; signal ddr_clkv : std_logic_vector(2 downto 0); signal ddr_clkbv : std_logic_vector(2 downto 0); signal ddr_ckev : std_logic_vector(1 downto 0); signal ddr_csbv : std_logic_vector(1 downto 0); signal ddr_adl : std_logic_vector (13 downto 0); signal clklock, lock, clkml, rst, ndsuact : std_ulogic; signal tck, tckn, tms, tdi, tdo : std_ulogic; signal ddrclk, ddrrst : std_ulogic; -- attribute syn_keep : boolean; -- attribute syn_preserve : boolean; -- attribute syn_keep of clkml : signal is true; -- attribute syn_preserve of clkml : signal is true; --for smc lan chip signal s_eth_aen : std_logic; signal s_eth_readn : std_logic; signal s_eth_writen: std_logic; signal s_eth_nbe : std_logic_vector(3 downto 0); signal ssd, prd : std_logic_vector(31 downto 0); signal apbi : apb_slv_in_type; signal apbo : apb_slv_out_vector := (others => apb_none); signal ahbsi : ahb_slv_in_type; signal ahbso : ahb_slv_out_vector := (others => ahbs_none); signal ahbmi : ahb_mst_in_type; signal ahbmo : ahb_mst_out_vector; signal clkm, rstn, ssram_clkl : std_ulogic; signal cgi : clkgen_in_type; signal cgo : clkgen_out_type; signal u1i, dui : uart_in_type; signal u1o, duo : uart_out_type; signal irqi : irq_in_vector(0 to NCPU-1); signal irqo : irq_out_vector(0 to NCPU-1); signal dbgi : l3_debug_in_vector(0 to NCPU-1); signal dbgo : l3_debug_out_vector(0 to NCPU-1); signal dsui : dsu_in_type; signal dsuo : dsu_out_type; signal gpti : gptimer_in_type; signal gpioi : gpio_in_type; signal gpioo : gpio_out_type; constant IOAEN : integer := 1; constant BOARD_FREQ : integer := 50000; -- input frequency in KHz constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz signal dsubre : std_ulogic; component smc_mctrl generic ( hindex : integer := 0; pindex : integer := 0; romaddr : integer := 16#000#; rommask : integer := 16#E00#; ioaddr : integer := 16#200#; iomask : integer := 16#E00#; ramaddr : integer := 16#400#; rammask : integer := 16#C00#; paddr : integer := 0; pmask : integer := 16#fff#; wprot : integer := 0; invclk : integer := 0; fast : integer := 0; romasel : integer := 28; sdrasel : integer := 29; srbanks : integer := 4; ram8 : integer := 0; ram16 : integer := 0; sden : integer := 0; sepbus : integer := 0; sdbits : integer := 32; sdlsb : integer := 2; oepol : integer := 0; syncrst : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; memi : in memory_in_type; memo : out memory_out_type; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; wpo : in wprot_out_type; sdo : out sdram_out_type; eth_aen : out std_ulogic; -- for smsc lan chip eth_readn : out std_ulogic; -- for smsc lan chip eth_writen: out std_ulogic; -- for smsc lan chip eth_nbe : out std_logic_vector(3 downto 0) -- for smsc lan chip ); end component; begin ---------------------------------------------------------------------- --- Reset and Clock generation ------------------------------------- ---------------------------------------------------------------------- vcc <= (others => '1'); gnd <= (others => '0'); cgi.pllctrl <= "00"; cgi.pllrst <= not resetn; cgi.pllref <= '0'; clklock <= cgo.clklock and lock; clkgen0 : clkgen -- clock generator using toplevel generic 'freq' generic map (tech => CFG_CLKTECH, clk_mul => CFG_CLKMUL, clk_div => CFG_CLKDIV, sdramen => CFG_MCTRL_SDEN, freq => freq) port map (clkin => clk, pciclkin => gnd(0), clk => clkm, clkn => open, clk2x => open, sdclk => ssram_clkl, pciclk => open, cgi => cgi, cgo => cgo); ssrclk_pad : outpad generic map (tech => padtech, slew => 1, strength => 24) port map (ssram_clk, ssram_clkl); rst0 : rstgen -- reset generator port map (resetn, clkm, clklock, rstn); ---------------------------------------------------------------------- --- AHB CONTROLLER -------------------------------------------------- ---------------------------------------------------------------------- ahb0 : ahbctrl -- AHB arbiter/multiplexer generic map (defmast => CFG_DEFMST, split => CFG_SPLIT, rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => IOAEN, nahbm => maxahbm, nahbs => 8) port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso); ---------------------------------------------------------------------- --- LEON3 processor and DSU ----------------------------------------- ---------------------------------------------------------------------- l3 : if CFG_LEON3 = 1 generate cpu : for i in 0 to NCPU-1 generate u0 : leon3s -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, NCPU-1, 0, 0, CFG_MMU_PAGE, CFG_BP) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i)); end generate; errorn_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error); dsugen : if CFG_DSU = 1 generate dsu0 : dsu3 -- LEON3 Debug Support Unit generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#, ncpu => NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ) port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo); dsui.enable <= '1'; dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break); dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active); end generate; end generate; nodsu : if CFG_DSU = 0 generate ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0'; end generate; dcomgen : if CFG_AHB_UART = 1 generate dcom0 : ahbuart -- Debug UART generic map (hindex => NCPU, pindex => 4, paddr => 7) port map (rstn, clkm, dui, duo, apbi, apbo(4), ahbmi, ahbmo(NCPU)); dsurx_pad : inpad generic map (tech => padtech) port map (rxd1, dui.rxd); dsutx_pad : outpad generic map (tech => padtech) port map (txd1, duo.txd); end generate; nouah : if CFG_AHB_UART = 0 generate apbo(4) <= apb_none; end generate; ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => NCPU+CFG_AHB_UART) port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(NCPU+CFG_AHB_UART), open, open, open, open, open, open, open, gnd(0)); end generate; ---------------------------------------------------------------------- --- Memory controllers ---------------------------------------------- ---------------------------------------------------------------------- mg2 : if CFG_MCTRL_LEON2 = 1 generate -- LEON2 memory controller sr1 : smc_mctrl generic map (hindex => 0, pindex => 0, paddr => 0, ramaddr => 16#400#+16#600#*CFG_DDRSP, rammask =>16#F00#, srbanks => 1, sden => 0, ram8 => 1) port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, open, s_eth_aen, s_eth_readn, s_eth_writen, s_eth_nbe); end generate; wpn <= '1'; byten <= '0'; memi.brdyn <= '1'; memi.bexcn <= '1'; memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "00"; mg0 : if CFG_MCTRL_LEON2 = 0 generate -- no prom/sram pads apbo(0) <= apb_none; ahbso(0) <= ahbs_none; roms_pad : outpad generic map (tech => padtech) port map (romsn, vcc(0)); end generate; mgpads : if CFG_MCTRL_LEON2 = 1 generate -- prom/sram pads addr_pad : outpadv generic map (width => 24, tech => padtech) port map (address, memo.address(23 downto 0)); roms_pad : outpad generic map (tech => padtech) port map (romsn, memo.romsn(0)); oen_pad : outpad generic map (tech => padtech) port map (oen, memo.oen); wri_pad : outpad generic map (tech => padtech) port map (writen, memo.writen); -- pragma translate_off iosn_pad : outpad generic map (tech => padtech) port map (iosn, memo.iosn); -- pragma translate_on ssram_adv_n_pad : outpad generic map (tech => padtech) port map (ssram_adv_n, vcc(0)); ssram_adsp_n_pad : outpad generic map (tech => padtech) port map (ssram_adsp_n, gnd(0)); ssaddr_pad : outpadv generic map (width => 19, tech => padtech) port map (ssaddr, memo.address(20 downto 2)); ssram_adscn_pad : outpad generic map (tech => padtech) port map (ssram_adscn, vcc(0)); ssram_ce1n_pad : outpad generic map (tech => padtech) port map (ssram_ce1n, gnd(0)); ssram_ce2_pad : outpad generic map (tech => padtech) port map (ssram_ce2, vcc(0)); ssrams_pad : outpad generic map ( tech => padtech) port map (ssram_ce3n, memo.ramsn(0)); ssram_oen_pad : outpad generic map (tech => padtech) port map (ssram_oen, memo.oen); ssram_rwen_pad : outpadv generic map (width => 4, tech => padtech) port map (ssram_bw, memo.wrn); ssram_wri_pad : outpad generic map (tech => padtech) port map (ssram_wen, memo.writen); ssram_data_pads : iopadvv generic map (tech => padtech, width => 32) port map (ssdata, memo.data, memo.vbdrive, ssd); memi.data(31 downto 0) <= ssd when memo.ramsn(0) = '0' else prd; -- for smc lan chip eth_aen_pad : outpad generic map (tech => padtech) port map (eth_aen, s_eth_aen); eth_readn_pad : outpad generic map (tech => padtech) port map (eth_readn, s_eth_readn); eth_writen_pad : outpad generic map (tech => padtech) port map (eth_writen, s_eth_writen); eth_nbe_pad : outpadv generic map (width => 4, tech => padtech) port map (eth_nbe, s_eth_nbe); data_pad : iopadvv generic map (tech => padtech, width => 32) port map (data(31 downto 0), memo.data(31 downto 0), memo.vbdrive, prd); end generate; ddrsp0 : if (CFG_DDRSP /= 0) generate ddrc0 : ddrspa generic map ( fabtech => fabtech, memtech => memtech, hindex => 3, haddr => 16#400#, hmask => 16#F00#, ioaddr => 1, pwron => CFG_DDRSP_INIT, MHz => BOARD_FREQ/1000, clkmul => CFG_DDRSP_FREQ/5, clkdiv => 10, ahbfreq => CPU_FREQ/1000, col => CFG_DDRSP_COL, Mbyte => CFG_DDRSP_SIZE, ddrbits => 16) port map ( resetn, rstn, ddr_clkin, clkm, lock, clkml, clkml, ahbsi, ahbso(3), ddr_clkv, ddr_clkbv, open, gnd(0), ddr_ckev, ddr_csbv, ddr_web, ddr_rasb, ddr_casb, ddr_dm, ddr_dqs, ddr_adl, ddr_ba, ddr_dq); ddr_ad <= ddr_adl(12 downto 0); ddr_clk <= ddr_clkv(0); ddr_clkn <= ddr_clkbv(0); ddr_cke <= ddr_ckev(0); ddr_csb <= ddr_csbv(0); end generate; ddrsp1 : if (CFG_DDRSP = 0) generate ddr_cke <= '0'; ddr_csb <= '1'; lock <= '1'; end generate; ---------------------------------------------------------------------- --- APB Bridge and various periherals ------------------------------- ---------------------------------------------------------------------- apb0 : apbctrl -- AHB/APB bridge generic map (hindex => 1, haddr => CFG_APBADDR) port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo); ua1 : if CFG_UART1_ENABLE /= 0 generate uart1 : apbuart -- UART 1 generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart, fifosize => CFG_UART1_FIFO) port map (rstn, clkm, apbi, apbo(1), u1i, u1o); u1i.ctsn <= '0'; u1i.extclk <= '0'; upads : if CFG_AHB_UART = 0 generate u1i.rxd <= rxd1; txd1 <= u1o.txd; end generate; end generate; noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate; irqctrl : if CFG_IRQ3_ENABLE /= 0 generate irqctrl0 : irqmp -- interrupt controller generic map (pindex => 2, paddr => 2, ncpu => NCPU) port map (rstn, clkm, apbi, apbo(2), irqo, irqi); end generate; irq3 : if CFG_IRQ3_ENABLE = 0 generate x : for i in 0 to NCPU-1 generate irqi(i).irl <= "0000"; end generate; apbo(2) <= apb_none; end generate; gpt : if CFG_GPT_ENABLE /= 0 generate timer0 : gptimer -- timer unit generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ, sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM, nbits => CFG_GPT_TW) port map (rstn, clkm, apbi, apbo(3), gpti, open); gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0'; end generate; notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate; gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GPIO unit grgpio0: grgpio generic map(pindex => 5, paddr => 5, imask => CFG_GRGPIO_IMASK, nbits => CFG_GRGPIO_WIDTH) port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(5), gpioi => gpioi, gpioo => gpioo); pio_pads : for i in 0 to CFG_GRGPIO_WIDTH-1 generate pio_pad : iopad generic map (tech => padtech) port map (gpio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i)); end generate; end generate; ----------------------------------------------------------------------- --- AHB ROM ---------------------------------------------------------- ----------------------------------------------------------------------- bpromgen : if CFG_AHBROMEN /= 0 generate brom : entity work.ahbrom generic map (hindex => 6, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP) port map ( rstn, clkm, ahbsi, ahbso(6)); end generate; nobpromgen : if CFG_AHBROMEN = 0 generate ahbso(6) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- AHB RAM ---------------------------------------------------------- ----------------------------------------------------------------------- ahbramgen : if CFG_AHBRAMEN = 1 generate ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR, tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ, pipe => CFG_AHBRPIPE) port map (rstn, clkm, ahbsi, ahbso(7)); end generate; nram : if CFG_AHBRAMEN = 0 generate ahbso(7) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- Drive unused bus elements --------------------------------------- ----------------------------------------------------------------------- nam1 : for i in (NCPU+CFG_AHB_UART+CFG_AHB_JTAG) to NAHBMST-1 generate ahbmo(i) <= ahbm_none; end generate; nap0 : for i in 6 to NAPBSLV-1 generate apbo(i) <= apb_none; end generate; nah0 : for i in 8 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate; -- invert signal for input via a key dsubre <= not dsubren; -- for smc lan chip eth_lclk <= vcc(0); eth_nads <= gnd(0); eth_ncycle <= vcc(0); eth_wnr <= vcc(0); eth_nvlbus <= vcc(0); eth_nrdyrtn <= vcc(0); eth_ndatacs <= vcc(0); ----------------------------------------------------------------------- --- Boot message ---------------------------------------------------- ----------------------------------------------------------------------- -- pragma translate_off x : report_design generic map ( msg1 => "LEON3 Altera EP2C60 SSRAM/DDR Demonstration design", fabtech => tech_table(fabtech), memtech => tech_table(memtech), mdel => 1 ); -- pragma translate_on end;
gpl-2.0
bda086d6e2abe660c7efe12b0a6c03ff
0.545796
3.662134
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/led_controller/led_controller.cache/ip/2017.3/2d864de4d8e716fd/led_controller_design_led_controller_0_1_sim_netlist.vhdl
1
66,559
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.3 (lin64) Build 2018833 Wed Oct 4 19:58:07 MDT 2017 -- Date : Tue Oct 17 15:19:39 2017 -- Host : TacitMonolith running 64-bit Ubuntu 16.04.3 LTS -- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ led_controller_design_led_controller_0_1_sim_netlist.vhdl -- Design : led_controller_design_led_controller_0_1 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_led_controller_v1_0_S00_AXI is port ( S_AXI_ARREADY : out STD_LOGIC; S_AXI_AWREADY : out STD_LOGIC; S_AXI_WREADY : out STD_LOGIC; LEDs_out : out STD_LOGIC_VECTOR ( 7 downto 0 ); s00_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_rvalid : out STD_LOGIC; s00_axi_bvalid : out STD_LOGIC; s00_axi_arvalid : in STD_LOGIC; s00_axi_aclk : in STD_LOGIC; s00_axi_awaddr : in STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_awvalid : in STD_LOGIC; s00_axi_wvalid : in STD_LOGIC; s00_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_araddr : in STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_aresetn : in STD_LOGIC; s00_axi_bready : in STD_LOGIC; s00_axi_rready : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_led_controller_v1_0_S00_AXI; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_led_controller_v1_0_S00_AXI is signal \^leds_out\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \^s_axi_arready\ : STD_LOGIC; signal \^s_axi_awready\ : STD_LOGIC; signal \^s_axi_wready\ : STD_LOGIC; signal aw_en_i_1_n_0 : STD_LOGIC; signal aw_en_reg_n_0 : STD_LOGIC; signal axi_araddr : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \axi_araddr[2]_i_1_n_0\ : STD_LOGIC; signal \axi_araddr[3]_i_1_n_0\ : STD_LOGIC; signal axi_arready_i_1_n_0 : STD_LOGIC; signal \axi_awaddr[2]_i_1_n_0\ : STD_LOGIC; signal \axi_awaddr[3]_i_1_n_0\ : STD_LOGIC; signal axi_awready0 : STD_LOGIC; signal axi_bvalid_i_1_n_0 : STD_LOGIC; signal axi_rvalid_i_1_n_0 : STD_LOGIC; signal axi_wready0 : STD_LOGIC; signal p_0_in : STD_LOGIC_VECTOR ( 1 downto 0 ); signal p_1_in : STD_LOGIC_VECTOR ( 31 downto 7 ); signal reg_data_out : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s00_axi_bvalid\ : STD_LOGIC; signal \^s00_axi_rvalid\ : STD_LOGIC; signal slv_reg0 : STD_LOGIC_VECTOR ( 31 downto 8 ); signal \slv_reg0[7]_i_1_n_0\ : STD_LOGIC; signal slv_reg1 : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \slv_reg1[15]_i_1_n_0\ : STD_LOGIC; signal \slv_reg1[23]_i_1_n_0\ : STD_LOGIC; signal \slv_reg1[31]_i_1_n_0\ : STD_LOGIC; signal \slv_reg1[7]_i_1_n_0\ : STD_LOGIC; signal slv_reg2 : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \slv_reg2[15]_i_1_n_0\ : STD_LOGIC; signal \slv_reg2[23]_i_1_n_0\ : STD_LOGIC; signal \slv_reg2[31]_i_1_n_0\ : STD_LOGIC; signal \slv_reg2[7]_i_1_n_0\ : STD_LOGIC; signal slv_reg3 : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \slv_reg3[15]_i_1_n_0\ : STD_LOGIC; signal \slv_reg3[23]_i_1_n_0\ : STD_LOGIC; signal \slv_reg3[31]_i_1_n_0\ : STD_LOGIC; signal \slv_reg3[7]_i_1_n_0\ : STD_LOGIC; signal \slv_reg_rden__0\ : STD_LOGIC; signal \slv_reg_wren__0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axi_araddr[3]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of axi_arready_i_1 : label is "soft_lutpair1"; attribute SOFT_HLUTNM of axi_wready_i_1 : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \slv_reg0[7]_i_3\ : label is "soft_lutpair0"; begin LEDs_out(7 downto 0) <= \^leds_out\(7 downto 0); S_AXI_ARREADY <= \^s_axi_arready\; S_AXI_AWREADY <= \^s_axi_awready\; S_AXI_WREADY <= \^s_axi_wready\; s00_axi_bvalid <= \^s00_axi_bvalid\; s00_axi_rvalid <= \^s00_axi_rvalid\; aw_en_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"F7FFC4CCC4CCC4CC" ) port map ( I0 => s00_axi_wvalid, I1 => aw_en_reg_n_0, I2 => \^s_axi_awready\, I3 => s00_axi_awvalid, I4 => s00_axi_bready, I5 => \^s00_axi_bvalid\, O => aw_en_i_1_n_0 ); aw_en_reg: unisim.vcomponents.FDSE port map ( C => s00_axi_aclk, CE => '1', D => aw_en_i_1_n_0, Q => aw_en_reg_n_0, S => \slv_reg0[7]_i_1_n_0\ ); \axi_araddr[2]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s00_axi_araddr(0), I1 => s00_axi_arvalid, I2 => \^s_axi_arready\, I3 => axi_araddr(2), O => \axi_araddr[2]_i_1_n_0\ ); \axi_araddr[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s00_axi_araddr(1), I1 => s00_axi_arvalid, I2 => \^s_axi_arready\, I3 => axi_araddr(3), O => \axi_araddr[3]_i_1_n_0\ ); \axi_araddr_reg[2]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => '1', D => \axi_araddr[2]_i_1_n_0\, Q => axi_araddr(2), R => \slv_reg0[7]_i_1_n_0\ ); \axi_araddr_reg[3]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => '1', D => \axi_araddr[3]_i_1_n_0\, Q => axi_araddr(3), R => \slv_reg0[7]_i_1_n_0\ ); axi_arready_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => s00_axi_arvalid, I1 => \^s_axi_arready\, O => axi_arready_i_1_n_0 ); axi_arready_reg: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => '1', D => axi_arready_i_1_n_0, Q => \^s_axi_arready\, R => \slv_reg0[7]_i_1_n_0\ ); \axi_awaddr[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FBFFFFFF08000000" ) port map ( I0 => s00_axi_awaddr(0), I1 => s00_axi_awvalid, I2 => \^s_axi_awready\, I3 => aw_en_reg_n_0, I4 => s00_axi_wvalid, I5 => p_0_in(0), O => \axi_awaddr[2]_i_1_n_0\ ); \axi_awaddr[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FBFFFFFF08000000" ) port map ( I0 => s00_axi_awaddr(1), I1 => s00_axi_awvalid, I2 => \^s_axi_awready\, I3 => aw_en_reg_n_0, I4 => s00_axi_wvalid, I5 => p_0_in(1), O => \axi_awaddr[3]_i_1_n_0\ ); \axi_awaddr_reg[2]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => '1', D => \axi_awaddr[2]_i_1_n_0\, Q => p_0_in(0), R => \slv_reg0[7]_i_1_n_0\ ); \axi_awaddr_reg[3]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => '1', D => \axi_awaddr[3]_i_1_n_0\, Q => p_0_in(1), R => \slv_reg0[7]_i_1_n_0\ ); axi_awready_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"2000" ) port map ( I0 => s00_axi_awvalid, I1 => \^s_axi_awready\, I2 => aw_en_reg_n_0, I3 => s00_axi_wvalid, O => axi_awready0 ); axi_awready_reg: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => '1', D => axi_awready0, Q => \^s_axi_awready\, R => \slv_reg0[7]_i_1_n_0\ ); axi_bvalid_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"0000FFFF80008000" ) port map ( I0 => \^s_axi_wready\, I1 => \^s_axi_awready\, I2 => s00_axi_awvalid, I3 => s00_axi_wvalid, I4 => s00_axi_bready, I5 => \^s00_axi_bvalid\, O => axi_bvalid_i_1_n_0 ); axi_bvalid_reg: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => '1', D => axi_bvalid_i_1_n_0, Q => \^s00_axi_bvalid\, R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(0), I1 => \^leds_out\(0), I2 => slv_reg3(0), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(0), O => reg_data_out(0) ); \axi_rdata[10]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(10), I1 => slv_reg0(10), I2 => slv_reg3(10), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(10), O => reg_data_out(10) ); \axi_rdata[11]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(11), I1 => slv_reg0(11), I2 => slv_reg3(11), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(11), O => reg_data_out(11) ); \axi_rdata[12]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(12), I1 => slv_reg0(12), I2 => slv_reg3(12), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(12), O => reg_data_out(12) ); \axi_rdata[13]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(13), I1 => slv_reg0(13), I2 => slv_reg3(13), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(13), O => reg_data_out(13) ); \axi_rdata[14]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(14), I1 => slv_reg0(14), I2 => slv_reg3(14), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(14), O => reg_data_out(14) ); \axi_rdata[15]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(15), I1 => slv_reg0(15), I2 => slv_reg3(15), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(15), O => reg_data_out(15) ); \axi_rdata[16]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(16), I1 => slv_reg0(16), I2 => slv_reg3(16), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(16), O => reg_data_out(16) ); \axi_rdata[17]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(17), I1 => slv_reg0(17), I2 => slv_reg3(17), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(17), O => reg_data_out(17) ); \axi_rdata[18]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(18), I1 => slv_reg0(18), I2 => slv_reg3(18), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(18), O => reg_data_out(18) ); \axi_rdata[19]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(19), I1 => slv_reg0(19), I2 => slv_reg3(19), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(19), O => reg_data_out(19) ); \axi_rdata[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(1), I1 => \^leds_out\(1), I2 => slv_reg3(1), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(1), O => reg_data_out(1) ); \axi_rdata[20]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(20), I1 => slv_reg0(20), I2 => slv_reg3(20), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(20), O => reg_data_out(20) ); \axi_rdata[21]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(21), I1 => slv_reg0(21), I2 => slv_reg3(21), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(21), O => reg_data_out(21) ); \axi_rdata[22]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(22), I1 => slv_reg0(22), I2 => slv_reg3(22), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(22), O => reg_data_out(22) ); \axi_rdata[23]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(23), I1 => slv_reg0(23), I2 => slv_reg3(23), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(23), O => reg_data_out(23) ); \axi_rdata[24]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(24), I1 => slv_reg0(24), I2 => slv_reg3(24), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(24), O => reg_data_out(24) ); \axi_rdata[25]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(25), I1 => slv_reg0(25), I2 => slv_reg3(25), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(25), O => reg_data_out(25) ); \axi_rdata[26]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(26), I1 => slv_reg0(26), I2 => slv_reg3(26), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(26), O => reg_data_out(26) ); \axi_rdata[27]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(27), I1 => slv_reg0(27), I2 => slv_reg3(27), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(27), O => reg_data_out(27) ); \axi_rdata[28]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(28), I1 => slv_reg0(28), I2 => slv_reg3(28), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(28), O => reg_data_out(28) ); \axi_rdata[29]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(29), I1 => slv_reg0(29), I2 => slv_reg3(29), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(29), O => reg_data_out(29) ); \axi_rdata[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(2), I1 => \^leds_out\(2), I2 => slv_reg3(2), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(2), O => reg_data_out(2) ); \axi_rdata[30]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(30), I1 => slv_reg0(30), I2 => slv_reg3(30), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(30), O => reg_data_out(30) ); \axi_rdata[31]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(31), I1 => slv_reg0(31), I2 => slv_reg3(31), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(31), O => reg_data_out(31) ); \axi_rdata[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(3), I1 => \^leds_out\(3), I2 => slv_reg3(3), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(3), O => reg_data_out(3) ); \axi_rdata[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(4), I1 => \^leds_out\(4), I2 => slv_reg3(4), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(4), O => reg_data_out(4) ); \axi_rdata[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(5), I1 => \^leds_out\(5), I2 => slv_reg3(5), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(5), O => reg_data_out(5) ); \axi_rdata[6]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(6), I1 => \^leds_out\(6), I2 => slv_reg3(6), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(6), O => reg_data_out(6) ); \axi_rdata[7]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(7), I1 => \^leds_out\(7), I2 => slv_reg3(7), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(7), O => reg_data_out(7) ); \axi_rdata[8]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(8), I1 => slv_reg0(8), I2 => slv_reg3(8), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(8), O => reg_data_out(8) ); \axi_rdata[9]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0AAFFCCF0AA00CC" ) port map ( I0 => slv_reg1(9), I1 => slv_reg0(9), I2 => slv_reg3(9), I3 => axi_araddr(3), I4 => axi_araddr(2), I5 => slv_reg2(9), O => reg_data_out(9) ); \axi_rdata_reg[0]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(0), Q => s00_axi_rdata(0), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[10]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(10), Q => s00_axi_rdata(10), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[11]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(11), Q => s00_axi_rdata(11), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[12]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(12), Q => s00_axi_rdata(12), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[13]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(13), Q => s00_axi_rdata(13), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[14]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(14), Q => s00_axi_rdata(14), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[15]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(15), Q => s00_axi_rdata(15), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[16]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(16), Q => s00_axi_rdata(16), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[17]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(17), Q => s00_axi_rdata(17), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[18]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(18), Q => s00_axi_rdata(18), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[19]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(19), Q => s00_axi_rdata(19), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[1]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(1), Q => s00_axi_rdata(1), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[20]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(20), Q => s00_axi_rdata(20), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[21]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(21), Q => s00_axi_rdata(21), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[22]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(22), Q => s00_axi_rdata(22), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[23]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(23), Q => s00_axi_rdata(23), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[24]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(24), Q => s00_axi_rdata(24), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[25]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(25), Q => s00_axi_rdata(25), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[26]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(26), Q => s00_axi_rdata(26), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[27]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(27), Q => s00_axi_rdata(27), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[28]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(28), Q => s00_axi_rdata(28), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[29]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(29), Q => s00_axi_rdata(29), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[2]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(2), Q => s00_axi_rdata(2), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[30]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(30), Q => s00_axi_rdata(30), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[31]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(31), Q => s00_axi_rdata(31), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[3]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(3), Q => s00_axi_rdata(3), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[4]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(4), Q => s00_axi_rdata(4), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[5]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(5), Q => s00_axi_rdata(5), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[6]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(6), Q => s00_axi_rdata(6), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[7]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(7), Q => s00_axi_rdata(7), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[8]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(8), Q => s00_axi_rdata(8), R => \slv_reg0[7]_i_1_n_0\ ); \axi_rdata_reg[9]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg_rden__0\, D => reg_data_out(9), Q => s00_axi_rdata(9), R => \slv_reg0[7]_i_1_n_0\ ); axi_rvalid_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"08F8" ) port map ( I0 => \^s_axi_arready\, I1 => s00_axi_arvalid, I2 => \^s00_axi_rvalid\, I3 => s00_axi_rready, O => axi_rvalid_i_1_n_0 ); axi_rvalid_reg: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => '1', D => axi_rvalid_i_1_n_0, Q => \^s00_axi_rvalid\, R => \slv_reg0[7]_i_1_n_0\ ); axi_wready_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"4000" ) port map ( I0 => \^s_axi_wready\, I1 => s00_axi_wvalid, I2 => s00_axi_awvalid, I3 => aw_en_reg_n_0, O => axi_wready0 ); axi_wready_reg: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => '1', D => axi_wready0, Q => \^s_axi_wready\, R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0[15]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0200" ) port map ( I0 => \slv_reg_wren__0\, I1 => p_0_in(1), I2 => p_0_in(0), I3 => s00_axi_wstrb(1), O => p_1_in(15) ); \slv_reg0[23]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0200" ) port map ( I0 => \slv_reg_wren__0\, I1 => p_0_in(1), I2 => p_0_in(0), I3 => s00_axi_wstrb(2), O => p_1_in(23) ); \slv_reg0[31]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0200" ) port map ( I0 => \slv_reg_wren__0\, I1 => p_0_in(1), I2 => p_0_in(0), I3 => s00_axi_wstrb(3), O => p_1_in(31) ); \slv_reg0[7]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => s00_axi_aresetn, O => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0[7]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"0200" ) port map ( I0 => \slv_reg_wren__0\, I1 => p_0_in(1), I2 => p_0_in(0), I3 => s00_axi_wstrb(0), O => p_1_in(7) ); \slv_reg0[7]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \^s_axi_wready\, I1 => \^s_axi_awready\, I2 => s00_axi_awvalid, I3 => s00_axi_wvalid, O => \slv_reg_wren__0\ ); \slv_reg0_reg[0]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(7), D => s00_axi_wdata(0), Q => \^leds_out\(0), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[10]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(15), D => s00_axi_wdata(10), Q => slv_reg0(10), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[11]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(15), D => s00_axi_wdata(11), Q => slv_reg0(11), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[12]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(15), D => s00_axi_wdata(12), Q => slv_reg0(12), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[13]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(15), D => s00_axi_wdata(13), Q => slv_reg0(13), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[14]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(15), D => s00_axi_wdata(14), Q => slv_reg0(14), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[15]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(15), D => s00_axi_wdata(15), Q => slv_reg0(15), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[16]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(23), D => s00_axi_wdata(16), Q => slv_reg0(16), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[17]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(23), D => s00_axi_wdata(17), Q => slv_reg0(17), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[18]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(23), D => s00_axi_wdata(18), Q => slv_reg0(18), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[19]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(23), D => s00_axi_wdata(19), Q => slv_reg0(19), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[1]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(7), D => s00_axi_wdata(1), Q => \^leds_out\(1), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[20]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(23), D => s00_axi_wdata(20), Q => slv_reg0(20), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[21]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(23), D => s00_axi_wdata(21), Q => slv_reg0(21), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[22]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(23), D => s00_axi_wdata(22), Q => slv_reg0(22), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[23]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(23), D => s00_axi_wdata(23), Q => slv_reg0(23), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[24]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(31), D => s00_axi_wdata(24), Q => slv_reg0(24), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[25]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(31), D => s00_axi_wdata(25), Q => slv_reg0(25), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[26]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(31), D => s00_axi_wdata(26), Q => slv_reg0(26), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[27]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(31), D => s00_axi_wdata(27), Q => slv_reg0(27), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[28]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(31), D => s00_axi_wdata(28), Q => slv_reg0(28), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[29]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(31), D => s00_axi_wdata(29), Q => slv_reg0(29), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[2]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(7), D => s00_axi_wdata(2), Q => \^leds_out\(2), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[30]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(31), D => s00_axi_wdata(30), Q => slv_reg0(30), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[31]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(31), D => s00_axi_wdata(31), Q => slv_reg0(31), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[3]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(7), D => s00_axi_wdata(3), Q => \^leds_out\(3), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[4]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(7), D => s00_axi_wdata(4), Q => \^leds_out\(4), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[5]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(7), D => s00_axi_wdata(5), Q => \^leds_out\(5), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[6]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(7), D => s00_axi_wdata(6), Q => \^leds_out\(6), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[7]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(7), D => s00_axi_wdata(7), Q => \^leds_out\(7), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[8]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(15), D => s00_axi_wdata(8), Q => slv_reg0(8), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg0_reg[9]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => p_1_in(15), D => s00_axi_wdata(9), Q => slv_reg0(9), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1[15]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"2000" ) port map ( I0 => \slv_reg_wren__0\, I1 => p_0_in(1), I2 => s00_axi_wstrb(1), I3 => p_0_in(0), O => \slv_reg1[15]_i_1_n_0\ ); \slv_reg1[23]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"2000" ) port map ( I0 => \slv_reg_wren__0\, I1 => p_0_in(1), I2 => s00_axi_wstrb(2), I3 => p_0_in(0), O => \slv_reg1[23]_i_1_n_0\ ); \slv_reg1[31]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"2000" ) port map ( I0 => \slv_reg_wren__0\, I1 => p_0_in(1), I2 => s00_axi_wstrb(3), I3 => p_0_in(0), O => \slv_reg1[31]_i_1_n_0\ ); \slv_reg1[7]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"2000" ) port map ( I0 => \slv_reg_wren__0\, I1 => p_0_in(1), I2 => s00_axi_wstrb(0), I3 => p_0_in(0), O => \slv_reg1[7]_i_1_n_0\ ); \slv_reg1_reg[0]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[7]_i_1_n_0\, D => s00_axi_wdata(0), Q => slv_reg1(0), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[10]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[15]_i_1_n_0\, D => s00_axi_wdata(10), Q => slv_reg1(10), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[11]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[15]_i_1_n_0\, D => s00_axi_wdata(11), Q => slv_reg1(11), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[12]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[15]_i_1_n_0\, D => s00_axi_wdata(12), Q => slv_reg1(12), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[13]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[15]_i_1_n_0\, D => s00_axi_wdata(13), Q => slv_reg1(13), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[14]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[15]_i_1_n_0\, D => s00_axi_wdata(14), Q => slv_reg1(14), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[15]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[15]_i_1_n_0\, D => s00_axi_wdata(15), Q => slv_reg1(15), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[16]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[23]_i_1_n_0\, D => s00_axi_wdata(16), Q => slv_reg1(16), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[17]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[23]_i_1_n_0\, D => s00_axi_wdata(17), Q => slv_reg1(17), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[18]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[23]_i_1_n_0\, D => s00_axi_wdata(18), Q => slv_reg1(18), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[19]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[23]_i_1_n_0\, D => s00_axi_wdata(19), Q => slv_reg1(19), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[1]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[7]_i_1_n_0\, D => s00_axi_wdata(1), Q => slv_reg1(1), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[20]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[23]_i_1_n_0\, D => s00_axi_wdata(20), Q => slv_reg1(20), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[21]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[23]_i_1_n_0\, D => s00_axi_wdata(21), Q => slv_reg1(21), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[22]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[23]_i_1_n_0\, D => s00_axi_wdata(22), Q => slv_reg1(22), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[23]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[23]_i_1_n_0\, D => s00_axi_wdata(23), Q => slv_reg1(23), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[24]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[31]_i_1_n_0\, D => s00_axi_wdata(24), Q => slv_reg1(24), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[25]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[31]_i_1_n_0\, D => s00_axi_wdata(25), Q => slv_reg1(25), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[26]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[31]_i_1_n_0\, D => s00_axi_wdata(26), Q => slv_reg1(26), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[27]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[31]_i_1_n_0\, D => s00_axi_wdata(27), Q => slv_reg1(27), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[28]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[31]_i_1_n_0\, D => s00_axi_wdata(28), Q => slv_reg1(28), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[29]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[31]_i_1_n_0\, D => s00_axi_wdata(29), Q => slv_reg1(29), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[2]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[7]_i_1_n_0\, D => s00_axi_wdata(2), Q => slv_reg1(2), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[30]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[31]_i_1_n_0\, D => s00_axi_wdata(30), Q => slv_reg1(30), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[31]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[31]_i_1_n_0\, D => s00_axi_wdata(31), Q => slv_reg1(31), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[3]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[7]_i_1_n_0\, D => s00_axi_wdata(3), Q => slv_reg1(3), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[4]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[7]_i_1_n_0\, D => s00_axi_wdata(4), Q => slv_reg1(4), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[5]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[7]_i_1_n_0\, D => s00_axi_wdata(5), Q => slv_reg1(5), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[6]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[7]_i_1_n_0\, D => s00_axi_wdata(6), Q => slv_reg1(6), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[7]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[7]_i_1_n_0\, D => s00_axi_wdata(7), Q => slv_reg1(7), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[8]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[15]_i_1_n_0\, D => s00_axi_wdata(8), Q => slv_reg1(8), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg1_reg[9]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg1[15]_i_1_n_0\, D => s00_axi_wdata(9), Q => slv_reg1(9), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2[15]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => \slv_reg_wren__0\, I1 => p_0_in(1), I2 => s00_axi_wstrb(1), I3 => p_0_in(0), O => \slv_reg2[15]_i_1_n_0\ ); \slv_reg2[23]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => \slv_reg_wren__0\, I1 => p_0_in(1), I2 => s00_axi_wstrb(2), I3 => p_0_in(0), O => \slv_reg2[23]_i_1_n_0\ ); \slv_reg2[31]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => \slv_reg_wren__0\, I1 => p_0_in(1), I2 => s00_axi_wstrb(3), I3 => p_0_in(0), O => \slv_reg2[31]_i_1_n_0\ ); \slv_reg2[7]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => \slv_reg_wren__0\, I1 => p_0_in(1), I2 => s00_axi_wstrb(0), I3 => p_0_in(0), O => \slv_reg2[7]_i_1_n_0\ ); \slv_reg2_reg[0]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[7]_i_1_n_0\, D => s00_axi_wdata(0), Q => slv_reg2(0), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[10]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[15]_i_1_n_0\, D => s00_axi_wdata(10), Q => slv_reg2(10), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[11]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[15]_i_1_n_0\, D => s00_axi_wdata(11), Q => slv_reg2(11), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[12]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[15]_i_1_n_0\, D => s00_axi_wdata(12), Q => slv_reg2(12), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[13]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[15]_i_1_n_0\, D => s00_axi_wdata(13), Q => slv_reg2(13), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[14]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[15]_i_1_n_0\, D => s00_axi_wdata(14), Q => slv_reg2(14), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[15]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[15]_i_1_n_0\, D => s00_axi_wdata(15), Q => slv_reg2(15), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[16]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[23]_i_1_n_0\, D => s00_axi_wdata(16), Q => slv_reg2(16), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[17]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[23]_i_1_n_0\, D => s00_axi_wdata(17), Q => slv_reg2(17), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[18]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[23]_i_1_n_0\, D => s00_axi_wdata(18), Q => slv_reg2(18), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[19]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[23]_i_1_n_0\, D => s00_axi_wdata(19), Q => slv_reg2(19), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[1]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[7]_i_1_n_0\, D => s00_axi_wdata(1), Q => slv_reg2(1), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[20]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[23]_i_1_n_0\, D => s00_axi_wdata(20), Q => slv_reg2(20), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[21]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[23]_i_1_n_0\, D => s00_axi_wdata(21), Q => slv_reg2(21), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[22]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[23]_i_1_n_0\, D => s00_axi_wdata(22), Q => slv_reg2(22), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[23]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[23]_i_1_n_0\, D => s00_axi_wdata(23), Q => slv_reg2(23), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[24]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[31]_i_1_n_0\, D => s00_axi_wdata(24), Q => slv_reg2(24), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[25]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[31]_i_1_n_0\, D => s00_axi_wdata(25), Q => slv_reg2(25), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[26]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[31]_i_1_n_0\, D => s00_axi_wdata(26), Q => slv_reg2(26), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[27]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[31]_i_1_n_0\, D => s00_axi_wdata(27), Q => slv_reg2(27), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[28]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[31]_i_1_n_0\, D => s00_axi_wdata(28), Q => slv_reg2(28), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[29]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[31]_i_1_n_0\, D => s00_axi_wdata(29), Q => slv_reg2(29), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[2]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[7]_i_1_n_0\, D => s00_axi_wdata(2), Q => slv_reg2(2), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[30]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[31]_i_1_n_0\, D => s00_axi_wdata(30), Q => slv_reg2(30), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[31]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[31]_i_1_n_0\, D => s00_axi_wdata(31), Q => slv_reg2(31), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[3]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[7]_i_1_n_0\, D => s00_axi_wdata(3), Q => slv_reg2(3), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[4]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[7]_i_1_n_0\, D => s00_axi_wdata(4), Q => slv_reg2(4), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[5]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[7]_i_1_n_0\, D => s00_axi_wdata(5), Q => slv_reg2(5), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[6]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[7]_i_1_n_0\, D => s00_axi_wdata(6), Q => slv_reg2(6), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[7]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[7]_i_1_n_0\, D => s00_axi_wdata(7), Q => slv_reg2(7), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[8]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[15]_i_1_n_0\, D => s00_axi_wdata(8), Q => slv_reg2(8), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg2_reg[9]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg2[15]_i_1_n_0\, D => s00_axi_wdata(9), Q => slv_reg2(9), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3[15]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \slv_reg_wren__0\, I1 => s00_axi_wstrb(1), I2 => p_0_in(0), I3 => p_0_in(1), O => \slv_reg3[15]_i_1_n_0\ ); \slv_reg3[23]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \slv_reg_wren__0\, I1 => s00_axi_wstrb(2), I2 => p_0_in(0), I3 => p_0_in(1), O => \slv_reg3[23]_i_1_n_0\ ); \slv_reg3[31]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \slv_reg_wren__0\, I1 => s00_axi_wstrb(3), I2 => p_0_in(0), I3 => p_0_in(1), O => \slv_reg3[31]_i_1_n_0\ ); \slv_reg3[7]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \slv_reg_wren__0\, I1 => s00_axi_wstrb(0), I2 => p_0_in(0), I3 => p_0_in(1), O => \slv_reg3[7]_i_1_n_0\ ); \slv_reg3_reg[0]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[7]_i_1_n_0\, D => s00_axi_wdata(0), Q => slv_reg3(0), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[10]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[15]_i_1_n_0\, D => s00_axi_wdata(10), Q => slv_reg3(10), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[11]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[15]_i_1_n_0\, D => s00_axi_wdata(11), Q => slv_reg3(11), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[12]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[15]_i_1_n_0\, D => s00_axi_wdata(12), Q => slv_reg3(12), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[13]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[15]_i_1_n_0\, D => s00_axi_wdata(13), Q => slv_reg3(13), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[14]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[15]_i_1_n_0\, D => s00_axi_wdata(14), Q => slv_reg3(14), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[15]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[15]_i_1_n_0\, D => s00_axi_wdata(15), Q => slv_reg3(15), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[16]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[23]_i_1_n_0\, D => s00_axi_wdata(16), Q => slv_reg3(16), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[17]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[23]_i_1_n_0\, D => s00_axi_wdata(17), Q => slv_reg3(17), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[18]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[23]_i_1_n_0\, D => s00_axi_wdata(18), Q => slv_reg3(18), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[19]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[23]_i_1_n_0\, D => s00_axi_wdata(19), Q => slv_reg3(19), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[1]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[7]_i_1_n_0\, D => s00_axi_wdata(1), Q => slv_reg3(1), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[20]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[23]_i_1_n_0\, D => s00_axi_wdata(20), Q => slv_reg3(20), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[21]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[23]_i_1_n_0\, D => s00_axi_wdata(21), Q => slv_reg3(21), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[22]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[23]_i_1_n_0\, D => s00_axi_wdata(22), Q => slv_reg3(22), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[23]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[23]_i_1_n_0\, D => s00_axi_wdata(23), Q => slv_reg3(23), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[24]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[31]_i_1_n_0\, D => s00_axi_wdata(24), Q => slv_reg3(24), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[25]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[31]_i_1_n_0\, D => s00_axi_wdata(25), Q => slv_reg3(25), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[26]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[31]_i_1_n_0\, D => s00_axi_wdata(26), Q => slv_reg3(26), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[27]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[31]_i_1_n_0\, D => s00_axi_wdata(27), Q => slv_reg3(27), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[28]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[31]_i_1_n_0\, D => s00_axi_wdata(28), Q => slv_reg3(28), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[29]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[31]_i_1_n_0\, D => s00_axi_wdata(29), Q => slv_reg3(29), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[2]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[7]_i_1_n_0\, D => s00_axi_wdata(2), Q => slv_reg3(2), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[30]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[31]_i_1_n_0\, D => s00_axi_wdata(30), Q => slv_reg3(30), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[31]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[31]_i_1_n_0\, D => s00_axi_wdata(31), Q => slv_reg3(31), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[3]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[7]_i_1_n_0\, D => s00_axi_wdata(3), Q => slv_reg3(3), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[4]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[7]_i_1_n_0\, D => s00_axi_wdata(4), Q => slv_reg3(4), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[5]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[7]_i_1_n_0\, D => s00_axi_wdata(5), Q => slv_reg3(5), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[6]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[7]_i_1_n_0\, D => s00_axi_wdata(6), Q => slv_reg3(6), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[7]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[7]_i_1_n_0\, D => s00_axi_wdata(7), Q => slv_reg3(7), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[8]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[15]_i_1_n_0\, D => s00_axi_wdata(8), Q => slv_reg3(8), R => \slv_reg0[7]_i_1_n_0\ ); \slv_reg3_reg[9]\: unisim.vcomponents.FDRE port map ( C => s00_axi_aclk, CE => \slv_reg3[15]_i_1_n_0\, D => s00_axi_wdata(9), Q => slv_reg3(9), R => \slv_reg0[7]_i_1_n_0\ ); slv_reg_rden: unisim.vcomponents.LUT3 generic map( INIT => X"40" ) port map ( I0 => \^s00_axi_rvalid\, I1 => s00_axi_arvalid, I2 => \^s_axi_arready\, O => \slv_reg_rden__0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_led_controller_v1_0 is port ( S_AXI_ARREADY : out STD_LOGIC; S_AXI_AWREADY : out STD_LOGIC; S_AXI_WREADY : out STD_LOGIC; LEDs_out : out STD_LOGIC_VECTOR ( 7 downto 0 ); s00_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_rvalid : out STD_LOGIC; s00_axi_bvalid : out STD_LOGIC; s00_axi_arvalid : in STD_LOGIC; s00_axi_aclk : in STD_LOGIC; s00_axi_awaddr : in STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_awvalid : in STD_LOGIC; s00_axi_wvalid : in STD_LOGIC; s00_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_araddr : in STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_aresetn : in STD_LOGIC; s00_axi_bready : in STD_LOGIC; s00_axi_rready : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_led_controller_v1_0; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_led_controller_v1_0 is begin led_controller_v1_0_S00_AXI_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_led_controller_v1_0_S00_AXI port map ( LEDs_out(7 downto 0) => LEDs_out(7 downto 0), S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_AWREADY => S_AXI_AWREADY, S_AXI_WREADY => S_AXI_WREADY, s00_axi_aclk => s00_axi_aclk, s00_axi_araddr(1 downto 0) => s00_axi_araddr(1 downto 0), s00_axi_aresetn => s00_axi_aresetn, s00_axi_arvalid => s00_axi_arvalid, s00_axi_awaddr(1 downto 0) => s00_axi_awaddr(1 downto 0), s00_axi_awvalid => s00_axi_awvalid, s00_axi_bready => s00_axi_bready, s00_axi_bvalid => s00_axi_bvalid, s00_axi_rdata(31 downto 0) => s00_axi_rdata(31 downto 0), s00_axi_rready => s00_axi_rready, s00_axi_rvalid => s00_axi_rvalid, s00_axi_wdata(31 downto 0) => s00_axi_wdata(31 downto 0), s00_axi_wstrb(3 downto 0) => s00_axi_wstrb(3 downto 0), s00_axi_wvalid => s00_axi_wvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is port ( LEDs_out : out STD_LOGIC_VECTOR ( 7 downto 0 ); s00_axi_awaddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_awvalid : in STD_LOGIC; s00_axi_awready : out STD_LOGIC; s00_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_wvalid : in STD_LOGIC; s00_axi_wready : out STD_LOGIC; s00_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_bvalid : out STD_LOGIC; s00_axi_bready : in STD_LOGIC; s00_axi_araddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_arvalid : in STD_LOGIC; s00_axi_arready : out STD_LOGIC; s00_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_rvalid : out STD_LOGIC; s00_axi_rready : in STD_LOGIC; s00_axi_aclk : in STD_LOGIC; s00_axi_aresetn : in STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "led_controller_design_led_controller_0_1,led_controller_v1_0,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "led_controller_v1_0,Vivado 2017.3"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is signal \<const0>\ : STD_LOGIC; attribute X_INTERFACE_INFO : string; attribute X_INTERFACE_INFO of s00_axi_aclk : signal is "xilinx.com:signal:clock:1.0 S00_AXI_CLK CLK"; attribute X_INTERFACE_PARAMETER : string; attribute X_INTERFACE_PARAMETER of s00_axi_aclk : signal is "XIL_INTERFACENAME S00_AXI_CLK, ASSOCIATED_BUSIF S00_AXI, ASSOCIATED_RESET s00_axi_aresetn, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN led_controller_design_processing_system7_0_0_FCLK_CLK0"; attribute X_INTERFACE_INFO of s00_axi_aresetn : signal is "xilinx.com:signal:reset:1.0 S00_AXI_RST RST"; attribute X_INTERFACE_PARAMETER of s00_axi_aresetn : signal is "XIL_INTERFACENAME S00_AXI_RST, POLARITY ACTIVE_LOW"; attribute X_INTERFACE_INFO of s00_axi_arready : signal is "xilinx.com:interface:aximm:1.0 S00_AXI ARREADY"; attribute X_INTERFACE_INFO of s00_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 S00_AXI ARVALID"; attribute X_INTERFACE_INFO of s00_axi_awready : signal is "xilinx.com:interface:aximm:1.0 S00_AXI AWREADY"; attribute X_INTERFACE_INFO of s00_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 S00_AXI AWVALID"; attribute X_INTERFACE_INFO of s00_axi_bready : signal is "xilinx.com:interface:aximm:1.0 S00_AXI BREADY"; attribute X_INTERFACE_INFO of s00_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 S00_AXI BVALID"; attribute X_INTERFACE_INFO of s00_axi_rready : signal is "xilinx.com:interface:aximm:1.0 S00_AXI RREADY"; attribute X_INTERFACE_PARAMETER of s00_axi_rready : signal is "XIL_INTERFACENAME S00_AXI, WIZ_DATA_WIDTH 32, WIZ_NUM_REG 4, SUPPORTS_NARROW_BURST 0, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 100000000, ID_WIDTH 0, ADDR_WIDTH 4, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 1, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN led_controller_design_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0"; attribute X_INTERFACE_INFO of s00_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 S00_AXI RVALID"; attribute X_INTERFACE_INFO of s00_axi_wready : signal is "xilinx.com:interface:aximm:1.0 S00_AXI WREADY"; attribute X_INTERFACE_INFO of s00_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 S00_AXI WVALID"; attribute X_INTERFACE_INFO of s00_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 S00_AXI ARADDR"; attribute X_INTERFACE_INFO of s00_axi_arprot : signal is "xilinx.com:interface:aximm:1.0 S00_AXI ARPROT"; attribute X_INTERFACE_INFO of s00_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 S00_AXI AWADDR"; attribute X_INTERFACE_INFO of s00_axi_awprot : signal is "xilinx.com:interface:aximm:1.0 S00_AXI AWPROT"; attribute X_INTERFACE_INFO of s00_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 S00_AXI BRESP"; attribute X_INTERFACE_INFO of s00_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 S00_AXI RDATA"; attribute X_INTERFACE_INFO of s00_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 S00_AXI RRESP"; attribute X_INTERFACE_INFO of s00_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 S00_AXI WDATA"; attribute X_INTERFACE_INFO of s00_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 S00_AXI WSTRB"; begin s00_axi_bresp(1) <= \<const0>\; s00_axi_bresp(0) <= \<const0>\; s00_axi_rresp(1) <= \<const0>\; s00_axi_rresp(0) <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_led_controller_v1_0 port map ( LEDs_out(7 downto 0) => LEDs_out(7 downto 0), S_AXI_ARREADY => s00_axi_arready, S_AXI_AWREADY => s00_axi_awready, S_AXI_WREADY => s00_axi_wready, s00_axi_aclk => s00_axi_aclk, s00_axi_araddr(1 downto 0) => s00_axi_araddr(3 downto 2), s00_axi_aresetn => s00_axi_aresetn, s00_axi_arvalid => s00_axi_arvalid, s00_axi_awaddr(1 downto 0) => s00_axi_awaddr(3 downto 2), s00_axi_awvalid => s00_axi_awvalid, s00_axi_bready => s00_axi_bready, s00_axi_bvalid => s00_axi_bvalid, s00_axi_rdata(31 downto 0) => s00_axi_rdata(31 downto 0), s00_axi_rready => s00_axi_rready, s00_axi_rvalid => s00_axi_rvalid, s00_axi_wdata(31 downto 0) => s00_axi_wdata(31 downto 0), s00_axi_wstrb(3 downto 0) => s00_axi_wstrb(3 downto 0), s00_axi_wvalid => s00_axi_wvalid ); end STRUCTURE;
mit
0e70c2abdb9a7a4f2f331f107968eb32
0.513184
2.531434
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/my_lab_1/my_lab_1.srcs/sources_1/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_xbar_1/zqynq_lab_1_design_xbar_1_sim_netlist.vhdl
1
210,255
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Sat Sep 23 13:25:26 2017 -- Host : DarkCube running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- c:/Users/markb/Source/Repos/FPGA_Sandbox/RecComp/Lab1/my_lab_1/my_lab_1.srcs/sources_1/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_xbar_1/zqynq_lab_1_design_xbar_1_sim_netlist.vhdl -- Design : zqynq_lab_1_design_xbar_1 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_addr_arbiter_sasd is port ( aa_grant_any : out STD_LOGIC; m_valid_i : out STD_LOGIC; SR : out STD_LOGIC_VECTOR ( 0 to 0 ); aa_grant_rnw : out STD_LOGIC; \m_ready_d_reg[0]\ : out STD_LOGIC; \m_ready_d_reg[1]\ : out STD_LOGIC; \gen_axi.s_axi_arready_i_reg\ : out STD_LOGIC; s_ready_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_valid_i_reg : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 4 downto 0 ); \m_axi_arqos[3]\ : out STD_LOGIC_VECTOR ( 68 downto 0 ); f_hot2enc_return0 : out STD_LOGIC; \m_atarget_hot_reg[4]\ : out STD_LOGIC; \m_atarget_hot_reg[3]\ : out STD_LOGIC; \gen_axi.s_axi_wready_i_reg\ : out STD_LOGIC; m_ready_d0 : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_axi.s_axi_wready_i_reg_0\ : out STD_LOGIC; \m_ready_d_reg[1]_0\ : out STD_LOGIC; \m_ready_d_reg[2]\ : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bready : out STD_LOGIC_VECTOR ( 3 downto 0 ); \m_ready_d_reg[0]_0\ : out STD_LOGIC; m_axi_awvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_axi.s_axi_awready_i_reg\ : out STD_LOGIC; s_axi_rid_i : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); \m_ready_d_reg[0]_1\ : out STD_LOGIC; s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); \m_atarget_enc_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_axi.s_axi_rlast_i_reg\ : out STD_LOGIC; aclk : in STD_LOGIC; aresetn_d : in STD_LOGIC; \m_atarget_enc_reg[2]_0\ : in STD_LOGIC; \m_atarget_enc_reg[0]\ : in STD_LOGIC; m_ready_d : in STD_LOGIC_VECTOR ( 1 downto 0 ); Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_ready_d_0 : in STD_LOGIC_VECTOR ( 2 downto 0 ); mi_awready : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_atarget_hot_reg[4]_0\ : in STD_LOGIC_VECTOR ( 4 downto 0 ); \m_ready_d_reg[1]_1\ : in STD_LOGIC; \m_atarget_enc_reg[1]\ : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); write_cs : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_atarget_enc_reg[0]_0\ : in STD_LOGIC; s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_atarget_enc_reg[1]_0\ : in STD_LOGIC; \gen_axi.s_axi_bvalid_i_reg\ : in STD_LOGIC; \m_atarget_enc_reg[2]_1\ : in STD_LOGIC; \gen_axi.s_axi_awready_i_reg_0\ : in STD_LOGIC; mi_arready : in STD_LOGIC_VECTOR ( 0 to 0 ); mi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_ready_d0_1 : in STD_LOGIC_VECTOR ( 0 to 0 ); aa_rready : in STD_LOGIC; \gen_axi.read_cs_reg[0]\ : in STD_LOGIC; \m_atarget_enc_reg[1]_1\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); sr_rvalid : in STD_LOGIC; s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_addr_arbiter_sasd : entity is "axi_crossbar_v2_1_14_addr_arbiter_sasd"; end zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_addr_arbiter_sasd; architecture STRUCTURE of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_addr_arbiter_sasd is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^aa_grant_any\ : STD_LOGIC; signal \^aa_grant_rnw\ : STD_LOGIC; signal \^f_hot2enc_return0\ : STD_LOGIC; signal \gen_axi.s_axi_rlast_i_i_5_n_0\ : STD_LOGIC; signal \gen_no_arbiter.grant_rnw_i_1_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_grant_hot_i[0]_i_1_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_grant_hot_i[0]_i_2_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_valid_i_i_1_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_1_n_0\ : STD_LOGIC; signal \m_atarget_hot[1]_i_2_n_0\ : STD_LOGIC; signal \m_atarget_hot[1]_i_3_n_0\ : STD_LOGIC; signal \m_atarget_hot[2]_i_2_n_0\ : STD_LOGIC; signal \m_atarget_hot[2]_i_3_n_0\ : STD_LOGIC; signal \m_atarget_hot[2]_i_4_n_0\ : STD_LOGIC; signal \^m_atarget_hot_reg[3]\ : STD_LOGIC; signal \^m_atarget_hot_reg[4]\ : STD_LOGIC; signal \^m_axi_arqos[3]\ : STD_LOGIC_VECTOR ( 68 downto 0 ); signal \^m_ready_d0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \m_ready_d[0]_i_4_n_0\ : STD_LOGIC; signal \m_ready_d[2]_i_6_n_0\ : STD_LOGIC; signal \^m_ready_d_reg[1]_0\ : STD_LOGIC; signal \^m_valid_i\ : STD_LOGIC; signal m_valid_i_i_2_n_0 : STD_LOGIC; signal p_0_in1_in : STD_LOGIC; signal s_amesg : STD_LOGIC_VECTOR ( 69 downto 0 ); signal \s_arvalid_reg[0]_i_1_n_0\ : STD_LOGIC; signal \s_arvalid_reg_reg_n_0_[0]\ : STD_LOGIC; signal s_awvalid_reg : STD_LOGIC; signal \s_awvalid_reg[0]_i_1_n_0\ : STD_LOGIC; signal s_ready_i : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_axi.s_axi_arready_i_i_3\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \gen_axi.s_axi_awready_i_i_2\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \gen_axi.write_cs[1]_i_2\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \gen_no_arbiter.m_valid_i_i_1\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_1\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \m_atarget_hot[1]_i_3\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \m_atarget_hot[2]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \m_atarget_hot[2]_i_4\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \m_atarget_hot[3]_i_1\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \m_atarget_hot[3]_i_2\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \m_atarget_hot[4]_i_1\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \m_atarget_hot[4]_i_2\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \m_atarget_hot[4]_i_3\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \m_axi_arvalid[0]_INST_0\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \m_axi_arvalid[1]_INST_0\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \m_axi_arvalid[2]_INST_0\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \m_axi_arvalid[3]_INST_0\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \m_axi_awvalid[0]_INST_0\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \m_axi_awvalid[1]_INST_0\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \m_axi_awvalid[2]_INST_0\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \m_axi_awvalid[3]_INST_0\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \m_axi_bready[3]_INST_0\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \m_axi_wvalid[2]_INST_0\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \m_ready_d[0]_i_2\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \m_ready_d[0]_i_3\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \m_ready_d[1]_i_2\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \m_ready_d[1]_i_3\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \m_ready_d[2]_i_2\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of m_valid_i_i_1 : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \s_arvalid_reg[0]_i_1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \s_axi_arready[0]_INST_0\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \s_axi_awready[0]_INST_0\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \s_axi_wready[0]_INST_0\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of s_ready_i_i_1 : label is "soft_lutpair13"; begin E(0) <= \^e\(0); SR(0) <= \^sr\(0); aa_grant_any <= \^aa_grant_any\; aa_grant_rnw <= \^aa_grant_rnw\; f_hot2enc_return0 <= \^f_hot2enc_return0\; \m_atarget_hot_reg[3]\ <= \^m_atarget_hot_reg[3]\; \m_atarget_hot_reg[4]\ <= \^m_atarget_hot_reg[4]\; \m_axi_arqos[3]\(68 downto 0) <= \^m_axi_arqos[3]\(68 downto 0); m_ready_d0(0) <= \^m_ready_d0\(0); \m_ready_d_reg[1]_0\ <= \^m_ready_d_reg[1]_0\; m_valid_i <= \^m_valid_i\; \gen_axi.s_axi_arready_i_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"40" ) port map ( I0 => m_ready_d(1), I1 => \^aa_grant_rnw\, I2 => \^m_valid_i\, O => \gen_axi.s_axi_arready_i_reg\ ); \gen_axi.s_axi_awready_i_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => m_ready_d_0(2), I1 => \^m_valid_i\, I2 => \^aa_grant_rnw\, O => \gen_axi.s_axi_awready_i_reg\ ); \gen_axi.s_axi_rlast_i_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000001" ) port map ( I0 => \gen_axi.s_axi_rlast_i_i_5_n_0\, I1 => \^m_axi_arqos[3]\(50), I2 => \^m_axi_arqos[3]\(51), I3 => \^m_axi_arqos[3]\(48), I4 => \^m_axi_arqos[3]\(49), I5 => mi_rvalid(0), O => \gen_axi.s_axi_rlast_i_reg\ ); \gen_axi.s_axi_rlast_i_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000008000000" ) port map ( I0 => \^m_valid_i\, I1 => \^aa_grant_rnw\, I2 => m_ready_d(1), I3 => \m_atarget_hot_reg[4]_0\(4), I4 => mi_arready(0), I5 => mi_rvalid(0), O => s_axi_rid_i ); \gen_axi.s_axi_rlast_i_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \^m_axi_arqos[3]\(44), I1 => \^m_axi_arqos[3]\(45), I2 => \^m_axi_arqos[3]\(46), I3 => \^m_axi_arqos[3]\(47), O => \gen_axi.s_axi_rlast_i_i_5_n_0\ ); \gen_axi.s_axi_wready_i_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"0040" ) port map ( I0 => \^m_ready_d_reg[1]_0\, I1 => s_axi_wlast(0), I2 => \m_atarget_hot_reg[4]_0\(4), I3 => write_cs(0), O => \gen_axi.s_axi_wready_i_reg_0\ ); \gen_axi.s_axi_wready_i_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"FBFFFFFF" ) port map ( I0 => \^aa_grant_rnw\, I1 => \^m_valid_i\, I2 => m_ready_d_0(2), I3 => mi_awready(0), I4 => \m_atarget_hot_reg[4]_0\(4), O => \gen_axi.s_axi_wready_i_reg\ ); \gen_axi.write_cs[1]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" ) port map ( I0 => s_axi_bready(0), I1 => \^aa_grant_rnw\, I2 => \^m_valid_i\, I3 => m_ready_d_0(0), O => \m_ready_d_reg[0]_0\ ); \gen_no_arbiter.grant_rnw_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFF4700000044" ) port map ( I0 => s_awvalid_reg, I1 => s_axi_arvalid(0), I2 => s_axi_awvalid(0), I3 => \^aa_grant_any\, I4 => \^m_valid_i\, I5 => \^aa_grant_rnw\, O => \gen_no_arbiter.grant_rnw_i_1_n_0\ ); \gen_no_arbiter.grant_rnw_reg\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \gen_no_arbiter.grant_rnw_i_1_n_0\, Q => \^aa_grant_rnw\, R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arid(0), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awid(0), O => s_amesg(0) ); \gen_no_arbiter.m_amesg_i[10]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arid(10), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awid(10), O => s_amesg(10) ); \gen_no_arbiter.m_amesg_i[11]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => aresetn_d, O => \^sr\(0) ); \gen_no_arbiter.m_amesg_i[11]_i_2\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^aa_grant_any\, O => p_0_in1_in ); \gen_no_arbiter.m_amesg_i[11]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arid(11), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awid(11), O => s_amesg(11) ); \gen_no_arbiter.m_amesg_i[12]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(0), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(0), O => s_amesg(12) ); \gen_no_arbiter.m_amesg_i[13]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(1), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(1), O => s_amesg(13) ); \gen_no_arbiter.m_amesg_i[14]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(2), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(2), O => s_amesg(14) ); \gen_no_arbiter.m_amesg_i[15]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(3), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(3), O => s_amesg(15) ); \gen_no_arbiter.m_amesg_i[16]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(4), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(4), O => s_amesg(16) ); \gen_no_arbiter.m_amesg_i[17]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(5), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(5), O => s_amesg(17) ); \gen_no_arbiter.m_amesg_i[18]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(6), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(6), O => s_amesg(18) ); \gen_no_arbiter.m_amesg_i[19]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(7), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(7), O => s_amesg(19) ); \gen_no_arbiter.m_amesg_i[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arid(1), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awid(1), O => s_amesg(1) ); \gen_no_arbiter.m_amesg_i[20]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(8), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(8), O => s_amesg(20) ); \gen_no_arbiter.m_amesg_i[21]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(9), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(9), O => s_amesg(21) ); \gen_no_arbiter.m_amesg_i[22]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(10), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(10), O => s_amesg(22) ); \gen_no_arbiter.m_amesg_i[23]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(11), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(11), O => s_amesg(23) ); \gen_no_arbiter.m_amesg_i[24]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(12), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(12), O => s_amesg(24) ); \gen_no_arbiter.m_amesg_i[25]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(13), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(13), O => s_amesg(25) ); \gen_no_arbiter.m_amesg_i[26]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(14), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(14), O => s_amesg(26) ); \gen_no_arbiter.m_amesg_i[27]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(15), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(15), O => s_amesg(27) ); \gen_no_arbiter.m_amesg_i[28]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(16), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(16), O => s_amesg(28) ); \gen_no_arbiter.m_amesg_i[29]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(17), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(17), O => s_amesg(29) ); \gen_no_arbiter.m_amesg_i[2]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arid(2), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awid(2), O => s_amesg(2) ); \gen_no_arbiter.m_amesg_i[30]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(18), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(18), O => s_amesg(30) ); \gen_no_arbiter.m_amesg_i[31]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(19), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(19), O => s_amesg(31) ); \gen_no_arbiter.m_amesg_i[32]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(20), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(20), O => s_amesg(32) ); \gen_no_arbiter.m_amesg_i[33]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(21), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(21), O => s_amesg(33) ); \gen_no_arbiter.m_amesg_i[34]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(22), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(22), O => s_amesg(34) ); \gen_no_arbiter.m_amesg_i[35]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(23), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(23), O => s_amesg(35) ); \gen_no_arbiter.m_amesg_i[36]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(24), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(24), O => s_amesg(36) ); \gen_no_arbiter.m_amesg_i[37]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(25), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(25), O => s_amesg(37) ); \gen_no_arbiter.m_amesg_i[38]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(26), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(26), O => s_amesg(38) ); \gen_no_arbiter.m_amesg_i[39]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(27), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(27), O => s_amesg(39) ); \gen_no_arbiter.m_amesg_i[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arid(3), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awid(3), O => s_amesg(3) ); \gen_no_arbiter.m_amesg_i[40]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(28), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(28), O => s_amesg(40) ); \gen_no_arbiter.m_amesg_i[41]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(29), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(29), O => s_amesg(41) ); \gen_no_arbiter.m_amesg_i[42]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(30), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(30), O => s_amesg(42) ); \gen_no_arbiter.m_amesg_i[43]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_araddr(31), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awaddr(31), O => s_amesg(43) ); \gen_no_arbiter.m_amesg_i[44]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arlen(0), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awlen(0), O => s_amesg(44) ); \gen_no_arbiter.m_amesg_i[45]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arlen(1), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awlen(1), O => s_amesg(45) ); \gen_no_arbiter.m_amesg_i[46]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arlen(2), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awlen(2), O => s_amesg(46) ); \gen_no_arbiter.m_amesg_i[47]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arlen(3), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awlen(3), O => s_amesg(47) ); \gen_no_arbiter.m_amesg_i[48]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arlen(4), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awlen(4), O => s_amesg(48) ); \gen_no_arbiter.m_amesg_i[49]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arlen(5), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awlen(5), O => s_amesg(49) ); \gen_no_arbiter.m_amesg_i[4]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arid(4), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awid(4), O => s_amesg(4) ); \gen_no_arbiter.m_amesg_i[50]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arlen(6), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awlen(6), O => s_amesg(50) ); \gen_no_arbiter.m_amesg_i[51]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arlen(7), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awlen(7), O => s_amesg(51) ); \gen_no_arbiter.m_amesg_i[52]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arsize(0), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awsize(0), O => s_amesg(52) ); \gen_no_arbiter.m_amesg_i[53]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arsize(1), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awsize(1), O => s_amesg(53) ); \gen_no_arbiter.m_amesg_i[54]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arsize(2), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awsize(2), O => s_amesg(54) ); \gen_no_arbiter.m_amesg_i[55]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arlock(0), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awlock(0), O => s_amesg(55) ); \gen_no_arbiter.m_amesg_i[57]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arprot(0), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awprot(0), O => s_amesg(57) ); \gen_no_arbiter.m_amesg_i[58]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arprot(1), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awprot(1), O => s_amesg(58) ); \gen_no_arbiter.m_amesg_i[59]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arprot(2), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awprot(2), O => s_amesg(59) ); \gen_no_arbiter.m_amesg_i[5]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arid(5), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awid(5), O => s_amesg(5) ); \gen_no_arbiter.m_amesg_i[60]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arburst(0), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awburst(0), O => s_amesg(60) ); \gen_no_arbiter.m_amesg_i[61]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arburst(1), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awburst(1), O => s_amesg(61) ); \gen_no_arbiter.m_amesg_i[62]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arcache(0), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awcache(0), O => s_amesg(62) ); \gen_no_arbiter.m_amesg_i[63]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arcache(1), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awcache(1), O => s_amesg(63) ); \gen_no_arbiter.m_amesg_i[64]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arcache(2), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awcache(2), O => s_amesg(64) ); \gen_no_arbiter.m_amesg_i[65]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arcache(3), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awcache(3), O => s_amesg(65) ); \gen_no_arbiter.m_amesg_i[66]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arqos(0), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awqos(0), O => s_amesg(66) ); \gen_no_arbiter.m_amesg_i[67]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arqos(1), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awqos(1), O => s_amesg(67) ); \gen_no_arbiter.m_amesg_i[68]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arqos(2), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awqos(2), O => s_amesg(68) ); \gen_no_arbiter.m_amesg_i[69]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arqos(3), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awqos(3), O => s_amesg(69) ); \gen_no_arbiter.m_amesg_i[6]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arid(6), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awid(6), O => s_amesg(6) ); \gen_no_arbiter.m_amesg_i[7]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arid(7), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awid(7), O => s_amesg(7) ); \gen_no_arbiter.m_amesg_i[8]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arid(8), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awid(8), O => s_amesg(8) ); \gen_no_arbiter.m_amesg_i[9]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_arid(9), I1 => s_axi_arvalid(0), I2 => s_awvalid_reg, I3 => s_axi_awid(9), O => s_amesg(9) ); \gen_no_arbiter.m_amesg_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(0), Q => \^m_axi_arqos[3]\(0), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(10), Q => \^m_axi_arqos[3]\(10), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(11), Q => \^m_axi_arqos[3]\(11), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(12), Q => \^m_axi_arqos[3]\(12), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(13), Q => \^m_axi_arqos[3]\(13), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(14), Q => \^m_axi_arqos[3]\(14), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(15), Q => \^m_axi_arqos[3]\(15), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(16), Q => \^m_axi_arqos[3]\(16), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(17), Q => \^m_axi_arqos[3]\(17), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(18), Q => \^m_axi_arqos[3]\(18), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(19), Q => \^m_axi_arqos[3]\(19), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(1), Q => \^m_axi_arqos[3]\(1), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(20), Q => \^m_axi_arqos[3]\(20), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(21), Q => \^m_axi_arqos[3]\(21), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(22), Q => \^m_axi_arqos[3]\(22), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(23), Q => \^m_axi_arqos[3]\(23), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(24), Q => \^m_axi_arqos[3]\(24), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(25), Q => \^m_axi_arqos[3]\(25), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(26), Q => \^m_axi_arqos[3]\(26), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(27), Q => \^m_axi_arqos[3]\(27), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(28), Q => \^m_axi_arqos[3]\(28), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(29), Q => \^m_axi_arqos[3]\(29), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(2), Q => \^m_axi_arqos[3]\(2), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(30), Q => \^m_axi_arqos[3]\(30), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(31), Q => \^m_axi_arqos[3]\(31), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(32), Q => \^m_axi_arqos[3]\(32), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(33), Q => \^m_axi_arqos[3]\(33), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(34), Q => \^m_axi_arqos[3]\(34), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(35), Q => \^m_axi_arqos[3]\(35), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(36), Q => \^m_axi_arqos[3]\(36), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(37), Q => \^m_axi_arqos[3]\(37), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(38), Q => \^m_axi_arqos[3]\(38), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(39), Q => \^m_axi_arqos[3]\(39), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(3), Q => \^m_axi_arqos[3]\(3), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(40), Q => \^m_axi_arqos[3]\(40), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(41), Q => \^m_axi_arqos[3]\(41), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(42), Q => \^m_axi_arqos[3]\(42), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(43), Q => \^m_axi_arqos[3]\(43), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(44), Q => \^m_axi_arqos[3]\(44), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(45), Q => \^m_axi_arqos[3]\(45), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(46), Q => \^m_axi_arqos[3]\(46), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(47), Q => \^m_axi_arqos[3]\(47), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(48), Q => \^m_axi_arqos[3]\(48), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(49), Q => \^m_axi_arqos[3]\(49), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(4), Q => \^m_axi_arqos[3]\(4), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(50), Q => \^m_axi_arqos[3]\(50), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(51), Q => \^m_axi_arqos[3]\(51), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[52]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(52), Q => \^m_axi_arqos[3]\(52), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(53), Q => \^m_axi_arqos[3]\(53), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(54), Q => \^m_axi_arqos[3]\(54), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(55), Q => \^m_axi_arqos[3]\(55), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(57), Q => \^m_axi_arqos[3]\(56), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(58), Q => \^m_axi_arqos[3]\(57), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(59), Q => \^m_axi_arqos[3]\(58), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(5), Q => \^m_axi_arqos[3]\(5), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[60]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(60), Q => \^m_axi_arqos[3]\(59), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[61]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(61), Q => \^m_axi_arqos[3]\(60), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[62]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(62), Q => \^m_axi_arqos[3]\(61), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(63), Q => \^m_axi_arqos[3]\(62), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(64), Q => \^m_axi_arqos[3]\(63), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[65]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(65), Q => \^m_axi_arqos[3]\(64), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[66]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(66), Q => \^m_axi_arqos[3]\(65), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[67]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(67), Q => \^m_axi_arqos[3]\(66), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[68]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(68), Q => \^m_axi_arqos[3]\(67), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[69]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(69), Q => \^m_axi_arqos[3]\(68), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(6), Q => \^m_axi_arqos[3]\(6), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(7), Q => \^m_axi_arqos[3]\(7), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(8), Q => \^m_axi_arqos[3]\(8), R => \^sr\(0) ); \gen_no_arbiter.m_amesg_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_0_in1_in, D => s_amesg(9), Q => \^m_axi_arqos[3]\(9), R => \^sr\(0) ); \gen_no_arbiter.m_grant_hot_i[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000DDDC0000" ) port map ( I0 => \^m_valid_i\, I1 => \^aa_grant_any\, I2 => s_axi_awvalid(0), I3 => s_axi_arvalid(0), I4 => aresetn_d, I5 => \gen_no_arbiter.m_grant_hot_i[0]_i_2_n_0\, O => \gen_no_arbiter.m_grant_hot_i[0]_i_1_n_0\ ); \gen_no_arbiter.m_grant_hot_i[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"808080808080B080" ) port map ( I0 => \m_ready_d[0]_i_4_n_0\, I1 => \^aa_grant_rnw\, I2 => \^m_valid_i\, I3 => \^m_ready_d0\(0), I4 => \m_ready_d[2]_i_6_n_0\, I5 => \m_ready_d_reg[1]_1\, O => \gen_no_arbiter.m_grant_hot_i[0]_i_2_n_0\ ); \gen_no_arbiter.m_grant_hot_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \gen_no_arbiter.m_grant_hot_i[0]_i_1_n_0\, Q => \^aa_grant_any\, R => '0' ); \gen_no_arbiter.m_valid_i_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"74" ) port map ( I0 => \gen_no_arbiter.m_grant_hot_i[0]_i_2_n_0\, I1 => \^m_valid_i\, I2 => \^aa_grant_any\, O => \gen_no_arbiter.m_valid_i_i_1_n_0\ ); \gen_no_arbiter.m_valid_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \gen_no_arbiter.m_valid_i_i_1_n_0\, Q => \^m_valid_i\, R => \^sr\(0) ); \gen_no_arbiter.s_ready_i[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"40" ) port map ( I0 => \^m_valid_i\, I1 => \^aa_grant_any\, I2 => aresetn_d, O => \gen_no_arbiter.s_ready_i[0]_i_1_n_0\ ); \gen_no_arbiter.s_ready_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \gen_no_arbiter.s_ready_i[0]_i_1_n_0\, Q => s_ready_i, R => '0' ); \m_atarget_enc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^m_atarget_hot_reg[4]\, I1 => \^f_hot2enc_return0\, O => \m_atarget_enc_reg[2]\(0) ); \m_atarget_hot[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000008" ) port map ( I0 => \m_atarget_hot[1]_i_2_n_0\, I1 => \^aa_grant_any\, I2 => \^m_axi_arqos[3]\(28), I3 => \^m_axi_arqos[3]\(31), I4 => \^m_axi_arqos[3]\(30), I5 => \^m_axi_arqos[3]\(29), O => D(0) ); \m_atarget_hot[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0100000000000000" ) port map ( I0 => \^m_axi_arqos[3]\(31), I1 => \^m_axi_arqos[3]\(30), I2 => \^m_axi_arqos[3]\(29), I3 => \m_atarget_hot[1]_i_2_n_0\, I4 => \^m_axi_arqos[3]\(28), I5 => \^aa_grant_any\, O => D(1) ); \m_atarget_hot[1]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"00000400" ) port map ( I0 => \m_atarget_hot[2]_i_3_n_0\, I1 => \^m_axi_arqos[3]\(33), I2 => \^m_axi_arqos[3]\(34), I3 => \^m_axi_arqos[3]\(36), I4 => \m_atarget_hot[1]_i_3_n_0\, O => \m_atarget_hot[1]_i_2_n_0\ ); \m_atarget_hot[1]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"FE" ) port map ( I0 => \^m_axi_arqos[3]\(32), I1 => \^m_axi_arqos[3]\(37), I2 => \^m_axi_arqos[3]\(35), O => \m_atarget_hot[1]_i_3_n_0\ ); \m_atarget_hot[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"00200000" ) port map ( I0 => \^m_axi_arqos[3]\(37), I1 => \^m_axi_arqos[3]\(32), I2 => \^m_axi_arqos[3]\(35), I3 => \m_atarget_hot[2]_i_2_n_0\, I4 => \^aa_grant_any\, O => D(2) ); \m_atarget_hot[2]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \m_atarget_hot[2]_i_3_n_0\, I1 => \^m_axi_arqos[3]\(33), I2 => \^m_axi_arqos[3]\(34), I3 => \^m_axi_arqos[3]\(36), I4 => \m_atarget_hot[2]_i_4_n_0\, O => \m_atarget_hot[2]_i_2_n_0\ ); \m_atarget_hot[2]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFEFFFFFFFF" ) port map ( I0 => \^m_axi_arqos[3]\(43), I1 => \^m_axi_arqos[3]\(39), I2 => \^m_axi_arqos[3]\(41), I3 => \^m_axi_arqos[3]\(40), I4 => \^m_axi_arqos[3]\(38), I5 => \^m_axi_arqos[3]\(42), O => \m_atarget_hot[2]_i_3_n_0\ ); \m_atarget_hot[2]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \^m_axi_arqos[3]\(28), I1 => \^m_axi_arqos[3]\(31), I2 => \^m_axi_arqos[3]\(30), I3 => \^m_axi_arqos[3]\(29), O => \m_atarget_hot[2]_i_4_n_0\ ); \m_atarget_hot[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^m_atarget_hot_reg[3]\, I1 => \^aa_grant_any\, O => D(3) ); \m_atarget_hot[3]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => \^m_axi_arqos[3]\(35), I1 => \^m_axi_arqos[3]\(37), I2 => \^m_axi_arqos[3]\(32), I3 => \m_atarget_hot[2]_i_2_n_0\, O => \^m_atarget_hot_reg[3]\ ); \m_atarget_hot[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"40" ) port map ( I0 => \^f_hot2enc_return0\, I1 => \^m_atarget_hot_reg[4]\, I2 => \^aa_grant_any\, O => D(4) ); \m_atarget_hot[4]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"1001" ) port map ( I0 => \m_atarget_hot[2]_i_2_n_0\, I1 => \^m_axi_arqos[3]\(32), I2 => \^m_axi_arqos[3]\(37), I3 => \^m_axi_arqos[3]\(35), O => \^f_hot2enc_return0\ ); \m_atarget_hot[4]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FEFF" ) port map ( I0 => \^m_axi_arqos[3]\(31), I1 => \^m_axi_arqos[3]\(30), I2 => \^m_axi_arqos[3]\(29), I3 => \m_atarget_hot[1]_i_2_n_0\, O => \^m_atarget_hot_reg[4]\ ); \m_axi_arvalid[0]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => \m_atarget_hot_reg[4]_0\(0), I1 => \^m_valid_i\, I2 => \^aa_grant_rnw\, I3 => m_ready_d(1), O => m_axi_arvalid(0) ); \m_axi_arvalid[1]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => \m_atarget_hot_reg[4]_0\(1), I1 => \^m_valid_i\, I2 => \^aa_grant_rnw\, I3 => m_ready_d(1), O => m_axi_arvalid(1) ); \m_axi_arvalid[2]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => \m_atarget_hot_reg[4]_0\(2), I1 => \^m_valid_i\, I2 => \^aa_grant_rnw\, I3 => m_ready_d(1), O => m_axi_arvalid(2) ); \m_axi_arvalid[3]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => \m_atarget_hot_reg[4]_0\(3), I1 => \^m_valid_i\, I2 => \^aa_grant_rnw\, I3 => m_ready_d(1), O => m_axi_arvalid(3) ); \m_axi_awvalid[0]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" ) port map ( I0 => \m_atarget_hot_reg[4]_0\(0), I1 => \^aa_grant_rnw\, I2 => \^m_valid_i\, I3 => m_ready_d_0(2), O => m_axi_awvalid(0) ); \m_axi_awvalid[1]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" ) port map ( I0 => \m_atarget_hot_reg[4]_0\(1), I1 => \^aa_grant_rnw\, I2 => \^m_valid_i\, I3 => m_ready_d_0(2), O => m_axi_awvalid(1) ); \m_axi_awvalid[2]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" ) port map ( I0 => \m_atarget_hot_reg[4]_0\(2), I1 => \^aa_grant_rnw\, I2 => \^m_valid_i\, I3 => m_ready_d_0(2), O => m_axi_awvalid(2) ); \m_axi_awvalid[3]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" ) port map ( I0 => \m_atarget_hot_reg[4]_0\(3), I1 => \^aa_grant_rnw\, I2 => \^m_valid_i\, I3 => m_ready_d_0(2), O => m_axi_awvalid(3) ); \m_axi_bready[0]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00200000" ) port map ( I0 => \m_atarget_hot_reg[4]_0\(0), I1 => m_ready_d_0(0), I2 => \^m_valid_i\, I3 => \^aa_grant_rnw\, I4 => s_axi_bready(0), O => m_axi_bready(0) ); \m_axi_bready[1]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00200000" ) port map ( I0 => \m_atarget_hot_reg[4]_0\(1), I1 => m_ready_d_0(0), I2 => \^m_valid_i\, I3 => \^aa_grant_rnw\, I4 => s_axi_bready(0), O => m_axi_bready(1) ); \m_axi_bready[2]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00200000" ) port map ( I0 => \m_atarget_hot_reg[4]_0\(2), I1 => m_ready_d_0(0), I2 => \^m_valid_i\, I3 => \^aa_grant_rnw\, I4 => s_axi_bready(0), O => m_axi_bready(2) ); \m_axi_bready[3]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00200000" ) port map ( I0 => \m_atarget_hot_reg[4]_0\(3), I1 => m_ready_d_0(0), I2 => \^m_valid_i\, I3 => \^aa_grant_rnw\, I4 => s_axi_bready(0), O => m_axi_bready(3) ); \m_axi_wvalid[0]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00000800" ) port map ( I0 => \m_atarget_hot_reg[4]_0\(0), I1 => s_axi_wvalid(0), I2 => m_ready_d_0(1), I3 => \^m_valid_i\, I4 => \^aa_grant_rnw\, O => m_axi_wvalid(0) ); \m_axi_wvalid[1]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00000800" ) port map ( I0 => \m_atarget_hot_reg[4]_0\(1), I1 => s_axi_wvalid(0), I2 => m_ready_d_0(1), I3 => \^m_valid_i\, I4 => \^aa_grant_rnw\, O => m_axi_wvalid(1) ); \m_axi_wvalid[2]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00000800" ) port map ( I0 => \m_atarget_hot_reg[4]_0\(2), I1 => s_axi_wvalid(0), I2 => m_ready_d_0(1), I3 => \^m_valid_i\, I4 => \^aa_grant_rnw\, O => m_axi_wvalid(2) ); \m_axi_wvalid[3]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00000800" ) port map ( I0 => \m_atarget_hot_reg[4]_0\(3), I1 => s_axi_wvalid(0), I2 => m_ready_d_0(1), I3 => \^m_valid_i\, I4 => \^aa_grant_rnw\, O => m_axi_wvalid(3) ); \m_payload_i[34]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"4000FFFF" ) port map ( I0 => m_ready_d(0), I1 => \^aa_grant_rnw\, I2 => \^m_valid_i\, I3 => s_axi_rready(0), I4 => sr_rvalid, O => \^e\(0) ); \m_ready_d[0]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"7" ) port map ( I0 => \^m_valid_i\, I1 => \^aa_grant_rnw\, O => \m_ready_d_reg[0]_1\ ); \m_ready_d[0]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \m_ready_d[0]_i_4_n_0\, I1 => aresetn_d, O => \m_ready_d_reg[0]\ ); \m_ready_d[0]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"AA80AA00AA80AA80" ) port map ( I0 => m_ready_d0_1(0), I1 => \^m_valid_i\, I2 => \^aa_grant_rnw\, I3 => m_ready_d(1), I4 => \m_atarget_enc_reg[0]\, I5 => \m_atarget_enc_reg[2]_0\, O => \m_ready_d[0]_i_4_n_0\ ); \m_ready_d[1]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FBFF" ) port map ( I0 => \^aa_grant_rnw\, I1 => \^m_valid_i\, I2 => m_ready_d_0(1), I3 => s_axi_wvalid(0), O => \^m_ready_d_reg[1]_0\ ); \m_ready_d[1]_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"020F0F0F" ) port map ( I0 => \m_atarget_enc_reg[2]_0\, I1 => \m_atarget_enc_reg[0]\, I2 => m_ready_d(1), I3 => \^aa_grant_rnw\, I4 => \^m_valid_i\, O => \m_ready_d_reg[1]\ ); \m_ready_d[2]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"F0F0FDF0" ) port map ( I0 => \m_atarget_enc_reg[2]_1\, I1 => \gen_axi.s_axi_awready_i_reg_0\, I2 => m_ready_d_0(2), I3 => \^m_valid_i\, I4 => \^aa_grant_rnw\, O => \^m_ready_d0\(0) ); \m_ready_d[2]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"2020202020222020" ) port map ( I0 => \^m_ready_d0\(0), I1 => \m_ready_d[2]_i_6_n_0\, I2 => m_ready_d_0(1), I3 => \m_atarget_enc_reg[1]\, I4 => s_axi_wlast(0), I5 => \^m_ready_d_reg[1]_0\, O => \m_ready_d_reg[2]\ ); \m_ready_d[2]_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000FF2FFFFF" ) port map ( I0 => \m_atarget_enc_reg[1]_0\, I1 => \gen_axi.s_axi_bvalid_i_reg\, I2 => s_axi_bready(0), I3 => \^aa_grant_rnw\, I4 => \^m_valid_i\, I5 => m_ready_d_0(0), O => \m_ready_d[2]_i_6_n_0\ ); m_valid_i_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"2A" ) port map ( I0 => Q(1), I1 => \^e\(0), I2 => m_valid_i_i_2_n_0, O => m_valid_i_reg ); m_valid_i_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"8AAAAAAA8AAA8AAA" ) port map ( I0 => aa_rready, I1 => m_ready_d(0), I2 => \^aa_grant_rnw\, I3 => \^m_valid_i\, I4 => \gen_axi.read_cs_reg[0]\, I5 => \m_atarget_enc_reg[1]_1\, O => m_valid_i_i_2_n_0 ); \s_arvalid_reg[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0040" ) port map ( I0 => s_awvalid_reg, I1 => s_axi_arvalid(0), I2 => aresetn_d, I3 => s_ready_i, O => \s_arvalid_reg[0]_i_1_n_0\ ); \s_arvalid_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_arvalid_reg[0]_i_1_n_0\, Q => \s_arvalid_reg_reg_n_0_[0]\, R => '0' ); \s_awvalid_reg[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000D00000" ) port map ( I0 => s_axi_arvalid(0), I1 => s_awvalid_reg, I2 => s_axi_awvalid(0), I3 => \s_arvalid_reg_reg_n_0_[0]\, I4 => aresetn_d, I5 => s_ready_i, O => \s_awvalid_reg[0]_i_1_n_0\ ); \s_awvalid_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_awvalid_reg[0]_i_1_n_0\, Q => s_awvalid_reg, R => '0' ); \s_axi_arready[0]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^aa_grant_rnw\, I1 => s_ready_i, O => s_axi_arready(0) ); \s_axi_awready[0]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => s_ready_i, I1 => \^aa_grant_rnw\, O => s_axi_awready(0) ); \s_axi_bvalid[0]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00000400" ) port map ( I0 => m_ready_d_0(0), I1 => \^m_valid_i\, I2 => \^aa_grant_rnw\, I3 => \^aa_grant_any\, I4 => \m_atarget_enc_reg[0]_0\, O => s_axi_bvalid(0) ); \s_axi_wready[0]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00000400" ) port map ( I0 => m_ready_d_0(1), I1 => \^m_valid_i\, I2 => \^aa_grant_rnw\, I3 => \^aa_grant_any\, I4 => \m_atarget_enc_reg[1]\, O => s_axi_wready(0) ); s_ready_i_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"A8" ) port map ( I0 => Q(0), I1 => \^e\(0), I2 => m_valid_i_i_2_n_0, O => s_ready_i_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_decerr_slave is port ( mi_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); mi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_axi.write_cs_reg[1]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); mi_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); \skid_buffer_reg[0]\ : out STD_LOGIC; m_valid_i_reg : out STD_LOGIC; \m_ready_d_reg[1]\ : out STD_LOGIC; \m_ready_d_reg[2]\ : out STD_LOGIC; \m_ready_d_reg[1]_0\ : out STD_LOGIC; \gen_no_arbiter.m_valid_i_reg\ : out STD_LOGIC; \m_ready_d_reg[0]\ : out STD_LOGIC; \m_ready_d_reg[0]_0\ : out STD_LOGIC; SR : in STD_LOGIC_VECTOR ( 0 to 0 ); aclk : in STD_LOGIC; \m_atarget_hot_reg[4]\ : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_ready_d_reg[2]_0\ : in STD_LOGIC; aa_rready : in STD_LOGIC; \m_ready_d_reg[1]_1\ : in STD_LOGIC; \gen_no_arbiter.m_amesg_i_reg[51]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_atarget_enc : in STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 1 downto 0 ); \m_atarget_enc_reg[0]\ : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arready : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_atarget_enc_reg[0]_0\ : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gen_no_arbiter.grant_rnw_reg\ : in STD_LOGIC; \gen_no_arbiter.grant_rnw_reg_0\ : in STD_LOGIC; \gen_no_arbiter.m_amesg_i_reg[50]\ : in STD_LOGIC; s_axi_rid_i : in STD_LOGIC; aresetn_d : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_decerr_slave : entity is "axi_crossbar_v2_1_14_decerr_slave"; end zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_decerr_slave; architecture STRUCTURE of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_decerr_slave is signal \gen_axi.read_cnt[5]_i_2_n_0\ : STD_LOGIC; signal \gen_axi.read_cnt[7]_i_1_n_0\ : STD_LOGIC; signal \gen_axi.read_cnt[7]_i_3_n_0\ : STD_LOGIC; signal \gen_axi.read_cnt[7]_i_4_n_0\ : STD_LOGIC; signal \gen_axi.read_cnt_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 1 ); signal \gen_axi.read_cnt_reg__1\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gen_axi.read_cs[0]_i_1_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_arready_i_i_1_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_arready_i_i_2_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_awready_i_i_1_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_bvalid_i_i_1_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_rlast_i_i_1_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_rlast_i_i_4_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_rlast_i_i_6_n_0\ : STD_LOGIC; signal \gen_axi.s_axi_wready_i_i_1_n_0\ : STD_LOGIC; signal \gen_axi.write_cs[0]_i_1_n_0\ : STD_LOGIC; signal \gen_axi.write_cs[1]_i_1_n_0\ : STD_LOGIC; signal \gen_axi.write_cs[1]_i_3_n_0\ : STD_LOGIC; signal \^gen_axi.write_cs_reg[1]_0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^m_ready_d_reg[0]_0\ : STD_LOGIC; signal \^mi_arready\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^mi_awready\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal mi_bvalid : STD_LOGIC_VECTOR ( 4 to 4 ); signal mi_rmesg : STD_LOGIC_VECTOR ( 144 to 144 ); signal \^mi_rvalid\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal mi_wready : STD_LOGIC_VECTOR ( 4 to 4 ); signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \skid_buffer[0]_i_2_n_0\ : STD_LOGIC; signal write_cs : STD_LOGIC_VECTOR ( 0 to 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gen_axi.read_cnt[0]_i_1\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \gen_axi.read_cnt[1]_i_1\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \gen_axi.read_cnt[7]_i_2\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \gen_axi.read_cnt[7]_i_3\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \gen_axi.write_cs[0]_i_1\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \gen_axi.write_cs[1]_i_1\ : label is "soft_lutpair17"; begin \gen_axi.write_cs_reg[1]_0\(0) <= \^gen_axi.write_cs_reg[1]_0\(0); \m_ready_d_reg[0]_0\ <= \^m_ready_d_reg[0]_0\; mi_arready(0) <= \^mi_arready\(0); mi_awready(0) <= \^mi_awready\(0); mi_rvalid(0) <= \^mi_rvalid\(0); \gen_axi.read_cnt[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"74" ) port map ( I0 => \gen_axi.read_cnt_reg__1\(0), I1 => \^mi_rvalid\(0), I2 => \gen_no_arbiter.m_amesg_i_reg[51]\(0), O => p_0_in(0) ); \gen_axi.read_cnt[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"9F90" ) port map ( I0 => \gen_axi.read_cnt_reg__0\(1), I1 => \gen_axi.read_cnt_reg__1\(0), I2 => \^mi_rvalid\(0), I3 => \gen_no_arbiter.m_amesg_i_reg[51]\(1), O => p_0_in(1) ); \gen_axi.read_cnt[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"E1FFE100" ) port map ( I0 => \gen_axi.read_cnt_reg__0\(1), I1 => \gen_axi.read_cnt_reg__1\(0), I2 => \gen_axi.read_cnt_reg__0\(2), I3 => \^mi_rvalid\(0), I4 => \gen_no_arbiter.m_amesg_i_reg[51]\(2), O => p_0_in(2) ); \gen_axi.read_cnt[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FE01FFFFFE010000" ) port map ( I0 => \gen_axi.read_cnt_reg__0\(2), I1 => \gen_axi.read_cnt_reg__1\(0), I2 => \gen_axi.read_cnt_reg__0\(1), I3 => \gen_axi.read_cnt_reg__0\(3), I4 => \^mi_rvalid\(0), I5 => \gen_no_arbiter.m_amesg_i_reg[51]\(3), O => p_0_in(3) ); \gen_axi.read_cnt[4]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6F60" ) port map ( I0 => \gen_axi.read_cnt_reg__0\(4), I1 => \gen_axi.read_cnt[5]_i_2_n_0\, I2 => \^mi_rvalid\(0), I3 => \gen_no_arbiter.m_amesg_i_reg[51]\(4), O => p_0_in(4) ); \gen_axi.read_cnt[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B4FFB400" ) port map ( I0 => \gen_axi.read_cnt_reg__0\(4), I1 => \gen_axi.read_cnt[5]_i_2_n_0\, I2 => \gen_axi.read_cnt_reg__0\(5), I3 => \^mi_rvalid\(0), I4 => \gen_no_arbiter.m_amesg_i_reg[51]\(5), O => p_0_in(5) ); \gen_axi.read_cnt[5]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => \gen_axi.read_cnt_reg__0\(2), I1 => \gen_axi.read_cnt_reg__0\(3), I2 => \gen_axi.read_cnt_reg__1\(0), I3 => \gen_axi.read_cnt_reg__0\(1), O => \gen_axi.read_cnt[5]_i_2_n_0\ ); \gen_axi.read_cnt[6]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"9F90" ) port map ( I0 => \gen_axi.read_cnt[7]_i_4_n_0\, I1 => \gen_axi.read_cnt_reg__0\(6), I2 => \^mi_rvalid\(0), I3 => \gen_no_arbiter.m_amesg_i_reg[51]\(6), O => p_0_in(6) ); \gen_axi.read_cnt[7]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00008080F0008080" ) port map ( I0 => \^mi_arready\(0), I1 => \m_ready_d_reg[1]_1\, I2 => Q(0), I3 => aa_rready, I4 => \^mi_rvalid\(0), I5 => \gen_axi.read_cnt[7]_i_3_n_0\, O => \gen_axi.read_cnt[7]_i_1_n_0\ ); \gen_axi.read_cnt[7]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"E1FFE100" ) port map ( I0 => \gen_axi.read_cnt_reg__0\(6), I1 => \gen_axi.read_cnt[7]_i_4_n_0\, I2 => \gen_axi.read_cnt_reg__0\(7), I3 => \^mi_rvalid\(0), I4 => \gen_no_arbiter.m_amesg_i_reg[51]\(7), O => p_0_in(7) ); \gen_axi.read_cnt[7]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"01" ) port map ( I0 => \gen_axi.read_cnt_reg__0\(7), I1 => \gen_axi.read_cnt[7]_i_4_n_0\, I2 => \gen_axi.read_cnt_reg__0\(6), O => \gen_axi.read_cnt[7]_i_3_n_0\ ); \gen_axi.read_cnt[7]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) port map ( I0 => \gen_axi.read_cnt_reg__0\(5), I1 => \gen_axi.read_cnt_reg__0\(2), I2 => \gen_axi.read_cnt_reg__0\(3), I3 => \gen_axi.read_cnt_reg__1\(0), I4 => \gen_axi.read_cnt_reg__0\(1), I5 => \gen_axi.read_cnt_reg__0\(4), O => \gen_axi.read_cnt[7]_i_4_n_0\ ); \gen_axi.read_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(0), Q => \gen_axi.read_cnt_reg__1\(0), R => SR(0) ); \gen_axi.read_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(1), Q => \gen_axi.read_cnt_reg__0\(1), R => SR(0) ); \gen_axi.read_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(2), Q => \gen_axi.read_cnt_reg__0\(2), R => SR(0) ); \gen_axi.read_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(3), Q => \gen_axi.read_cnt_reg__0\(3), R => SR(0) ); \gen_axi.read_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(4), Q => \gen_axi.read_cnt_reg__0\(4), R => SR(0) ); \gen_axi.read_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(5), Q => \gen_axi.read_cnt_reg__0\(5), R => SR(0) ); \gen_axi.read_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(6), Q => \gen_axi.read_cnt_reg__0\(6), R => SR(0) ); \gen_axi.read_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \gen_axi.read_cnt[7]_i_1_n_0\, D => p_0_in(7), Q => \gen_axi.read_cnt_reg__0\(7), R => SR(0) ); \gen_axi.read_cs[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0FFFFFFF88008800" ) port map ( I0 => \^mi_arready\(0), I1 => \m_ready_d_reg[1]_1\, I2 => aa_rready, I3 => Q(0), I4 => \gen_axi.read_cnt[7]_i_3_n_0\, I5 => \^mi_rvalid\(0), O => \gen_axi.read_cs[0]_i_1_n_0\ ); \gen_axi.read_cs_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \gen_axi.read_cs[0]_i_1_n_0\, Q => \^mi_rvalid\(0), R => SR(0) ); \gen_axi.s_axi_arready_i_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"CCCC888808888888" ) port map ( I0 => \gen_axi.s_axi_arready_i_i_2_n_0\, I1 => aresetn_d, I2 => \m_ready_d_reg[1]_1\, I3 => Q(0), I4 => \^mi_arready\(0), I5 => \^mi_rvalid\(0), O => \gen_axi.s_axi_arready_i_i_1_n_0\ ); \gen_axi.s_axi_arready_i_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"5557555555555555" ) port map ( I0 => \^mi_rvalid\(0), I1 => \gen_axi.read_cnt_reg__0\(7), I2 => \gen_axi.read_cnt[7]_i_4_n_0\, I3 => \gen_axi.read_cnt_reg__0\(6), I4 => Q(0), I5 => aa_rready, O => \gen_axi.s_axi_arready_i_i_2_n_0\ ); \gen_axi.s_axi_arready_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \gen_axi.s_axi_arready_i_i_1_n_0\, Q => \^mi_arready\(0), R => '0' ); \gen_axi.s_axi_awready_i_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFDFDF30003333" ) port map ( I0 => \m_ready_d_reg[2]_0\, I1 => write_cs(0), I2 => Q(0), I3 => \gen_no_arbiter.grant_rnw_reg\, I4 => \^gen_axi.write_cs_reg[1]_0\(0), I5 => \^mi_awready\(0), O => \gen_axi.s_axi_awready_i_i_1_n_0\ ); \gen_axi.s_axi_awready_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \gen_axi.s_axi_awready_i_i_1_n_0\, Q => \^mi_awready\(0), R => SR(0) ); \gen_axi.s_axi_bvalid_i_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFF7FFF800000" ) port map ( I0 => \gen_no_arbiter.grant_rnw_reg\, I1 => Q(0), I2 => \^gen_axi.write_cs_reg[1]_0\(0), I3 => write_cs(0), I4 => \m_atarget_hot_reg[4]\, I5 => mi_bvalid(4), O => \gen_axi.s_axi_bvalid_i_i_1_n_0\ ); \gen_axi.s_axi_bvalid_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \gen_axi.s_axi_bvalid_i_i_1_n_0\, Q => mi_bvalid(4), R => SR(0) ); \gen_axi.s_axi_rlast_i_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F4F4F4FFF4F4F400" ) port map ( I0 => \gen_axi.read_cnt[7]_i_3_n_0\, I1 => \^mi_rvalid\(0), I2 => \gen_no_arbiter.m_amesg_i_reg[50]\, I3 => s_axi_rid_i, I4 => \gen_axi.s_axi_rlast_i_i_4_n_0\, I5 => mi_rmesg(144), O => \gen_axi.s_axi_rlast_i_i_1_n_0\ ); \gen_axi.s_axi_rlast_i_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000004" ) port map ( I0 => \gen_axi.read_cnt_reg__0\(1), I1 => \^mi_rvalid\(0), I2 => \gen_axi.read_cnt_reg__0\(4), I3 => \gen_axi.read_cnt_reg__0\(3), I4 => \gen_axi.read_cnt_reg__0\(2), I5 => \gen_axi.s_axi_rlast_i_i_6_n_0\, O => \gen_axi.s_axi_rlast_i_i_4_n_0\ ); \gen_axi.s_axi_rlast_i_i_6\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFF7" ) port map ( I0 => aa_rready, I1 => Q(0), I2 => \gen_axi.read_cnt_reg__0\(5), I3 => \gen_axi.read_cnt_reg__0\(7), I4 => \gen_axi.read_cnt_reg__0\(6), O => \gen_axi.s_axi_rlast_i_i_6_n_0\ ); \gen_axi.s_axi_rlast_i_reg\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \gen_axi.s_axi_rlast_i_i_1_n_0\, Q => mi_rmesg(144), R => SR(0) ); \gen_axi.s_axi_wready_i_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"77770003" ) port map ( I0 => \m_atarget_hot_reg[4]\, I1 => write_cs(0), I2 => \^gen_axi.write_cs_reg[1]_0\(0), I3 => \gen_no_arbiter.grant_rnw_reg_0\, I4 => mi_wready(4), O => \gen_axi.s_axi_wready_i_i_1_n_0\ ); \gen_axi.s_axi_wready_i_reg\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \gen_axi.s_axi_wready_i_i_1_n_0\, Q => mi_wready(4), R => SR(0) ); \gen_axi.write_cs[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"1A" ) port map ( I0 => write_cs(0), I1 => \^gen_axi.write_cs_reg[1]_0\(0), I2 => \gen_axi.write_cs[1]_i_3_n_0\, O => \gen_axi.write_cs[0]_i_1_n_0\ ); \gen_axi.write_cs[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAABF00" ) port map ( I0 => write_cs(0), I1 => \gen_no_arbiter.grant_rnw_reg\, I2 => Q(0), I3 => \^gen_axi.write_cs_reg[1]_0\(0), I4 => \gen_axi.write_cs[1]_i_3_n_0\, O => \gen_axi.write_cs[1]_i_1_n_0\ ); \gen_axi.write_cs[1]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"8B88888888888888" ) port map ( I0 => \m_atarget_hot_reg[4]\, I1 => write_cs(0), I2 => \^gen_axi.write_cs_reg[1]_0\(0), I3 => Q(0), I4 => \^mi_awready\(0), I5 => \m_ready_d_reg[2]_0\, O => \gen_axi.write_cs[1]_i_3_n_0\ ); \gen_axi.write_cs_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \gen_axi.write_cs[0]_i_1_n_0\, Q => write_cs(0), R => SR(0) ); \gen_axi.write_cs_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \gen_axi.write_cs[1]_i_1_n_0\, Q => \^gen_axi.write_cs_reg[1]_0\(0), R => SR(0) ); \gen_no_arbiter.m_grant_hot_i[0]_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"FCF7FFF7" ) port map ( I0 => mi_wready(4), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_wready(0), O => \gen_no_arbiter.m_valid_i_reg\ ); \m_ready_d[1]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"00A00F0C00A0000C" ) port map ( I0 => m_axi_arready(1), I1 => m_axi_arready(0), I2 => m_atarget_enc(0), I3 => m_atarget_enc(2), I4 => m_atarget_enc(1), I5 => \^mi_arready\(0), O => \m_ready_d_reg[1]\ ); \m_ready_d[2]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"00000AFC00000A0C" ) port map ( I0 => \^mi_awready\(0), I1 => m_axi_awready(0), I2 => m_atarget_enc(0), I3 => m_atarget_enc(2), I4 => m_atarget_enc(1), I5 => m_axi_awready(1), O => \m_ready_d_reg[2]\ ); m_valid_i_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"00CF0A0000C00A00" ) port map ( I0 => \^mi_rvalid\(0), I1 => m_axi_rvalid(1), I2 => m_atarget_enc(1), I3 => m_atarget_enc(2), I4 => m_atarget_enc(0), I5 => m_axi_rvalid(0), O => m_valid_i_reg ); \s_axi_bvalid[0]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000FCFDFFFD" ) port map ( I0 => m_axi_bvalid(0), I1 => m_atarget_enc(0), I2 => m_atarget_enc(2), I3 => m_atarget_enc(1), I4 => m_axi_bvalid(2), I5 => \^m_ready_d_reg[0]_0\, O => \m_ready_d_reg[0]\ ); \s_axi_bvalid[0]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0F0A00C0000A00C0" ) port map ( I0 => m_axi_bvalid(1), I1 => mi_bvalid(4), I2 => m_atarget_enc(2), I3 => m_atarget_enc(1), I4 => m_atarget_enc(0), I5 => m_axi_bvalid(3), O => \^m_ready_d_reg[0]_0\ ); \s_axi_wready[0]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000FCF7FFF7" ) port map ( I0 => m_axi_wready(0), I1 => m_atarget_enc(1), I2 => m_atarget_enc(0), I3 => m_atarget_enc(2), I4 => mi_wready(4), I5 => \m_atarget_enc_reg[0]_0\, O => \m_ready_d_reg[1]_0\ ); \skid_buffer[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFF1000" ) port map ( I0 => m_atarget_enc(2), I1 => m_atarget_enc(0), I2 => m_atarget_enc(1), I3 => m_axi_rlast(1), I4 => \skid_buffer[0]_i_2_n_0\, I5 => \m_atarget_enc_reg[0]\, O => \skid_buffer_reg[0]\ ); \skid_buffer[0]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"00230020" ) port map ( I0 => mi_rmesg(144), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rlast(0), O => \skid_buffer[0]_i_2_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_splitter is port ( \gen_no_arbiter.m_valid_i_reg\ : out STD_LOGIC; m_ready_d : out STD_LOGIC_VECTOR ( 2 downto 0 ); \m_ready_d_reg[1]_0\ : out STD_LOGIC; \m_ready_d_reg[2]_0\ : out STD_LOGIC; \m_ready_d_reg[2]_1\ : out STD_LOGIC; \gen_axi.s_axi_wready_i_reg\ : in STD_LOGIC; s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_no_arbiter.grant_rnw_reg\ : in STD_LOGIC; m_axi_wready : in STD_LOGIC_VECTOR ( 2 downto 0 ); m_atarget_enc : in STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_ready_d0 : in STD_LOGIC_VECTOR ( 0 to 0 ); aresetn_d : in STD_LOGIC; \m_ready_d_reg[1]_1\ : in STD_LOGIC; \m_atarget_enc_reg[1]\ : in STD_LOGIC; \m_atarget_enc_reg[0]\ : in STD_LOGIC; \gen_no_arbiter.grant_rnw_reg_0\ : in STD_LOGIC; aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_splitter : entity is "axi_crossbar_v2_1_14_splitter"; end zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_splitter; architecture STRUCTURE of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_splitter is signal \^m_ready_d\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \m_ready_d[0]_i_1_n_0\ : STD_LOGIC; signal \m_ready_d[1]_i_1_n_0\ : STD_LOGIC; signal \m_ready_d[2]_i_1_n_0\ : STD_LOGIC; signal \^m_ready_d_reg[1]_0\ : STD_LOGIC; begin m_ready_d(2 downto 0) <= \^m_ready_d\(2 downto 0); \m_ready_d_reg[1]_0\ <= \^m_ready_d_reg[1]_0\; \gen_no_arbiter.m_grant_hot_i[0]_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"55550455" ) port map ( I0 => \^m_ready_d\(1), I1 => \gen_axi.s_axi_wready_i_reg\, I2 => \^m_ready_d_reg[1]_0\, I3 => s_axi_wlast(0), I4 => \gen_no_arbiter.grant_rnw_reg\, O => \gen_no_arbiter.m_valid_i_reg\ ); \m_ready_d[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0000BA00" ) port map ( I0 => \^m_ready_d\(0), I1 => \m_atarget_enc_reg[0]\, I2 => \gen_no_arbiter.grant_rnw_reg_0\, I3 => aresetn_d, I4 => \m_ready_d_reg[1]_1\, O => \m_ready_d[0]_i_1_n_0\ ); \m_ready_d[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000AABA0000" ) port map ( I0 => \^m_ready_d\(1), I1 => \m_atarget_enc_reg[1]\, I2 => s_axi_wlast(0), I3 => \gen_no_arbiter.grant_rnw_reg\, I4 => aresetn_d, I5 => \m_ready_d_reg[1]_1\, O => \m_ready_d[1]_i_1_n_0\ ); \m_ready_d[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => m_ready_d0(0), I1 => aresetn_d, I2 => \m_ready_d_reg[1]_1\, O => \m_ready_d[2]_i_1_n_0\ ); \m_ready_d[2]_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"DCFFDFFF" ) port map ( I0 => m_axi_awready(1), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_awready(0), O => \m_ready_d_reg[2]_0\ ); \m_ready_d[2]_i_7\: unisim.vcomponents.LUT5 generic map( INIT => X"FFF4FFF7" ) port map ( I0 => m_axi_bvalid(1), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_bvalid(0), O => \m_ready_d_reg[2]_1\ ); \m_ready_d_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_ready_d[0]_i_1_n_0\, Q => \^m_ready_d\(0), R => '0' ); \m_ready_d_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_ready_d[1]_i_1_n_0\, Q => \^m_ready_d\(1), R => '0' ); \m_ready_d_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_ready_d[2]_i_1_n_0\, Q => \^m_ready_d\(2), R => '0' ); \s_axi_wready[0]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"00F000AC000000AC" ) port map ( I0 => m_axi_wready(1), I1 => m_axi_wready(0), I2 => m_atarget_enc(0), I3 => m_atarget_enc(2), I4 => m_atarget_enc(1), I5 => m_axi_wready(2), O => \^m_ready_d_reg[1]_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_splitter__parameterized0\ is port ( \m_ready_d_reg[1]_0\ : out STD_LOGIC; m_ready_d : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arready : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_atarget_enc : in STD_LOGIC_VECTOR ( 2 downto 0 ); aresetn_d : in STD_LOGIC; m_ready_d0 : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_ready_d_reg[1]_1\ : in STD_LOGIC; \gen_no_arbiter.m_valid_i_reg\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); sr_rvalid : in STD_LOGIC; \m_payload_i_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); aresetn_d_reg : in STD_LOGIC; aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_splitter__parameterized0\ : entity is "axi_crossbar_v2_1_14_splitter"; end \zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_splitter__parameterized0\; architecture STRUCTURE of \zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_splitter__parameterized0\ is signal \^m_ready_d\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \m_ready_d[0]_i_1_n_0\ : STD_LOGIC; signal \m_ready_d[1]_i_1_n_0\ : STD_LOGIC; begin m_ready_d(1 downto 0) <= \^m_ready_d\(1 downto 0); \m_ready_d[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000BAAAAAAA" ) port map ( I0 => \^m_ready_d\(0), I1 => \gen_no_arbiter.m_valid_i_reg\, I2 => s_axi_rready(0), I3 => sr_rvalid, I4 => \m_payload_i_reg[0]\(0), I5 => aresetn_d_reg, O => \m_ready_d[0]_i_1_n_0\ ); \m_ready_d[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => aresetn_d, I1 => m_ready_d0(0), I2 => \m_ready_d_reg[1]_1\, O => \m_ready_d[1]_i_1_n_0\ ); \m_ready_d[1]_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"FCDFFFDF" ) port map ( I0 => m_axi_arready(0), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_arready(1), O => \m_ready_d_reg[1]_0\ ); \m_ready_d_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_ready_d[0]_i_1_n_0\, Q => \^m_ready_d\(0), R => '0' ); \m_ready_d_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_ready_d[1]_i_1_n_0\, Q => \^m_ready_d\(1), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_1_axi_register_slice_v2_1_13_axic_register_slice is port ( sr_rvalid : out STD_LOGIC; aa_rready : out STD_LOGIC; m_ready_d0 : out STD_LOGIC_VECTOR ( 0 to 0 ); \s_axi_rdata[31]\ : out STD_LOGIC_VECTOR ( 34 downto 0 ); \skid_buffer_reg[0]_0\ : out STD_LOGIC; m_valid_i_reg_0 : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rready : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg_1 : out STD_LOGIC_VECTOR ( 1 downto 0 ); \aresetn_d_reg[1]_0\ : in STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[0]_0\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); m_valid_i : in STD_LOGIC; aa_grant_rnw : in STD_LOGIC; m_ready_d : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_atarget_enc : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_atarget_enc_reg[2]\ : in STD_LOGIC; m_axi_rdata : in STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); aa_grant_any : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); SR : in STD_LOGIC_VECTOR ( 0 to 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_1_axi_register_slice_v2_1_13_axic_register_slice : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end zqynq_lab_1_design_xbar_1_axi_register_slice_v2_1_13_axic_register_slice; architecture STRUCTURE of zqynq_lab_1_design_xbar_1_axi_register_slice_v2_1_13_axic_register_slice is signal \^aa_rready\ : STD_LOGIC; signal \m_payload_i[1]_i_2_n_0\ : STD_LOGIC; signal \m_payload_i[1]_i_3_n_0\ : STD_LOGIC; signal \m_payload_i[1]_i_4_n_0\ : STD_LOGIC; signal \m_payload_i[2]_i_2_n_0\ : STD_LOGIC; signal \m_payload_i[2]_i_3_n_0\ : STD_LOGIC; signal \^m_valid_i_reg_1\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_rdata[31]\ : STD_LOGIC_VECTOR ( 34 downto 0 ); signal skid_buffer : STD_LOGIC_VECTOR ( 34 downto 0 ); signal \skid_buffer[10]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[10]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[11]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[11]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[12]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[12]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[13]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[13]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[14]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[14]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[15]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[15]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[16]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[16]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[17]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[17]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[18]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[18]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[19]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[19]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[20]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[20]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[21]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[21]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[22]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[22]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[23]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[23]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[24]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[24]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[25]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[25]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[26]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[26]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[27]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[27]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[28]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[28]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[29]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[29]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[30]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[30]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[31]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[31]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[32]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[32]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[33]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[33]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[34]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[34]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[3]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[3]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[4]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[4]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[5]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[5]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[6]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[6]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[7]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[7]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[8]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[8]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[9]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[9]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; signal \^sr_rvalid\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \m_axi_rready[0]_INST_0\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \m_axi_rready[1]_INST_0\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \m_axi_rready[2]_INST_0\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \m_axi_rready[3]_INST_0\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \m_payload_i[0]_i_1\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_3\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_4\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_2\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \skid_buffer[24]_i_2\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \skid_buffer[29]_i_2\ : label is "soft_lutpair20"; begin aa_rready <= \^aa_rready\; m_valid_i_reg_1(1 downto 0) <= \^m_valid_i_reg_1\(1 downto 0); \s_axi_rdata[31]\(34 downto 0) <= \^s_axi_rdata[31]\(34 downto 0); sr_rvalid <= \^sr_rvalid\; \aresetn_d_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => '1', Q => \^m_valid_i_reg_1\(0), R => SR(0) ); \aresetn_d_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \^m_valid_i_reg_1\(0), Q => \^m_valid_i_reg_1\(1), R => SR(0) ); \m_axi_rready[0]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^aa_rready\, I1 => Q(0), O => m_axi_rready(0) ); \m_axi_rready[1]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^aa_rready\, I1 => Q(1), O => m_axi_rready(1) ); \m_axi_rready[2]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^aa_rready\, I1 => Q(2), O => m_axi_rready(2) ); \m_axi_rready[3]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^aa_rready\, I1 => Q(3), O => m_axi_rready(3) ); \m_payload_i[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_atarget_enc_reg[2]\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[0]\, O => skid_buffer(0) ); \m_payload_i[10]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[10]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[10]\, O => skid_buffer(10) ); \m_payload_i[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[11]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[11]\, O => skid_buffer(11) ); \m_payload_i[12]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[12]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[12]\, O => skid_buffer(12) ); \m_payload_i[13]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[13]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[13]\, O => skid_buffer(13) ); \m_payload_i[14]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[14]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[14]\, O => skid_buffer(14) ); \m_payload_i[15]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[15]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[15]\, O => skid_buffer(15) ); \m_payload_i[16]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[16]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[16]\, O => skid_buffer(16) ); \m_payload_i[17]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[17]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[17]\, O => skid_buffer(17) ); \m_payload_i[18]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[18]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[18]\, O => skid_buffer(18) ); \m_payload_i[19]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[19]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[19]\, O => skid_buffer(19) ); \m_payload_i[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"CAFAFAFACAFACAFA" ) port map ( I0 => \skid_buffer_reg_n_0_[1]\, I1 => \m_payload_i[1]_i_2_n_0\, I2 => \^aa_rready\, I3 => \m_payload_i[1]_i_3_n_0\, I4 => \m_payload_i[1]_i_4_n_0\, I5 => m_axi_rresp(2), O => skid_buffer(1) ); \m_payload_i[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000A0CF0000A0C0" ) port map ( I0 => m_axi_rresp(6), I1 => m_axi_rresp(4), I2 => m_atarget_enc(1), I3 => m_atarget_enc(0), I4 => m_atarget_enc(2), I5 => m_axi_rresp(0), O => \m_payload_i[1]_i_2_n_0\ ); \m_payload_i[1]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"EF" ) port map ( I0 => m_atarget_enc(0), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), O => \m_payload_i[1]_i_3_n_0\ ); \m_payload_i[1]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"FB" ) port map ( I0 => m_atarget_enc(1), I1 => m_atarget_enc(0), I2 => m_atarget_enc(2), O => \m_payload_i[1]_i_4_n_0\ ); \m_payload_i[20]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[20]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[20]\, O => skid_buffer(20) ); \m_payload_i[21]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[21]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[21]\, O => skid_buffer(21) ); \m_payload_i[22]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[22]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[22]\, O => skid_buffer(22) ); \m_payload_i[23]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[23]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[23]\, O => skid_buffer(23) ); \m_payload_i[24]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[24]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[24]\, O => skid_buffer(24) ); \m_payload_i[25]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[25]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[25]\, O => skid_buffer(25) ); \m_payload_i[26]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[26]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[26]\, O => skid_buffer(26) ); \m_payload_i[27]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[27]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[27]\, O => skid_buffer(27) ); \m_payload_i[28]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[28]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[28]\, O => skid_buffer(28) ); \m_payload_i[29]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[29]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[29]\, O => skid_buffer(29) ); \m_payload_i[2]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"E0EE" ) port map ( I0 => \skid_buffer_reg_n_0_[2]\, I1 => \^aa_rready\, I2 => \m_payload_i[2]_i_2_n_0\, I3 => \m_payload_i[2]_i_3_n_0\, O => skid_buffer(2) ); \m_payload_i[2]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"030E0302FFFFFFFF" ) port map ( I0 => m_axi_rresp(1), I1 => m_atarget_enc(1), I2 => m_atarget_enc(0), I3 => m_atarget_enc(2), I4 => m_axi_rresp(5), I5 => \^aa_rready\, O => \m_payload_i[2]_i_2_n_0\ ); \m_payload_i[2]_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"F3F7FFF7" ) port map ( I0 => m_axi_rresp(3), I1 => m_atarget_enc(0), I2 => m_atarget_enc(2), I3 => m_atarget_enc(1), I4 => m_axi_rresp(7), O => \m_payload_i[2]_i_3_n_0\ ); \m_payload_i[30]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[30]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[30]\, O => skid_buffer(30) ); \m_payload_i[31]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[31]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[31]\, O => skid_buffer(31) ); \m_payload_i[32]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[32]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[32]\, O => skid_buffer(32) ); \m_payload_i[33]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[33]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[33]\, O => skid_buffer(33) ); \m_payload_i[34]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[34]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[34]\, O => skid_buffer(34) ); \m_payload_i[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[3]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[3]\, O => skid_buffer(3) ); \m_payload_i[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[4]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[4]\, O => skid_buffer(4) ); \m_payload_i[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[5]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[5]\, O => skid_buffer(5) ); \m_payload_i[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[6]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[6]\, O => skid_buffer(6) ); \m_payload_i[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[7]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[7]\, O => skid_buffer(7) ); \m_payload_i[8]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[8]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[8]\, O => skid_buffer(8) ); \m_payload_i[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \skid_buffer[9]_i_1_n_0\, I1 => \^aa_rready\, I2 => \skid_buffer_reg_n_0_[9]\, O => skid_buffer(9) ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(0), Q => \^s_axi_rdata[31]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(10), Q => \^s_axi_rdata[31]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(11), Q => \^s_axi_rdata[31]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(12), Q => \^s_axi_rdata[31]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(13), Q => \^s_axi_rdata[31]\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(14), Q => \^s_axi_rdata[31]\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(15), Q => \^s_axi_rdata[31]\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(16), Q => \^s_axi_rdata[31]\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(17), Q => \^s_axi_rdata[31]\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(18), Q => \^s_axi_rdata[31]\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(19), Q => \^s_axi_rdata[31]\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(1), Q => \^s_axi_rdata[31]\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(20), Q => \^s_axi_rdata[31]\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(21), Q => \^s_axi_rdata[31]\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(22), Q => \^s_axi_rdata[31]\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(23), Q => \^s_axi_rdata[31]\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(24), Q => \^s_axi_rdata[31]\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(25), Q => \^s_axi_rdata[31]\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(26), Q => \^s_axi_rdata[31]\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(27), Q => \^s_axi_rdata[31]\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(28), Q => \^s_axi_rdata[31]\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(29), Q => \^s_axi_rdata[31]\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(2), Q => \^s_axi_rdata[31]\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(30), Q => \^s_axi_rdata[31]\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(31), Q => \^s_axi_rdata[31]\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(32), Q => \^s_axi_rdata[31]\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(33), Q => \^s_axi_rdata[31]\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(34), Q => \^s_axi_rdata[31]\(34), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(3), Q => \^s_axi_rdata[31]\(3), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(4), Q => \^s_axi_rdata[31]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(5), Q => \^s_axi_rdata[31]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(6), Q => \^s_axi_rdata[31]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(7), Q => \^s_axi_rdata[31]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(8), Q => \^s_axi_rdata[31]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(9), Q => \^s_axi_rdata[31]\(9), R => '0' ); \m_ready_d[1]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF80000000" ) port map ( I0 => \^s_axi_rdata[31]\(0), I1 => \^sr_rvalid\, I2 => s_axi_rready(0), I3 => m_valid_i, I4 => aa_grant_rnw, I5 => m_ready_d(0), O => m_ready_d0(0) ); m_valid_i_i_4: unisim.vcomponents.LUT5 generic map( INIT => X"FFF4FFF7" ) port map ( I0 => m_axi_rvalid(1), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rvalid(0), O => m_valid_i_reg_0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \aresetn_d_reg[1]_0\, Q => \^sr_rvalid\, R => '0' ); \s_axi_rvalid[0]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^sr_rvalid\, I1 => aa_grant_any, O => s_axi_rvalid(0) ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \aresetn_d_reg[0]_0\, Q => \^aa_rready\, R => '0' ); \skid_buffer[0]_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"0C080008" ) port map ( I0 => m_axi_rlast(0), I1 => m_atarget_enc(0), I2 => m_atarget_enc(2), I3 => m_atarget_enc(1), I4 => m_axi_rlast(1), O => \skid_buffer_reg[0]_0\ ); \skid_buffer[10]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF03200020" ) port map ( I0 => m_axi_rdata(39), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_rdata(71), I5 => \skid_buffer[10]_i_2_n_0\, O => \skid_buffer[10]_i_1_n_0\ ); \skid_buffer[10]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(103), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(7), O => \skid_buffer[10]_i_2_n_0\ ); \skid_buffer[11]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00380008" ) port map ( I0 => m_axi_rdata(72), I1 => m_atarget_enc(1), I2 => m_atarget_enc(0), I3 => m_atarget_enc(2), I4 => m_axi_rdata(40), I5 => \skid_buffer[11]_i_2_n_0\, O => \skid_buffer[11]_i_1_n_0\ ); \skid_buffer[11]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(104), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(8), O => \skid_buffer[11]_i_2_n_0\ ); \skid_buffer[12]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00380008" ) port map ( I0 => m_axi_rdata(73), I1 => m_atarget_enc(1), I2 => m_atarget_enc(0), I3 => m_atarget_enc(2), I4 => m_axi_rdata(41), I5 => \skid_buffer[12]_i_2_n_0\, O => \skid_buffer[12]_i_1_n_0\ ); \skid_buffer[12]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(105), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(9), O => \skid_buffer[12]_i_2_n_0\ ); \skid_buffer[13]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00380008" ) port map ( I0 => m_axi_rdata(74), I1 => m_atarget_enc(1), I2 => m_atarget_enc(0), I3 => m_atarget_enc(2), I4 => m_axi_rdata(42), I5 => \skid_buffer[13]_i_2_n_0\, O => \skid_buffer[13]_i_1_n_0\ ); \skid_buffer[13]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(106), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(10), O => \skid_buffer[13]_i_2_n_0\ ); \skid_buffer[14]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF03200020" ) port map ( I0 => m_axi_rdata(43), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_rdata(75), I5 => \skid_buffer[14]_i_2_n_0\, O => \skid_buffer[14]_i_1_n_0\ ); \skid_buffer[14]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(107), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(11), O => \skid_buffer[14]_i_2_n_0\ ); \skid_buffer[15]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF03200020" ) port map ( I0 => m_axi_rdata(44), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_rdata(76), I5 => \skid_buffer[15]_i_2_n_0\, O => \skid_buffer[15]_i_1_n_0\ ); \skid_buffer[15]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"0C020002" ) port map ( I0 => m_axi_rdata(12), I1 => m_atarget_enc(0), I2 => m_atarget_enc(2), I3 => m_atarget_enc(1), I4 => m_axi_rdata(108), O => \skid_buffer[15]_i_2_n_0\ ); \skid_buffer[16]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF03200020" ) port map ( I0 => m_axi_rdata(45), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_rdata(77), I5 => \skid_buffer[16]_i_2_n_0\, O => \skid_buffer[16]_i_1_n_0\ ); \skid_buffer[16]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(109), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(13), O => \skid_buffer[16]_i_2_n_0\ ); \skid_buffer[17]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF03200020" ) port map ( I0 => m_axi_rdata(46), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_rdata(78), I5 => \skid_buffer[17]_i_2_n_0\, O => \skid_buffer[17]_i_1_n_0\ ); \skid_buffer[17]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(110), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(14), O => \skid_buffer[17]_i_2_n_0\ ); \skid_buffer[18]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF03200020" ) port map ( I0 => m_axi_rdata(47), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_rdata(79), I5 => \skid_buffer[18]_i_2_n_0\, O => \skid_buffer[18]_i_1_n_0\ ); \skid_buffer[18]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(111), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(15), O => \skid_buffer[18]_i_2_n_0\ ); \skid_buffer[19]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00380008" ) port map ( I0 => m_axi_rdata(80), I1 => m_atarget_enc(1), I2 => m_atarget_enc(0), I3 => m_atarget_enc(2), I4 => m_axi_rdata(48), I5 => \skid_buffer[19]_i_2_n_0\, O => \skid_buffer[19]_i_1_n_0\ ); \skid_buffer[19]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(112), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(16), O => \skid_buffer[19]_i_2_n_0\ ); \skid_buffer[20]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF03200020" ) port map ( I0 => m_axi_rdata(49), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_rdata(81), I5 => \skid_buffer[20]_i_2_n_0\, O => \skid_buffer[20]_i_1_n_0\ ); \skid_buffer[20]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(113), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(17), O => \skid_buffer[20]_i_2_n_0\ ); \skid_buffer[21]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF03200020" ) port map ( I0 => m_axi_rdata(50), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_rdata(82), I5 => \skid_buffer[21]_i_2_n_0\, O => \skid_buffer[21]_i_1_n_0\ ); \skid_buffer[21]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(114), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(18), O => \skid_buffer[21]_i_2_n_0\ ); \skid_buffer[22]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF03200020" ) port map ( I0 => m_axi_rdata(51), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_rdata(83), I5 => \skid_buffer[22]_i_2_n_0\, O => \skid_buffer[22]_i_1_n_0\ ); \skid_buffer[22]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(115), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(19), O => \skid_buffer[22]_i_2_n_0\ ); \skid_buffer[23]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF03200020" ) port map ( I0 => m_axi_rdata(52), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_rdata(84), I5 => \skid_buffer[23]_i_2_n_0\, O => \skid_buffer[23]_i_1_n_0\ ); \skid_buffer[23]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(116), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(20), O => \skid_buffer[23]_i_2_n_0\ ); \skid_buffer[24]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00380008" ) port map ( I0 => m_axi_rdata(85), I1 => m_atarget_enc(1), I2 => m_atarget_enc(0), I3 => m_atarget_enc(2), I4 => m_axi_rdata(53), I5 => \skid_buffer[24]_i_2_n_0\, O => \skid_buffer[24]_i_1_n_0\ ); \skid_buffer[24]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(117), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(21), O => \skid_buffer[24]_i_2_n_0\ ); \skid_buffer[25]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF03200020" ) port map ( I0 => m_axi_rdata(54), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_rdata(86), I5 => \skid_buffer[25]_i_2_n_0\, O => \skid_buffer[25]_i_1_n_0\ ); \skid_buffer[25]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(118), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(22), O => \skid_buffer[25]_i_2_n_0\ ); \skid_buffer[26]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF03200020" ) port map ( I0 => m_axi_rdata(55), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_rdata(87), I5 => \skid_buffer[26]_i_2_n_0\, O => \skid_buffer[26]_i_1_n_0\ ); \skid_buffer[26]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"0C020002" ) port map ( I0 => m_axi_rdata(23), I1 => m_atarget_enc(0), I2 => m_atarget_enc(2), I3 => m_atarget_enc(1), I4 => m_axi_rdata(119), O => \skid_buffer[26]_i_2_n_0\ ); \skid_buffer[27]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF03200020" ) port map ( I0 => m_axi_rdata(56), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_rdata(88), I5 => \skid_buffer[27]_i_2_n_0\, O => \skid_buffer[27]_i_1_n_0\ ); \skid_buffer[27]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(120), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(24), O => \skid_buffer[27]_i_2_n_0\ ); \skid_buffer[28]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF03200020" ) port map ( I0 => m_axi_rdata(57), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_rdata(89), I5 => \skid_buffer[28]_i_2_n_0\, O => \skid_buffer[28]_i_1_n_0\ ); \skid_buffer[28]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"0C020002" ) port map ( I0 => m_axi_rdata(25), I1 => m_atarget_enc(0), I2 => m_atarget_enc(2), I3 => m_atarget_enc(1), I4 => m_axi_rdata(121), O => \skid_buffer[28]_i_2_n_0\ ); \skid_buffer[29]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00380008" ) port map ( I0 => m_axi_rdata(90), I1 => m_atarget_enc(1), I2 => m_atarget_enc(0), I3 => m_atarget_enc(2), I4 => m_axi_rdata(58), I5 => \skid_buffer[29]_i_2_n_0\, O => \skid_buffer[29]_i_1_n_0\ ); \skid_buffer[29]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(122), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(26), O => \skid_buffer[29]_i_2_n_0\ ); \skid_buffer[30]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00380008" ) port map ( I0 => m_axi_rdata(91), I1 => m_atarget_enc(1), I2 => m_atarget_enc(0), I3 => m_atarget_enc(2), I4 => m_axi_rdata(59), I5 => \skid_buffer[30]_i_2_n_0\, O => \skid_buffer[30]_i_1_n_0\ ); \skid_buffer[30]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(123), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(27), O => \skid_buffer[30]_i_2_n_0\ ); \skid_buffer[31]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF03200020" ) port map ( I0 => m_axi_rdata(60), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_rdata(92), I5 => \skid_buffer[31]_i_2_n_0\, O => \skid_buffer[31]_i_1_n_0\ ); \skid_buffer[31]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"0C020002" ) port map ( I0 => m_axi_rdata(28), I1 => m_atarget_enc(0), I2 => m_atarget_enc(2), I3 => m_atarget_enc(1), I4 => m_axi_rdata(124), O => \skid_buffer[31]_i_2_n_0\ ); \skid_buffer[32]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00380008" ) port map ( I0 => m_axi_rdata(93), I1 => m_atarget_enc(1), I2 => m_atarget_enc(0), I3 => m_atarget_enc(2), I4 => m_axi_rdata(61), I5 => \skid_buffer[32]_i_2_n_0\, O => \skid_buffer[32]_i_1_n_0\ ); \skid_buffer[32]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(125), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(29), O => \skid_buffer[32]_i_2_n_0\ ); \skid_buffer[33]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF03200020" ) port map ( I0 => m_axi_rdata(62), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_rdata(94), I5 => \skid_buffer[33]_i_2_n_0\, O => \skid_buffer[33]_i_1_n_0\ ); \skid_buffer[33]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(126), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(30), O => \skid_buffer[33]_i_2_n_0\ ); \skid_buffer[34]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00380008" ) port map ( I0 => m_axi_rdata(95), I1 => m_atarget_enc(1), I2 => m_atarget_enc(0), I3 => m_atarget_enc(2), I4 => m_axi_rdata(63), I5 => \skid_buffer[34]_i_2_n_0\, O => \skid_buffer[34]_i_1_n_0\ ); \skid_buffer[34]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(127), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(31), O => \skid_buffer[34]_i_2_n_0\ ); \skid_buffer[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00380008" ) port map ( I0 => m_axi_rdata(64), I1 => m_atarget_enc(1), I2 => m_atarget_enc(0), I3 => m_atarget_enc(2), I4 => m_axi_rdata(32), I5 => \skid_buffer[3]_i_2_n_0\, O => \skid_buffer[3]_i_1_n_0\ ); \skid_buffer[3]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(96), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(0), O => \skid_buffer[3]_i_2_n_0\ ); \skid_buffer[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF03200020" ) port map ( I0 => m_axi_rdata(33), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_rdata(65), I5 => \skid_buffer[4]_i_2_n_0\, O => \skid_buffer[4]_i_1_n_0\ ); \skid_buffer[4]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(97), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(1), O => \skid_buffer[4]_i_2_n_0\ ); \skid_buffer[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF03200020" ) port map ( I0 => m_axi_rdata(34), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_rdata(66), I5 => \skid_buffer[5]_i_2_n_0\, O => \skid_buffer[5]_i_1_n_0\ ); \skid_buffer[5]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(98), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(2), O => \skid_buffer[5]_i_2_n_0\ ); \skid_buffer[6]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00380008" ) port map ( I0 => m_axi_rdata(67), I1 => m_atarget_enc(1), I2 => m_atarget_enc(0), I3 => m_atarget_enc(2), I4 => m_axi_rdata(35), I5 => \skid_buffer[6]_i_2_n_0\, O => \skid_buffer[6]_i_1_n_0\ ); \skid_buffer[6]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"0C020002" ) port map ( I0 => m_axi_rdata(3), I1 => m_atarget_enc(0), I2 => m_atarget_enc(2), I3 => m_atarget_enc(1), I4 => m_axi_rdata(99), O => \skid_buffer[6]_i_2_n_0\ ); \skid_buffer[7]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF03200020" ) port map ( I0 => m_axi_rdata(36), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_rdata(68), I5 => \skid_buffer[7]_i_2_n_0\, O => \skid_buffer[7]_i_1_n_0\ ); \skid_buffer[7]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(100), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(4), O => \skid_buffer[7]_i_2_n_0\ ); \skid_buffer[8]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00380008" ) port map ( I0 => m_axi_rdata(69), I1 => m_atarget_enc(1), I2 => m_atarget_enc(0), I3 => m_atarget_enc(2), I4 => m_axi_rdata(37), I5 => \skid_buffer[8]_i_2_n_0\, O => \skid_buffer[8]_i_1_n_0\ ); \skid_buffer[8]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(101), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(5), O => \skid_buffer[8]_i_2_n_0\ ); \skid_buffer[9]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF03200020" ) port map ( I0 => m_axi_rdata(38), I1 => m_atarget_enc(2), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), I4 => m_axi_rdata(70), I5 => \skid_buffer[9]_i_2_n_0\, O => \skid_buffer[9]_i_1_n_0\ ); \skid_buffer[9]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"08030800" ) port map ( I0 => m_axi_rdata(102), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_axi_rdata(6), O => \skid_buffer[9]_i_2_n_0\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \m_atarget_enc_reg[2]\, Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[10]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[11]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[12]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[13]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[14]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[15]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[16]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[17]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[18]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[19]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => skid_buffer(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[20]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[21]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[22]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[23]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[24]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[25]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[26]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[27]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[28]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[29]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => skid_buffer(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[30]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[31]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[32]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[33]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[34]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[3]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[4]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[5]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[6]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[7]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[8]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^aa_rready\, D => \skid_buffer[9]_i_1_n_0\, Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_crossbar_sasd is port ( Q : out STD_LOGIC_VECTOR ( 68 downto 0 ); \s_axi_rdata[31]\ : out STD_LOGIC_VECTOR ( 34 downto 0 ); s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bready : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rready : out STD_LOGIC_VECTOR ( 3 downto 0 ); aresetn : in STD_LOGIC; aclk : in STD_LOGIC; s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 127 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_crossbar_sasd : entity is "axi_crossbar_v2_1_14_crossbar_sasd"; end zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_crossbar_sasd; architecture STRUCTURE of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_crossbar_sasd is signal \^q\ : STD_LOGIC_VECTOR ( 68 downto 0 ); signal aa_grant_any : STD_LOGIC; signal aa_grant_rnw : STD_LOGIC; signal aa_rready : STD_LOGIC; signal addr_arbiter_inst_n_10 : STD_LOGIC; signal addr_arbiter_inst_n_102 : STD_LOGIC; signal addr_arbiter_inst_n_107 : STD_LOGIC; signal addr_arbiter_inst_n_11 : STD_LOGIC; signal addr_arbiter_inst_n_113 : STD_LOGIC; signal addr_arbiter_inst_n_117 : STD_LOGIC; signal addr_arbiter_inst_n_12 : STD_LOGIC; signal addr_arbiter_inst_n_13 : STD_LOGIC; signal addr_arbiter_inst_n_4 : STD_LOGIC; signal addr_arbiter_inst_n_5 : STD_LOGIC; signal addr_arbiter_inst_n_6 : STD_LOGIC; signal addr_arbiter_inst_n_7 : STD_LOGIC; signal addr_arbiter_inst_n_85 : STD_LOGIC; signal addr_arbiter_inst_n_86 : STD_LOGIC; signal addr_arbiter_inst_n_87 : STD_LOGIC; signal addr_arbiter_inst_n_9 : STD_LOGIC; signal addr_arbiter_inst_n_94 : STD_LOGIC; signal addr_arbiter_inst_n_95 : STD_LOGIC; signal addr_arbiter_inst_n_96 : STD_LOGIC; signal any_error : STD_LOGIC; signal aresetn_d : STD_LOGIC; signal f_hot2enc_return0 : STD_LOGIC; signal \gen_decerr.decerr_slave_inst_n_10\ : STD_LOGIC; signal \gen_decerr.decerr_slave_inst_n_11\ : STD_LOGIC; signal \gen_decerr.decerr_slave_inst_n_4\ : STD_LOGIC; signal \gen_decerr.decerr_slave_inst_n_5\ : STD_LOGIC; signal \gen_decerr.decerr_slave_inst_n_6\ : STD_LOGIC; signal \gen_decerr.decerr_slave_inst_n_7\ : STD_LOGIC; signal \gen_decerr.decerr_slave_inst_n_8\ : STD_LOGIC; signal \gen_decerr.decerr_slave_inst_n_9\ : STD_LOGIC; signal m_atarget_enc : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \m_atarget_enc[0]_i_1_n_0\ : STD_LOGIC; signal \m_atarget_enc[1]_i_1_n_0\ : STD_LOGIC; signal m_atarget_hot : STD_LOGIC_VECTOR ( 4 downto 0 ); signal m_atarget_hot0 : STD_LOGIC_VECTOR ( 0 to 0 ); signal m_ready_d : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m_ready_d0 : STD_LOGIC_VECTOR ( 2 to 2 ); signal m_ready_d0_0 : STD_LOGIC_VECTOR ( 0 to 0 ); signal m_ready_d_1 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m_valid_i : STD_LOGIC; signal mi_arready : STD_LOGIC_VECTOR ( 4 to 4 ); signal mi_awready : STD_LOGIC_VECTOR ( 4 to 4 ); signal mi_rvalid : STD_LOGIC_VECTOR ( 4 to 4 ); signal p_1_in : STD_LOGIC; signal reg_slice_r_n_38 : STD_LOGIC; signal reg_slice_r_n_39 : STD_LOGIC; signal reg_slice_r_n_45 : STD_LOGIC; signal reg_slice_r_n_46 : STD_LOGIC; signal reset : STD_LOGIC; signal \s_axi_bresp[0]_INST_0_i_1_n_0\ : STD_LOGIC; signal \s_axi_bresp[1]_INST_0_i_1_n_0\ : STD_LOGIC; signal \^s_axi_rdata[31]\ : STD_LOGIC_VECTOR ( 34 downto 0 ); signal s_axi_rid_i : STD_LOGIC; signal splitter_ar_n_0 : STD_LOGIC; signal splitter_aw_n_0 : STD_LOGIC; signal splitter_aw_n_4 : STD_LOGIC; signal splitter_aw_n_5 : STD_LOGIC; signal splitter_aw_n_6 : STD_LOGIC; signal sr_rvalid : STD_LOGIC; signal write_cs : STD_LOGIC_VECTOR ( 1 to 1 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \m_atarget_enc[0]_i_1\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \m_atarget_enc[1]_i_1\ : label is "soft_lutpair41"; begin Q(68 downto 0) <= \^q\(68 downto 0); \s_axi_rdata[31]\(34 downto 0) <= \^s_axi_rdata[31]\(34 downto 0); addr_arbiter_inst: entity work.zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_addr_arbiter_sasd port map ( D(4) => addr_arbiter_inst_n_10, D(3) => addr_arbiter_inst_n_11, D(2) => addr_arbiter_inst_n_12, D(1) => addr_arbiter_inst_n_13, D(0) => m_atarget_hot0(0), E(0) => p_1_in, Q(1) => reg_slice_r_n_45, Q(0) => reg_slice_r_n_46, SR(0) => reset, aa_grant_any => aa_grant_any, aa_grant_rnw => aa_grant_rnw, aa_rready => aa_rready, aclk => aclk, aresetn_d => aresetn_d, f_hot2enc_return0 => f_hot2enc_return0, \gen_axi.read_cs_reg[0]\ => \gen_decerr.decerr_slave_inst_n_5\, \gen_axi.s_axi_arready_i_reg\ => addr_arbiter_inst_n_6, \gen_axi.s_axi_awready_i_reg\ => addr_arbiter_inst_n_107, \gen_axi.s_axi_awready_i_reg_0\ => \gen_decerr.decerr_slave_inst_n_7\, \gen_axi.s_axi_bvalid_i_reg\ => \gen_decerr.decerr_slave_inst_n_11\, \gen_axi.s_axi_rlast_i_reg\ => addr_arbiter_inst_n_117, \gen_axi.s_axi_wready_i_reg\ => addr_arbiter_inst_n_87, \gen_axi.s_axi_wready_i_reg_0\ => addr_arbiter_inst_n_94, \m_atarget_enc_reg[0]\ => \gen_decerr.decerr_slave_inst_n_6\, \m_atarget_enc_reg[0]_0\ => \gen_decerr.decerr_slave_inst_n_10\, \m_atarget_enc_reg[1]\ => \gen_decerr.decerr_slave_inst_n_8\, \m_atarget_enc_reg[1]_0\ => splitter_aw_n_6, \m_atarget_enc_reg[1]_1\ => reg_slice_r_n_39, \m_atarget_enc_reg[2]\(0) => any_error, \m_atarget_enc_reg[2]_0\ => splitter_ar_n_0, \m_atarget_enc_reg[2]_1\ => splitter_aw_n_5, \m_atarget_hot_reg[3]\ => addr_arbiter_inst_n_86, \m_atarget_hot_reg[4]\ => addr_arbiter_inst_n_85, \m_atarget_hot_reg[4]_0\(4 downto 0) => m_atarget_hot(4 downto 0), \m_axi_arqos[3]\(68 downto 0) => \^q\(68 downto 0), m_axi_arvalid(3 downto 0) => m_axi_arvalid(3 downto 0), m_axi_awvalid(3 downto 0) => m_axi_awvalid(3 downto 0), m_axi_bready(3 downto 0) => m_axi_bready(3 downto 0), m_axi_wvalid(3 downto 0) => m_axi_wvalid(3 downto 0), m_ready_d(1 downto 0) => m_ready_d(1 downto 0), m_ready_d0(0) => m_ready_d0(2), m_ready_d0_1(0) => m_ready_d0_0(0), m_ready_d_0(2 downto 0) => m_ready_d_1(2 downto 0), \m_ready_d_reg[0]\ => addr_arbiter_inst_n_4, \m_ready_d_reg[0]_0\ => addr_arbiter_inst_n_102, \m_ready_d_reg[0]_1\ => addr_arbiter_inst_n_113, \m_ready_d_reg[1]\ => addr_arbiter_inst_n_5, \m_ready_d_reg[1]_0\ => addr_arbiter_inst_n_95, \m_ready_d_reg[1]_1\ => splitter_aw_n_0, \m_ready_d_reg[2]\ => addr_arbiter_inst_n_96, m_valid_i => m_valid_i, m_valid_i_reg => addr_arbiter_inst_n_9, mi_arready(0) => mi_arready(4), mi_awready(0) => mi_awready(4), mi_rvalid(0) => mi_rvalid(4), s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arlock(0) => s_axi_arlock(0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready(0) => s_axi_arready(0), s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_arvalid(0) => s_axi_arvalid(0), s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awlock(0) => s_axi_awlock(0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready(0) => s_axi_awready(0), s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awvalid(0) => s_axi_awvalid(0), s_axi_bready(0) => s_axi_bready(0), s_axi_bvalid(0) => s_axi_bvalid(0), s_axi_rid_i => s_axi_rid_i, s_axi_rready(0) => s_axi_rready(0), s_axi_wlast(0) => s_axi_wlast(0), s_axi_wready(0) => s_axi_wready(0), s_axi_wvalid(0) => s_axi_wvalid(0), s_ready_i_reg => addr_arbiter_inst_n_7, sr_rvalid => sr_rvalid, write_cs(0) => write_cs(1) ); aresetn_d_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => aresetn, Q => aresetn_d, R => '0' ); \gen_decerr.decerr_slave_inst\: entity work.zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_decerr_slave port map ( Q(0) => m_atarget_hot(4), SR(0) => reset, aa_rready => aa_rready, aclk => aclk, aresetn_d => aresetn_d, \gen_axi.write_cs_reg[1]_0\(0) => write_cs(1), \gen_no_arbiter.grant_rnw_reg\ => addr_arbiter_inst_n_102, \gen_no_arbiter.grant_rnw_reg_0\ => addr_arbiter_inst_n_87, \gen_no_arbiter.m_amesg_i_reg[50]\ => addr_arbiter_inst_n_117, \gen_no_arbiter.m_amesg_i_reg[51]\(7 downto 0) => \^q\(51 downto 44), \gen_no_arbiter.m_valid_i_reg\ => \gen_decerr.decerr_slave_inst_n_9\, m_atarget_enc(2 downto 0) => m_atarget_enc(2 downto 0), \m_atarget_enc_reg[0]\ => reg_slice_r_n_38, \m_atarget_enc_reg[0]_0\ => splitter_aw_n_4, \m_atarget_hot_reg[4]\ => addr_arbiter_inst_n_94, m_axi_arready(1) => m_axi_arready(3), m_axi_arready(0) => m_axi_arready(0), m_axi_awready(1 downto 0) => m_axi_awready(1 downto 0), m_axi_bvalid(3 downto 0) => m_axi_bvalid(3 downto 0), m_axi_rlast(1) => m_axi_rlast(2), m_axi_rlast(0) => m_axi_rlast(0), m_axi_rvalid(1) => m_axi_rvalid(3), m_axi_rvalid(0) => m_axi_rvalid(1), m_axi_wready(0) => m_axi_wready(2), \m_ready_d_reg[0]\ => \gen_decerr.decerr_slave_inst_n_10\, \m_ready_d_reg[0]_0\ => \gen_decerr.decerr_slave_inst_n_11\, \m_ready_d_reg[1]\ => \gen_decerr.decerr_slave_inst_n_6\, \m_ready_d_reg[1]_0\ => \gen_decerr.decerr_slave_inst_n_8\, \m_ready_d_reg[1]_1\ => addr_arbiter_inst_n_6, \m_ready_d_reg[2]\ => \gen_decerr.decerr_slave_inst_n_7\, \m_ready_d_reg[2]_0\ => addr_arbiter_inst_n_107, m_valid_i_reg => \gen_decerr.decerr_slave_inst_n_5\, mi_arready(0) => mi_arready(4), mi_awready(0) => mi_awready(4), mi_rvalid(0) => mi_rvalid(4), s_axi_rid_i => s_axi_rid_i, \skid_buffer_reg[0]\ => \gen_decerr.decerr_slave_inst_n_4\ ); \m_atarget_enc[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"C0E000E0" ) port map ( I0 => \^q\(28), I1 => addr_arbiter_inst_n_86, I2 => aresetn_d, I3 => addr_arbiter_inst_n_85, I4 => f_hot2enc_return0, O => \m_atarget_enc[0]_i_1_n_0\ ); \m_atarget_enc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => aresetn_d, I1 => f_hot2enc_return0, O => \m_atarget_enc[1]_i_1_n_0\ ); \m_atarget_enc_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_atarget_enc[0]_i_1_n_0\, Q => m_atarget_enc(0), R => '0' ); \m_atarget_enc_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_atarget_enc[1]_i_1_n_0\, Q => m_atarget_enc(1), R => '0' ); \m_atarget_enc_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => any_error, Q => m_atarget_enc(2), R => reset ); \m_atarget_hot_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_atarget_hot0(0), Q => m_atarget_hot(0), R => reset ); \m_atarget_hot_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => addr_arbiter_inst_n_13, Q => m_atarget_hot(1), R => reset ); \m_atarget_hot_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => addr_arbiter_inst_n_12, Q => m_atarget_hot(2), R => reset ); \m_atarget_hot_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => addr_arbiter_inst_n_11, Q => m_atarget_hot(3), R => reset ); \m_atarget_hot_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => addr_arbiter_inst_n_10, Q => m_atarget_hot(4), R => reset ); reg_slice_r: entity work.zqynq_lab_1_design_xbar_1_axi_register_slice_v2_1_13_axic_register_slice port map ( E(0) => p_1_in, Q(3 downto 0) => m_atarget_hot(3 downto 0), SR(0) => reset, aa_grant_any => aa_grant_any, aa_grant_rnw => aa_grant_rnw, aa_rready => aa_rready, aclk => aclk, \aresetn_d_reg[0]_0\ => addr_arbiter_inst_n_7, \aresetn_d_reg[1]_0\ => addr_arbiter_inst_n_9, m_atarget_enc(2 downto 0) => m_atarget_enc(2 downto 0), \m_atarget_enc_reg[2]\ => \gen_decerr.decerr_slave_inst_n_4\, m_axi_rdata(127 downto 0) => m_axi_rdata(127 downto 0), m_axi_rlast(1) => m_axi_rlast(3), m_axi_rlast(0) => m_axi_rlast(1), m_axi_rready(3 downto 0) => m_axi_rready(3 downto 0), m_axi_rresp(7 downto 0) => m_axi_rresp(7 downto 0), m_axi_rvalid(1) => m_axi_rvalid(2), m_axi_rvalid(0) => m_axi_rvalid(0), m_ready_d(0) => m_ready_d(0), m_ready_d0(0) => m_ready_d0_0(0), m_valid_i => m_valid_i, m_valid_i_reg_0 => reg_slice_r_n_39, m_valid_i_reg_1(1) => reg_slice_r_n_45, m_valid_i_reg_1(0) => reg_slice_r_n_46, \s_axi_rdata[31]\(34 downto 0) => \^s_axi_rdata[31]\(34 downto 0), s_axi_rready(0) => s_axi_rready(0), s_axi_rvalid(0) => s_axi_rvalid(0), \skid_buffer_reg[0]_0\ => reg_slice_r_n_38, sr_rvalid => sr_rvalid ); \s_axi_bresp[0]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFF1410" ) port map ( I0 => m_atarget_enc(0), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_axi_bresp(4), I4 => \s_axi_bresp[0]_INST_0_i_1_n_0\, O => s_axi_bresp(0) ); \s_axi_bresp[0]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00CA000F00CA0000" ) port map ( I0 => m_axi_bresp(2), I1 => m_axi_bresp(6), I2 => m_atarget_enc(1), I3 => m_atarget_enc(2), I4 => m_atarget_enc(0), I5 => m_axi_bresp(0), O => \s_axi_bresp[0]_INST_0_i_1_n_0\ ); \s_axi_bresp[1]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFF1410" ) port map ( I0 => m_atarget_enc(0), I1 => m_atarget_enc(1), I2 => m_atarget_enc(2), I3 => m_axi_bresp(5), I4 => \s_axi_bresp[1]_INST_0_i_1_n_0\, O => s_axi_bresp(1) ); \s_axi_bresp[1]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0A000C0F0A000C00" ) port map ( I0 => m_axi_bresp(7), I1 => m_axi_bresp(3), I2 => m_atarget_enc(2), I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), I5 => m_axi_bresp(1), O => \s_axi_bresp[1]_INST_0_i_1_n_0\ ); splitter_ar: entity work.\zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_splitter__parameterized0\ port map ( aclk => aclk, aresetn_d => aresetn_d, aresetn_d_reg => addr_arbiter_inst_n_4, \gen_no_arbiter.m_valid_i_reg\ => addr_arbiter_inst_n_113, m_atarget_enc(2 downto 0) => m_atarget_enc(2 downto 0), m_axi_arready(1 downto 0) => m_axi_arready(2 downto 1), \m_payload_i_reg[0]\(0) => \^s_axi_rdata[31]\(0), m_ready_d(1 downto 0) => m_ready_d(1 downto 0), m_ready_d0(0) => m_ready_d0_0(0), \m_ready_d_reg[1]_0\ => splitter_ar_n_0, \m_ready_d_reg[1]_1\ => addr_arbiter_inst_n_5, s_axi_rready(0) => s_axi_rready(0), sr_rvalid => sr_rvalid ); splitter_aw: entity work.zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_splitter port map ( aclk => aclk, aresetn_d => aresetn_d, \gen_axi.s_axi_wready_i_reg\ => \gen_decerr.decerr_slave_inst_n_9\, \gen_no_arbiter.grant_rnw_reg\ => addr_arbiter_inst_n_95, \gen_no_arbiter.grant_rnw_reg_0\ => addr_arbiter_inst_n_102, \gen_no_arbiter.m_valid_i_reg\ => splitter_aw_n_0, m_atarget_enc(2 downto 0) => m_atarget_enc(2 downto 0), \m_atarget_enc_reg[0]\ => \gen_decerr.decerr_slave_inst_n_10\, \m_atarget_enc_reg[1]\ => \gen_decerr.decerr_slave_inst_n_8\, m_axi_awready(1 downto 0) => m_axi_awready(3 downto 2), m_axi_bvalid(1) => m_axi_bvalid(2), m_axi_bvalid(0) => m_axi_bvalid(0), m_axi_wready(2) => m_axi_wready(3), m_axi_wready(1 downto 0) => m_axi_wready(1 downto 0), m_ready_d(2 downto 0) => m_ready_d_1(2 downto 0), m_ready_d0(0) => m_ready_d0(2), \m_ready_d_reg[1]_0\ => splitter_aw_n_4, \m_ready_d_reg[1]_1\ => addr_arbiter_inst_n_96, \m_ready_d_reg[2]_0\ => splitter_aw_n_5, \m_ready_d_reg[2]_1\ => splitter_aw_n_6, s_axi_wlast(0) => s_axi_wlast(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awid : out STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wid : out STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_wlast : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wuser : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bready : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arid : out STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rid : in STD_LOGIC_VECTOR ( 47 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_ruser : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rready : out STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 12; attribute C_AXI_PROTOCOL : integer; attribute C_AXI_PROTOCOL of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 0; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 0; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_CONNECTIVITY_MODE : integer; attribute C_CONNECTIVITY_MODE of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 0; attribute C_DEBUG : integer; attribute C_DEBUG of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_FAMILY : string; attribute C_FAMILY of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is "zynq"; attribute C_M_AXI_ADDR_WIDTH : string; attribute C_M_AXI_ADDR_WIDTH of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is "128'b00000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000"; attribute C_M_AXI_BASE_ADDR : string; attribute C_M_AXI_BASE_ADDR of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is "256'b0000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100001010000000000000000000000000000000000000000000000000000000010000010010000100000000000000000000000000000000000000000000000001000001001000000000000000000000"; attribute C_M_AXI_READ_CONNECTIVITY : string; attribute C_M_AXI_READ_CONNECTIVITY of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is "128'b00000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001"; attribute C_M_AXI_READ_ISSUING : string; attribute C_M_AXI_READ_ISSUING of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is "128'b00000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001"; attribute C_M_AXI_SECURE : string; attribute C_M_AXI_SECURE of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is "128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute C_M_AXI_WRITE_CONNECTIVITY : string; attribute C_M_AXI_WRITE_CONNECTIVITY of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is "128'b00000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001"; attribute C_M_AXI_WRITE_ISSUING : string; attribute C_M_AXI_WRITE_ISSUING of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is "128'b00000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001"; attribute C_NUM_ADDR_RANGES : integer; attribute C_NUM_ADDR_RANGES of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_NUM_MASTER_SLOTS : integer; attribute C_NUM_MASTER_SLOTS of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 4; attribute C_NUM_SLAVE_SLOTS : integer; attribute C_NUM_SLAVE_SLOTS of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_R_REGISTER : integer; attribute C_R_REGISTER of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_S_AXI_ARB_PRIORITY : integer; attribute C_S_AXI_ARB_PRIORITY of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 0; attribute C_S_AXI_BASE_ID : integer; attribute C_S_AXI_BASE_ID of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 0; attribute C_S_AXI_READ_ACCEPTANCE : integer; attribute C_S_AXI_READ_ACCEPTANCE of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_S_AXI_SINGLE_THREAD : integer; attribute C_S_AXI_SINGLE_THREAD of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute C_S_AXI_THREAD_ID_WIDTH : integer; attribute C_S_AXI_THREAD_ID_WIDTH of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 12; attribute C_S_AXI_WRITE_ACCEPTANCE : integer; attribute C_S_AXI_WRITE_ACCEPTANCE of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is "yes"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is "axi_crossbar_v2_1_14_axi_crossbar"; attribute P_ADDR_DECODE : integer; attribute P_ADDR_DECODE of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute P_AXI3 : integer; attribute P_AXI3 of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute P_AXI4 : integer; attribute P_AXI4 of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 0; attribute P_AXILITE : integer; attribute P_AXILITE of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is "3'b010"; attribute P_FAMILY : string; attribute P_FAMILY of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is "zynq"; attribute P_INCR : string; attribute P_INCR of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is "2'b01"; attribute P_LEN : integer; attribute P_LEN of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 8; attribute P_LOCK : integer; attribute P_LOCK of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute P_M_AXI_ERR_MODE : string; attribute P_M_AXI_ERR_MODE of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is "128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute P_M_AXI_SUPPORTS_READ : string; attribute P_M_AXI_SUPPORTS_READ of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is "4'b1111"; attribute P_M_AXI_SUPPORTS_WRITE : string; attribute P_M_AXI_SUPPORTS_WRITE of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is "4'b1111"; attribute P_ONES : string; attribute P_ONES of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is "65'b11111111111111111111111111111111111111111111111111111111111111111"; attribute P_RANGE_CHECK : integer; attribute P_RANGE_CHECK of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is 1; attribute P_S_AXI_BASE_ID : string; attribute P_S_AXI_BASE_ID of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is "64'b0000000000000000000000000000000000000000000000000000000000000000"; attribute P_S_AXI_HIGH_ID : string; attribute P_S_AXI_HIGH_ID of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is "64'b0000000000000000000000000000000000000000000000000000111111111111"; attribute P_S_AXI_SUPPORTS_READ : string; attribute P_S_AXI_SUPPORTS_READ of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is "1'b1"; attribute P_S_AXI_SUPPORTS_WRITE : string; attribute P_S_AXI_SUPPORTS_WRITE of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar : entity is "1'b1"; end zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar; architecture STRUCTURE of zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar is signal \<const0>\ : STD_LOGIC; signal \^m_axi_araddr\ : STD_LOGIC_VECTOR ( 15 downto 0 ); signal \^m_axi_arburst\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^m_axi_arid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^m_axi_arlock\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^m_axi_arprot\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^m_axi_arqos\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^m_axi_arsize\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^m_axi_awaddr\ : STD_LOGIC_VECTOR ( 127 downto 112 ); signal \^m_axi_awlen\ : STD_LOGIC_VECTOR ( 31 downto 24 ); signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_wlast\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 ); begin \^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0); \^s_axi_wlast\(0) <= s_axi_wlast(0); \^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0); m_axi_araddr(127 downto 112) <= \^m_axi_awaddr\(127 downto 112); m_axi_araddr(111 downto 96) <= \^m_axi_araddr\(15 downto 0); m_axi_araddr(95 downto 80) <= \^m_axi_awaddr\(127 downto 112); m_axi_araddr(79 downto 64) <= \^m_axi_araddr\(15 downto 0); m_axi_araddr(63 downto 48) <= \^m_axi_awaddr\(127 downto 112); m_axi_araddr(47 downto 32) <= \^m_axi_araddr\(15 downto 0); m_axi_araddr(31 downto 16) <= \^m_axi_awaddr\(127 downto 112); m_axi_araddr(15 downto 0) <= \^m_axi_araddr\(15 downto 0); m_axi_arburst(7 downto 6) <= \^m_axi_arburst\(1 downto 0); m_axi_arburst(5 downto 4) <= \^m_axi_arburst\(1 downto 0); m_axi_arburst(3 downto 2) <= \^m_axi_arburst\(1 downto 0); m_axi_arburst(1 downto 0) <= \^m_axi_arburst\(1 downto 0); m_axi_arcache(15 downto 12) <= \^m_axi_arcache\(3 downto 0); m_axi_arcache(11 downto 8) <= \^m_axi_arcache\(3 downto 0); m_axi_arcache(7 downto 4) <= \^m_axi_arcache\(3 downto 0); m_axi_arcache(3 downto 0) <= \^m_axi_arcache\(3 downto 0); m_axi_arid(47 downto 36) <= \^m_axi_arid\(11 downto 0); m_axi_arid(35 downto 24) <= \^m_axi_arid\(11 downto 0); m_axi_arid(23 downto 12) <= \^m_axi_arid\(11 downto 0); m_axi_arid(11 downto 0) <= \^m_axi_arid\(11 downto 0); m_axi_arlen(31 downto 24) <= \^m_axi_awlen\(31 downto 24); m_axi_arlen(23 downto 16) <= \^m_axi_awlen\(31 downto 24); m_axi_arlen(15 downto 8) <= \^m_axi_awlen\(31 downto 24); m_axi_arlen(7 downto 0) <= \^m_axi_awlen\(31 downto 24); m_axi_arlock(3) <= \^m_axi_arlock\(0); m_axi_arlock(2) <= \^m_axi_arlock\(0); m_axi_arlock(1) <= \^m_axi_arlock\(0); m_axi_arlock(0) <= \^m_axi_arlock\(0); m_axi_arprot(11 downto 9) <= \^m_axi_arprot\(2 downto 0); m_axi_arprot(8 downto 6) <= \^m_axi_arprot\(2 downto 0); m_axi_arprot(5 downto 3) <= \^m_axi_arprot\(2 downto 0); m_axi_arprot(2 downto 0) <= \^m_axi_arprot\(2 downto 0); m_axi_arqos(15 downto 12) <= \^m_axi_arqos\(3 downto 0); m_axi_arqos(11 downto 8) <= \^m_axi_arqos\(3 downto 0); m_axi_arqos(7 downto 4) <= \^m_axi_arqos\(3 downto 0); m_axi_arqos(3 downto 0) <= \^m_axi_arqos\(3 downto 0); m_axi_arregion(15) <= \<const0>\; m_axi_arregion(14) <= \<const0>\; m_axi_arregion(13) <= \<const0>\; m_axi_arregion(12) <= \<const0>\; m_axi_arregion(11) <= \<const0>\; m_axi_arregion(10) <= \<const0>\; m_axi_arregion(9) <= \<const0>\; m_axi_arregion(8) <= \<const0>\; m_axi_arregion(7) <= \<const0>\; m_axi_arregion(6) <= \<const0>\; m_axi_arregion(5) <= \<const0>\; m_axi_arregion(4) <= \<const0>\; m_axi_arregion(3) <= \<const0>\; m_axi_arregion(2) <= \<const0>\; m_axi_arregion(1) <= \<const0>\; m_axi_arregion(0) <= \<const0>\; m_axi_arsize(11 downto 9) <= \^m_axi_arsize\(2 downto 0); m_axi_arsize(8 downto 6) <= \^m_axi_arsize\(2 downto 0); m_axi_arsize(5 downto 3) <= \^m_axi_arsize\(2 downto 0); m_axi_arsize(2 downto 0) <= \^m_axi_arsize\(2 downto 0); m_axi_aruser(3) <= \<const0>\; m_axi_aruser(2) <= \<const0>\; m_axi_aruser(1) <= \<const0>\; m_axi_aruser(0) <= \<const0>\; m_axi_awaddr(127 downto 112) <= \^m_axi_awaddr\(127 downto 112); m_axi_awaddr(111 downto 96) <= \^m_axi_araddr\(15 downto 0); m_axi_awaddr(95 downto 80) <= \^m_axi_awaddr\(127 downto 112); m_axi_awaddr(79 downto 64) <= \^m_axi_araddr\(15 downto 0); m_axi_awaddr(63 downto 48) <= \^m_axi_awaddr\(127 downto 112); m_axi_awaddr(47 downto 32) <= \^m_axi_araddr\(15 downto 0); m_axi_awaddr(31 downto 16) <= \^m_axi_awaddr\(127 downto 112); m_axi_awaddr(15 downto 0) <= \^m_axi_araddr\(15 downto 0); m_axi_awburst(7 downto 6) <= \^m_axi_arburst\(1 downto 0); m_axi_awburst(5 downto 4) <= \^m_axi_arburst\(1 downto 0); m_axi_awburst(3 downto 2) <= \^m_axi_arburst\(1 downto 0); m_axi_awburst(1 downto 0) <= \^m_axi_arburst\(1 downto 0); m_axi_awcache(15 downto 12) <= \^m_axi_arcache\(3 downto 0); m_axi_awcache(11 downto 8) <= \^m_axi_arcache\(3 downto 0); m_axi_awcache(7 downto 4) <= \^m_axi_arcache\(3 downto 0); m_axi_awcache(3 downto 0) <= \^m_axi_arcache\(3 downto 0); m_axi_awid(47 downto 36) <= \^m_axi_arid\(11 downto 0); m_axi_awid(35 downto 24) <= \^m_axi_arid\(11 downto 0); m_axi_awid(23 downto 12) <= \^m_axi_arid\(11 downto 0); m_axi_awid(11 downto 0) <= \^m_axi_arid\(11 downto 0); m_axi_awlen(31 downto 24) <= \^m_axi_awlen\(31 downto 24); m_axi_awlen(23 downto 16) <= \^m_axi_awlen\(31 downto 24); m_axi_awlen(15 downto 8) <= \^m_axi_awlen\(31 downto 24); m_axi_awlen(7 downto 0) <= \^m_axi_awlen\(31 downto 24); m_axi_awlock(3) <= \^m_axi_arlock\(0); m_axi_awlock(2) <= \^m_axi_arlock\(0); m_axi_awlock(1) <= \^m_axi_arlock\(0); m_axi_awlock(0) <= \^m_axi_arlock\(0); m_axi_awprot(11 downto 9) <= \^m_axi_arprot\(2 downto 0); m_axi_awprot(8 downto 6) <= \^m_axi_arprot\(2 downto 0); m_axi_awprot(5 downto 3) <= \^m_axi_arprot\(2 downto 0); m_axi_awprot(2 downto 0) <= \^m_axi_arprot\(2 downto 0); m_axi_awqos(15 downto 12) <= \^m_axi_arqos\(3 downto 0); m_axi_awqos(11 downto 8) <= \^m_axi_arqos\(3 downto 0); m_axi_awqos(7 downto 4) <= \^m_axi_arqos\(3 downto 0); m_axi_awqos(3 downto 0) <= \^m_axi_arqos\(3 downto 0); m_axi_awregion(15) <= \<const0>\; m_axi_awregion(14) <= \<const0>\; m_axi_awregion(13) <= \<const0>\; m_axi_awregion(12) <= \<const0>\; m_axi_awregion(11) <= \<const0>\; m_axi_awregion(10) <= \<const0>\; m_axi_awregion(9) <= \<const0>\; m_axi_awregion(8) <= \<const0>\; m_axi_awregion(7) <= \<const0>\; m_axi_awregion(6) <= \<const0>\; m_axi_awregion(5) <= \<const0>\; m_axi_awregion(4) <= \<const0>\; m_axi_awregion(3) <= \<const0>\; m_axi_awregion(2) <= \<const0>\; m_axi_awregion(1) <= \<const0>\; m_axi_awregion(0) <= \<const0>\; m_axi_awsize(11 downto 9) <= \^m_axi_arsize\(2 downto 0); m_axi_awsize(8 downto 6) <= \^m_axi_arsize\(2 downto 0); m_axi_awsize(5 downto 3) <= \^m_axi_arsize\(2 downto 0); m_axi_awsize(2 downto 0) <= \^m_axi_arsize\(2 downto 0); m_axi_awuser(3) <= \<const0>\; m_axi_awuser(2) <= \<const0>\; m_axi_awuser(1) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_wdata(127 downto 96) <= \^s_axi_wdata\(31 downto 0); m_axi_wdata(95 downto 64) <= \^s_axi_wdata\(31 downto 0); m_axi_wdata(63 downto 32) <= \^s_axi_wdata\(31 downto 0); m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0); m_axi_wid(47) <= \<const0>\; m_axi_wid(46) <= \<const0>\; m_axi_wid(45) <= \<const0>\; m_axi_wid(44) <= \<const0>\; m_axi_wid(43) <= \<const0>\; m_axi_wid(42) <= \<const0>\; m_axi_wid(41) <= \<const0>\; m_axi_wid(40) <= \<const0>\; m_axi_wid(39) <= \<const0>\; m_axi_wid(38) <= \<const0>\; m_axi_wid(37) <= \<const0>\; m_axi_wid(36) <= \<const0>\; m_axi_wid(35) <= \<const0>\; m_axi_wid(34) <= \<const0>\; m_axi_wid(33) <= \<const0>\; m_axi_wid(32) <= \<const0>\; m_axi_wid(31) <= \<const0>\; m_axi_wid(30) <= \<const0>\; m_axi_wid(29) <= \<const0>\; m_axi_wid(28) <= \<const0>\; m_axi_wid(27) <= \<const0>\; m_axi_wid(26) <= \<const0>\; m_axi_wid(25) <= \<const0>\; m_axi_wid(24) <= \<const0>\; m_axi_wid(23) <= \<const0>\; m_axi_wid(22) <= \<const0>\; m_axi_wid(21) <= \<const0>\; m_axi_wid(20) <= \<const0>\; m_axi_wid(19) <= \<const0>\; m_axi_wid(18) <= \<const0>\; m_axi_wid(17) <= \<const0>\; m_axi_wid(16) <= \<const0>\; m_axi_wid(15) <= \<const0>\; m_axi_wid(14) <= \<const0>\; m_axi_wid(13) <= \<const0>\; m_axi_wid(12) <= \<const0>\; m_axi_wid(11) <= \<const0>\; m_axi_wid(10) <= \<const0>\; m_axi_wid(9) <= \<const0>\; m_axi_wid(8) <= \<const0>\; m_axi_wid(7) <= \<const0>\; m_axi_wid(6) <= \<const0>\; m_axi_wid(5) <= \<const0>\; m_axi_wid(4) <= \<const0>\; m_axi_wid(3) <= \<const0>\; m_axi_wid(2) <= \<const0>\; m_axi_wid(1) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wlast(3) <= \^s_axi_wlast\(0); m_axi_wlast(2) <= \^s_axi_wlast\(0); m_axi_wlast(1) <= \^s_axi_wlast\(0); m_axi_wlast(0) <= \^s_axi_wlast\(0); m_axi_wstrb(15 downto 12) <= \^s_axi_wstrb\(3 downto 0); m_axi_wstrb(11 downto 8) <= \^s_axi_wstrb\(3 downto 0); m_axi_wstrb(7 downto 4) <= \^s_axi_wstrb\(3 downto 0); m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0); m_axi_wuser(3) <= \<const0>\; m_axi_wuser(2) <= \<const0>\; m_axi_wuser(1) <= \<const0>\; m_axi_wuser(0) <= \<const0>\; s_axi_bid(11 downto 0) <= \^m_axi_arid\(11 downto 0); s_axi_buser(0) <= \<const0>\; s_axi_rid(11 downto 0) <= \^m_axi_arid\(11 downto 0); s_axi_ruser(0) <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); \gen_sasd.crossbar_sasd_0\: entity work.zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_crossbar_sasd port map ( Q(68 downto 65) => \^m_axi_arqos\(3 downto 0), Q(64 downto 61) => \^m_axi_arcache\(3 downto 0), Q(60 downto 59) => \^m_axi_arburst\(1 downto 0), Q(58 downto 56) => \^m_axi_arprot\(2 downto 0), Q(55) => \^m_axi_arlock\(0), Q(54 downto 52) => \^m_axi_arsize\(2 downto 0), Q(51 downto 44) => \^m_axi_awlen\(31 downto 24), Q(43 downto 28) => \^m_axi_awaddr\(127 downto 112), Q(27 downto 12) => \^m_axi_araddr\(15 downto 0), Q(11 downto 0) => \^m_axi_arid\(11 downto 0), aclk => aclk, aresetn => aresetn, m_axi_arready(3 downto 0) => m_axi_arready(3 downto 0), m_axi_arvalid(3 downto 0) => m_axi_arvalid(3 downto 0), m_axi_awready(3 downto 0) => m_axi_awready(3 downto 0), m_axi_awvalid(3 downto 0) => m_axi_awvalid(3 downto 0), m_axi_bready(3 downto 0) => m_axi_bready(3 downto 0), m_axi_bresp(7 downto 0) => m_axi_bresp(7 downto 0), m_axi_bvalid(3 downto 0) => m_axi_bvalid(3 downto 0), m_axi_rdata(127 downto 0) => m_axi_rdata(127 downto 0), m_axi_rlast(3 downto 0) => m_axi_rlast(3 downto 0), m_axi_rready(3 downto 0) => m_axi_rready(3 downto 0), m_axi_rresp(7 downto 0) => m_axi_rresp(7 downto 0), m_axi_rvalid(3 downto 0) => m_axi_rvalid(3 downto 0), m_axi_wready(3 downto 0) => m_axi_wready(3 downto 0), m_axi_wvalid(3 downto 0) => m_axi_wvalid(3 downto 0), s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arlock(0) => s_axi_arlock(0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready(0) => s_axi_arready(0), s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_arvalid(0) => s_axi_arvalid(0), s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awlock(0) => s_axi_awlock(0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready(0) => s_axi_awready(0), s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awvalid(0) => s_axi_awvalid(0), s_axi_bready(0) => s_axi_bready(0), s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bvalid(0) => s_axi_bvalid(0), \s_axi_rdata[31]\(34 downto 3) => s_axi_rdata(31 downto 0), \s_axi_rdata[31]\(2 downto 1) => s_axi_rresp(1 downto 0), \s_axi_rdata[31]\(0) => s_axi_rlast(0), s_axi_rready(0) => s_axi_rready(0), s_axi_rvalid(0) => s_axi_rvalid(0), s_axi_wlast(0) => \^s_axi_wlast\(0), s_axi_wready(0) => s_axi_wready(0), s_axi_wvalid(0) => s_axi_wvalid(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_xbar_1 is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_awvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_wlast : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_bvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bready : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_arvalid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_rlast : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rready : out STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of zqynq_lab_1_design_xbar_1 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of zqynq_lab_1_design_xbar_1 : entity is "zqynq_lab_1_design_xbar_1,axi_crossbar_v2_1_14_axi_crossbar,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of zqynq_lab_1_design_xbar_1 : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of zqynq_lab_1_design_xbar_1 : entity is "axi_crossbar_v2_1_14_axi_crossbar,Vivado 2017.2"; end zqynq_lab_1_design_xbar_1; architecture STRUCTURE of zqynq_lab_1_design_xbar_1 is signal NLW_inst_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 ); signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 ); signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 ); signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of inst : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of inst : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of inst : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of inst : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of inst : label is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of inst : label is 12; attribute C_AXI_PROTOCOL : integer; attribute C_AXI_PROTOCOL of inst : label is 0; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of inst : label is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of inst : label is 1; attribute C_CONNECTIVITY_MODE : integer; attribute C_CONNECTIVITY_MODE of inst : label is 0; attribute C_DEBUG : integer; attribute C_DEBUG of inst : label is 1; attribute C_FAMILY : string; attribute C_FAMILY of inst : label is "zynq"; attribute C_M_AXI_ADDR_WIDTH : string; attribute C_M_AXI_ADDR_WIDTH of inst : label is "128'b00000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000"; attribute C_M_AXI_BASE_ADDR : string; attribute C_M_AXI_BASE_ADDR of inst : label is "256'b0000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100001010000000000000000000000000000000000000000000000000000000010000010010000100000000000000000000000000000000000000000000000001000001001000000000000000000000"; attribute C_M_AXI_READ_CONNECTIVITY : string; attribute C_M_AXI_READ_CONNECTIVITY of inst : label is "128'b00000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001"; attribute C_M_AXI_READ_ISSUING : string; attribute C_M_AXI_READ_ISSUING of inst : label is "128'b00000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001"; attribute C_M_AXI_SECURE : string; attribute C_M_AXI_SECURE of inst : label is "128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute C_M_AXI_WRITE_CONNECTIVITY : string; attribute C_M_AXI_WRITE_CONNECTIVITY of inst : label is "128'b00000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001"; attribute C_M_AXI_WRITE_ISSUING : string; attribute C_M_AXI_WRITE_ISSUING of inst : label is "128'b00000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001"; attribute C_NUM_ADDR_RANGES : integer; attribute C_NUM_ADDR_RANGES of inst : label is 1; attribute C_NUM_MASTER_SLOTS : integer; attribute C_NUM_MASTER_SLOTS of inst : label is 4; attribute C_NUM_SLAVE_SLOTS : integer; attribute C_NUM_SLAVE_SLOTS of inst : label is 1; attribute C_R_REGISTER : integer; attribute C_R_REGISTER of inst : label is 1; attribute C_S_AXI_ARB_PRIORITY : integer; attribute C_S_AXI_ARB_PRIORITY of inst : label is 0; attribute C_S_AXI_BASE_ID : integer; attribute C_S_AXI_BASE_ID of inst : label is 0; attribute C_S_AXI_READ_ACCEPTANCE : integer; attribute C_S_AXI_READ_ACCEPTANCE of inst : label is 1; attribute C_S_AXI_SINGLE_THREAD : integer; attribute C_S_AXI_SINGLE_THREAD of inst : label is 1; attribute C_S_AXI_THREAD_ID_WIDTH : integer; attribute C_S_AXI_THREAD_ID_WIDTH of inst : label is 12; attribute C_S_AXI_WRITE_ACCEPTANCE : integer; attribute C_S_AXI_WRITE_ACCEPTANCE of inst : label is 1; attribute DowngradeIPIdentifiedWarnings of inst : label is "yes"; attribute P_ADDR_DECODE : integer; attribute P_ADDR_DECODE of inst : label is 1; attribute P_AXI3 : integer; attribute P_AXI3 of inst : label is 1; attribute P_AXI4 : integer; attribute P_AXI4 of inst : label is 0; attribute P_AXILITE : integer; attribute P_AXILITE of inst : label is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of inst : label is "3'b010"; attribute P_FAMILY : string; attribute P_FAMILY of inst : label is "zynq"; attribute P_INCR : string; attribute P_INCR of inst : label is "2'b01"; attribute P_LEN : integer; attribute P_LEN of inst : label is 8; attribute P_LOCK : integer; attribute P_LOCK of inst : label is 1; attribute P_M_AXI_ERR_MODE : string; attribute P_M_AXI_ERR_MODE of inst : label is "128'b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute P_M_AXI_SUPPORTS_READ : string; attribute P_M_AXI_SUPPORTS_READ of inst : label is "4'b1111"; attribute P_M_AXI_SUPPORTS_WRITE : string; attribute P_M_AXI_SUPPORTS_WRITE of inst : label is "4'b1111"; attribute P_ONES : string; attribute P_ONES of inst : label is "65'b11111111111111111111111111111111111111111111111111111111111111111"; attribute P_RANGE_CHECK : integer; attribute P_RANGE_CHECK of inst : label is 1; attribute P_S_AXI_BASE_ID : string; attribute P_S_AXI_BASE_ID of inst : label is "64'b0000000000000000000000000000000000000000000000000000000000000000"; attribute P_S_AXI_HIGH_ID : string; attribute P_S_AXI_HIGH_ID of inst : label is "64'b0000000000000000000000000000000000000000000000000000111111111111"; attribute P_S_AXI_SUPPORTS_READ : string; attribute P_S_AXI_SUPPORTS_READ of inst : label is "1'b1"; attribute P_S_AXI_SUPPORTS_WRITE : string; attribute P_S_AXI_SUPPORTS_WRITE of inst : label is "1'b1"; begin inst: entity work.zqynq_lab_1_design_xbar_1_axi_crossbar_v2_1_14_axi_crossbar port map ( aclk => aclk, aresetn => aresetn, m_axi_araddr(127 downto 0) => m_axi_araddr(127 downto 0), m_axi_arburst(7 downto 0) => m_axi_arburst(7 downto 0), m_axi_arcache(15 downto 0) => m_axi_arcache(15 downto 0), m_axi_arid(47 downto 0) => NLW_inst_m_axi_arid_UNCONNECTED(47 downto 0), m_axi_arlen(31 downto 0) => m_axi_arlen(31 downto 0), m_axi_arlock(3 downto 0) => m_axi_arlock(3 downto 0), m_axi_arprot(11 downto 0) => m_axi_arprot(11 downto 0), m_axi_arqos(15 downto 0) => m_axi_arqos(15 downto 0), m_axi_arready(3 downto 0) => m_axi_arready(3 downto 0), m_axi_arregion(15 downto 0) => m_axi_arregion(15 downto 0), m_axi_arsize(11 downto 0) => m_axi_arsize(11 downto 0), m_axi_aruser(3 downto 0) => NLW_inst_m_axi_aruser_UNCONNECTED(3 downto 0), m_axi_arvalid(3 downto 0) => m_axi_arvalid(3 downto 0), m_axi_awaddr(127 downto 0) => m_axi_awaddr(127 downto 0), m_axi_awburst(7 downto 0) => m_axi_awburst(7 downto 0), m_axi_awcache(15 downto 0) => m_axi_awcache(15 downto 0), m_axi_awid(47 downto 0) => NLW_inst_m_axi_awid_UNCONNECTED(47 downto 0), m_axi_awlen(31 downto 0) => m_axi_awlen(31 downto 0), m_axi_awlock(3 downto 0) => m_axi_awlock(3 downto 0), m_axi_awprot(11 downto 0) => m_axi_awprot(11 downto 0), m_axi_awqos(15 downto 0) => m_axi_awqos(15 downto 0), m_axi_awready(3 downto 0) => m_axi_awready(3 downto 0), m_axi_awregion(15 downto 0) => m_axi_awregion(15 downto 0), m_axi_awsize(11 downto 0) => m_axi_awsize(11 downto 0), m_axi_awuser(3 downto 0) => NLW_inst_m_axi_awuser_UNCONNECTED(3 downto 0), m_axi_awvalid(3 downto 0) => m_axi_awvalid(3 downto 0), m_axi_bid(47 downto 0) => B"000000000000000000000000000000000000000000000000", m_axi_bready(3 downto 0) => m_axi_bready(3 downto 0), m_axi_bresp(7 downto 0) => m_axi_bresp(7 downto 0), m_axi_buser(3 downto 0) => B"0000", m_axi_bvalid(3 downto 0) => m_axi_bvalid(3 downto 0), m_axi_rdata(127 downto 0) => m_axi_rdata(127 downto 0), m_axi_rid(47 downto 0) => B"000000000000000000000000000000000000000000000000", m_axi_rlast(3 downto 0) => m_axi_rlast(3 downto 0), m_axi_rready(3 downto 0) => m_axi_rready(3 downto 0), m_axi_rresp(7 downto 0) => m_axi_rresp(7 downto 0), m_axi_ruser(3 downto 0) => B"0000", m_axi_rvalid(3 downto 0) => m_axi_rvalid(3 downto 0), m_axi_wdata(127 downto 0) => m_axi_wdata(127 downto 0), m_axi_wid(47 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(47 downto 0), m_axi_wlast(3 downto 0) => m_axi_wlast(3 downto 0), m_axi_wready(3 downto 0) => m_axi_wready(3 downto 0), m_axi_wstrb(15 downto 0) => m_axi_wstrb(15 downto 0), m_axi_wuser(3 downto 0) => NLW_inst_m_axi_wuser_UNCONNECTED(3 downto 0), m_axi_wvalid(3 downto 0) => m_axi_wvalid(3 downto 0), s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arlock(0) => s_axi_arlock(0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready(0) => s_axi_arready(0), s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid(0) => s_axi_arvalid(0), s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awlock(0) => s_axi_awlock(0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready(0) => s_axi_awready(0), s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid(0) => s_axi_awvalid(0), s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), s_axi_bready(0) => s_axi_bready(0), s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0), s_axi_bvalid(0) => s_axi_bvalid(0), s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), s_axi_rlast(0) => s_axi_rlast(0), s_axi_rready(0) => s_axi_rready(0), s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid(0) => s_axi_rvalid(0), s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wid(11 downto 0) => B"000000000000", s_axi_wlast(0) => s_axi_wlast(0), s_axi_wready(0) => s_axi_wready(0), s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wuser(0) => '0', s_axi_wvalid(0) => s_axi_wvalid(0) ); end STRUCTURE;
mit
9254ec3f5b2ec4205508a7d4500cea15
0.544382
2.669973
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/tech/stratixii/simprims/stratixii_components.vhd
2
49,003
-- Copyright (C) 1991-2009 Altera Corporation -- Your use of Altera Corporation's design tools, logic functions -- and other software and tools, and its AMPP partner logic -- functions, and any output files from any of the foregoing -- (including device programming or simulation files), and any -- associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License -- Subscription Agreement, Altera MegaCore Function License -- Agreement, or other applicable license agreement, including, -- without limitation, that your use is for the sole purpose of -- programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the -- applicable agreement for further details. -- Quartus II 9.0 Build 235 03/01/2009 LIBRARY IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.VITAL_Timing.all; use work.stratixii_atom_pack.all; package STRATIXII_COMPONENTS is -- -- STRATIXII_LCELL_FF -- component stratixii_lcell_ff generic ( x_on_violation : string := "on"; lpm_type : string := "stratixii_lcell_ff"; tsetup_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; tsetup_adatasdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_adatasdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; tpd_clk_regout_posedge : VitalDelayType01 := DefPropDelay01; tpd_aclr_regout_posedge : VitalDelayType01 := DefPropDelay01; tpd_aload_regout_posedge : VitalDelayType01 := DefPropDelay01; tpd_adatasdata_regout: VitalDelayType01 := DefPropDelay01; tipd_clk : VitalDelayType01 := DefPropDelay01; tipd_datain : VitalDelayType01 := DefPropDelay01; tipd_adatasdata : VitalDelayType01 := DefPropDelay01; tipd_sclr : VitalDelayType01 := DefPropDelay01; tipd_sload : VitalDelayType01 := DefPropDelay01; tipd_aclr : VitalDelayType01 := DefPropDelay01; tipd_aload : VitalDelayType01 := DefPropDelay01; tipd_ena : VitalDelayType01 := DefPropDelay01; TimingChecksOn: Boolean := True; MsgOn: Boolean := DefGlitchMsgOn; XOn: Boolean := DefGlitchXOn; MsgOnChecks: Boolean := DefMsgOnChecks; XOnChecks: Boolean := DefXOnChecks; InstancePath: STRING := "*" ); port ( datain : in std_logic := '0'; clk : in std_logic := '0'; aclr : in std_logic := '0'; aload : in std_logic := '0'; sclr : in std_logic := '0'; sload : in std_logic := '0'; ena : in std_logic := '1'; adatasdata : in std_logic := '0'; devclrn : in std_logic := '1'; devpor : in std_logic := '1'; regout : out std_logic ); end component; -- -- STRATIXII_LCELL_COMB -- component stratixii_lcell_comb generic ( lut_mask : std_logic_vector(63 downto 0) := (OTHERS => '1'); shared_arith : string := "off"; extended_lut : string := "off"; lpm_type : string := "stratixii_lcell_comb"; TimingChecksOn: Boolean := True; MsgOn: Boolean := DefGlitchMsgOn; XOn: Boolean := DefGlitchXOn; MsgOnChecks: Boolean := DefMsgOnChecks; XOnChecks: Boolean := DefXOnChecks; InstancePath: STRING := "*"; tpd_dataa_combout : VitalDelayType01 := DefPropDelay01; tpd_datab_combout : VitalDelayType01 := DefPropDelay01; tpd_datac_combout : VitalDelayType01 := DefPropDelay01; tpd_datad_combout : VitalDelayType01 := DefPropDelay01; tpd_datae_combout : VitalDelayType01 := DefPropDelay01; tpd_dataf_combout : VitalDelayType01 := DefPropDelay01; tpd_datag_combout : VitalDelayType01 := DefPropDelay01; tpd_dataa_sumout : VitalDelayType01 := DefPropDelay01; tpd_datab_sumout : VitalDelayType01 := DefPropDelay01; tpd_datac_sumout : VitalDelayType01 := DefPropDelay01; tpd_datad_sumout : VitalDelayType01 := DefPropDelay01; tpd_dataf_sumout : VitalDelayType01 := DefPropDelay01; tpd_cin_sumout : VitalDelayType01 := DefPropDelay01; tpd_sharein_sumout : VitalDelayType01 := DefPropDelay01; tpd_dataa_cout : VitalDelayType01 := DefPropDelay01; tpd_datab_cout : VitalDelayType01 := DefPropDelay01; tpd_datac_cout : VitalDelayType01 := DefPropDelay01; tpd_datad_cout : VitalDelayType01 := DefPropDelay01; tpd_dataf_cout : VitalDelayType01 := DefPropDelay01; tpd_cin_cout : VitalDelayType01 := DefPropDelay01; tpd_sharein_cout : VitalDelayType01 := DefPropDelay01; tpd_dataa_shareout : VitalDelayType01 := DefPropDelay01; tpd_datab_shareout : VitalDelayType01 := DefPropDelay01; tpd_datac_shareout : VitalDelayType01 := DefPropDelay01; tpd_datad_shareout : VitalDelayType01 := DefPropDelay01; tipd_dataa : VitalDelayType01 := DefPropDelay01; tipd_datab : VitalDelayType01 := DefPropDelay01; tipd_datac : VitalDelayType01 := DefPropDelay01; tipd_datad : VitalDelayType01 := DefPropDelay01; tipd_datae : VitalDelayType01 := DefPropDelay01; tipd_dataf : VitalDelayType01 := DefPropDelay01; tipd_datag : VitalDelayType01 := DefPropDelay01; tipd_cin : VitalDelayType01 := DefPropDelay01; tipd_sharein : VitalDelayType01 := DefPropDelay01 ); port ( dataa : in std_logic := '0'; datab : in std_logic := '0'; datac : in std_logic := '0'; datad : in std_logic := '0'; datae : in std_logic := '0'; dataf : in std_logic := '0'; datag : in std_logic := '0'; cin : in std_logic := '0'; sharein : in std_logic := '0'; combout : out std_logic; sumout : out std_logic; cout : out std_logic; shareout : out std_logic ); end component; -- -- STRATIXII_IO -- component stratixii_io generic ( operation_mode : string := "input"; ddio_mode : string := "none"; open_drain_output : string := "false"; bus_hold : string := "false"; output_register_mode : string := "none"; output_async_reset : string := "none"; output_power_up : string := "low"; output_sync_reset : string := "none"; tie_off_output_clock_enable : string := "false"; oe_register_mode : string := "none"; oe_async_reset : string := "none"; oe_power_up : string := "low"; oe_sync_reset : string := "none"; tie_off_oe_clock_enable : string := "false"; input_register_mode : string := "none"; input_async_reset : string := "none"; input_power_up : string := "low"; input_sync_reset : string := "none"; extend_oe_disable : string := "false"; dqs_input_frequency : string := "10000 ps"; dqs_out_mode : string := "none"; dqs_delay_buffer_mode : string := "low"; dqs_phase_shift : integer := 0; inclk_input : string := "normal"; ddioinclk_input : string := "negated_inclk"; dqs_offsetctrl_enable : string := "false"; dqs_ctrl_latches_enable : string := "false"; dqs_edge_detect_enable : string := "false"; gated_dqs : string := "false"; sim_dqs_intrinsic_delay : integer := 0; sim_dqs_delay_increment : integer := 0; sim_dqs_offset_increment : integer := 0; lpm_type : string := "stratixii_io" ); port ( datain : in std_logic := '0'; ddiodatain : in std_logic := '0'; oe : in std_logic := '1'; outclk : in std_logic := '0'; outclkena : in std_logic := '1'; inclk : in std_logic := '0'; inclkena : in std_logic := '1'; areset : in std_logic := '0'; sreset : in std_logic := '0'; ddioinclk : in std_logic := '0'; delayctrlin : in std_logic_vector(5 downto 0) := "000000"; offsetctrlin : in std_logic_vector(5 downto 0) := "000000"; dqsupdateen : in std_logic := '0'; linkin : in std_logic := '0'; terminationcontrol : in std_logic_vector(13 downto 0) := "00000000000000"; devclrn : in std_logic := '1'; devpor : in std_logic := '1'; devoe : in std_logic := '0'; padio : inout std_logic; combout : out std_logic; regout : out std_logic; ddioregout : out std_logic; dqsbusout : out std_logic; linkout : out std_logic ); end component; -- -- STRATIXII_CLKCTRL -- component stratixii_clkctrl generic ( clock_type : STRING := "Auto"; lpm_type : STRING := "stratixii_clkctrl"; TimingChecksOn : Boolean := True; MsgOn : Boolean := DefGlitchMsgOn; XOn : Boolean := DefGlitchXOn; MsgOnChecks : Boolean := DefMsgOnChecks; XOnChecks : Boolean := DefXOnChecks; InstancePath : STRING := "*"; tipd_inclk : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01); tipd_clkselect : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01); tipd_ena : VitalDelayType01 := DefPropDelay01 ); port ( inclk : in std_logic_vector(3 downto 0) := "0000"; clkselect : in std_logic_vector(1 downto 0) := "00"; ena : in std_logic := '1'; devclrn : in std_logic := '1'; devpor : in std_logic := '1'; outclk : out std_logic ); end component; -- -- STRATIXII_MAC_MULT -- component stratixii_mac_mult generic ( dataa_width : integer := 18; datab_width : integer := 18; dataa_clock : string := "none"; datab_clock : string := "none"; signa_clock : string := "none"; signb_clock : string := "none"; round_clock : string := "none"; saturate_clock : string := "none"; output_clock : string := "none"; dataa_clear : string := "none"; datab_clear : string := "none"; signa_clear : string := "none"; signb_clear : string := "none"; round_clear : string := "none"; saturate_clear : string := "none"; output_clear : string := "none"; bypass_multiplier : string := "no"; mode_clock : string := "none"; zeroacc_clock : string := "none"; mode_clear : string := "none"; zeroacc_clear : string := "none"; signa_internally_grounded : string := "false"; signb_internally_grounded : string := "false"; lpm_hint : string := "true"; dynamic_mode : string := "no"; lpm_type : string := "stratixii_mac_mult" ); port ( dataa : IN std_logic_vector(dataa_width-1 DOWNTO 0) := (others => '1'); datab : IN std_logic_vector(datab_width-1 DOWNTO 0) := (others => '1'); scanina : IN std_logic_vector(dataa_width-1 DOWNTO 0) := (others => '0'); scaninb : IN std_logic_vector(datab_width-1 DOWNTO 0) := (others => '0'); sourcea : IN std_logic := '0'; sourceb : IN std_logic := '0'; signa : IN std_logic := '1'; signb : IN std_logic := '1'; round : IN std_logic := '0'; saturate : IN std_logic := '0'; clk : IN std_logic_vector(3 DOWNTO 0) := (others => '0'); aclr : IN std_logic_vector(3 DOWNTO 0) := (others => '0'); ena : IN std_logic_vector(3 DOWNTO 0) := (others => '1'); mode : IN std_logic := '0'; zeroacc : IN std_logic := '0'; dataout : OUT std_logic_vector((dataa_width+datab_width)-1 DOWNTO 0); scanouta : OUT std_logic_vector(dataa_width-1 DOWNTO 0); scanoutb : OUT std_logic_vector(datab_width-1 DOWNTO 0); devclrn : in std_logic := '1'; devpor : in std_logic := '1' ); end component; -- -- STRATIXII_MAC_OUT -- component stratixii_mac_out generic ( operation_mode : string := "output_only"; dataa_width : integer := 1; datab_width : integer := 1; datac_width : integer := 1; datad_width : integer := 1; dataout_width : integer := 144; addnsub0_clock : string := "none"; addnsub1_clock : string := "none"; zeroacc_clock : string := "none"; round0_clock : string := "none"; round1_clock : string := "none"; saturate_clock : string := "none"; multabsaturate_clock : string := "none"; multcdsaturate_clock : string := "none"; signa_clock : string := "none"; signb_clock : string := "none"; output_clock : string := "none"; addnsub0_clear : string := "none"; addnsub1_clear : string := "none"; zeroacc_clear : string := "none"; round0_clear : string := "none"; round1_clear : string := "none"; saturate_clear : string := "none"; multabsaturate_clear : string := "none"; multcdsaturate_clear : string := "none"; signa_clear : string := "none"; signb_clear : string := "none"; output_clear : string := "none"; addnsub0_pipeline_clock : string := "none"; addnsub1_pipeline_clock : string := "none"; round0_pipeline_clock : string := "none"; round1_pipeline_clock : string := "none"; saturate_pipeline_clock : string := "none"; multabsaturate_pipeline_clock : string := "none"; multcdsaturate_pipeline_clock : string := "none"; zeroacc_pipeline_clock : string := "none"; signa_pipeline_clock : string := "none"; signb_pipeline_clock : string := "none"; addnsub0_pipeline_clear : string := "none"; addnsub1_pipeline_clear : string := "none"; round0_pipeline_clear : string := "none"; round1_pipeline_clear : string := "none"; saturate_pipeline_clear : string := "none"; multabsaturate_pipeline_clear : string := "none"; multcdsaturate_pipeline_clear : string := "none"; zeroacc_pipeline_clear : string := "none"; signa_pipeline_clear : string := "none"; signb_pipeline_clear : string := "none"; mode0_clock : string := "none"; mode1_clock : string := "none"; zeroacc1_clock : string := "none"; saturate1_clock : string := "none"; output1_clock : string := "none"; output2_clock : string := "none"; output3_clock : string := "none"; output4_clock : string := "none"; output5_clock : string := "none"; output6_clock : string := "none"; output7_clock : string := "none"; mode0_clear : string := "none"; mode1_clear : string := "none"; zeroacc1_clear : string := "none"; saturate1_clear : string := "none"; output1_clear : string := "none"; output2_clear : string := "none"; output3_clear : string := "none"; output4_clear : string := "none"; output5_clear : string := "none"; output6_clear : string := "none"; output7_clear : string := "none"; mode0_pipeline_clock : string := "none"; mode1_pipeline_clock : string := "none"; zeroacc1_pipeline_clock : string := "none"; saturate1_pipeline_clock : string := "none"; mode0_pipeline_clear : string := "none"; mode1_pipeline_clear : string := "none"; zeroacc1_pipeline_clear : string := "none"; saturate1_pipeline_clear : string := "none"; dataa_forced_to_zero : string := "no"; datac_forced_to_zero : string := "no"; lpm_hint : string := "true"; lpm_type : string := "stratixii_mac_out" ); port ( dataa : in std_logic_vector (dataa_width - 1 downto 0) := (others => '1'); datab : in std_logic_vector (datab_width - 1 downto 0) := (others => '1'); datac : in std_logic_vector (datac_width - 1 downto 0) := (others => '1'); datad : in std_logic_vector (datad_width - 1 downto 0) := (others => '1'); zeroacc : in std_logic := '0'; addnsub0 : in std_logic := '1'; addnsub1 : in std_logic := '1'; round0 : in std_logic := '0'; round1 : in std_logic := '0'; saturate : in std_logic := '0'; multabsaturate : in std_logic := '0'; multcdsaturate : in std_logic := '0'; signa : in std_logic := '1'; signb : in std_logic := '1'; clk : in std_logic_vector (3 downto 0) := "0000"; aclr : in std_logic_vector (3 downto 0) := "0000"; ena : in std_logic_vector (3 downto 0) := "1111"; mode0 : in std_logic := '0'; mode1 : in std_logic := '0'; zeroacc1 : in std_logic := '0'; saturate1 : in std_logic := '0'; dataout : out std_logic_vector (dataout_width -1 downto 0); accoverflow : out std_logic; devclrn : in std_logic := '1'; devpor : in std_logic := '1' ); end component; -- -- STRATIXII_PLL -- COMPONENT stratixii_pll GENERIC (operation_mode : string := "normal"; pll_type : string := "auto"; compensate_clock : string := "clk0"; feedback_source : string := "e0"; qualify_conf_done : string := "off"; test_input_comp_delay : integer := 0; test_feedback_comp_delay : integer := 0; inclk0_input_frequency : integer := 10000; inclk1_input_frequency : integer := 10000; gate_lock_signal : string := "no"; gate_lock_counter : integer := 1; self_reset_on_gated_loss_lock : string := "off"; valid_lock_multiplier : integer := 1; invalid_lock_multiplier : integer := 5; sim_gate_lock_device_behavior : string := "off"; switch_over_type : string := "auto"; switch_over_on_lossclk : string := "off"; switch_over_on_gated_lock : string := "off"; switch_over_counter : integer := 1; enable_switch_over_counter : string := "off"; bandwidth : integer := 0; bandwidth_type : string := "auto"; down_spread : string := "0 %"; spread_frequency : integer := 0; clk0_output_frequency : integer := 0; clk0_multiply_by : integer := 1; clk0_divide_by : integer := 1; clk0_phase_shift : string := "0"; clk0_duty_cycle : integer := 50; clk1_output_frequency : integer := 0; clk1_multiply_by : integer := 1; clk1_divide_by : integer := 1; clk1_phase_shift : string := "0"; clk1_duty_cycle : integer := 50; clk2_output_frequency : integer := 0; clk2_multiply_by : integer := 1; clk2_divide_by : integer := 1; clk2_phase_shift : string := "0"; clk2_duty_cycle : integer := 50; clk3_output_frequency : integer := 0; clk3_multiply_by : integer := 1; clk3_divide_by : integer := 1; clk3_phase_shift : string := "0"; clk3_duty_cycle : integer := 50; clk4_output_frequency : integer := 0; clk4_multiply_by : integer := 1; clk4_divide_by : integer := 1; clk4_phase_shift : string := "0"; clk4_duty_cycle : integer := 50; clk5_output_frequency : integer := 0; clk5_multiply_by : integer := 1; clk5_divide_by : integer := 1; clk5_phase_shift : string := "0"; clk5_duty_cycle : integer := 50; pfd_min : integer := 0; pfd_max : integer := 0; vco_min : integer := 0; vco_max : integer := 0; vco_center : integer := 0; -- ADVANCED USE PARAMETERS m_initial : integer := 1; m : integer := 0; n : integer := 1; m2 : integer := 1; n2 : integer := 1; ss : integer := 0; c0_high : integer := 1; c0_low : integer := 1; c0_initial : integer := 1; c0_mode : string := "bypass"; c0_ph : integer := 0; c1_high : integer := 1; c1_low : integer := 1; c1_initial : integer := 1; c1_mode : string := "bypass"; c1_ph : integer := 0; c2_high : integer := 1; c2_low : integer := 1; c2_initial : integer := 1; c2_mode : string := "bypass"; c2_ph : integer := 0; c3_high : integer := 1; c3_low : integer := 1; c3_initial : integer := 1; c3_mode : string := "bypass"; c3_ph : integer := 0; c4_high : integer := 1; c4_low : integer := 1; c4_initial : integer := 1; c4_mode : string := "bypass"; c4_ph : integer := 0; c5_high : integer := 1; c5_low : integer := 1; c5_initial : integer := 1; c5_mode : string := "bypass"; c5_ph : integer := 0; m_ph : integer := 0; clk0_counter : string := "c0"; clk1_counter : string := "c1"; clk2_counter : string := "c2"; clk3_counter : string := "c3"; clk4_counter : string := "c4"; clk5_counter : string := "c5"; c1_use_casc_in : string := "off"; c2_use_casc_in : string := "off"; c3_use_casc_in : string := "off"; c4_use_casc_in : string := "off"; c5_use_casc_in : string := "off"; m_test_source : integer := 5; c0_test_source : integer := 5; c1_test_source : integer := 5; c2_test_source : integer := 5; c3_test_source : integer := 5; c4_test_source : integer := 5; c5_test_source : integer := 5; enable0_counter : string := "c0"; enable1_counter : string := "c1"; sclkout0_phase_shift : string := "0"; sclkout1_phase_shift : string := "0"; charge_pump_current : integer := 52; loop_filter_c : integer := 16; loop_filter_r : string := "1.0" ; common_rx_tx : string := "off"; use_vco_bypass : string := "false"; use_dc_coupling : string := "false"; pll_compensation_delay : integer := 0; simulation_type : string := "functional"; lpm_type : string := "stratixii_pll"; clk0_use_even_counter_mode : string := "off"; clk1_use_even_counter_mode : string := "off"; clk2_use_even_counter_mode : string := "off"; clk3_use_even_counter_mode : string := "off"; clk4_use_even_counter_mode : string := "off"; clk5_use_even_counter_mode : string := "off"; clk0_use_even_counter_value : string := "off"; clk1_use_even_counter_value : string := "off"; clk2_use_even_counter_value : string := "off"; clk3_use_even_counter_value : string := "off"; clk4_use_even_counter_value : string := "off"; clk5_use_even_counter_value : string := "off"; vco_multiply_by : integer := 0; vco_divide_by : integer := 0; vco_post_scale : integer := 1; scan_chain_mif_file : string := ""; XOn : Boolean := DefGlitchXOn; MsgOn : Boolean := DefGlitchMsgOn; MsgOnChecks : Boolean := DefMsgOnChecks; XOnChecks : Boolean := DefXOnChecks; TimingChecksOn : Boolean := true; InstancePath : STRING := "*"; tipd_inclk : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01); tipd_ena : VitalDelayType01 := DefPropDelay01; tipd_pfdena : VitalDelayType01 := DefPropDelay01; tipd_areset : VitalDelayType01 := DefPropDelay01; tipd_fbin : VitalDelayType01 := DefPropDelay01; tipd_scanclk : VitalDelayType01 := DefPropDelay01; tipd_scanread : VitalDelayType01 := DefPropDelay01; tipd_scanwrite : VitalDelayType01 := DefPropDelay01; tipd_scandata : VitalDelayType01 := DefPropDelay01; tipd_clkswitch : VitalDelayType01 := DefPropDelay01; tsetup_scandata_scanclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_scandata_scanclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; tsetup_scanread_scanclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_scanread_scanclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; tsetup_scanwrite_scanclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_scanwrite_scanclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst ); PORT (inclk : IN std_logic_vector(1 downto 0); fbin : IN std_logic := '0'; ena : IN std_logic := '1'; clkswitch : IN std_logic := '0'; areset : IN std_logic := '0'; pfdena : IN std_logic := '1'; scanread : IN std_logic := '0'; scanwrite : IN std_logic := '0'; scandata : IN std_logic := '0'; scanclk : IN std_logic := '0'; testin : IN std_logic_vector(3 downto 0) := "0000"; clk : OUT std_logic_vector(5 downto 0); clkbad : OUT std_logic_vector(1 downto 0); activeclock : OUT std_logic; locked : OUT std_logic; clkloss : OUT std_logic; scandataout : OUT std_logic; scandone : OUT std_logic; testupout : OUT std_logic; testdownout : OUT std_logic; -- lvds specific ports enable0 : OUT std_logic; enable1 : OUT std_logic; sclkout : OUT std_logic_vector(1 downto 0) ); END COMPONENT; -- -- STRATIXII_LVDS_TRANSMITTER -- COMPONENT stratixii_lvds_transmitter GENERIC ( channel_width : integer := 10; bypass_serializer : String := "false"; invert_clock : String := "false"; use_falling_clock_edge : String := "false"; use_serial_data_input : String := "false"; use_post_dpa_serial_data_input : String := "false"; preemphasis_setting : integer := 0; vod_setting : integer := 0; differential_drive : integer := 0; lpm_type : String := "stratixii_lvds_transmitter"; TimingChecksOn : Boolean := True; MsgOn : Boolean := DefGlitchMsgOn; XOn : Boolean := DefGlitchXOn; MsgOnChecks : Boolean := DefMsgOnChecks; XOnChecks : Boolean := DefXOnChecks; InstancePath : String := "*"; tpd_clk0_dataout_posedge : VitalDelayType01 := DefPropDelay01; tpd_clk0_dataout_negedge : VitalDelayType01 := DefPropDelay01; tpd_serialdatain_dataout : VitalDelayType01 := DefPropDelay01; tpd_postdpaserialdatain_dataout : VitalDelayType01 := DefPropDelay01; tipd_clk0 : VitalDelayType01 := DefpropDelay01; tipd_enable0 : VitalDelayType01 := DefpropDelay01; tipd_datain : VitalDelayArrayType01(9 downto 0) := (OTHERS => DefpropDelay01); tipd_serialdatain : VitalDelayType01 := DefpropDelay01; tipd_postdpaserialdatain : VitalDelayType01 := DefpropDelay01 ); PORT ( clk0 : in std_logic; enable0 : in std_logic := '0'; datain : in std_logic_vector(channel_width - 1 downto 0) := (OTHERS => '0'); serialdatain : in std_logic := '0'; postdpaserialdatain : in std_logic := '0'; devclrn : in std_logic := '1'; devpor : in std_logic := '1'; dataout : out std_logic; serialfdbkout : out std_logic ); END COMPONENT; -- -- STRATIXII_LVDS_RECEIVER -- COMPONENT stratixii_lvds_receiver GENERIC ( channel_width : integer := 10; data_align_rollover : integer := 2; enable_dpa : string := "off"; lose_lock_on_one_change : string := "off"; reset_fifo_at_first_lock : string := "on"; align_to_rising_edge_only : string := "on"; use_serial_feedback_input : string := "off"; dpa_debug : string := "off"; x_on_bitslip : string := "on"; lpm_type : string := "stratixii_lvds_receiver"; MsgOn : Boolean := DefGlitchMsgOn; XOn : Boolean := DefGlitchXOn; MsgOnChecks : Boolean := DefMsgOnChecks; XOnChecks : Boolean := DefXOnChecks; InstancePath : String := "*"; tipd_clk0 : VitalDelayType01 := DefpropDelay01; tipd_datain : VitalDelayType01 := DefpropDelay01; tipd_enable0 : VitalDelayType01 := DefpropDelay01; tipd_dpareset : VitalDelayType01 := DefpropDelay01; tipd_dpahold : VitalDelayType01 := DefpropDelay01; tipd_dpaswitch : VitalDelayType01 := DefpropDelay01; tipd_fiforeset : VitalDelayType01 := DefpropDelay01; tipd_bitslip : VitalDelayType01 := DefpropDelay01; tipd_bitslipreset : VitalDelayType01 := DefpropDelay01; tipd_serialfbk : VitalDelayType01 := DefpropDelay01; tpd_clk0_dpalock_posedge : VitalDelayType01 := DefPropDelay01 ); PORT ( clk0 : IN std_logic; datain : IN std_logic := '0'; enable0 : IN std_logic := '0'; dpareset : IN std_logic := '0'; dpahold : IN std_logic := '0'; dpaswitch : IN std_logic := '0'; fiforeset : IN std_logic := '0'; bitslip : IN std_logic := '0'; bitslipreset : IN std_logic := '0'; serialfbk : IN std_logic := '0'; dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0); dpalock : OUT std_logic; bitslipmax : OUT std_logic; serialdataout : OUT std_logic; postdpaserialdataout : OUT std_logic; devclrn : IN std_logic := '1'; devpor : IN std_logic := '1' ); END COMPONENT; -- -- STRATIXII_DLL_COMPONENT -- COMPONENT stratixii_dll GENERIC ( input_frequency : string := "10000 ps"; delay_chain_length : integer := 16; delay_buffer_mode : string := "low"; delayctrlout_mode : string := "normal"; static_delay_ctrl : integer := 0; offsetctrlout_mode : string := "static"; static_offset : string := "0"; jitter_reduction : string := "false"; use_upndnin : string := "false"; use_upndninclkena : string := "false"; sim_valid_lock : integer := 1; sim_loop_intrinsic_delay : integer := 1000; sim_loop_delay_increment : integer := 100; sim_valid_lockcount : integer := 90; -- 10000 = 1000 + 100*dllcounter lpm_type : string := "stratixii_dll"; tipd_clk : VitalDelayType01 := DefpropDelay01; tipd_aload : VitalDelayType01 := DefpropDelay01; tipd_offset : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01); tipd_upndnin : VitalDelayType01 := DefpropDelay01; tipd_upndninclkena : VitalDelayType01 := DefpropDelay01; tipd_addnsub : VitalDelayType01 := DefpropDelay01; TimingChecksOn : Boolean := True; MsgOn : Boolean := DefGlitchMsgOn; XOn : Boolean := DefGlitchXOn; MsgOnChecks : Boolean := DefMsgOnChecks; XOnChecks : Boolean := DefXOnChecks; InstancePath : String := "*"; tpd_offset_delayctrlout : VitalDelayType01 := DefPropDelay01; tpd_clk_upndnout_posedge : VitalDelayType01 := DefPropDelay01; tsetup_offset_clk_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst); thold_offset_clk_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst); tsetup_upndnin_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_upndnin_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; tsetup_upndninclkena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_upndninclkena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; tsetup_addnsub_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; thold_addnsub_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst; tpd_clk_delayctrlout_posedge : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01) ); PORT ( clk : IN std_logic := '0'; aload : IN std_logic := '0'; offset : IN std_logic_vector(5 DOWNTO 0) := "000000"; upndnin : IN std_logic := '0'; upndninclkena : IN std_logic := '1'; addnsub : IN std_logic := '1'; delayctrlout : OUT std_logic_vector(5 DOWNTO 0); offsetctrlout : OUT std_logic_vector(5 DOWNTO 0); dqsupdate : OUT std_logic; upndnout : OUT std_logic; devclrn : IN std_logic := '1'; devpor : IN std_logic := '1' ); END COMPONENT; -- -- -- STRATIXII_RUBLOCK -- -- component stratixii_rublock generic ( operation_mode : string := "remote"; sim_init_config : string := "factory"; sim_init_watchdog_value : integer := 0; sim_init_page_select : integer := 0; sim_init_status : integer := 0; lpm_type : string := "stratixii_rublock" ); port ( clk : in std_logic; shiftnld : in std_logic; captnupdt : in std_logic; regin : in std_logic; rsttimer : in std_logic; rconfig : in std_logic; regout : out std_logic; pgmout : out std_logic_vector(2 downto 0) ); end component; -- -- STRATIXII_TERMINATION_COMPONENT -- COMPONENT stratixii_termination GENERIC ( runtime_control : string := "false"; use_core_control : string := "false"; pullup_control_to_core : string := "true"; use_high_voltage_compare : string := "true"; use_both_compares : string := "false"; pullup_adder : integer := 0; pulldown_adder : integer := 0; half_rate_clock : string := "false"; power_down : string := "true"; left_shift : string := "false"; test_mode : string := "false"; lpm_type : string := "stratixii_termination"; tipd_rup : VitalDelayType01 := DefpropDelay01; tipd_rdn : VitalDelayType01 := DefpropDelay01; tipd_terminationclock : VitalDelayType01 := DefpropDelay01; tipd_terminationclear : VitalDelayType01 := DefpropDelay01; tipd_terminationenable : VitalDelayType01 := DefpropDelay01; tipd_terminationpullup : VitalDelayArrayType01(6 downto 0) := (OTHERS => DefPropDelay01); tipd_terminationpulldown : VitalDelayArrayType01(6 downto 0) := (OTHERS => DefPropDelay01); TimingChecksOn : Boolean := True; MsgOn : Boolean := DefGlitchMsgOn; XOn : Boolean := DefGlitchXOn; MsgOnChecks : Boolean := DefMsgOnChecks; XOnChecks : Boolean := DefXOnChecks; InstancePath : String := "*"; tpd_terminationclock_terminationcontrol_posedge : VitalDelayArrayType01(13 downto 0) := (OTHERS => DefPropDelay01); tpd_terminationclock_terminationcontrolprobe_posedge : VitalDelayArrayType01(6 downto 0) := (OTHERS => DefPropDelay01) ); PORT ( rup : IN std_logic := '0'; rdn : IN std_logic := '0'; terminationclock : IN std_logic := '0'; terminationclear : IN std_logic := '0'; terminationenable : IN std_logic := '1'; terminationpullup : IN std_logic_vector(6 DOWNTO 0) := "0000000"; terminationpulldown : IN std_logic_vector(6 DOWNTO 0) := "0000000"; devclrn : IN std_logic := '1'; devpor : IN std_logic := '0'; incrup : OUT std_logic; incrdn : OUT std_logic; terminationcontrol : OUT std_logic_vector(13 DOWNTO 0); terminationcontrolprobe : OUT std_logic_vector(6 DOWNTO 0) ); END COMPONENT; -- -- STRATIXII_ROUTING_WIRE -- component stratixii_routing_wire generic ( MsgOn : Boolean := DefGlitchMsgOn; XOn : Boolean := DefGlitchXOn; tpd_datain_dataout : VitalDelayType01 := DefPropDelay01; tpd_datainglitch_dataout : VitalDelayType01 := DefPropDelay01; tipd_datain : VitalDelayType01 := DefPropDelay01 ); PORT ( datain : in std_logic; dataout : out std_logic ); end component; -- -- STRATIXII_JTAG -- component stratixii_jtag generic ( lpm_type : string := "stratixii_jtag" ); port ( tms : in std_logic := '0'; tck : in std_logic := '0'; tdi : in std_logic := '0'; ntrst : in std_logic := '0'; tdoutap : in std_logic := '0'; tdouser : in std_logic := '0'; tdo: out std_logic; tmsutap: out std_logic; tckutap: out std_logic; tdiutap: out std_logic; shiftuser: out std_logic; clkdruser: out std_logic; updateuser: out std_logic; runidleuser: out std_logic; usr1user: out std_logic ); end component; -- -- -- STRATIXII_CRCBLOCK -- -- component stratixii_crcblock generic ( oscillator_divider : integer := 1; lpm_type : string := "stratixii_crcblock" ); port ( clk : in std_logic := '0'; shiftnld : in std_logic := '0'; ldsrc : in std_logic := '0'; crcerror : out std_logic; regout : out std_logic ); end component; -- -- STRATIXII_ASMIBLOCK -- component stratixii_asmiblock generic ( lpm_type : string := "stratixii_asmiblock" ); port ( dclkin : in std_logic; scein : in std_logic; sdoin : in std_logic; oe : in std_logic; data0out: out std_logic ); end component; -- -- stratixii_ram_block -- component stratixii_ram_block generic ( operation_mode : string := "single_port"; mixed_port_feed_through_mode : string := "dont_care"; ram_block_type : string := "auto"; logical_ram_name : string := "ram_name"; init_file : string := "init_file.hex"; init_file_layout : string := "none"; data_interleave_width_in_bits : integer := 1; data_interleave_offset_in_bits : integer := 1; port_a_logical_ram_depth : integer := 0; port_a_logical_ram_width : integer := 0; port_a_data_in_clear : string := "none"; port_a_address_clear : string := "none"; port_a_write_enable_clear : string := "none"; port_a_data_out_clock : string := "none"; port_a_data_out_clear : string := "none"; port_a_first_address : integer := 0; port_a_last_address : integer := 0; port_a_first_bit_number : integer := 0; port_a_data_width : integer := 1; port_a_byte_enable_clear : string := "none"; port_a_data_in_clock : string := "clock0"; port_a_address_clock : string := "clock0"; port_a_write_enable_clock : string := "clock0"; port_a_byte_enable_clock : string := "clock0"; port_b_logical_ram_depth : integer := 0; port_b_logical_ram_width : integer := 0; port_b_data_in_clock : string := "clock1"; port_b_data_in_clear : string := "none"; port_b_address_clock : string := "clock1"; port_b_address_clear : string := "none"; port_b_read_enable_write_enable_clock : string := "clock1"; port_b_read_enable_write_enable_clear : string := "none"; port_b_data_out_clock : string := "none"; port_b_data_out_clear : string := "none"; port_b_first_address : integer := 0; port_b_last_address : integer := 0; port_b_first_bit_number : integer := 0; port_b_data_width : integer := 1; port_b_byte_enable_clear : string := "none"; port_b_byte_enable_clock : string := "clock1"; port_a_address_width : integer := 1; port_b_address_width : integer := 1; port_a_byte_enable_mask_width : integer := 1; port_b_byte_enable_mask_width : integer := 1; power_up_uninitialized : string := "false"; port_a_byte_size : integer := 0; port_a_disable_ce_on_input_registers : string := "off"; port_a_disable_ce_on_output_registers : string := "off"; port_b_byte_size : integer := 0; port_b_disable_ce_on_input_registers : string := "off"; port_b_disable_ce_on_output_registers : string := "off"; lpm_type : string := "stratixii_ram_block"; lpm_hint : string := "true"; mem_init0 : BIT_VECTOR := X"0"; mem_init1 : BIT_VECTOR := X"0"; connectivity_checking : string := "off" ); port ( portawe : in std_logic := '0'; portabyteenamasks : in std_logic_vector (port_a_byte_enable_mask_width - 1 DOWNTO 0) := (others => '1'); portbbyteenamasks : in std_logic_vector (port_b_byte_enable_mask_width - 1 DOWNTO 0) := (others => '1'); portbrewe : in std_logic := '0'; clr0 : in std_logic := '0'; clr1 : in std_logic := '0'; clk0 : in std_logic := '0'; clk1 : in std_logic := '0'; ena0 : in std_logic := '1'; ena1 : in std_logic := '1'; portadatain : in std_logic_vector (port_a_data_width - 1 DOWNTO 0) := (others => '0'); portbdatain : in std_logic_vector (port_b_data_width - 1 DOWNTO 0) := (others => '0'); portaaddr : in std_logic_vector (port_a_address_width - 1 DOWNTO 0) := (others => '0'); portbaddr : in std_logic_vector (port_b_address_width - 1 DOWNTO 0) := (others => '0'); portaaddrstall : in std_logic := '0'; portbaddrstall : in std_logic := '0'; devclrn : in std_logic := '1'; devpor : in std_logic := '1'; portadataout : out std_logic_vector (port_a_data_width - 1 DOWNTO 0); portbdataout : out std_logic_vector (port_b_data_width - 1 DOWNTO 0) ); end component; end stratixii_components;
gpl-2.0
3a4218ee4026780d0575abd87584e0a3
0.495378
4.174376
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/gaisler/jtag/jtagtst.vhd
1
30,153
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Package: sim -- File: sim.vhd -- Author: Edvin Catovic - Gaisler Research -- Description: JTAG debug link communication test ------------------------------------------------------------------------------ -- pragma translate_off library ieee; use ieee.std_logic_1164.all; use std.textio.all; library grlib; use grlib.stdlib.all; use grlib.stdio.all; use grlib.amba.all; package jtagtst is procedure clkj(tmsi, tdii : in std_ulogic; tdoo : out std_ulogic; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer); procedure shift(dr : in boolean; len : in integer; din : in std_logic_vector; dout : out std_logic_vector; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer); procedure jtagcom(signal tdo : in std_ulogic; signal tck, tms, tdi : out std_ulogic; cp, start, addr : in integer; -- cp - TCK clock period in ns -- start - time in us when JTAG test -- is started -- addr - read/write operation destination address haltcpu : in boolean; justinit : in boolean := false; -- Only perform initialization reread : in boolean := false; -- Re-read on slow AHB response assertions : in boolean := false -- Allow output from assertions ); subtype jword_type is std_logic_vector(31 downto 0); type jdata_vector_type is array (integer range <>) of jword_type; procedure jwritem(addr : in std_logic_vector; data : in jdata_vector_type; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer); procedure jwritem(addr : in std_logic_vector; data : in jdata_vector_type; hsize : in std_logic_vector(1 downto 0); signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer); procedure jreadm(addr : in std_logic_vector; data : out jdata_vector_type; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer; reread : in boolean := false; assertions : in boolean := false); procedure jreadm(addr : in std_logic_vector; hsize : in std_logic_vector(1 downto 0); data : out jdata_vector_type; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer; reread : in boolean := false; assertions : in boolean := false); procedure jwrite(addr, data : in std_logic_vector; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer); procedure jwrite(addr, hsize, data : in std_logic_vector; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer; ainst : in integer := 2; dinst : in integer := 3; isize : in integer := 6); procedure jread(addr : in std_logic_vector; data : out std_logic_vector; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer; reread : in boolean := false; assertions : in boolean := false); procedure jread(addr : in std_logic_vector; hsize : in std_logic_vector; data : out std_logic_vector; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer; reread : in boolean := false; assertions : in boolean := false); procedure bscantest(signal tdo : in std_ulogic; signal tck, tms, tdi : out std_ulogic; cp: in integer; inst_samp: integer := 5; inst_extest: integer := 6; inst_intest: integer := 7; inst_mbist: integer := 11; fastmode: boolean := false); procedure bscansampre(signal tdo : in std_ulogic; signal tck, tms, tdi : out std_ulogic; nsigs: in integer; sigpre: in std_logic_vector; sigsamp: out std_logic_vector; cp: in integer; inst_samp: integer); end; package body jtagtst is procedure clkj(tmsi, tdii : in std_ulogic; tdoo : out std_ulogic; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer) is begin tdi <= tdii; tck <= '0'; tms <= tmsi; wait for 2 * cp * 1 ns; tck <= '1'; tdoo := tdo; wait for 2 * cp * 1 ns; end; procedure shift(dr : in boolean; len : in integer; din : in std_logic_vector; dout : out std_logic_vector; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer) is variable dc : std_ulogic; begin clkj('0', '0', dc, tck, tms, tdi, tdo, cp); clkj('1', '0', dc, tck, tms, tdi, tdo, cp); if (not dr) then clkj('1', '0', dc, tck, tms, tdi, tdo, cp); end if; clkj('0', '0', dc, tck, tms, tdi, tdo, cp); -- capture clkj('0', '0', dc, tck, tms, tdi, tdo, cp); -- shift (state) for i in 0 to len-2 loop clkj('0', din(i), dout(i), tck, tms, tdi, tdo, cp); end loop; clkj('1', din(len-1), dout(len-1), tck, tms, tdi, tdo, cp); -- end shift, goto exit1 clkj('1', '0', dc, tck, tms, tdi, tdo, cp); -- update ir/dr clkj('0', '0', dc, tck, tms, tdi, tdo, cp); -- run_test/idle end; procedure jwrite(addr, data : in std_logic_vector; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer) is variable tmp : std_logic_vector(32 downto 0); variable tmp2 : std_logic_vector(34 downto 0); variable dr : std_logic_vector(32 downto 0); variable dr2 : std_logic_vector(34 downto 0); variable hsize : std_logic_vector(1 downto 0); begin hsize := "10"; wait for 10 * cp * 1 ns; shift(false, 6, B"010000", dr, tck, tms, tdi, tdo, cp); -- inst = addrreg wait for 5 * cp * 1 ns; tmp2 := '1' & hsize & addr; shift(true, 35, tmp2, dr2, tck, tms, tdi, tdo, cp); -- write add reg wait for 5 * cp * 1 ns; shift(false, 6, B"110000", dr, tck, tms, tdi, tdo, cp); -- inst = datareg wait for 5 * cp * 1 ns; tmp := '0' & data; shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- write data reg end; procedure jwrite(addr, hsize, data : in std_logic_vector; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer; ainst : in integer := 2; dinst : in integer := 3; isize : in integer := 6) is variable tmp : std_logic_vector(32 downto 0); variable tmp2 : std_logic_vector(34 downto 0); variable dr : std_logic_vector(32 downto 0); variable dr2 : std_logic_vector(34 downto 0); variable v_ainst : std_logic_vector(0 to 7); variable v_dinst : std_logic_vector(0 to 7); variable tmp3 : std_logic_vector(7 downto 0); variable tmp4 : std_logic_vector(7 downto 0); begin tmp3 := conv_std_logic_vector(ainst,8); tmp4 := conv_std_logic_vector(dinst,8); for i in 0 to 7 loop v_ainst(i) := tmp3(i); v_dinst(i) := tmp4(i); end loop; wait for 10 * cp * 1 ns; shift(false, isize, v_ainst(0 to isize-1), dr, tck, tms, tdi, tdo, cp); -- inst = addrreg wait for 5 * cp * 1 ns; tmp2 := '1' & hsize & addr; shift(true, 35, tmp2, dr2, tck, tms, tdi, tdo, cp); -- write add reg wait for 5 * cp * 1 ns; shift(false, isize, v_dinst(0 to isize-1), dr, tck, tms, tdi, tdo, cp); -- inst = datareg wait for 5 * cp * 1 ns; tmp := '0' & data; shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- write data reg end; procedure jread(addr : in std_logic_vector; data : out std_logic_vector; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer; reread : in boolean := false; assertions : in boolean := false) is variable tmp : std_logic_vector(32 downto 0); variable tmp2 : std_logic_vector(34 downto 0); variable dr : std_logic_vector(32 downto 0); variable dr2 : std_logic_vector(34 downto 0); variable hsize : std_logic_vector(1 downto 0); begin hsize := "10"; wait for 10 * cp * 1 ns; shift(false, 6, B"010000", dr, tck, tms, tdi, tdo, cp); -- inst = addrreg wait for 5 * cp * 1 ns; tmp2 := '0' & hsize & addr; shift(true, 35, tmp2, dr2, tck, tms, tdi, tdo, cp); -- write add reg wait for 5 * cp * 1 ns; shift(false, 6, B"110000", dr, tck, tms, tdi, tdo, cp); -- inst = datareg wait for 5 * cp * 1 ns; tmp := (others => '0'); --tmp(32) := '1'; shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg assert dr(32) = '1' or not assertions report "JTAG READ: data read out before AHB access completed" severity warning; while dr(32) /= '1' and reread loop assert not assertions report "Re-reading JTAG data register" severity note; tmp := (others => '0'); shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg end loop; data := dr(31 downto 0); end; procedure jread(addr : in std_logic_vector; hsize : in std_logic_vector; data : out std_logic_vector; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer; reread : in boolean := false; assertions : in boolean := false) is variable tmp : std_logic_vector(32 downto 0); variable tmp2 : std_logic_vector(34 downto 0); variable dr : std_logic_vector(32 downto 0); variable dr2 : std_logic_vector(34 downto 0); begin wait for 10 * cp * 1 ns; shift(false, 6, B"010000", dr, tck, tms, tdi, tdo, cp); -- inst = addrreg wait for 5 * cp * 1 ns; tmp2 := '0' & hsize & addr; shift(true, 35, tmp2, dr2, tck, tms, tdi, tdo, cp); -- write add reg wait for 5 * cp * 1 ns; shift(false, 6, B"110000", dr, tck, tms, tdi, tdo, cp); -- inst = datareg wait for 5 * cp * 1 ns; tmp := (others => '0'); --tmp(32) := '1'; shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg assert dr(32) = '1' or not assertions report "JTAG READ: data read out before AHB access completed" severity warning; while dr(32) /= '1' and reread loop assert not assertions report "Re-reading JTAG data register" severity note; wait for 5 * cp * 1 ns; tmp := (others => '0'); shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg end loop; data := dr(31 downto 0); end; procedure jwritem(addr : in std_logic_vector; data : in jdata_vector_type; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer) is variable tmp : std_logic_vector(32 downto 0); variable tmp2 : std_logic_vector(34 downto 0); variable dr : std_logic_vector(32 downto 0); variable dr2 : std_logic_vector(34 downto 0); variable hsize : std_logic_vector(1 downto 0); begin hsize := "10"; wait for 10 * cp * 1 ns; shift(false, 6, B"010000", dr, tck, tms, tdi, tdo, cp); -- inst = addrreg wait for 5 * cp * 1 ns; tmp2 := '1' & hsize & addr; shift(true, 35, tmp2, dr2, tck, tms, tdi, tdo, cp); -- write add reg wait for 5 * cp * 1 ns; shift(false, 6, B"110000", dr, tck, tms, tdi, tdo, cp); -- inst = datareg wait for 5 * cp * 1 ns; for i in data'left to data'right-1 loop tmp := '1' & data(i); shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- write data reg end loop; tmp := '0' & data(data'right); shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- write data reg end; procedure jwritem(addr : in std_logic_vector; data : in jdata_vector_type; hsize : in std_logic_vector(1 downto 0); signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer) is variable tmp : std_logic_vector(32 downto 0); variable tmp2 : std_logic_vector(34 downto 0); variable dr : std_logic_vector(32 downto 0); variable dr2 : std_logic_vector(34 downto 0); begin wait for 10 * cp * 1 ns; shift(false, 6, B"010000", dr, tck, tms, tdi, tdo, cp); -- inst = addrreg wait for 5 * cp * 1 ns; tmp2 := '1' & hsize & addr; shift(true, 35, tmp2, dr2, tck, tms, tdi, tdo, cp); -- write add reg wait for 5 * cp * 1 ns; shift(false, 6, B"110000", dr, tck, tms, tdi, tdo, cp); -- inst = datareg wait for 5 * cp * 1 ns; for i in data'left to data'right-1 loop tmp := '1' & data(i); shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- write data reg end loop; tmp := '0' & data(data'right); shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- write data reg end; procedure jreadm(addr : in std_logic_vector; data : out jdata_vector_type; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer; reread : in boolean := false; assertions : in boolean := false) is variable tmp : std_logic_vector(32 downto 0); variable tmp2 : std_logic_vector(34 downto 0); variable dr : std_logic_vector(32 downto 0); variable dr2 : std_logic_vector(34 downto 0); variable hsize : std_logic_vector(1 downto 0); begin hsize := "10"; wait for 10 * cp * 1 ns; shift(false, 6, B"010000", dr, tck, tms, tdi, tdo, cp); -- inst = addrreg wait for 5 * cp * 1 ns; tmp2 := '0' & hsize & addr; shift(true, 35, tmp2, dr2, tck, tms, tdi, tdo, cp); -- write add reg wait for 5 * cp * 1 ns; shift(false, 6, B"110000", dr, tck, tms, tdi, tdo, cp); -- inst = datareg wait for 5 * cp * 1 ns; for i in data'left to data'right-1 loop tmp := (others => '0'); tmp(32) := '1'; shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg assert dr(32) = '1' or not assertions report "JTAG READ: data read out before AHB access completed" severity warning; while dr(32) /= '1' and reread loop assert not assertions report "Re-reading JTAG data register" severity note; tmp := (others => '0'); tmp(32) := '1'; shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg end loop; data(i) := dr(31 downto 0); end loop; tmp := (others => '0'); shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg assert dr(32) = '1' or not assertions report "JTAG READ: data read out before AHB access completed" severity warning; while dr(32) /= '1' and reread loop assert not assertions report "Re-reading JTAG data register" severity note; tmp := (others => '0'); shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg end loop; data(data'right) := dr(31 downto 0); end; procedure jreadm(addr : in std_logic_vector; hsize : in std_logic_vector(1 downto 0); data : out jdata_vector_type; signal tck, tms, tdi : out std_ulogic; signal tdo : in std_ulogic; cp : in integer; reread : in boolean := false; assertions : in boolean := false) is variable tmp : std_logic_vector(32 downto 0); variable tmp2 : std_logic_vector(34 downto 0); variable dr : std_logic_vector(32 downto 0); variable dr2 : std_logic_vector(34 downto 0); begin wait for 10 * cp * 1 ns; shift(false, 6, B"010000", dr, tck, tms, tdi, tdo, cp); -- inst = addrreg wait for 5 * cp * 1 ns; tmp2 := '0' & hsize & addr; shift(true, 35, tmp2, dr2, tck, tms, tdi, tdo, cp); -- write add reg wait for 5 * cp * 1 ns; shift(false, 6, B"110000", dr, tck, tms, tdi, tdo, cp); -- inst = datareg wait for 5 * cp * 1 ns; for i in data'left to data'right-1 loop tmp := (others => '0'); tmp(32) := '1'; shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg assert dr(32) = '1' or not assertions report "JTAG READ: data read out before AHB access completed" severity warning; while dr(32) /= '1' and reread loop assert not assertions report "Re-reading JTAG data register" severity note; tmp := (others => '0'); tmp(32) := '1'; shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg end loop; data(i) := dr(31 downto 0); end loop; tmp := (others => '0'); shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg assert dr(32) = '1' or not assertions report "JTAG READ: data read out before AHB access completed" severity warning; while dr(32) /= '1' and reread loop assert not assertions report "Re-reading JTAG data register" severity note; tmp := (others => '0'); shift(true, 33, tmp, dr, tck, tms, tdi, tdo, cp); -- read data reg end loop; data(data'right) := dr(31 downto 0); end; procedure jtagcom(signal tdo : in std_ulogic; signal tck, tms, tdi : out std_ulogic; cp, start, addr : in integer; haltcpu : in boolean; justinit : in boolean := false; reread : in boolean := false; assertions : in boolean := false) is variable dc : std_ulogic; variable dr : std_logic_vector(32 downto 0); variable tmp : std_logic_vector(32 downto 0); variable data : std_logic_vector(31 downto 0); variable datav : jdata_vector_type(0 to 3); begin tck <= '0'; tms <= '0'; tdi <= '0'; wait for start * 1 us; print("AHB JTAG TEST"); for i in 1 to 5 loop -- reset clkj('1', '0', dc, tck, tms, tdi, tdo, cp); end loop; clkj('0', '0', dc, tck, tms, tdi, tdo, cp); --read IDCODE wait for 10 * cp * 1 ns; shift(true, 32, conv_std_logic_vector(0, 32), dr, tck, tms, tdi, tdo, cp); print("JTAG TAP ID:" & tost(dr(31 downto 0))); wait for 10 * cp * 1 ns; shift(false, 6, conv_std_logic_vector(63, 6), dr, tck, tms, tdi, tdo, cp); -- BYPASS --shift data through BYPASS reg shift(true, 32, conv_std_logic_vector(16#AAAA#, 16) & conv_std_logic_vector(16#AAAA#, 16), dr, tck, tms, tdi, tdo, cp); -- put CPUs in debug mode if haltcpu then jwrite(X"90000000", X"00000004", tck, tms, tdi, tdo, cp); jwrite(X"90000020", X"0000FFFF", tck, tms, tdi, tdo, cp); print("JTAG: Putting CPU in debug mode"); end if; if false then jwrite(X"90000000", X"FFFFFFFF", tck, tms, tdi, tdo, cp); jread (X"90000000", data, tck, tms, tdi, tdo, cp, reread, assertions); print("JTAG WRITE " & tost(X"90000000") & ":" & tost(X"FFFFFFFF")); print("JTAG READ " & tost(X"90000000") & ":" & tost(data)); jwrite(X"90100034", X"ABCD1234", tck, tms, tdi, tdo, cp); jread (X"90100034", data, tck, tms, tdi, tdo, cp, reread, assertions); print("JTAG WRITE " & tost(X"90100034") & ":" & tost(X"ABCD1234")); print("JTAG READ " & tost(X"90100034") & ":" & tost(data)); jwrite(X"90200058", X"ABCDEF01", tck, tms, tdi, tdo, cp); jread (X"90200058", data, tck, tms, tdi, tdo, cp, reread, assertions); print("JTAG WRITE " & tost(X"90200058") & ":" & tost(X"ABCDEF01")); print("JTAG READ " & tost(X"90200058") & ":" & tost(data)); jwrite(X"90300000", X"ABCD1234", tck, tms, tdi, tdo, cp); jread (X"90300000", data, tck, tms, tdi, tdo, cp, reread, assertions); print("JTAG WRITE " & tost(X"90300000") & ":" & tost(X"ABCD1234")); print("JTAG READ " & tost(X"90300000") & ":" & tost(data)); jwrite(X"90400000", X"ABCD1234", tck, tms, tdi, tdo, cp); jread (X"90400000", data, tck, tms, tdi, tdo, cp, reread, assertions); print("JTAG WRITE " & tost(X"90400000") & ":" & tost(X"ABCD1234")); print("JTAG READ " & tost(X"90400000") & ":" & tost(data)); jwrite(X"90400024", X"0000000C", tck, tms, tdi, tdo, cp); jwrite(X"90700100", X"ABCD1234", tck, tms, tdi, tdo, cp); jread (X"90700100", data, tck, tms, tdi, tdo, cp, reread, assertions); print("JTAG WRITE ITAG :" & tost(X"00000100") & ":" & tost(X"ABCD1234")); print("JTAG READ ITAG :" & tost(X"00000100") & ":" & tost(data)); jwrite(X"90400024", X"0000000D", tck, tms, tdi, tdo, cp); jwrite(X"90700100", X"ABCD1234", tck, tms, tdi, tdo, cp); jread (X"90700100", data, tck, tms, tdi, tdo, cp, reread, assertions); print("JTAG WRITE IDATA:" & tost(X"00000100") & ":" & tost(X"ABCD1234")); print("JTAG READ IDATA:" & tost(X"00000100") & ":" & tost(data)); jwrite(X"90400024", X"0000000E", tck, tms, tdi, tdo, cp); jwrite(X"90700100", X"ABCD1234", tck, tms, tdi, tdo, cp); jread (X"90700100", data, tck, tms, tdi, tdo, cp, reread, assertions); print("JTAG WRITE DTAG :" & tost(X"00000100") & ":" & tost(X"ABCD1234")); print("JTAG READ DTAG :" & tost(X"00000100") & ":" & tost(data)); jwrite(X"90400024", X"0000000F", tck, tms, tdi, tdo, cp); jwrite(X"90700100", X"ABCD1234", tck, tms, tdi, tdo, cp); jread (X"90700100", data, tck, tms, tdi, tdo, cp, reread, assertions); print("JTAG WRITE DDATA:" & tost(X"00000100") & ":" & tost(X"ABCD1234")); print("JTAG READ DDATA:" & tost(X"00000100") & ":" & tost(data)); end if; if not justinit then --jwritem(addr, (X"00000010", X"00000010", X"00000010", X"00000010"), tck, tms, tdi, tdo, cp); datav(0) := X"00000010"; datav(1) := X"00000011"; datav(2) := X"00000012"; datav(3) := X"00000013"; jwritem(conv_std_logic_vector(addr, 32), datav, tck, tms, tdi, tdo, cp); print("JTAG WRITE " & tost(conv_std_logic_vector(addr,32)) & ":" & tost(X"00000010") & " " & tost(X"00000011") & " " & tost(X"00000012") & " " & tost(X"00000013")); datav := (others => (others => '0')); jreadm(conv_std_logic_vector(addr, 32), datav, tck, tms, tdi, tdo, cp, reread, assertions); print("JTAG READ " & tost(conv_std_logic_vector(addr,32)) & ":" & tost(datav(0)) & " " & tost(datav(1)) & " " & tost(datav(2)) & " " & tost(datav(3))); -- Not affected by 'assertions' parameter assert (datav(0) = X"00000010") and (datav(1) = X"00000011") and (datav(2) = X"00000012") and (datav(3) = X"00000013") report "JTAG test failed" severity failure; print("JTAG test passed"); end if; end procedure; -- Sample/Preload procedure bscansampre(signal tdo : in std_ulogic; signal tck, tms, tdi : out std_ulogic; nsigs: in integer; sigpre: in std_logic_vector; sigsamp: out std_logic_vector; cp: in integer; inst_samp: integer) is variable tmp: std_logic_vector(5 downto 0); begin shift(false,6, conv_std_logic_vector(inst_samp,6), tmp, tck,tms,tdi,tdo, cp); shift(true, nsigs, sigpre, sigsamp, tck,tms,tdi,tdo, cp); end procedure; -- Boundary scan test procedure bscantest(signal tdo : in std_ulogic; signal tck, tms, tdi : out std_ulogic; cp: in integer; inst_samp: integer := 5; inst_extest: integer := 6; inst_intest: integer := 7; inst_mbist: integer := 11; fastmode: boolean := false) is variable tmpin,tmpout: std_logic_vector(1999 downto 0); variable i,bslen: integer; variable dc: std_logic; variable tmp6: std_logic_vector(5 downto 0); variable tmp1: std_logic_vector(0 downto 0); begin print("[bscan] Boundary scan test starting..."); for i in 1 to 5 loop -- reset clkj('1', '0', dc, tck, tms, tdi, tdo, cp); end loop; clkj('0', '0', dc, tck, tms, tdi, tdo, cp); -- Probe length of boundary scan chain tmpin := (others => '0'); tmpin(tmpin'length/2) := '1'; bscansampre(tdo,tck,tms,tdi,tmpin'length,tmpin,tmpout,cp,inst_samp); i := tmpout'length/2; for x in tmpout'length/2 to tmpout'high loop if tmpout(x)='1' then -- print("tmpout(" & tost(x) & ") set"); i := x; end if; end loop; bslen := i-tmpout'length/2; if bslen=0 then print("[bscan] Scan chain not present, skipping test"); return; end if; print("[bscan] Detected boundary scan chain length: " & tost(bslen)); if fastmode then print("[bscan] Setting EXTEST with all chain regs=0"); shift(false,6, conv_std_logic_vector(inst_extest,6), tmp6, tck,tms,tdi,tdo, cp); -- extest print("[bscan] In EXTEST, changing all chain regs to 1"); tmpin := (others => '1'); shift(true, bslen, tmpin(bslen-1 downto 0), tmpout(bslen-1 downto 0), tck,tms,tdi,tdo, cp); print("[bscan] Setting INTEST with all chain regs=1"); shift(false,6, conv_std_logic_vector(inst_intest,6), tmp6, tck,tms,tdi,tdo, cp); -- intest print("[bscan] In INTEST, changing all chain regs to 0"); tmpin := (others => '0'); shift(true, bslen, tmpin(bslen-1 downto 0), tmpout(bslen-1 downto 0), tck,tms,tdi,tdo, cp); else print("[bscan] Looping over outputs..."); shift(false,6, conv_std_logic_vector(inst_extest,6), tmp6, tck,tms,tdi,tdo, cp); -- extest for x in 0 to bslen loop tmpin :=(others => '0'); tmpin(x) := '1'; shift(true, bslen, tmpin(bslen-1 downto 0), tmpout(bslen-1 downto 0), tck,tms,tdi,tdo, cp); end loop; print("[bscan] Looping over inputs..."); shift(false,6, conv_std_logic_vector(inst_intest,6), tmp6, tck,tms,tdi,tdo, cp); -- intest for x in 0 to bslen loop tmpin :=(others => '0'); tmpin(x) := '1'; shift(true, bslen, tmpin(bslen-1 downto 0), tmpout(bslen-1 downto 0), tck,tms,tdi,tdo, cp); end loop; end if; if inst_mbist >= 0 then print("[bscan] Shifting in MBIST command"); shift(false,6, conv_std_logic_vector(inst_mbist,6), tmp6, tck,tms,tdi,tdo, cp); -- MBIST command end if; print("[bscan] Test done"); end procedure; end; -- pragma translate_on
gpl-2.0
870315f6bdd271f71065dfb50f3d3346
0.520214
3.663791
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/embedded_lab_1/embedded_lab_1.srcs/sources_1/bd/zynq_design_1/ip/zynq_design_1_axi_gpio_0_1/synth/zynq_design_1_axi_gpio_0_1.vhd
1
9,775
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_gpio:2.0 -- IP Revision: 15 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_gpio_v2_0_15; USE axi_gpio_v2_0_15.axi_gpio; ENTITY zynq_design_1_axi_gpio_0_1 IS PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; gpio_io_o : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END zynq_design_1_axi_gpio_0_1; ARCHITECTURE zynq_design_1_axi_gpio_0_1_arch OF zynq_design_1_axi_gpio_0_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF zynq_design_1_axi_gpio_0_1_arch: ARCHITECTURE IS "yes"; COMPONENT axi_gpio IS GENERIC ( C_FAMILY : STRING; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_GPIO_WIDTH : INTEGER; C_GPIO2_WIDTH : INTEGER; C_ALL_INPUTS : INTEGER; C_ALL_INPUTS_2 : INTEGER; C_ALL_OUTPUTS : INTEGER; C_ALL_OUTPUTS_2 : INTEGER; C_INTERRUPT_PRESENT : INTEGER; C_DOUT_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0); C_TRI_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0); C_IS_DUAL : INTEGER; C_DOUT_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0); C_TRI_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0) ); PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; ip2intc_irpt : OUT STD_LOGIC; gpio_io_i : IN STD_LOGIC_VECTOR(7 DOWNTO 0); gpio_io_o : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); gpio_io_t : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); gpio2_io_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); gpio2_io_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); gpio2_io_t : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT axi_gpio; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF zynq_design_1_axi_gpio_0_1_arch: ARCHITECTURE IS "axi_gpio,Vivado 2017.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF zynq_design_1_axi_gpio_0_1_arch : ARCHITECTURE IS "zynq_design_1_axi_gpio_0_1,axi_gpio,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF zynq_design_1_axi_gpio_0_1_arch: ARCHITECTURE IS "zynq_design_1_axi_gpio_0_1,axi_gpio,{x_ipProduct=Vivado 2017.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_gpio,x_ipVersion=2.0,x_ipCoreRevision=15,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_S_AXI_ADDR_WIDTH=9,C_S_AXI_DATA_WIDTH=32,C_GPIO_WIDTH=8,C_GPIO2_WIDTH=32,C_ALL_INPUTS=0,C_ALL_INPUTS_2=0,C_ALL_OUTPUTS=1,C_ALL_OUTPUTS_2=0,C_INTERRUPT_PRESENT=0,C_DOUT_DEFAULT=0x00000000,C_TRI_DEFAULT=0xFFFFFFFF,C_IS_DUAL=0,C_DOUT_DEFAULT_2=0x00000000,C_TRI_DEFAULT_2=0xFFFFFFFF}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF gpio_io_o: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_O"; BEGIN U0 : axi_gpio GENERIC MAP ( C_FAMILY => "zynq", C_S_AXI_ADDR_WIDTH => 9, C_S_AXI_DATA_WIDTH => 32, C_GPIO_WIDTH => 8, C_GPIO2_WIDTH => 32, C_ALL_INPUTS => 0, C_ALL_INPUTS_2 => 0, C_ALL_OUTPUTS => 1, C_ALL_OUTPUTS_2 => 0, C_INTERRUPT_PRESENT => 0, C_DOUT_DEFAULT => X"00000000", C_TRI_DEFAULT => X"FFFFFFFF", C_IS_DUAL => 0, C_DOUT_DEFAULT_2 => X"00000000", C_TRI_DEFAULT_2 => X"FFFFFFFF" ) PORT MAP ( s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, gpio_io_i => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), gpio_io_o => gpio_io_o, gpio2_io_i => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)) ); END zynq_design_1_axi_gpio_0_1_arch;
mit
efe0989f7d3d45b5d9068408d3c8d015
0.68757
3.161384
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-altera-c5ekit/config.vhd
1
5,093
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := altera; constant CFG_MEMTECH : integer := altera; constant CFG_PADTECH : integer := altera; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 2 + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 0; constant CFG_SVT : integer := 0; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (0); constant CFG_PWD : integer := 0*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 1; constant CFG_ISETSZ : integer := 4; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 0; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 1; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 8; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1*2 + 4*0; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 0; constant CFG_ITLBNUM : integer := 2; constant CFG_DTLBNUM : integer := 2; constant CFG_TLB_TYPE : integer := 1 + 0*2; constant CFG_TLB_REP : integer := 1; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 1; constant CFG_ATBSZ : integer := 1; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 2; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0033#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000000#; -- SSRAM controller constant CFG_SSCTRL : integer := 0; constant CFG_SSCTRLP16 : integer := 0; -- I2C master constant CFG_I2C_ENABLE : integer := 1; -- AHB ROM constant CFG_AHBROMEN : integer := 1; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#100#; constant CFG_ROMMASK : integer := 16#E00# + 16#100#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 8; -- Gaisler Ethernet core constant CFG_GRETH2 : integer := 1; constant CFG_GRETH21G : integer := 0; constant CFG_ETH2_FIFO : integer := 8; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 8; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#000F#; constant CFG_GRGPIO_WIDTH : integer := (2); -- GRLIB debugging constant CFG_DUART : integer := 0; end;
gpl-2.0
3d45df59c7cfccf4ee2b46f3b5337aa5
0.639113
3.622333
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/techmap/maps/iopad_ds.vhd
1
4,961
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: iopad_ds -- File: iopad_ds.vhd -- Author: Nils Johan Wessman - Gaisler Research -- Description: differential io pad with technology wrapper ------------------------------------------------------------------------------ library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; use techmap.allpads.all; entity iopad_ds is generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; oepol : integer := 0; term : integer := 0); port (padp, padn : inout std_ulogic; i, en : in std_ulogic; o : out std_ulogic); end; architecture rtl of iopad_ds is signal oen : std_ulogic; begin oen <= not en when oepol /= padoen_polarity(tech) else en; gen0 : if has_ds_pads(tech) = 0 or tech = axcel or tech = axdsp or tech = rhlib18t or tech = ut25 or tech = ut130 generate padp <= transport i -- pragma translate_off after 2 ns -- pragma translate_on when oen = '0' and slew = 0 else i when oen = '0' -- pragma translate_off else 'X' after 2 ns when is_x(oen) -- pragma translate_on else 'Z' -- pragma translate_off after 2 ns -- pragma translate_on ; padn <= transport not i -- pragma translate_off after 2 ns -- pragma translate_on when oen = '0' and slew = 0 else not i when oen = '0' -- pragma translate_off else 'X' after 2 ns when is_x(oen) -- pragma translate_on else 'Z' -- pragma translate_off after 2 ns -- pragma translate_on ; o <= to_X01(padp) -- pragma translate_off after 1 ns -- pragma translate_on ; end generate; xcv : if is_unisim(tech) = 1 generate x0 : unisim_iopad_ds generic map (level, slew, voltage, strength) port map (padp, padn, i, oen, o); end generate; pa3 : if (tech = apa3) generate x0 : apa3_iopad_ds generic map (level) port map (padp, padn, i, oen, o); end generate; pa3e : if (tech = apa3e) generate x0 : apa3e_iopad_ds generic map (level) port map (padp, padn, i, oen, o); end generate; pa3l : if (tech = apa3l) generate x0 : apa3l_iopad_ds generic map (level) port map (padp, padn, i, oen, o); end generate; fus : if (tech = actfus) generate x0 : fusion_iopad_ds generic map (level) port map (padp, padn, i, oen, o); end generate; n2x : if (tech = easic45) generate x0 : n2x_iopad_ds generic map (level, slew, voltage, strength) port map (padp, padn, i, oen, o); end generate; end; library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; entity iopad_dsv is generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( padp, padn : inout std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_ulogic; o : out std_logic_vector(width-1 downto 0)); end; architecture rtl of iopad_dsv is begin v : for j in width-1 downto 0 generate x0 : iopad_ds generic map (tech, level, slew, voltage, strength, oepol) port map (padp(j), padn(j), i(j), en, o(j)); end generate; end; library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; entity iopad_dsvv is generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( padp, padn : inout std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_logic_vector(width-1 downto 0); o : out std_logic_vector(width-1 downto 0)); end; architecture rtl of iopad_dsvv is begin v : for j in width-1 downto 0 generate x0 : iopad_ds generic map (tech, level, slew, voltage, strength, oepol) port map (padp(j), padn(j), i(j), en(j), o(j)); end generate; end;
gpl-2.0
1b8816dd9401007ee1c2537098d58eb1
0.62951
3.404942
false
false
false
false
VerkhovtsovPavel/BSUIR_Labs
Labs/POCP/POCP-7/src/MRAM.vhd
1
1,982
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_UNSIGNED.all; entity MRAM is port ( RW: in std_logic; ADR: in std_logic_vector(5 downto 0); DIN: in std_logic_vector (7 downto 0); DOUT: out std_logic_vector (7 downto 0) ); end MRAM; architecture Beh_Max of MRAM is subtype byte is std_logic_vector(7 downto 0); type tRAM is array (0 to 63) of byte; signal RAM : tRAM :=( "00000101", -- 5 | 000000 | array length "00000011", -- 3 | 000001 | a[0] "00000001", -- 1 | 000010 | a[1] "00000010", -- 2 | 000011 | a[2] "00000100", -- 4 | 000100 | a[3] "00000101", -- 5 | 000101 | a[4] "00000000", -- 0 | 000110 | result "00000001", -- 1 | 000111 | 1 for add and sub others => "00000000" ); signal data_in: byte; signal data_out: byte; Begin data_in <= Din; WRITE: process (RW, ADR, data_in) begin if (RW = '0') then RAM(conv_integer(adr)) <= data_in; end if; end process; data_out <= RAM (conv_integer(adr)); ZBUFS: process (RW, data_out) begin if (RW = '1') then DOUT <= data_out; else DOUT <= (others => 'Z'); end if; end process; end Beh_Max; architecture Beh_Zer of MRAM is subtype byte is std_logic_vector(7 downto 0); type tRAM is array (0 to 63) of byte; signal RAM : tRAM :=( "00000101", -- 5 | 000000 | array length "00000000", -- 0 | 000001 | a[0] "00000001", -- 1 | 000010 | a[1] "00000000", -- 0 | 000011 | a[2] "00000100", -- 4 | 000100 | a[3] "00000000", -- 5 | 000101 | a[4] "00000000", -- 0 | 000110 | result "00000001", -- 1 | 000111 | 1 for add and sub others => "00000000" ); signal data_in: byte; signal data_out: byte; Begin data_in <= Din; WRITE: process (RW, ADR, data_in) begin if (RW = '0') then RAM(conv_integer(adr)) <= data_in; end if; end process; data_out <= RAM (conv_integer(adr)); ZBUFS: process (RW, data_out) begin if (RW = '1') then DOUT <= data_out; else DOUT <= (others => 'Z'); end if; end process; end Beh_Zer;
mit
a05bed9b31499884477e24165030d9be
0.59889
2.607895
false
false
false
false
VerkhovtsovPavel/BSUIR_Labs
Master/POCP/My_Designs/Accum/src/CTRL1.vhd
1
5,439
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_UNSIGNED.all; library accum; use accum.OneHotAccum.all; entity CTRL1 is port( CLK, RST, Start: in std_logic; Stop: out std_logic; -- ROM ROM_re: out std_logic; ROM_addr: out mem_addr; ROM_dout: in command; -- RAM RAM_rw: out std_logic; RAM_addr: out mem_addr; RAM_din: out operand; RAM_dout: in operand; --datapath DP_op1: out operand; DP_ot: out operation; DP_en: out std_logic; DP_res: in operand; DP_zf: in std_logic ); end CTRL1; architecture Beh of CTRL1 is type states is (I, F, D, R, L, SH, S, A, SB, H, JNOTZ, SIN); -- I - idle -- F - fetch -- D - decode -- R - read -- L - load -- SH - shift -- S - store -- A - add -- SB - sub -- H - halt -- JNOTZ - jump if zero bit not set -- SIN - store indirect signal nxt_state, cur_state: states; -- instruction register signal RI: command; -- instruction counter signal IC: mem_addr; -- operation type register signal RO: operation; -- memory address register signal RA: mem_addr; -- data register signal RD: operand; begin -- synchronous memory FSM: process(CLK, RST, nxt_state) begin if (RST = '1') then cur_state <= I; elsif rising_edge(CLK) then cur_state <= nxt_state; end if; end process; -- Next state COMB: process(cur_state, start, RO) begin case cur_state is when I => if (start = '1') then nxt_state <= F; else nxt_state <= I; end if; when F => nxt_state <= D; when D => if (RO = HALT) then nxt_state <= H; elsif (RO = STORE) then nxt_state <= S; elsif (RO = JNZ) then nxt_state <= JNOTZ; elsif (RO = SHIFT) then nxt_state <= SH; else nxt_state <= R; end if; when R => if (RO = LOAD) then nxt_state <= L; elsif (RO = ADD) then nxt_state <= A; elsif (RO = SUBT) then nxt_state <= SB; elsif (RO = STOREIN) then nxt_state <= SIN; else nxt_state <= I; end if; when SIN => nxt_state <= S; when L | S | A | SB | SH | JNOTZ => nxt_state <= F; when H => nxt_state <= H; when others => nxt_state <= I; end case; end process; -- stop signal PSTOP: process (cur_state) begin if (cur_state = H) then stop <= '1'; else stop <= '0'; end if; end process; -- instruction counter PMC: process (CLK, RST, cur_state) begin if (RST = '1') then IC <= "00000"; elsif falling_edge(CLK) then if (cur_state = D) then IC <= IC + 1; elsif (cur_state = JNOTZ and DP_ZF = '0') then IC <= RA; end if; end if; end process; ROM_addr <= IC; -- ROM read signal PROMREAD: process (nxt_state, cur_state) begin if (nxt_state = F or cur_state = F) then ROM_re <= '1'; else ROM_re <= '0'; end if; end process; -- read ROM value and put it into RI PROMDAT: process (RST, cur_state, ROM_dout) begin if (RST = '1') then RI <= "00000000"; elsif (cur_state = F) then RI <= ROM_dout; end if; end process; -- RO and RA control PRORA: process (RST, nxt_state, RI) begin if (RST = '1') then RO <= "000"; RA <= "00000"; elsif (nxt_state = D) then RO <= RI (7 downto 5); RA <= RI (4 downto 0); elsif (nxt_state = SIN) then RA <= RD (4 downto 0); end if; end process; PRAMST: process (RA) begin if (cur_state /= JNOTZ) then RAM_addr <= RA; end if; end process; -- RAM read/write control PRAMREAD: process (cur_state) begin if (cur_state = S) then RAM_rw <= '0'; else RAM_rw <= '1'; end if; end process; -- read value from RAM and put it into RD PRAMDAR: process (cur_state) begin if (cur_state = R) then RD <= RAM_dout; end if; end process; -- move the value from DPATH to RAM input bus RAM_din <= DP_res; -- move the value from RD to datapath DP_op1 <= RD; -- move RO value to DP operation bus DP_ot <= RO; paddsuben: process (cur_state) begin if (cur_state = A or cur_state = SB or cur_state = SH or cur_state = L) then DP_en <= '1'; else DP_en <= '0'; end if; end process; end Beh;
mit
0e17c1191fdbe9ca0f797618bdd37ad8
0.438684
3.952762
false
false
false
false
a4a881d4/ringbus4xilinx
src/dma/DMANP.vhd
2
5,902
--------------------------------------------------------------------------------------------------- -- -- Title : pageless DMA controller -- Design : Ring Bus -- Author : Zhao Ming -- Company : a4a881d4 -- --------------------------------------------------------------------------------------------------- -- -- File : DMANP.vhd -- Generated : 2013/9/5 -- From : -- By : -- --------------------------------------------------------------------------------------------------- -- -- Description : Ring bus end point -- -- Rev: 3.1 -- --------------------------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; use work.rb_config.all; use work.dma_config.all; entity DMANP is generic( Bwidth : natural := 128; SAwidth : natural := 16; DAwidth : natural := 32; Lwidth : natural := 16 ); port( -- system signal clk : in STD_LOGIC; rst : in STD_LOGIC; -- Tx interface header: out std_logic_vector(Bwidth-1 downto 0) := (others=>'0'); Req : out std_logic; laddr : out std_logic_vector(SAwidth-1 downto 0) := (others=>'0'); busy : in std_logic; tx_sop : in std_logic; -- CPU bus CS : in std_logic; wr : in std_logic; rd : in std_logic; addr : in std_logic_vector( 3 downto 0 ); Din : in std_logic_vector( 7 downto 0 ); Dout : out std_logic_vector( 7 downto 0 ); cpuClk : in std_logic; -- Priority en : in std_logic ); end DMANP; architecture behave of DMANP is signal cs_wr : std_logic := '0'; signal inCommand : std_logic_vector( command_end downto command_start ) := (others => '0'); signal inDBUSID : std_logic_vector( dbusid_end downto dbusid_start ) := (others => '0'); signal inAddr : std_logic_vector( daddr_end downto daddr_start ) := (others => '0'); signal inLen : std_logic_vector( len_length downto 0 ) := ( others=>'0' ); signal saddr, saddr_cpu : std_logic_vector( SAwidth-1 downto 0 ) := (others=>'0'); signal daddr, daddr_cpu : std_logic_vector( DAwidth-1 downto 0 ) := (others=>'0'); signal len, len_cpu : std_logic_vector( Lwidth-1 downto 0 ) := (others=>'0'); signal req_cpu : std_logic := '0'; signal state : natural := 0; signal busy_i : std_logic := '0'; component AAI generic( width : natural := 32; Baddr : std_logic_vector( 3 downto 0 ) := "0000" ); port( -- system signal rst : in STD_LOGIC; -- CPU bus CS : in std_logic; addr : in std_logic_vector( 3 downto 0 ); Din : in std_logic_vector( 7 downto 0 ); cpuClk : in std_logic; Q : out std_logic_vector( width-1 downto 0 ) ); end component; begin --header <= zeros( Bwidth-1 downto 0 ); cs_wr <= cs and wr; -- command = command_write -- inCommand <= command_write; header( command_end downto command_start ) <= inCommand; -- set destination addr header( daddr_end downto daddr_start ) <= inAddr; header( dbusid_end downto dbusid_start ) <= inDBUSID; header( len_end downto len_start ) <= inLen( len_length-1 downto 0 ); header( addr_start+DAwidth-1 downto addr_start ) <= daddr; laddr<=saddr; cpuwriteP:process( cpuClk, rst ) begin if rst='1' then inAddr<=( others=>'0' ); inDBUSID<=( others=>'0' ); req_cpu<='0'; elsif rising_edge(cpuClk) then if cs_wr='1' then case addr is when reg_BADDR => inAddr<=Din( addr_length-1 downto 0 ); when reg_BID => inDBUSID<=Din( busid_length-1 downto 0 ); when reg_START => req_cpu<='1'; when others => null; end case; end if; if req_cpu='1' then req_cpu<='0'; end if; end if; end process; Dout(0) <= busy_i when cs='1' and rd='1' and addr=reg_BUSY else 'Z'; busy_i <= '0' when state = state_IDLE else '1'; Dout( 7 downto 1 ) <= ( others=>'Z' ); FSM:process( clk, rst ) begin if rst='1' then state<=state_IDLE; req<='0'; saddr <= zeros( SAwidth-1 downto 0 ); daddr <= zeros( DAwidth-1 downto 0 ); len <= zeros( Lwidth-1 downto 0 ); inLen <= zeros( len_length downto 0 ); inCommand <= command_idle; elsif rising_edge(clk) then case state is when state_IDLE => if req_cpu='1' then state<=state_PENDING; end if; req<='0'; inCommand <= command_idle; when state_PENDING => saddr<=saddr_cpu; daddr<=daddr_cpu; len<=len_cpu; state<=state_LOADING; inCommand <= command_write; req<='0'; when state_LOADING => if len > max_payload then inLen<=zeros(len_length downto 0)+max_payload; else inLen<=len(len_length downto 0); end if; req<='1'; if len=zeros( Lwidth-1 downto 0 ) then state<=state_END; else state<=state_SENDING; end if; when state_SENDING => if en='1' and tx_sop='1' then saddr<=saddr+inLen; daddr<=daddr+inLen; len<=len-inLen; state<=state_LOADING; req<='0'; end if; when state_END => req<='0'; state<=state_IDLE; when others => req<='0'; state<=state_IDLE; end case; end if; end process; SADDR_AAI:AAI generic map( width => SAwidth, Baddr => reg_SADDR ) port map( rst => rst, CS => cs_wr, addr => addr, Din => Din, cpuClk => cpuClk, Q => saddr_cpu ); DADDR_AAI:AAI generic map( width => DAwidth, Baddr => reg_DADDR ) port map( rst => rst, CS => cs_wr, addr => addr, Din => Din, cpuClk => cpuClk, Q => daddr_cpu ); LEN_AAI:AAI generic map( width => Lwidth, Baddr => reg_LEN ) port map( rst => rst, CS => cs_wr, addr => addr, Din => Din, cpuClk => cpuClk, Q => len_cpu ); end behave;
gpl-2.0
892fe19f16897c4f0aecbab1f3d039b4
0.529651
3.072358
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/embedded_lab_2/embedded_lab_2.cache/ip/2017.2/6d8f288943408fbb/zynq_design_1_rst_ps7_0_100M_0_sim_netlist.vhdl
1
32,620
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Tue Sep 19 09:38:22 2017 -- Host : DarkCube running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ zynq_design_1_rst_ps7_0_100M_0_sim_netlist.vhdl -- Design : zynq_design_1_rst_ps7_0_100M_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync is port ( lpf_asr_reg : out STD_LOGIC; scndry_out : out STD_LOGIC; aux_reset_in : in STD_LOGIC; lpf_asr : in STD_LOGIC; asr_lpf : in STD_LOGIC_VECTOR ( 0 to 0 ); p_1_in : in STD_LOGIC; p_2_in : in STD_LOGIC; slowest_sync_clk : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync is signal asr_d1 : STD_LOGIC; signal s_level_out_d1_cdc_to : STD_LOGIC; signal s_level_out_d2 : STD_LOGIC; signal s_level_out_d3 : STD_LOGIC; signal \^scndry_out\ : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type : string; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "PRIMITIVE"; begin scndry_out <= \^scndry_out\; \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => asr_d1, Q => s_level_out_d1_cdc_to, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => aux_reset_in, O => asr_d1 ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d1_cdc_to, Q => s_level_out_d2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d2, Q => s_level_out_d3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d3, Q => \^scndry_out\, R => '0' ); lpf_asr_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"EAAAAAA8" ) port map ( I0 => lpf_asr, I1 => asr_lpf(0), I2 => \^scndry_out\, I3 => p_1_in, I4 => p_2_in, O => lpf_asr_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0 is port ( lpf_exr_reg : out STD_LOGIC; scndry_out : out STD_LOGIC; lpf_exr : in STD_LOGIC; p_3_out : in STD_LOGIC_VECTOR ( 2 downto 0 ); mb_debug_sys_rst : in STD_LOGIC; ext_reset_in : in STD_LOGIC; slowest_sync_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0 : entity is "cdc_sync"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0 is signal \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0_n_0\ : STD_LOGIC; signal s_level_out_d1_cdc_to : STD_LOGIC; signal s_level_out_d2 : STD_LOGIC; signal s_level_out_d3 : STD_LOGIC; signal \^scndry_out\ : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type : string; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "PRIMITIVE"; begin scndry_out <= \^scndry_out\; \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0_n_0\, Q => s_level_out_d1_cdc_to, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => mb_debug_sys_rst, I1 => ext_reset_in, O => \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0_n_0\ ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d1_cdc_to, Q => s_level_out_d2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d2, Q => s_level_out_d3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d3, Q => \^scndry_out\, R => '0' ); lpf_exr_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"EAAAAAA8" ) port map ( I0 => lpf_exr, I1 => p_3_out(0), I2 => \^scndry_out\, I3 => p_3_out(1), I4 => p_3_out(2), O => lpf_exr_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_upcnt_n is port ( Q : out STD_LOGIC_VECTOR ( 5 downto 0 ); seq_clr : in STD_LOGIC; seq_cnt_en : in STD_LOGIC; slowest_sync_clk : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_upcnt_n; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_upcnt_n is signal \^q\ : STD_LOGIC_VECTOR ( 5 downto 0 ); signal clear : STD_LOGIC; signal q_int0 : STD_LOGIC_VECTOR ( 5 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \q_int[1]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \q_int[2]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \q_int[3]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \q_int[4]_i_1\ : label is "soft_lutpair0"; begin Q(5 downto 0) <= \^q\(5 downto 0); \q_int[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => q_int0(0) ); \q_int[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => q_int0(1) ); \q_int[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => q_int0(2) ); \q_int[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => q_int0(3) ); \q_int[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => q_int0(4) ); \q_int[5]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => seq_clr, O => clear ); \q_int[5]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => \^q\(0), I3 => \^q\(2), I4 => \^q\(4), I5 => \^q\(5), O => q_int0(5) ); \q_int_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(0), Q => \^q\(0), R => clear ); \q_int_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(1), Q => \^q\(1), R => clear ); \q_int_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(2), Q => \^q\(2), R => clear ); \q_int_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(3), Q => \^q\(3), R => clear ); \q_int_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(4), Q => \^q\(4), R => clear ); \q_int_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(5), Q => \^q\(5), R => clear ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_lpf is port ( lpf_int : out STD_LOGIC; slowest_sync_clk : in STD_LOGIC; dcm_locked : in STD_LOGIC; aux_reset_in : in STD_LOGIC; mb_debug_sys_rst : in STD_LOGIC; ext_reset_in : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_lpf; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_lpf is signal \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\ : STD_LOGIC; signal \ACTIVE_LOW_EXT.ACT_LO_EXT_n_0\ : STD_LOGIC; signal Q : STD_LOGIC; signal asr_lpf : STD_LOGIC_VECTOR ( 0 to 0 ); signal lpf_asr : STD_LOGIC; signal lpf_exr : STD_LOGIC; signal \lpf_int0__0\ : STD_LOGIC; signal p_1_in : STD_LOGIC; signal p_2_in : STD_LOGIC; signal p_3_in1_in : STD_LOGIC; signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of POR_SRL_I : label is "SRL16"; attribute box_type : string; attribute box_type of POR_SRL_I : label is "PRIMITIVE"; attribute srl_name : string; attribute srl_name of POR_SRL_I : label is "U0/\EXT_LPF/POR_SRL_I "; begin \ACTIVE_LOW_AUX.ACT_LO_AUX\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync port map ( asr_lpf(0) => asr_lpf(0), aux_reset_in => aux_reset_in, lpf_asr => lpf_asr, lpf_asr_reg => \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\, p_1_in => p_1_in, p_2_in => p_2_in, scndry_out => p_3_in1_in, slowest_sync_clk => slowest_sync_clk ); \ACTIVE_LOW_EXT.ACT_LO_EXT\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0 port map ( ext_reset_in => ext_reset_in, lpf_exr => lpf_exr, lpf_exr_reg => \ACTIVE_LOW_EXT.ACT_LO_EXT_n_0\, mb_debug_sys_rst => mb_debug_sys_rst, p_3_out(2 downto 0) => p_3_out(2 downto 0), scndry_out => p_3_out(3), slowest_sync_clk => slowest_sync_clk ); \AUX_LPF[1].asr_lpf_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_in1_in, Q => p_2_in, R => '0' ); \AUX_LPF[2].asr_lpf_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_2_in, Q => p_1_in, R => '0' ); \AUX_LPF[3].asr_lpf_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_1_in, Q => asr_lpf(0), R => '0' ); \EXT_LPF[1].exr_lpf_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_out(3), Q => p_3_out(2), R => '0' ); \EXT_LPF[2].exr_lpf_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_out(2), Q => p_3_out(1), R => '0' ); \EXT_LPF[3].exr_lpf_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_out(1), Q => p_3_out(0), R => '0' ); POR_SRL_I: unisim.vcomponents.SRL16E generic map( INIT => X"FFFF" ) port map ( A0 => '1', A1 => '1', A2 => '1', A3 => '1', CE => '1', CLK => slowest_sync_clk, D => '0', Q => Q ); lpf_asr_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\, Q => lpf_asr, R => '0' ); lpf_exr_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \ACTIVE_LOW_EXT.ACT_LO_EXT_n_0\, Q => lpf_exr, R => '0' ); lpf_int0: unisim.vcomponents.LUT4 generic map( INIT => X"FFEF" ) port map ( I0 => Q, I1 => lpf_asr, I2 => dcm_locked, I3 => lpf_exr, O => \lpf_int0__0\ ); lpf_int_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \lpf_int0__0\, Q => lpf_int, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_sequence_psr is port ( Core : out STD_LOGIC; bsr : out STD_LOGIC; pr : out STD_LOGIC; \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn_reg[0]\ : out STD_LOGIC; \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn_reg[0]\ : out STD_LOGIC; lpf_int : in STD_LOGIC; slowest_sync_clk : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_sequence_psr; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_sequence_psr is signal \^core\ : STD_LOGIC; signal Core_i_1_n_0 : STD_LOGIC; signal \^bsr\ : STD_LOGIC; signal \bsr_dec_reg_n_0_[0]\ : STD_LOGIC; signal \bsr_dec_reg_n_0_[2]\ : STD_LOGIC; signal bsr_i_1_n_0 : STD_LOGIC; signal \core_dec[0]_i_1_n_0\ : STD_LOGIC; signal \core_dec[2]_i_1_n_0\ : STD_LOGIC; signal \core_dec_reg_n_0_[0]\ : STD_LOGIC; signal \core_dec_reg_n_0_[1]\ : STD_LOGIC; signal from_sys_i_1_n_0 : STD_LOGIC; signal p_0_in : STD_LOGIC; signal p_3_out : STD_LOGIC_VECTOR ( 2 downto 0 ); signal p_5_out : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^pr\ : STD_LOGIC; signal \pr_dec0__0\ : STD_LOGIC; signal \pr_dec_reg_n_0_[0]\ : STD_LOGIC; signal \pr_dec_reg_n_0_[2]\ : STD_LOGIC; signal pr_i_1_n_0 : STD_LOGIC; signal seq_clr : STD_LOGIC; signal seq_cnt : STD_LOGIC_VECTOR ( 5 downto 0 ); signal seq_cnt_en : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn[0]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn[0]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of Core_i_1 : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \bsr_dec[2]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of bsr_i_1 : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \core_dec[0]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \core_dec[2]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of from_sys_i_1 : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \pr_dec[0]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of pr_i_1 : label is "soft_lutpair4"; begin Core <= \^core\; bsr <= \^bsr\; pr <= \^pr\; \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^bsr\, O => \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn_reg[0]\ ); \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^pr\, O => \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn_reg[0]\ ); Core_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^core\, I1 => p_0_in, O => Core_i_1_n_0 ); Core_reg: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => Core_i_1_n_0, Q => \^core\, S => lpf_int ); SEQ_COUNTER: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_upcnt_n port map ( Q(5 downto 0) => seq_cnt(5 downto 0), seq_clr => seq_clr, seq_cnt_en => seq_cnt_en, slowest_sync_clk => slowest_sync_clk ); \bsr_dec[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0804" ) port map ( I0 => seq_cnt_en, I1 => seq_cnt(3), I2 => seq_cnt(5), I3 => seq_cnt(4), O => p_5_out(0) ); \bsr_dec[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \core_dec_reg_n_0_[1]\, I1 => \bsr_dec_reg_n_0_[0]\, O => p_5_out(2) ); \bsr_dec_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_5_out(0), Q => \bsr_dec_reg_n_0_[0]\, R => '0' ); \bsr_dec_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_5_out(2), Q => \bsr_dec_reg_n_0_[2]\, R => '0' ); bsr_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^bsr\, I1 => \bsr_dec_reg_n_0_[2]\, O => bsr_i_1_n_0 ); bsr_reg: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => bsr_i_1_n_0, Q => \^bsr\, S => lpf_int ); \core_dec[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"8040" ) port map ( I0 => seq_cnt(4), I1 => seq_cnt(3), I2 => seq_cnt(5), I3 => seq_cnt_en, O => \core_dec[0]_i_1_n_0\ ); \core_dec[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \core_dec_reg_n_0_[1]\, I1 => \core_dec_reg_n_0_[0]\, O => \core_dec[2]_i_1_n_0\ ); \core_dec_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \core_dec[0]_i_1_n_0\, Q => \core_dec_reg_n_0_[0]\, R => '0' ); \core_dec_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \pr_dec0__0\, Q => \core_dec_reg_n_0_[1]\, R => '0' ); \core_dec_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \core_dec[2]_i_1_n_0\, Q => p_0_in, R => '0' ); from_sys_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^core\, I1 => seq_cnt_en, O => from_sys_i_1_n_0 ); from_sys_reg: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => from_sys_i_1_n_0, Q => seq_cnt_en, S => lpf_int ); pr_dec0: unisim.vcomponents.LUT4 generic map( INIT => X"0210" ) port map ( I0 => seq_cnt(0), I1 => seq_cnt(1), I2 => seq_cnt(2), I3 => seq_cnt_en, O => \pr_dec0__0\ ); \pr_dec[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"1080" ) port map ( I0 => seq_cnt_en, I1 => seq_cnt(5), I2 => seq_cnt(3), I3 => seq_cnt(4), O => p_3_out(0) ); \pr_dec[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \core_dec_reg_n_0_[1]\, I1 => \pr_dec_reg_n_0_[0]\, O => p_3_out(2) ); \pr_dec_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_out(0), Q => \pr_dec_reg_n_0_[0]\, R => '0' ); \pr_dec_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_out(2), Q => \pr_dec_reg_n_0_[2]\, R => '0' ); pr_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^pr\, I1 => \pr_dec_reg_n_0_[2]\, O => pr_i_1_n_0 ); pr_reg: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => pr_i_1_n_0, Q => \^pr\, S => lpf_int ); seq_clr_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => '1', Q => seq_clr, R => lpf_int ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset is port ( slowest_sync_clk : in STD_LOGIC; ext_reset_in : in STD_LOGIC; aux_reset_in : in STD_LOGIC; mb_debug_sys_rst : in STD_LOGIC; dcm_locked : in STD_LOGIC; mb_reset : out STD_LOGIC; bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute C_AUX_RESET_HIGH : string; attribute C_AUX_RESET_HIGH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is "1'b0"; attribute C_AUX_RST_WIDTH : integer; attribute C_AUX_RST_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 4; attribute C_EXT_RESET_HIGH : string; attribute C_EXT_RESET_HIGH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is "1'b0"; attribute C_EXT_RST_WIDTH : integer; attribute C_EXT_RST_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 4; attribute C_FAMILY : string; attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is "zynq"; attribute C_NUM_BUS_RST : integer; attribute C_NUM_BUS_RST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 1; attribute C_NUM_INTERCONNECT_ARESETN : integer; attribute C_NUM_INTERCONNECT_ARESETN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 1; attribute C_NUM_PERP_ARESETN : integer; attribute C_NUM_PERP_ARESETN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 1; attribute C_NUM_PERP_RST : integer; attribute C_NUM_PERP_RST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 1; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset is signal Core : STD_LOGIC; signal SEQ_n_3 : STD_LOGIC; signal SEQ_n_4 : STD_LOGIC; signal bsr : STD_LOGIC; signal lpf_int : STD_LOGIC; signal pr : STD_LOGIC; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn_reg[0]\ : label is "no"; attribute equivalent_register_removal of \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn_reg[0]\ : label is "no"; attribute equivalent_register_removal of \BSR_OUT_DFF[0].bus_struct_reset_reg[0]\ : label is "no"; attribute equivalent_register_removal of \PR_OUT_DFF[0].peripheral_reset_reg[0]\ : label is "no"; begin \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => '1', D => SEQ_n_3, Q => interconnect_aresetn(0), R => '0' ); \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => '1', D => SEQ_n_4, Q => peripheral_aresetn(0), R => '0' ); \BSR_OUT_DFF[0].bus_struct_reset_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => bsr, Q => bus_struct_reset(0), R => '0' ); EXT_LPF: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_lpf port map ( aux_reset_in => aux_reset_in, dcm_locked => dcm_locked, ext_reset_in => ext_reset_in, lpf_int => lpf_int, mb_debug_sys_rst => mb_debug_sys_rst, slowest_sync_clk => slowest_sync_clk ); \PR_OUT_DFF[0].peripheral_reset_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => pr, Q => peripheral_reset(0), R => '0' ); SEQ: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_sequence_psr port map ( \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn_reg[0]\ => SEQ_n_3, \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn_reg[0]\ => SEQ_n_4, Core => Core, bsr => bsr, lpf_int => lpf_int, pr => pr, slowest_sync_clk => slowest_sync_clk ); mb_reset_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => Core, Q => mb_reset, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is port ( slowest_sync_clk : in STD_LOGIC; ext_reset_in : in STD_LOGIC; aux_reset_in : in STD_LOGIC; mb_debug_sys_rst : in STD_LOGIC; dcm_locked : in STD_LOGIC; mb_reset : out STD_LOGIC; bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "zynq_design_1_rst_ps7_0_100M_0,proc_sys_reset,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes"; attribute x_core_info : string; attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "proc_sys_reset,Vivado 2017.2"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is attribute C_AUX_RESET_HIGH : string; attribute C_AUX_RESET_HIGH of U0 : label is "1'b0"; attribute C_AUX_RST_WIDTH : integer; attribute C_AUX_RST_WIDTH of U0 : label is 4; attribute C_EXT_RESET_HIGH : string; attribute C_EXT_RESET_HIGH of U0 : label is "1'b0"; attribute C_EXT_RST_WIDTH : integer; attribute C_EXT_RST_WIDTH of U0 : label is 4; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "zynq"; attribute C_NUM_BUS_RST : integer; attribute C_NUM_BUS_RST of U0 : label is 1; attribute C_NUM_INTERCONNECT_ARESETN : integer; attribute C_NUM_INTERCONNECT_ARESETN of U0 : label is 1; attribute C_NUM_PERP_ARESETN : integer; attribute C_NUM_PERP_ARESETN of U0 : label is 1; attribute C_NUM_PERP_RST : integer; attribute C_NUM_PERP_RST of U0 : label is 1; begin U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset port map ( aux_reset_in => aux_reset_in, bus_struct_reset(0) => bus_struct_reset(0), dcm_locked => dcm_locked, ext_reset_in => ext_reset_in, interconnect_aresetn(0) => interconnect_aresetn(0), mb_debug_sys_rst => mb_debug_sys_rst, mb_reset => mb_reset, peripheral_aresetn(0) => peripheral_aresetn(0), peripheral_reset(0) => peripheral_reset(0), slowest_sync_clk => slowest_sync_clk ); end STRUCTURE;
mit
45558dbd220adfd47ec2fd957e5d4edc
0.577376
2.877051
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/adventures_with_ip/adventures_with_ip.srcs/sources_1/bd/ip_design/ip/ip_design_axi_gpio_1_0/ip_design_axi_gpio_1_0_sim_netlist.vhdl
1
130,044
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.3 (lin64) Build 2018833 Wed Oct 4 19:58:07 MDT 2017 -- Date : Tue Oct 17 19:49:31 2017 -- Host : TacitMonolith running 64-bit Ubuntu 16.04.3 LTS -- Command : write_vhdl -force -mode funcsim -- /home/mark/Documents/Repos/FPGA_Sandbox/RecComp/Lab3/adventures_with_ip/adventures_with_ip.srcs/sources_1/bd/ip_design/ip/ip_design_axi_gpio_1_0/ip_design_axi_gpio_1_0_sim_netlist.vhdl -- Design : ip_design_axi_gpio_1_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity ip_design_axi_gpio_1_0_address_decoder is port ( \MEM_DECODE_GEN[0].cs_out_i_reg[0]_0\ : out STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 7 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \Dual.gpio_OE_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \Dual.gpio2_Data_Out_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \Dual.gpio2_OE_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]\ : out STD_LOGIC; \ip2bus_data_i_D1_reg[0]\ : out STD_LOGIC_VECTOR ( 8 downto 0 ); Q : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; ip2bus_rdack_i_D1 : in STD_LOGIC; is_read : in STD_LOGIC; \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); ip2bus_wrack_i_D1 : in STD_LOGIC; is_write_reg : in STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 7 downto 0 ); \bus2ip_addr_i_reg[8]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); bus2ip_rnw_i_reg : in STD_LOGIC; gpio_xferAck_Reg : in STD_LOGIC; GPIO_xferAck_i : in STD_LOGIC; reg3 : in STD_LOGIC_VECTOR ( 7 downto 0 ); reg1 : in STD_LOGIC_VECTOR ( 4 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of ip_design_axi_gpio_1_0_address_decoder : entity is "address_decoder"; end ip_design_axi_gpio_1_0_address_decoder; architecture STRUCTURE of ip_design_axi_gpio_1_0_address_decoder is signal Bus_RNW_reg : STD_LOGIC; signal Bus_RNW_reg_i_1_n_0 : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\ : STD_LOGIC; signal \MEM_DECODE_GEN[0].cs_out_i[0]_i_1_n_0\ : STD_LOGIC; signal \^mem_decode_gen[0].cs_out_i_reg[0]_0\ : STD_LOGIC; signal ce_expnd_i_0 : STD_LOGIC; signal ce_expnd_i_1 : STD_LOGIC; signal ce_expnd_i_2 : STD_LOGIC; signal ce_expnd_i_3 : STD_LOGIC; signal cs_ce_clr : STD_LOGIC; signal \ip2bus_data_i_D1[27]_i_2_n_0\ : STD_LOGIC; signal \ip2bus_data_i_D1[27]_i_3_n_0\ : STD_LOGIC; signal \^ip2bus_data_i_d1_reg[0]\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \^s_axi_arready\ : STD_LOGIC; signal \^s_axi_wready\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \Dual.gpio2_Data_Out[0]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \Dual.gpio2_Data_Out[5]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \Dual.gpio2_Data_Out[6]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \Dual.gpio2_Data_Out[7]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \Dual.gpio2_OE[0]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \Dual.gpio_Data_Out[0]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \Dual.gpio_Data_Out[0]_i_2\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \Dual.gpio_Data_Out[1]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \Dual.gpio_Data_Out[2]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \Dual.gpio_Data_Out[3]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \Dual.gpio_Data_Out[4]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \Dual.gpio_OE[0]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \GEN_BKEND_CE_REGISTERS[0].ce_out_i[0]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \GEN_BKEND_CE_REGISTERS[1].ce_out_i[1]_i_1\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \GEN_BKEND_CE_REGISTERS[2].ce_out_i[2]_i_1\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \GEN_BKEND_CE_REGISTERS[3].ce_out_i[3]_i_2\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \ip2bus_data_i_D1[0]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \ip2bus_data_i_D1[27]_i_3\ : label is "soft_lutpair2"; begin \MEM_DECODE_GEN[0].cs_out_i_reg[0]_0\ <= \^mem_decode_gen[0].cs_out_i_reg[0]_0\; \ip2bus_data_i_D1_reg[0]\(8 downto 0) <= \^ip2bus_data_i_d1_reg[0]\(8 downto 0); s_axi_arready <= \^s_axi_arready\; s_axi_wready <= \^s_axi_wready\; Bus_RNW_reg_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => Q, I2 => Bus_RNW_reg, O => Bus_RNW_reg_i_1_n_0 ); Bus_RNW_reg_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Bus_RNW_reg_i_1_n_0, Q => Bus_RNW_reg, R => '0' ); \Dual.ALLIN0_ND_G2.READ_REG2_GEN[7].reg3[31]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FFF7" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I2 => gpio_xferAck_Reg, I3 => GPIO_xferAck_i, O => \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]\ ); \Dual.gpio2_Data_Out[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"00100000" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => \bus2ip_addr_i_reg[8]\(2), I2 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I3 => \bus2ip_addr_i_reg[8]\(0), I4 => \bus2ip_addr_i_reg[8]\(1), O => \Dual.gpio2_Data_Out_reg[0]\(0) ); \Dual.gpio2_Data_Out[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"8A" ) port map ( I0 => s_axi_wdata(2), I1 => \bus2ip_addr_i_reg[8]\(1), I2 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, O => D(2) ); \Dual.gpio2_Data_Out[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"8A" ) port map ( I0 => s_axi_wdata(1), I1 => \bus2ip_addr_i_reg[8]\(1), I2 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, O => D(1) ); \Dual.gpio2_Data_Out[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"8A" ) port map ( I0 => s_axi_wdata(0), I1 => \bus2ip_addr_i_reg[8]\(1), I2 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, O => D(0) ); \Dual.gpio2_OE[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"10000000" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => \bus2ip_addr_i_reg[8]\(2), I2 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I3 => \bus2ip_addr_i_reg[8]\(1), I4 => \bus2ip_addr_i_reg[8]\(0), O => \Dual.gpio2_OE_reg[0]\(0) ); \Dual.gpio_Data_Out[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"00000010" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => \bus2ip_addr_i_reg[8]\(2), I2 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I3 => \bus2ip_addr_i_reg[8]\(1), I4 => \bus2ip_addr_i_reg[8]\(0), O => E(0) ); \Dual.gpio_Data_Out[0]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(4), I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I2 => \bus2ip_addr_i_reg[8]\(1), I3 => s_axi_wdata(7), O => D(7) ); \Dual.gpio_Data_Out[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(3), I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I2 => \bus2ip_addr_i_reg[8]\(1), I3 => s_axi_wdata(6), O => D(6) ); \Dual.gpio_Data_Out[2]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(2), I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I2 => \bus2ip_addr_i_reg[8]\(1), I3 => s_axi_wdata(5), O => D(5) ); \Dual.gpio_Data_Out[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(1), I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I2 => \bus2ip_addr_i_reg[8]\(1), I3 => s_axi_wdata(4), O => D(4) ); \Dual.gpio_Data_Out[4]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(0), I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I2 => \bus2ip_addr_i_reg[8]\(1), I3 => s_axi_wdata(3), O => D(3) ); \Dual.gpio_OE[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"00100000" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => \bus2ip_addr_i_reg[8]\(2), I2 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I3 => \bus2ip_addr_i_reg[8]\(1), I4 => \bus2ip_addr_i_reg[8]\(0), O => \Dual.gpio_OE_reg[0]\(0) ); \GEN_BKEND_CE_REGISTERS[0].ce_out_i[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(0), I1 => \bus2ip_addr_i_reg[8]\(1), O => ce_expnd_i_3 ); \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => Q, D => ce_expnd_i_3, Q => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, R => cs_ce_clr ); \GEN_BKEND_CE_REGISTERS[1].ce_out_i[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(0), I1 => \bus2ip_addr_i_reg[8]\(1), O => ce_expnd_i_2 ); \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => Q, D => ce_expnd_i_2, Q => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, R => cs_ce_clr ); \GEN_BKEND_CE_REGISTERS[2].ce_out_i[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(1), I1 => \bus2ip_addr_i_reg[8]\(0), O => ce_expnd_i_1 ); \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => Q, D => ce_expnd_i_1, Q => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, R => cs_ce_clr ); \GEN_BKEND_CE_REGISTERS[3].ce_out_i[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"EF" ) port map ( I0 => \^s_axi_wready\, I1 => \^s_axi_arready\, I2 => s_axi_aresetn, O => cs_ce_clr ); \GEN_BKEND_CE_REGISTERS[3].ce_out_i[3]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(1), I1 => \bus2ip_addr_i_reg[8]\(0), O => ce_expnd_i_0 ); \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => Q, D => ce_expnd_i_0, Q => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, R => cs_ce_clr ); \MEM_DECODE_GEN[0].cs_out_i[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"000000E0" ) port map ( I0 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I1 => Q, I2 => s_axi_aresetn, I3 => \^s_axi_arready\, I4 => \^s_axi_wready\, O => \MEM_DECODE_GEN[0].cs_out_i[0]_i_1_n_0\ ); \MEM_DECODE_GEN[0].cs_out_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \MEM_DECODE_GEN[0].cs_out_i[0]_i_1_n_0\, Q => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, R => '0' ); \ip2bus_data_i_D1[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"00040400" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, I1 => Bus_RNW_reg, I2 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, I3 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, I4 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, O => \^ip2bus_data_i_d1_reg[0]\(8) ); \ip2bus_data_i_D1[24]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00020000003C0000" ) port map ( I0 => reg3(7), I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, I2 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, I3 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, I4 => Bus_RNW_reg, I5 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, O => \^ip2bus_data_i_d1_reg[0]\(7) ); \ip2bus_data_i_D1[25]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00020000003C0000" ) port map ( I0 => reg3(6), I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, I2 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, I3 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, I4 => Bus_RNW_reg, I5 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, O => \^ip2bus_data_i_d1_reg[0]\(6) ); \ip2bus_data_i_D1[26]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00020000003C0000" ) port map ( I0 => reg3(5), I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, I2 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, I3 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, I4 => Bus_RNW_reg, I5 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, O => \^ip2bus_data_i_d1_reg[0]\(5) ); \ip2bus_data_i_D1[27]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFEAEAEA" ) port map ( I0 => \^ip2bus_data_i_d1_reg[0]\(8), I1 => \ip2bus_data_i_D1[27]_i_2_n_0\, I2 => reg1(4), I3 => reg3(4), I4 => \ip2bus_data_i_D1[27]_i_3_n_0\, O => \^ip2bus_data_i_d1_reg[0]\(4) ); \ip2bus_data_i_D1[27]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"00020000" ) port map ( I0 => Bus_RNW_reg, I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, I2 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, I3 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, I4 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, O => \ip2bus_data_i_D1[27]_i_2_n_0\ ); \ip2bus_data_i_D1[27]_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"00020000" ) port map ( I0 => Bus_RNW_reg, I1 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, I2 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, I3 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, O => \ip2bus_data_i_D1[27]_i_3_n_0\ ); \ip2bus_data_i_D1[28]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFEAEAEA" ) port map ( I0 => \^ip2bus_data_i_d1_reg[0]\(8), I1 => \ip2bus_data_i_D1[27]_i_2_n_0\, I2 => reg1(3), I3 => reg3(3), I4 => \ip2bus_data_i_D1[27]_i_3_n_0\, O => \^ip2bus_data_i_d1_reg[0]\(3) ); \ip2bus_data_i_D1[29]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFEAEAEA" ) port map ( I0 => \^ip2bus_data_i_d1_reg[0]\(8), I1 => \ip2bus_data_i_D1[27]_i_2_n_0\, I2 => reg1(2), I3 => reg3(2), I4 => \ip2bus_data_i_D1[27]_i_3_n_0\, O => \^ip2bus_data_i_d1_reg[0]\(2) ); \ip2bus_data_i_D1[30]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFEAEAEA" ) port map ( I0 => \^ip2bus_data_i_d1_reg[0]\(8), I1 => \ip2bus_data_i_D1[27]_i_2_n_0\, I2 => reg1(1), I3 => reg3(1), I4 => \ip2bus_data_i_D1[27]_i_3_n_0\, O => \^ip2bus_data_i_d1_reg[0]\(1) ); \ip2bus_data_i_D1[31]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFEAEAEA" ) port map ( I0 => \^ip2bus_data_i_d1_reg[0]\(8), I1 => \ip2bus_data_i_D1[27]_i_2_n_0\, I2 => reg1(0), I3 => reg3(0), I4 => \ip2bus_data_i_D1[27]_i_3_n_0\, O => \^ip2bus_data_i_d1_reg[0]\(0) ); s_axi_arready_INST_0: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAAAAAAEAAAA" ) port map ( I0 => ip2bus_rdack_i_D1, I1 => is_read, I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(2), I3 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(1), I4 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(3), I5 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(0), O => \^s_axi_arready\ ); s_axi_wready_INST_0: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAAAAAAEAAAA" ) port map ( I0 => ip2bus_wrack_i_D1, I1 => is_write_reg, I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(2), I3 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(1), I4 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(3), I5 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(0), O => \^s_axi_wready\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity ip_design_axi_gpio_1_0_cdc_sync is port ( scndry_vect_out : out STD_LOGIC_VECTOR ( 4 downto 0 ); gpio_io_i : in STD_LOGIC_VECTOR ( 4 downto 0 ); s_axi_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of ip_design_axi_gpio_1_0_cdc_sync : entity is "cdc_sync"; end ip_design_axi_gpio_1_0_cdc_sync; architecture STRUCTURE of ip_design_axi_gpio_1_0_cdc_sync is signal s_level_out_bus_d1_cdc_to_0 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_1 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_2 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_3 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_4 : STD_LOGIC; signal s_level_out_bus_d2_0 : STD_LOGIC; signal s_level_out_bus_d2_1 : STD_LOGIC; signal s_level_out_bus_d2_2 : STD_LOGIC; signal s_level_out_bus_d2_3 : STD_LOGIC; signal s_level_out_bus_d2_4 : STD_LOGIC; signal s_level_out_bus_d3_0 : STD_LOGIC; signal s_level_out_bus_d3_1 : STD_LOGIC; signal s_level_out_bus_d3_2 : STD_LOGIC; signal s_level_out_bus_d3_3 : STD_LOGIC; signal s_level_out_bus_d3_4 : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type : string; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[2].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[2].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[2].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[3].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[3].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[3].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[4].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[4].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[4].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; begin \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_0, Q => s_level_out_bus_d2_0, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_1, Q => s_level_out_bus_d2_1, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_2, Q => s_level_out_bus_d2_2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_3, Q => s_level_out_bus_d2_3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_4, Q => s_level_out_bus_d2_4, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_0, Q => s_level_out_bus_d3_0, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_1, Q => s_level_out_bus_d3_1, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_2, Q => s_level_out_bus_d3_2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_3, Q => s_level_out_bus_d3_3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_4, Q => s_level_out_bus_d3_4, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_0, Q => scndry_vect_out(0), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_1, Q => scndry_vect_out(1), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_2, Q => scndry_vect_out(2), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_3, Q => scndry_vect_out(3), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_4, Q => scndry_vect_out(4), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i(0), Q => s_level_out_bus_d1_cdc_to_0, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i(1), Q => s_level_out_bus_d1_cdc_to_1, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[2].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i(2), Q => s_level_out_bus_d1_cdc_to_2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[3].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i(3), Q => s_level_out_bus_d1_cdc_to_3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[4].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i(4), Q => s_level_out_bus_d1_cdc_to_4, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \ip_design_axi_gpio_1_0_cdc_sync__parameterized0\ is port ( scndry_vect_out : out STD_LOGIC_VECTOR ( 7 downto 0 ); gpio2_io_i : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \ip_design_axi_gpio_1_0_cdc_sync__parameterized0\ : entity is "cdc_sync"; end \ip_design_axi_gpio_1_0_cdc_sync__parameterized0\; architecture STRUCTURE of \ip_design_axi_gpio_1_0_cdc_sync__parameterized0\ is signal s_level_out_bus_d1_cdc_to_0 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_1 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_2 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_3 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_4 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_5 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_6 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_7 : STD_LOGIC; signal s_level_out_bus_d2_0 : STD_LOGIC; signal s_level_out_bus_d2_1 : STD_LOGIC; signal s_level_out_bus_d2_2 : STD_LOGIC; signal s_level_out_bus_d2_3 : STD_LOGIC; signal s_level_out_bus_d2_4 : STD_LOGIC; signal s_level_out_bus_d2_5 : STD_LOGIC; signal s_level_out_bus_d2_6 : STD_LOGIC; signal s_level_out_bus_d2_7 : STD_LOGIC; signal s_level_out_bus_d3_0 : STD_LOGIC; signal s_level_out_bus_d3_1 : STD_LOGIC; signal s_level_out_bus_d3_2 : STD_LOGIC; signal s_level_out_bus_d3_3 : STD_LOGIC; signal s_level_out_bus_d3_4 : STD_LOGIC; signal s_level_out_bus_d3_5 : STD_LOGIC; signal s_level_out_bus_d3_6 : STD_LOGIC; signal s_level_out_bus_d3_7 : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type : string; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[2].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[2].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[2].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[3].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[3].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[3].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[4].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[4].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[4].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[5].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[5].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[5].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[6].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[6].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[6].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[7].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[7].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[7].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; begin \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_0, Q => s_level_out_bus_d2_0, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_1, Q => s_level_out_bus_d2_1, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_2, Q => s_level_out_bus_d2_2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_3, Q => s_level_out_bus_d2_3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_4, Q => s_level_out_bus_d2_4, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_5, Q => s_level_out_bus_d2_5, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_6, Q => s_level_out_bus_d2_6, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_7, Q => s_level_out_bus_d2_7, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_0, Q => s_level_out_bus_d3_0, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_1, Q => s_level_out_bus_d3_1, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_2, Q => s_level_out_bus_d3_2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_3, Q => s_level_out_bus_d3_3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_4, Q => s_level_out_bus_d3_4, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_5, Q => s_level_out_bus_d3_5, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_6, Q => s_level_out_bus_d3_6, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_7, Q => s_level_out_bus_d3_7, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_0, Q => scndry_vect_out(0), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_1, Q => scndry_vect_out(1), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_2, Q => scndry_vect_out(2), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_3, Q => scndry_vect_out(3), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_4, Q => scndry_vect_out(4), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_5, Q => scndry_vect_out(5), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_6, Q => scndry_vect_out(6), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_7, Q => scndry_vect_out(7), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i(0), Q => s_level_out_bus_d1_cdc_to_0, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i(1), Q => s_level_out_bus_d1_cdc_to_1, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[2].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i(2), Q => s_level_out_bus_d1_cdc_to_2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[3].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i(3), Q => s_level_out_bus_d1_cdc_to_3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[4].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i(4), Q => s_level_out_bus_d1_cdc_to_4, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[5].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i(5), Q => s_level_out_bus_d1_cdc_to_5, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[6].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i(6), Q => s_level_out_bus_d1_cdc_to_6, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[7].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i(7), Q => s_level_out_bus_d1_cdc_to_7, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity ip_design_axi_gpio_1_0_GPIO_Core is port ( reg3 : out STD_LOGIC_VECTOR ( 7 downto 0 ); reg1 : out STD_LOGIC_VECTOR ( 4 downto 0 ); GPIO_xferAck_i : out STD_LOGIC; gpio_xferAck_Reg : out STD_LOGIC; ip2bus_rdack_i : out STD_LOGIC; ip2bus_wrack_i_D1_reg : out STD_LOGIC; gpio_io_o : out STD_LOGIC_VECTOR ( 4 downto 0 ); gpio_io_t : out STD_LOGIC_VECTOR ( 4 downto 0 ); gpio2_io_o : out STD_LOGIC_VECTOR ( 7 downto 0 ); gpio2_io_t : out STD_LOGIC_VECTOR ( 7 downto 0 ); Q : out STD_LOGIC_VECTOR ( 7 downto 0 ); \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]_0\ : out STD_LOGIC_VECTOR ( 4 downto 0 ); bus2ip_rnw_i_reg : in STD_LOGIC; \Dual.gpio2_Data_In_reg[7]_0\ : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; \Dual.gpio2_Data_In_reg[6]_0\ : in STD_LOGIC; \Dual.gpio2_Data_In_reg[5]_0\ : in STD_LOGIC; \Dual.gpio2_Data_In_reg[4]_0\ : in STD_LOGIC; \Dual.gpio2_Data_In_reg[3]_0\ : in STD_LOGIC; \Dual.gpio2_Data_In_reg[2]_0\ : in STD_LOGIC; \Dual.gpio2_Data_In_reg[1]_0\ : in STD_LOGIC; \Dual.gpio2_Data_In_reg[0]_0\ : in STD_LOGIC; Read_Reg_In : in STD_LOGIC_VECTOR ( 0 to 4 ); SS : in STD_LOGIC_VECTOR ( 0 to 0 ); bus2ip_rnw : in STD_LOGIC; bus2ip_cs : in STD_LOGIC; gpio_io_i : in STD_LOGIC_VECTOR ( 4 downto 0 ); gpio2_io_i : in STD_LOGIC_VECTOR ( 7 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 7 downto 0 ); bus2ip_rnw_i_reg_0 : in STD_LOGIC_VECTOR ( 0 to 0 ); bus2ip_rnw_i_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 ); bus2ip_rnw_i_reg_2 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of ip_design_axi_gpio_1_0_GPIO_Core : entity is "GPIO_Core"; end ip_design_axi_gpio_1_0_GPIO_Core; architecture STRUCTURE of ip_design_axi_gpio_1_0_GPIO_Core is signal \^gpio_xferack_i\ : STD_LOGIC; signal gpio2_io_i_d2 : STD_LOGIC_VECTOR ( 0 to 7 ); signal gpio_io_i_d2 : STD_LOGIC_VECTOR ( 0 to 4 ); signal \^gpio_xferack_reg\ : STD_LOGIC; signal iGPIO_xferAck : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of iGPIO_xferAck_i_1 : label is "soft_lutpair13"; attribute SOFT_HLUTNM of ip2bus_rdack_i_D1_i_1 : label is "soft_lutpair13"; begin GPIO_xferAck_i <= \^gpio_xferack_i\; gpio_xferAck_Reg <= \^gpio_xferack_reg\; \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Read_Reg_In(0), Q => reg1(4), R => bus2ip_rnw_i_reg ); \Dual.ALLIN0_ND_G0.READ_REG_GEN[1].reg1_reg[28]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Read_Reg_In(1), Q => reg1(3), R => bus2ip_rnw_i_reg ); \Dual.ALLIN0_ND_G0.READ_REG_GEN[2].reg1_reg[29]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Read_Reg_In(2), Q => reg1(2), R => bus2ip_rnw_i_reg ); \Dual.ALLIN0_ND_G0.READ_REG_GEN[3].reg1_reg[30]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Read_Reg_In(3), Q => reg1(1), R => bus2ip_rnw_i_reg ); \Dual.ALLIN0_ND_G0.READ_REG_GEN[4].reg1_reg[31]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Read_Reg_In(4), Q => reg1(0), R => bus2ip_rnw_i_reg ); \Dual.ALLIN0_ND_G2.READ_REG2_GEN[0].reg3_reg[24]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \Dual.gpio2_Data_In_reg[0]_0\, Q => reg3(7), R => bus2ip_rnw_i_reg ); \Dual.ALLIN0_ND_G2.READ_REG2_GEN[1].reg3_reg[25]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \Dual.gpio2_Data_In_reg[1]_0\, Q => reg3(6), R => bus2ip_rnw_i_reg ); \Dual.ALLIN0_ND_G2.READ_REG2_GEN[2].reg3_reg[26]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \Dual.gpio2_Data_In_reg[2]_0\, Q => reg3(5), R => bus2ip_rnw_i_reg ); \Dual.ALLIN0_ND_G2.READ_REG2_GEN[3].reg3_reg[27]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \Dual.gpio2_Data_In_reg[3]_0\, Q => reg3(4), R => bus2ip_rnw_i_reg ); \Dual.ALLIN0_ND_G2.READ_REG2_GEN[4].reg3_reg[28]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \Dual.gpio2_Data_In_reg[4]_0\, Q => reg3(3), R => bus2ip_rnw_i_reg ); \Dual.ALLIN0_ND_G2.READ_REG2_GEN[5].reg3_reg[29]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \Dual.gpio2_Data_In_reg[5]_0\, Q => reg3(2), R => bus2ip_rnw_i_reg ); \Dual.ALLIN0_ND_G2.READ_REG2_GEN[6].reg3_reg[30]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \Dual.gpio2_Data_In_reg[6]_0\, Q => reg3(1), R => bus2ip_rnw_i_reg ); \Dual.ALLIN0_ND_G2.READ_REG2_GEN[7].reg3_reg[31]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \Dual.gpio2_Data_In_reg[7]_0\, Q => reg3(0), R => bus2ip_rnw_i_reg ); \Dual.INPUT_DOUBLE_REGS4\: entity work.ip_design_axi_gpio_1_0_cdc_sync port map ( gpio_io_i(4 downto 0) => gpio_io_i(4 downto 0), s_axi_aclk => s_axi_aclk, scndry_vect_out(4) => gpio_io_i_d2(0), scndry_vect_out(3) => gpio_io_i_d2(1), scndry_vect_out(2) => gpio_io_i_d2(2), scndry_vect_out(1) => gpio_io_i_d2(3), scndry_vect_out(0) => gpio_io_i_d2(4) ); \Dual.INPUT_DOUBLE_REGS5\: entity work.\ip_design_axi_gpio_1_0_cdc_sync__parameterized0\ port map ( gpio2_io_i(7 downto 0) => gpio2_io_i(7 downto 0), s_axi_aclk => s_axi_aclk, scndry_vect_out(7) => gpio2_io_i_d2(0), scndry_vect_out(6) => gpio2_io_i_d2(1), scndry_vect_out(5) => gpio2_io_i_d2(2), scndry_vect_out(4) => gpio2_io_i_d2(3), scndry_vect_out(3) => gpio2_io_i_d2(4), scndry_vect_out(2) => gpio2_io_i_d2(5), scndry_vect_out(1) => gpio2_io_i_d2(6), scndry_vect_out(0) => gpio2_io_i_d2(7) ); \Dual.gpio2_Data_In_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i_d2(0), Q => Q(7), R => '0' ); \Dual.gpio2_Data_In_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i_d2(1), Q => Q(6), R => '0' ); \Dual.gpio2_Data_In_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i_d2(2), Q => Q(5), R => '0' ); \Dual.gpio2_Data_In_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i_d2(3), Q => Q(4), R => '0' ); \Dual.gpio2_Data_In_reg[4]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i_d2(4), Q => Q(3), R => '0' ); \Dual.gpio2_Data_In_reg[5]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i_d2(5), Q => Q(2), R => '0' ); \Dual.gpio2_Data_In_reg[6]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i_d2(6), Q => Q(1), R => '0' ); \Dual.gpio2_Data_In_reg[7]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i_d2(7), Q => Q(0), R => '0' ); \Dual.gpio2_Data_Out_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_1(0), D => D(7), Q => gpio2_io_o(7), R => SS(0) ); \Dual.gpio2_Data_Out_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_1(0), D => D(6), Q => gpio2_io_o(6), R => SS(0) ); \Dual.gpio2_Data_Out_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_1(0), D => D(5), Q => gpio2_io_o(5), R => SS(0) ); \Dual.gpio2_Data_Out_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_1(0), D => D(4), Q => gpio2_io_o(4), R => SS(0) ); \Dual.gpio2_Data_Out_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_1(0), D => D(3), Q => gpio2_io_o(3), R => SS(0) ); \Dual.gpio2_Data_Out_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_1(0), D => D(2), Q => gpio2_io_o(2), R => SS(0) ); \Dual.gpio2_Data_Out_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_1(0), D => D(1), Q => gpio2_io_o(1), R => SS(0) ); \Dual.gpio2_Data_Out_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_1(0), D => D(0), Q => gpio2_io_o(0), R => SS(0) ); \Dual.gpio2_OE_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_2(0), D => D(7), Q => gpio2_io_t(7), S => SS(0) ); \Dual.gpio2_OE_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_2(0), D => D(6), Q => gpio2_io_t(6), S => SS(0) ); \Dual.gpio2_OE_reg[2]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_2(0), D => D(5), Q => gpio2_io_t(5), S => SS(0) ); \Dual.gpio2_OE_reg[3]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_2(0), D => D(4), Q => gpio2_io_t(4), S => SS(0) ); \Dual.gpio2_OE_reg[4]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_2(0), D => D(3), Q => gpio2_io_t(3), S => SS(0) ); \Dual.gpio2_OE_reg[5]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_2(0), D => D(2), Q => gpio2_io_t(2), S => SS(0) ); \Dual.gpio2_OE_reg[6]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_2(0), D => D(1), Q => gpio2_io_t(1), S => SS(0) ); \Dual.gpio2_OE_reg[7]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_2(0), D => D(0), Q => gpio2_io_t(0), S => SS(0) ); \Dual.gpio_Data_In_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i_d2(0), Q => \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]_0\(4), R => '0' ); \Dual.gpio_Data_In_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i_d2(1), Q => \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]_0\(3), R => '0' ); \Dual.gpio_Data_In_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i_d2(2), Q => \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]_0\(2), R => '0' ); \Dual.gpio_Data_In_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i_d2(3), Q => \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]_0\(1), R => '0' ); \Dual.gpio_Data_In_reg[4]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i_d2(4), Q => \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]_0\(0), R => '0' ); \Dual.gpio_Data_Out_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => E(0), D => D(7), Q => gpio_io_o(4), R => SS(0) ); \Dual.gpio_Data_Out_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => E(0), D => D(6), Q => gpio_io_o(3), R => SS(0) ); \Dual.gpio_Data_Out_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => E(0), D => D(5), Q => gpio_io_o(2), R => SS(0) ); \Dual.gpio_Data_Out_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => E(0), D => D(4), Q => gpio_io_o(1), R => SS(0) ); \Dual.gpio_Data_Out_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => E(0), D => D(3), Q => gpio_io_o(0), R => SS(0) ); \Dual.gpio_OE_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_0(0), D => D(7), Q => gpio_io_t(4), S => SS(0) ); \Dual.gpio_OE_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_0(0), D => D(6), Q => gpio_io_t(3), S => SS(0) ); \Dual.gpio_OE_reg[2]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_0(0), D => D(5), Q => gpio_io_t(2), S => SS(0) ); \Dual.gpio_OE_reg[3]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_0(0), D => D(4), Q => gpio_io_t(1), S => SS(0) ); \Dual.gpio_OE_reg[4]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_0(0), D => D(3), Q => gpio_io_t(0), S => SS(0) ); gpio_xferAck_Reg_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \^gpio_xferack_i\, Q => \^gpio_xferack_reg\, R => SS(0) ); iGPIO_xferAck_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => bus2ip_cs, I1 => \^gpio_xferack_reg\, I2 => \^gpio_xferack_i\, O => iGPIO_xferAck ); iGPIO_xferAck_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => iGPIO_xferAck, Q => \^gpio_xferack_i\, R => SS(0) ); ip2bus_rdack_i_D1_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^gpio_xferack_i\, I1 => bus2ip_rnw, O => ip2bus_rdack_i ); ip2bus_wrack_i_D1_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^gpio_xferack_i\, I1 => bus2ip_rnw, O => ip2bus_wrack_i_D1_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity ip_design_axi_gpio_1_0_slave_attachment is port ( SR : out STD_LOGIC; \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]\ : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; \MEM_DECODE_GEN[0].cs_out_i_reg[0]\ : out STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 7 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \Dual.gpio_OE_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \Dual.gpio2_Data_Out_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \Dual.gpio2_OE_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); Read_Reg_In : out STD_LOGIC_VECTOR ( 0 to 4 ); \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]_0\ : out STD_LOGIC; \Dual.ALLIN0_ND_G2.READ_REG2_GEN[7].reg3_reg[31]\ : out STD_LOGIC; \Dual.ALLIN0_ND_G2.READ_REG2_GEN[6].reg3_reg[30]\ : out STD_LOGIC; \Dual.ALLIN0_ND_G2.READ_REG2_GEN[5].reg3_reg[29]\ : out STD_LOGIC; \Dual.ALLIN0_ND_G2.READ_REG2_GEN[4].reg3_reg[28]\ : out STD_LOGIC; \Dual.ALLIN0_ND_G2.READ_REG2_GEN[3].reg3_reg[27]\ : out STD_LOGIC; \Dual.ALLIN0_ND_G2.READ_REG2_GEN[2].reg3_reg[26]\ : out STD_LOGIC; \Dual.ALLIN0_ND_G2.READ_REG2_GEN[1].reg3_reg[25]\ : out STD_LOGIC; \Dual.ALLIN0_ND_G2.READ_REG2_GEN[0].reg3_reg[24]\ : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 8 downto 0 ); \ip2bus_data_i_D1_reg[0]\ : out STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_aclk : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_bready : in STD_LOGIC; ip2bus_rdack_i_D1 : in STD_LOGIC; ip2bus_wrack_i_D1 : in STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); Q : in STD_LOGIC_VECTOR ( 4 downto 0 ); gpio_io_t : in STD_LOGIC_VECTOR ( 4 downto 0 ); gpio_xferAck_Reg : in STD_LOGIC; GPIO_xferAck_i : in STD_LOGIC; \Dual.gpio2_Data_In_reg[0]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); gpio2_io_t : in STD_LOGIC_VECTOR ( 7 downto 0 ); \ip2bus_data_i_D1_reg[0]_0\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); reg3 : in STD_LOGIC_VECTOR ( 7 downto 0 ); reg1 : in STD_LOGIC_VECTOR ( 4 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of ip_design_axi_gpio_1_0_slave_attachment : entity is "slave_attachment"; end ip_design_axi_gpio_1_0_slave_attachment; architecture STRUCTURE of ip_design_axi_gpio_1_0_slave_attachment is signal \^dual.allin0_nd_g0.read_reg_gen[0].reg1_reg[27]\ : STD_LOGIC; signal \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^sr\ : STD_LOGIC; signal bus2ip_addr : STD_LOGIC_VECTOR ( 0 to 6 ); signal \bus2ip_addr_i[2]_i_1_n_0\ : STD_LOGIC; signal \bus2ip_addr_i[3]_i_1_n_0\ : STD_LOGIC; signal \bus2ip_addr_i[8]_i_1_n_0\ : STD_LOGIC; signal \bus2ip_addr_i[8]_i_2_n_0\ : STD_LOGIC; signal clear : STD_LOGIC; signal is_read : STD_LOGIC; signal is_read_i_1_n_0 : STD_LOGIC; signal is_write : STD_LOGIC; signal is_write_i_1_n_0 : STD_LOGIC; signal is_write_reg_n_0 : STD_LOGIC; signal plusOp : STD_LOGIC_VECTOR ( 3 downto 0 ); signal rst_i_1_n_0 : STD_LOGIC; signal \^s_axi_arready\ : STD_LOGIC; signal \^s_axi_bvalid\ : STD_LOGIC; signal s_axi_bvalid_i_i_1_n_0 : STD_LOGIC; signal \s_axi_rdata_i[31]_i_1_n_0\ : STD_LOGIC; signal \^s_axi_rvalid\ : STD_LOGIC; signal s_axi_rvalid_i_i_1_n_0 : STD_LOGIC; signal \^s_axi_wready\ : STD_LOGIC; signal start2 : STD_LOGIC; signal start2_i_1_n_0 : STD_LOGIC; signal state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \state1__2\ : STD_LOGIC; signal \state[0]_i_1_n_0\ : STD_LOGIC; signal \state[1]_i_1_n_0\ : STD_LOGIC; signal \state[1]_i_3_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[0]_i_1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[1]_i_1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[2]_i_1\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_2\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \bus2ip_addr_i[3]_i_1\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \bus2ip_addr_i[8]_i_2\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of start2_i_1 : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \state[1]_i_3\ : label is "soft_lutpair9"; begin \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]\ <= \^dual.allin0_nd_g0.read_reg_gen[0].reg1_reg[27]\; SR <= \^sr\; s_axi_arready <= \^s_axi_arready\; s_axi_bvalid <= \^s_axi_bvalid\; s_axi_rvalid <= \^s_axi_rvalid\; s_axi_wready <= \^s_axi_wready\; \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1[27]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"03020002" ) port map ( I0 => Q(4), I1 => bus2ip_addr(0), I2 => bus2ip_addr(5), I3 => bus2ip_addr(6), I4 => gpio_io_t(4), O => Read_Reg_In(0) ); \Dual.ALLIN0_ND_G0.READ_REG_GEN[1].reg1[28]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"03020002" ) port map ( I0 => Q(3), I1 => bus2ip_addr(0), I2 => bus2ip_addr(5), I3 => bus2ip_addr(6), I4 => gpio_io_t(3), O => Read_Reg_In(1) ); \Dual.ALLIN0_ND_G0.READ_REG_GEN[2].reg1[29]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"03020002" ) port map ( I0 => Q(2), I1 => bus2ip_addr(0), I2 => bus2ip_addr(5), I3 => bus2ip_addr(6), I4 => gpio_io_t(2), O => Read_Reg_In(2) ); \Dual.ALLIN0_ND_G0.READ_REG_GEN[3].reg1[30]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"03020002" ) port map ( I0 => Q(1), I1 => bus2ip_addr(0), I2 => bus2ip_addr(5), I3 => bus2ip_addr(6), I4 => gpio_io_t(1), O => Read_Reg_In(3) ); \Dual.ALLIN0_ND_G0.READ_REG_GEN[4].reg1[31]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"03020002" ) port map ( I0 => Q(0), I1 => bus2ip_addr(0), I2 => bus2ip_addr(5), I3 => bus2ip_addr(6), I4 => gpio_io_t(0), O => Read_Reg_In(4) ); \Dual.ALLIN0_ND_G2.READ_REG2_GEN[0].reg3[24]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0000CA00" ) port map ( I0 => \Dual.gpio2_Data_In_reg[0]\(7), I1 => gpio2_io_t(7), I2 => bus2ip_addr(6), I3 => bus2ip_addr(5), I4 => bus2ip_addr(0), O => \Dual.ALLIN0_ND_G2.READ_REG2_GEN[0].reg3_reg[24]\ ); \Dual.ALLIN0_ND_G2.READ_REG2_GEN[1].reg3[25]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0000CA00" ) port map ( I0 => \Dual.gpio2_Data_In_reg[0]\(6), I1 => gpio2_io_t(6), I2 => bus2ip_addr(6), I3 => bus2ip_addr(5), I4 => bus2ip_addr(0), O => \Dual.ALLIN0_ND_G2.READ_REG2_GEN[1].reg3_reg[25]\ ); \Dual.ALLIN0_ND_G2.READ_REG2_GEN[2].reg3[26]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0000CA00" ) port map ( I0 => \Dual.gpio2_Data_In_reg[0]\(5), I1 => gpio2_io_t(5), I2 => bus2ip_addr(6), I3 => bus2ip_addr(5), I4 => bus2ip_addr(0), O => \Dual.ALLIN0_ND_G2.READ_REG2_GEN[2].reg3_reg[26]\ ); \Dual.ALLIN0_ND_G2.READ_REG2_GEN[3].reg3[27]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0000CA00" ) port map ( I0 => \Dual.gpio2_Data_In_reg[0]\(4), I1 => gpio2_io_t(4), I2 => bus2ip_addr(6), I3 => bus2ip_addr(5), I4 => bus2ip_addr(0), O => \Dual.ALLIN0_ND_G2.READ_REG2_GEN[3].reg3_reg[27]\ ); \Dual.ALLIN0_ND_G2.READ_REG2_GEN[4].reg3[28]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0000CA00" ) port map ( I0 => \Dual.gpio2_Data_In_reg[0]\(3), I1 => gpio2_io_t(3), I2 => bus2ip_addr(6), I3 => bus2ip_addr(5), I4 => bus2ip_addr(0), O => \Dual.ALLIN0_ND_G2.READ_REG2_GEN[4].reg3_reg[28]\ ); \Dual.ALLIN0_ND_G2.READ_REG2_GEN[5].reg3[29]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0000CA00" ) port map ( I0 => \Dual.gpio2_Data_In_reg[0]\(2), I1 => gpio2_io_t(2), I2 => bus2ip_addr(6), I3 => bus2ip_addr(5), I4 => bus2ip_addr(0), O => \Dual.ALLIN0_ND_G2.READ_REG2_GEN[5].reg3_reg[29]\ ); \Dual.ALLIN0_ND_G2.READ_REG2_GEN[6].reg3[30]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0000CA00" ) port map ( I0 => \Dual.gpio2_Data_In_reg[0]\(1), I1 => gpio2_io_t(1), I2 => bus2ip_addr(6), I3 => bus2ip_addr(5), I4 => bus2ip_addr(0), O => \Dual.ALLIN0_ND_G2.READ_REG2_GEN[6].reg3_reg[30]\ ); \Dual.ALLIN0_ND_G2.READ_REG2_GEN[7].reg3[31]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"0000CA00" ) port map ( I0 => \Dual.gpio2_Data_In_reg[0]\(0), I1 => gpio2_io_t(0), I2 => bus2ip_addr(6), I3 => bus2ip_addr(5), I4 => bus2ip_addr(0), O => \Dual.ALLIN0_ND_G2.READ_REG2_GEN[7].reg3_reg[31]\ ); \INCLUDE_DPHASE_TIMER.dpto_cnt[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), O => plusOp(0) ); \INCLUDE_DPHASE_TIMER.dpto_cnt[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), O => plusOp(1) ); \INCLUDE_DPHASE_TIMER.dpto_cnt[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2), O => plusOp(2) ); \INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => state(0), I1 => state(1), O => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2), I3 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3), O => plusOp(3) ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(0), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), R => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(1), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), R => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(2), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2), R => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(3), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3), R => clear ); I_DECODER: entity work.ip_design_axi_gpio_1_0_address_decoder port map ( D(7 downto 0) => D(7 downto 0), \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]\ => \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]_0\, \Dual.gpio2_Data_Out_reg[0]\(0) => \Dual.gpio2_Data_Out_reg[0]\(0), \Dual.gpio2_OE_reg[0]\(0) => \Dual.gpio2_OE_reg[0]\(0), \Dual.gpio_OE_reg[0]\(0) => \Dual.gpio_OE_reg[0]\(0), E(0) => E(0), GPIO_xferAck_i => GPIO_xferAck_i, \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(3 downto 0) => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3 downto 0), \MEM_DECODE_GEN[0].cs_out_i_reg[0]_0\ => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\, Q => start2, \bus2ip_addr_i_reg[8]\(2) => bus2ip_addr(0), \bus2ip_addr_i_reg[8]\(1) => bus2ip_addr(5), \bus2ip_addr_i_reg[8]\(0) => bus2ip_addr(6), bus2ip_rnw_i_reg => \^dual.allin0_nd_g0.read_reg_gen[0].reg1_reg[27]\, gpio_xferAck_Reg => gpio_xferAck_Reg, \ip2bus_data_i_D1_reg[0]\(8 downto 0) => \ip2bus_data_i_D1_reg[0]\(8 downto 0), ip2bus_rdack_i_D1 => ip2bus_rdack_i_D1, ip2bus_wrack_i_D1 => ip2bus_wrack_i_D1, is_read => is_read, is_write_reg => is_write_reg_n_0, reg1(4 downto 0) => reg1(4 downto 0), reg3(7 downto 0) => reg3(7 downto 0), s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_arready => \^s_axi_arready\, s_axi_wdata(7 downto 0) => s_axi_wdata(7 downto 0), s_axi_wready => \^s_axi_wready\ ); \bus2ip_addr_i[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => s_axi_araddr(0), I1 => s_axi_awaddr(0), I2 => s_axi_arvalid, O => \bus2ip_addr_i[2]_i_1_n_0\ ); \bus2ip_addr_i[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => s_axi_araddr(1), I1 => s_axi_awaddr(1), I2 => s_axi_arvalid, O => \bus2ip_addr_i[3]_i_1_n_0\ ); \bus2ip_addr_i[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"000000EA" ) port map ( I0 => s_axi_arvalid, I1 => s_axi_awvalid, I2 => s_axi_wvalid, I3 => state(1), I4 => state(0), O => \bus2ip_addr_i[8]_i_1_n_0\ ); \bus2ip_addr_i[8]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => s_axi_araddr(2), I1 => s_axi_awaddr(2), I2 => s_axi_arvalid, O => \bus2ip_addr_i[8]_i_2_n_0\ ); \bus2ip_addr_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => \bus2ip_addr_i[8]_i_1_n_0\, D => \bus2ip_addr_i[2]_i_1_n_0\, Q => bus2ip_addr(6), R => \^sr\ ); \bus2ip_addr_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => \bus2ip_addr_i[8]_i_1_n_0\, D => \bus2ip_addr_i[3]_i_1_n_0\, Q => bus2ip_addr(5), R => \^sr\ ); \bus2ip_addr_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => \bus2ip_addr_i[8]_i_1_n_0\, D => \bus2ip_addr_i[8]_i_2_n_0\, Q => bus2ip_addr(0), R => \^sr\ ); bus2ip_rnw_i_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => \bus2ip_addr_i[8]_i_1_n_0\, D => s_axi_arvalid, Q => \^dual.allin0_nd_g0.read_reg_gen[0].reg1_reg[27]\, R => \^sr\ ); is_read_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"3FFA000A" ) port map ( I0 => s_axi_arvalid, I1 => \state1__2\, I2 => state(0), I3 => state(1), I4 => is_read, O => is_read_i_1_n_0 ); is_read_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => is_read_i_1_n_0, Q => is_read, R => \^sr\ ); is_write_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"0040FFFF00400000" ) port map ( I0 => s_axi_arvalid, I1 => s_axi_awvalid, I2 => s_axi_wvalid, I3 => state(1), I4 => is_write, I5 => is_write_reg_n_0, O => is_write_i_1_n_0 ); is_write_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"F88800000000FFFF" ) port map ( I0 => \^s_axi_rvalid\, I1 => s_axi_rready, I2 => \^s_axi_bvalid\, I3 => s_axi_bready, I4 => state(0), I5 => state(1), O => is_write ); is_write_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => is_write_i_1_n_0, Q => is_write_reg_n_0, R => \^sr\ ); rst_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => s_axi_aresetn, O => rst_i_1_n_0 ); rst_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => rst_i_1_n_0, Q => \^sr\, R => '0' ); s_axi_bvalid_i_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"08FF0808" ) port map ( I0 => \^s_axi_wready\, I1 => state(1), I2 => state(0), I3 => s_axi_bready, I4 => \^s_axi_bvalid\, O => s_axi_bvalid_i_i_1_n_0 ); s_axi_bvalid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_axi_bvalid_i_i_1_n_0, Q => \^s_axi_bvalid\, R => \^sr\ ); \s_axi_rdata_i[31]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => state(0), I1 => state(1), O => \s_axi_rdata_i[31]_i_1_n_0\ ); \s_axi_rdata_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => \ip2bus_data_i_D1_reg[0]_0\(0), Q => s_axi_rdata(0), R => \^sr\ ); \s_axi_rdata_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => \ip2bus_data_i_D1_reg[0]_0\(1), Q => s_axi_rdata(1), R => \^sr\ ); \s_axi_rdata_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => \ip2bus_data_i_D1_reg[0]_0\(2), Q => s_axi_rdata(2), R => \^sr\ ); \s_axi_rdata_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => \ip2bus_data_i_D1_reg[0]_0\(8), Q => s_axi_rdata(8), R => \^sr\ ); \s_axi_rdata_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => \ip2bus_data_i_D1_reg[0]_0\(3), Q => s_axi_rdata(3), R => \^sr\ ); \s_axi_rdata_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => \ip2bus_data_i_D1_reg[0]_0\(4), Q => s_axi_rdata(4), R => \^sr\ ); \s_axi_rdata_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => \ip2bus_data_i_D1_reg[0]_0\(5), Q => s_axi_rdata(5), R => \^sr\ ); \s_axi_rdata_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => \ip2bus_data_i_D1_reg[0]_0\(6), Q => s_axi_rdata(6), R => \^sr\ ); \s_axi_rdata_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => \ip2bus_data_i_D1_reg[0]_0\(7), Q => s_axi_rdata(7), R => \^sr\ ); s_axi_rvalid_i_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"08FF0808" ) port map ( I0 => \^s_axi_arready\, I1 => state(0), I2 => state(1), I3 => s_axi_rready, I4 => \^s_axi_rvalid\, O => s_axi_rvalid_i_i_1_n_0 ); s_axi_rvalid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_axi_rvalid_i_i_1_n_0, Q => \^s_axi_rvalid\, R => \^sr\ ); start2_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"000000F8" ) port map ( I0 => s_axi_awvalid, I1 => s_axi_wvalid, I2 => s_axi_arvalid, I3 => state(1), I4 => state(0), O => start2_i_1_n_0 ); start2_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => start2_i_1_n_0, Q => start2, R => \^sr\ ); \state[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"77FC44FC" ) port map ( I0 => \state1__2\, I1 => state(0), I2 => s_axi_arvalid, I3 => state(1), I4 => \^s_axi_wready\, O => \state[0]_i_1_n_0\ ); \state[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"5FFC50FC" ) port map ( I0 => \state1__2\, I1 => \state[1]_i_3_n_0\, I2 => state(1), I3 => state(0), I4 => \^s_axi_arready\, O => \state[1]_i_1_n_0\ ); \state[1]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => s_axi_bready, I1 => \^s_axi_bvalid\, I2 => s_axi_rready, I3 => \^s_axi_rvalid\, O => \state1__2\ ); \state[1]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => s_axi_wvalid, I1 => s_axi_awvalid, I2 => s_axi_arvalid, O => \state[1]_i_3_n_0\ ); \state_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \state[0]_i_1_n_0\, Q => state(0), R => \^sr\ ); \state_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \state[1]_i_1_n_0\, Q => state(1), R => \^sr\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity ip_design_axi_gpio_1_0_axi_lite_ipif is port ( bus2ip_reset : out STD_LOGIC; bus2ip_rnw : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; bus2ip_cs : out STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 7 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \Dual.gpio_OE_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \Dual.gpio2_Data_Out_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \Dual.gpio2_OE_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); Read_Reg_In : out STD_LOGIC_VECTOR ( 0 to 4 ); \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]\ : out STD_LOGIC; \Dual.ALLIN0_ND_G2.READ_REG2_GEN[7].reg3_reg[31]\ : out STD_LOGIC; \Dual.ALLIN0_ND_G2.READ_REG2_GEN[6].reg3_reg[30]\ : out STD_LOGIC; \Dual.ALLIN0_ND_G2.READ_REG2_GEN[5].reg3_reg[29]\ : out STD_LOGIC; \Dual.ALLIN0_ND_G2.READ_REG2_GEN[4].reg3_reg[28]\ : out STD_LOGIC; \Dual.ALLIN0_ND_G2.READ_REG2_GEN[3].reg3_reg[27]\ : out STD_LOGIC; \Dual.ALLIN0_ND_G2.READ_REG2_GEN[2].reg3_reg[26]\ : out STD_LOGIC; \Dual.ALLIN0_ND_G2.READ_REG2_GEN[1].reg3_reg[25]\ : out STD_LOGIC; \Dual.ALLIN0_ND_G2.READ_REG2_GEN[0].reg3_reg[24]\ : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 8 downto 0 ); \ip2bus_data_i_D1_reg[0]\ : out STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_aclk : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_bready : in STD_LOGIC; ip2bus_rdack_i_D1 : in STD_LOGIC; ip2bus_wrack_i_D1 : in STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); Q : in STD_LOGIC_VECTOR ( 4 downto 0 ); gpio_io_t : in STD_LOGIC_VECTOR ( 4 downto 0 ); gpio_xferAck_Reg : in STD_LOGIC; GPIO_xferAck_i : in STD_LOGIC; \Dual.gpio2_Data_In_reg[0]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); gpio2_io_t : in STD_LOGIC_VECTOR ( 7 downto 0 ); \ip2bus_data_i_D1_reg[0]_0\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); reg3 : in STD_LOGIC_VECTOR ( 7 downto 0 ); reg1 : in STD_LOGIC_VECTOR ( 4 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of ip_design_axi_gpio_1_0_axi_lite_ipif : entity is "axi_lite_ipif"; end ip_design_axi_gpio_1_0_axi_lite_ipif; architecture STRUCTURE of ip_design_axi_gpio_1_0_axi_lite_ipif is begin I_SLAVE_ATTACHMENT: entity work.ip_design_axi_gpio_1_0_slave_attachment port map ( D(7 downto 0) => D(7 downto 0), \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]\ => bus2ip_rnw, \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]_0\ => \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]\, \Dual.ALLIN0_ND_G2.READ_REG2_GEN[0].reg3_reg[24]\ => \Dual.ALLIN0_ND_G2.READ_REG2_GEN[0].reg3_reg[24]\, \Dual.ALLIN0_ND_G2.READ_REG2_GEN[1].reg3_reg[25]\ => \Dual.ALLIN0_ND_G2.READ_REG2_GEN[1].reg3_reg[25]\, \Dual.ALLIN0_ND_G2.READ_REG2_GEN[2].reg3_reg[26]\ => \Dual.ALLIN0_ND_G2.READ_REG2_GEN[2].reg3_reg[26]\, \Dual.ALLIN0_ND_G2.READ_REG2_GEN[3].reg3_reg[27]\ => \Dual.ALLIN0_ND_G2.READ_REG2_GEN[3].reg3_reg[27]\, \Dual.ALLIN0_ND_G2.READ_REG2_GEN[4].reg3_reg[28]\ => \Dual.ALLIN0_ND_G2.READ_REG2_GEN[4].reg3_reg[28]\, \Dual.ALLIN0_ND_G2.READ_REG2_GEN[5].reg3_reg[29]\ => \Dual.ALLIN0_ND_G2.READ_REG2_GEN[5].reg3_reg[29]\, \Dual.ALLIN0_ND_G2.READ_REG2_GEN[6].reg3_reg[30]\ => \Dual.ALLIN0_ND_G2.READ_REG2_GEN[6].reg3_reg[30]\, \Dual.ALLIN0_ND_G2.READ_REG2_GEN[7].reg3_reg[31]\ => \Dual.ALLIN0_ND_G2.READ_REG2_GEN[7].reg3_reg[31]\, \Dual.gpio2_Data_In_reg[0]\(7 downto 0) => \Dual.gpio2_Data_In_reg[0]\(7 downto 0), \Dual.gpio2_Data_Out_reg[0]\(0) => \Dual.gpio2_Data_Out_reg[0]\(0), \Dual.gpio2_OE_reg[0]\(0) => \Dual.gpio2_OE_reg[0]\(0), \Dual.gpio_OE_reg[0]\(0) => \Dual.gpio_OE_reg[0]\(0), E(0) => E(0), GPIO_xferAck_i => GPIO_xferAck_i, \MEM_DECODE_GEN[0].cs_out_i_reg[0]\ => bus2ip_cs, Q(4 downto 0) => Q(4 downto 0), Read_Reg_In(0 to 4) => Read_Reg_In(0 to 4), SR => bus2ip_reset, gpio2_io_t(7 downto 0) => gpio2_io_t(7 downto 0), gpio_io_t(4 downto 0) => gpio_io_t(4 downto 0), gpio_xferAck_Reg => gpio_xferAck_Reg, \ip2bus_data_i_D1_reg[0]\(8 downto 0) => \ip2bus_data_i_D1_reg[0]\(8 downto 0), \ip2bus_data_i_D1_reg[0]_0\(8 downto 0) => \ip2bus_data_i_D1_reg[0]_0\(8 downto 0), ip2bus_rdack_i_D1 => ip2bus_rdack_i_D1, ip2bus_wrack_i_D1 => ip2bus_wrack_i_D1, reg1(4 downto 0) => reg1(4 downto 0), reg3(7 downto 0) => reg3(7 downto 0), s_axi_aclk => s_axi_aclk, s_axi_araddr(2 downto 0) => s_axi_araddr(2 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(2 downto 0) => s_axi_awaddr(2 downto 0), s_axi_awvalid => s_axi_awvalid, s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, s_axi_rdata(8 downto 0) => s_axi_rdata(8 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, s_axi_wdata(7 downto 0) => s_axi_wdata(7 downto 0), s_axi_wready => s_axi_wready, s_axi_wvalid => s_axi_wvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity ip_design_axi_gpio_1_0_axi_gpio is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; ip2intc_irpt : out STD_LOGIC; gpio_io_i : in STD_LOGIC_VECTOR ( 4 downto 0 ); gpio_io_o : out STD_LOGIC_VECTOR ( 4 downto 0 ); gpio_io_t : out STD_LOGIC_VECTOR ( 4 downto 0 ); gpio2_io_i : in STD_LOGIC_VECTOR ( 7 downto 0 ); gpio2_io_o : out STD_LOGIC_VECTOR ( 7 downto 0 ); gpio2_io_t : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute C_ALL_INPUTS : integer; attribute C_ALL_INPUTS of ip_design_axi_gpio_1_0_axi_gpio : entity is 1; attribute C_ALL_INPUTS_2 : integer; attribute C_ALL_INPUTS_2 of ip_design_axi_gpio_1_0_axi_gpio : entity is 1; attribute C_ALL_OUTPUTS : integer; attribute C_ALL_OUTPUTS of ip_design_axi_gpio_1_0_axi_gpio : entity is 0; attribute C_ALL_OUTPUTS_2 : integer; attribute C_ALL_OUTPUTS_2 of ip_design_axi_gpio_1_0_axi_gpio : entity is 0; attribute C_DOUT_DEFAULT : integer; attribute C_DOUT_DEFAULT of ip_design_axi_gpio_1_0_axi_gpio : entity is 0; attribute C_DOUT_DEFAULT_2 : integer; attribute C_DOUT_DEFAULT_2 of ip_design_axi_gpio_1_0_axi_gpio : entity is 0; attribute C_FAMILY : string; attribute C_FAMILY of ip_design_axi_gpio_1_0_axi_gpio : entity is "zynq"; attribute C_GPIO2_WIDTH : integer; attribute C_GPIO2_WIDTH of ip_design_axi_gpio_1_0_axi_gpio : entity is 8; attribute C_GPIO_WIDTH : integer; attribute C_GPIO_WIDTH of ip_design_axi_gpio_1_0_axi_gpio : entity is 5; attribute C_INTERRUPT_PRESENT : integer; attribute C_INTERRUPT_PRESENT of ip_design_axi_gpio_1_0_axi_gpio : entity is 0; attribute C_IS_DUAL : integer; attribute C_IS_DUAL of ip_design_axi_gpio_1_0_axi_gpio : entity is 1; attribute C_S_AXI_ADDR_WIDTH : integer; attribute C_S_AXI_ADDR_WIDTH of ip_design_axi_gpio_1_0_axi_gpio : entity is 9; attribute C_S_AXI_DATA_WIDTH : integer; attribute C_S_AXI_DATA_WIDTH of ip_design_axi_gpio_1_0_axi_gpio : entity is 32; attribute C_TRI_DEFAULT : integer; attribute C_TRI_DEFAULT of ip_design_axi_gpio_1_0_axi_gpio : entity is -1; attribute C_TRI_DEFAULT_2 : integer; attribute C_TRI_DEFAULT_2 of ip_design_axi_gpio_1_0_axi_gpio : entity is -1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of ip_design_axi_gpio_1_0_axi_gpio : entity is "axi_gpio"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of ip_design_axi_gpio_1_0_axi_gpio : entity is "yes"; attribute ip_group : string; attribute ip_group of ip_design_axi_gpio_1_0_axi_gpio : entity is "LOGICORE"; end ip_design_axi_gpio_1_0_axi_gpio; architecture STRUCTURE of ip_design_axi_gpio_1_0_axi_gpio is signal \<const0>\ : STD_LOGIC; signal AXI_LITE_IPIF_I_n_12 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_13 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_14 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_15 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_16 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_17 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_18 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_24 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_25 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_26 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_27 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_28 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_29 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_30 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_31 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_32 : STD_LOGIC; signal GPIO_xferAck_i : STD_LOGIC; signal Read_Reg_In : STD_LOGIC_VECTOR ( 0 to 4 ); signal bus2ip_cs : STD_LOGIC; signal bus2ip_reset : STD_LOGIC; signal bus2ip_rnw : STD_LOGIC; signal gpio2_Data_In : STD_LOGIC_VECTOR ( 0 to 7 ); signal \^gpio2_io_t\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal gpio_Data_In : STD_LOGIC_VECTOR ( 0 to 4 ); signal gpio_core_1_n_16 : STD_LOGIC; signal \^gpio_io_t\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal gpio_xferAck_Reg : STD_LOGIC; signal ip2bus_data : STD_LOGIC_VECTOR ( 0 to 31 ); signal ip2bus_data_i_D1 : STD_LOGIC_VECTOR ( 0 to 31 ); signal ip2bus_rdack_i : STD_LOGIC; signal ip2bus_rdack_i_D1 : STD_LOGIC; signal ip2bus_wrack_i_D1 : STD_LOGIC; signal p_0_out : STD_LOGIC_VECTOR ( 4 downto 0 ); signal reg1 : STD_LOGIC_VECTOR ( 27 to 31 ); signal reg3 : STD_LOGIC_VECTOR ( 24 to 31 ); signal \^s_axi_rdata\ : STD_LOGIC_VECTOR ( 30 downto 0 ); signal \^s_axi_wready\ : STD_LOGIC; attribute sigis : string; attribute sigis of ip2intc_irpt : signal is "INTR_LEVEL_HIGH"; attribute max_fanout : string; attribute max_fanout of s_axi_aclk : signal is "10000"; attribute sigis of s_axi_aclk : signal is "Clk"; attribute max_fanout of s_axi_aresetn : signal is "10000"; attribute sigis of s_axi_aresetn : signal is "Rst"; begin gpio2_io_t(7 downto 0) <= \^gpio2_io_t\(7 downto 0); gpio_io_t(4 downto 0) <= \^gpio_io_t\(4 downto 0); ip2intc_irpt <= \<const0>\; s_axi_awready <= \^s_axi_wready\; s_axi_bresp(1) <= \<const0>\; s_axi_bresp(0) <= \<const0>\; s_axi_rdata(31) <= \^s_axi_rdata\(30); s_axi_rdata(30) <= \^s_axi_rdata\(30); s_axi_rdata(29) <= \^s_axi_rdata\(30); s_axi_rdata(28) <= \^s_axi_rdata\(30); s_axi_rdata(27) <= \^s_axi_rdata\(30); s_axi_rdata(26) <= \^s_axi_rdata\(30); s_axi_rdata(25) <= \^s_axi_rdata\(30); s_axi_rdata(24) <= \^s_axi_rdata\(30); s_axi_rdata(23) <= \^s_axi_rdata\(30); s_axi_rdata(22) <= \^s_axi_rdata\(30); s_axi_rdata(21) <= \^s_axi_rdata\(30); s_axi_rdata(20) <= \^s_axi_rdata\(30); s_axi_rdata(19) <= \^s_axi_rdata\(30); s_axi_rdata(18) <= \^s_axi_rdata\(30); s_axi_rdata(17) <= \^s_axi_rdata\(30); s_axi_rdata(16) <= \^s_axi_rdata\(30); s_axi_rdata(15) <= \^s_axi_rdata\(30); s_axi_rdata(14) <= \^s_axi_rdata\(30); s_axi_rdata(13) <= \^s_axi_rdata\(30); s_axi_rdata(12) <= \^s_axi_rdata\(30); s_axi_rdata(11) <= \^s_axi_rdata\(30); s_axi_rdata(10) <= \^s_axi_rdata\(30); s_axi_rdata(9) <= \^s_axi_rdata\(30); s_axi_rdata(8) <= \^s_axi_rdata\(30); s_axi_rdata(7 downto 0) <= \^s_axi_rdata\(7 downto 0); s_axi_rresp(1) <= \<const0>\; s_axi_rresp(0) <= \<const0>\; s_axi_wready <= \^s_axi_wready\; AXI_LITE_IPIF_I: entity work.ip_design_axi_gpio_1_0_axi_lite_ipif port map ( D(7 downto 3) => p_0_out(4 downto 0), D(2) => AXI_LITE_IPIF_I_n_12, D(1) => AXI_LITE_IPIF_I_n_13, D(0) => AXI_LITE_IPIF_I_n_14, \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]\ => AXI_LITE_IPIF_I_n_24, \Dual.ALLIN0_ND_G2.READ_REG2_GEN[0].reg3_reg[24]\ => AXI_LITE_IPIF_I_n_32, \Dual.ALLIN0_ND_G2.READ_REG2_GEN[1].reg3_reg[25]\ => AXI_LITE_IPIF_I_n_31, \Dual.ALLIN0_ND_G2.READ_REG2_GEN[2].reg3_reg[26]\ => AXI_LITE_IPIF_I_n_30, \Dual.ALLIN0_ND_G2.READ_REG2_GEN[3].reg3_reg[27]\ => AXI_LITE_IPIF_I_n_29, \Dual.ALLIN0_ND_G2.READ_REG2_GEN[4].reg3_reg[28]\ => AXI_LITE_IPIF_I_n_28, \Dual.ALLIN0_ND_G2.READ_REG2_GEN[5].reg3_reg[29]\ => AXI_LITE_IPIF_I_n_27, \Dual.ALLIN0_ND_G2.READ_REG2_GEN[6].reg3_reg[30]\ => AXI_LITE_IPIF_I_n_26, \Dual.ALLIN0_ND_G2.READ_REG2_GEN[7].reg3_reg[31]\ => AXI_LITE_IPIF_I_n_25, \Dual.gpio2_Data_In_reg[0]\(7) => gpio2_Data_In(0), \Dual.gpio2_Data_In_reg[0]\(6) => gpio2_Data_In(1), \Dual.gpio2_Data_In_reg[0]\(5) => gpio2_Data_In(2), \Dual.gpio2_Data_In_reg[0]\(4) => gpio2_Data_In(3), \Dual.gpio2_Data_In_reg[0]\(3) => gpio2_Data_In(4), \Dual.gpio2_Data_In_reg[0]\(2) => gpio2_Data_In(5), \Dual.gpio2_Data_In_reg[0]\(1) => gpio2_Data_In(6), \Dual.gpio2_Data_In_reg[0]\(0) => gpio2_Data_In(7), \Dual.gpio2_Data_Out_reg[0]\(0) => AXI_LITE_IPIF_I_n_17, \Dual.gpio2_OE_reg[0]\(0) => AXI_LITE_IPIF_I_n_18, \Dual.gpio_OE_reg[0]\(0) => AXI_LITE_IPIF_I_n_16, E(0) => AXI_LITE_IPIF_I_n_15, GPIO_xferAck_i => GPIO_xferAck_i, Q(4) => gpio_Data_In(0), Q(3) => gpio_Data_In(1), Q(2) => gpio_Data_In(2), Q(1) => gpio_Data_In(3), Q(0) => gpio_Data_In(4), Read_Reg_In(0 to 4) => Read_Reg_In(0 to 4), bus2ip_cs => bus2ip_cs, bus2ip_reset => bus2ip_reset, bus2ip_rnw => bus2ip_rnw, gpio2_io_t(7 downto 0) => \^gpio2_io_t\(7 downto 0), gpio_io_t(4 downto 0) => \^gpio_io_t\(4 downto 0), gpio_xferAck_Reg => gpio_xferAck_Reg, \ip2bus_data_i_D1_reg[0]\(8) => ip2bus_data(0), \ip2bus_data_i_D1_reg[0]\(7) => ip2bus_data(24), \ip2bus_data_i_D1_reg[0]\(6) => ip2bus_data(25), \ip2bus_data_i_D1_reg[0]\(5) => ip2bus_data(26), \ip2bus_data_i_D1_reg[0]\(4) => ip2bus_data(27), \ip2bus_data_i_D1_reg[0]\(3) => ip2bus_data(28), \ip2bus_data_i_D1_reg[0]\(2) => ip2bus_data(29), \ip2bus_data_i_D1_reg[0]\(1) => ip2bus_data(30), \ip2bus_data_i_D1_reg[0]\(0) => ip2bus_data(31), \ip2bus_data_i_D1_reg[0]_0\(8) => ip2bus_data_i_D1(0), \ip2bus_data_i_D1_reg[0]_0\(7) => ip2bus_data_i_D1(24), \ip2bus_data_i_D1_reg[0]_0\(6) => ip2bus_data_i_D1(25), \ip2bus_data_i_D1_reg[0]_0\(5) => ip2bus_data_i_D1(26), \ip2bus_data_i_D1_reg[0]_0\(4) => ip2bus_data_i_D1(27), \ip2bus_data_i_D1_reg[0]_0\(3) => ip2bus_data_i_D1(28), \ip2bus_data_i_D1_reg[0]_0\(2) => ip2bus_data_i_D1(29), \ip2bus_data_i_D1_reg[0]_0\(1) => ip2bus_data_i_D1(30), \ip2bus_data_i_D1_reg[0]_0\(0) => ip2bus_data_i_D1(31), ip2bus_rdack_i_D1 => ip2bus_rdack_i_D1, ip2bus_wrack_i_D1 => ip2bus_wrack_i_D1, reg1(4) => reg1(27), reg1(3) => reg1(28), reg1(2) => reg1(29), reg1(1) => reg1(30), reg1(0) => reg1(31), reg3(7) => reg3(24), reg3(6) => reg3(25), reg3(5) => reg3(26), reg3(4) => reg3(27), reg3(3) => reg3(28), reg3(2) => reg3(29), reg3(1) => reg3(30), reg3(0) => reg3(31), s_axi_aclk => s_axi_aclk, s_axi_araddr(2) => s_axi_araddr(8), s_axi_araddr(1 downto 0) => s_axi_araddr(3 downto 2), s_axi_aresetn => s_axi_aresetn, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(2) => s_axi_awaddr(8), s_axi_awaddr(1 downto 0) => s_axi_awaddr(3 downto 2), s_axi_awvalid => s_axi_awvalid, s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, s_axi_rdata(8) => \^s_axi_rdata\(30), s_axi_rdata(7 downto 0) => \^s_axi_rdata\(7 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, s_axi_wdata(7 downto 0) => s_axi_wdata(7 downto 0), s_axi_wready => \^s_axi_wready\, s_axi_wvalid => s_axi_wvalid ); GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); gpio_core_1: entity work.ip_design_axi_gpio_1_0_GPIO_Core port map ( D(7 downto 3) => p_0_out(4 downto 0), D(2) => AXI_LITE_IPIF_I_n_12, D(1) => AXI_LITE_IPIF_I_n_13, D(0) => AXI_LITE_IPIF_I_n_14, \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]_0\(4) => gpio_Data_In(0), \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]_0\(3) => gpio_Data_In(1), \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]_0\(2) => gpio_Data_In(2), \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]_0\(1) => gpio_Data_In(3), \Dual.ALLIN0_ND_G0.READ_REG_GEN[0].reg1_reg[27]_0\(0) => gpio_Data_In(4), \Dual.gpio2_Data_In_reg[0]_0\ => AXI_LITE_IPIF_I_n_32, \Dual.gpio2_Data_In_reg[1]_0\ => AXI_LITE_IPIF_I_n_31, \Dual.gpio2_Data_In_reg[2]_0\ => AXI_LITE_IPIF_I_n_30, \Dual.gpio2_Data_In_reg[3]_0\ => AXI_LITE_IPIF_I_n_29, \Dual.gpio2_Data_In_reg[4]_0\ => AXI_LITE_IPIF_I_n_28, \Dual.gpio2_Data_In_reg[5]_0\ => AXI_LITE_IPIF_I_n_27, \Dual.gpio2_Data_In_reg[6]_0\ => AXI_LITE_IPIF_I_n_26, \Dual.gpio2_Data_In_reg[7]_0\ => AXI_LITE_IPIF_I_n_25, E(0) => AXI_LITE_IPIF_I_n_15, GPIO_xferAck_i => GPIO_xferAck_i, Q(7) => gpio2_Data_In(0), Q(6) => gpio2_Data_In(1), Q(5) => gpio2_Data_In(2), Q(4) => gpio2_Data_In(3), Q(3) => gpio2_Data_In(4), Q(2) => gpio2_Data_In(5), Q(1) => gpio2_Data_In(6), Q(0) => gpio2_Data_In(7), Read_Reg_In(0 to 4) => Read_Reg_In(0 to 4), SS(0) => bus2ip_reset, bus2ip_cs => bus2ip_cs, bus2ip_rnw => bus2ip_rnw, bus2ip_rnw_i_reg => AXI_LITE_IPIF_I_n_24, bus2ip_rnw_i_reg_0(0) => AXI_LITE_IPIF_I_n_16, bus2ip_rnw_i_reg_1(0) => AXI_LITE_IPIF_I_n_17, bus2ip_rnw_i_reg_2(0) => AXI_LITE_IPIF_I_n_18, gpio2_io_i(7 downto 0) => gpio2_io_i(7 downto 0), gpio2_io_o(7 downto 0) => gpio2_io_o(7 downto 0), gpio2_io_t(7 downto 0) => \^gpio2_io_t\(7 downto 0), gpio_io_i(4 downto 0) => gpio_io_i(4 downto 0), gpio_io_o(4 downto 0) => gpio_io_o(4 downto 0), gpio_io_t(4 downto 0) => \^gpio_io_t\(4 downto 0), gpio_xferAck_Reg => gpio_xferAck_Reg, ip2bus_rdack_i => ip2bus_rdack_i, ip2bus_wrack_i_D1_reg => gpio_core_1_n_16, reg1(4) => reg1(27), reg1(3) => reg1(28), reg1(2) => reg1(29), reg1(1) => reg1(30), reg1(0) => reg1(31), reg3(7) => reg3(24), reg3(6) => reg3(25), reg3(5) => reg3(26), reg3(4) => reg3(27), reg3(3) => reg3(28), reg3(2) => reg3(29), reg3(1) => reg3(30), reg3(0) => reg3(31), s_axi_aclk => s_axi_aclk ); \ip2bus_data_i_D1_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_data(0), Q => ip2bus_data_i_D1(0), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[24]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_data(24), Q => ip2bus_data_i_D1(24), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[25]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_data(25), Q => ip2bus_data_i_D1(25), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[26]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_data(26), Q => ip2bus_data_i_D1(26), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[27]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_data(27), Q => ip2bus_data_i_D1(27), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[28]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_data(28), Q => ip2bus_data_i_D1(28), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[29]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_data(29), Q => ip2bus_data_i_D1(29), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[30]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_data(30), Q => ip2bus_data_i_D1(30), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[31]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_data(31), Q => ip2bus_data_i_D1(31), R => bus2ip_reset ); ip2bus_rdack_i_D1_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_rdack_i, Q => ip2bus_rdack_i_D1, R => bus2ip_reset ); ip2bus_wrack_i_D1_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_core_1_n_16, Q => ip2bus_wrack_i_D1, R => bus2ip_reset ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity ip_design_axi_gpio_1_0 is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; gpio_io_i : in STD_LOGIC_VECTOR ( 4 downto 0 ); gpio2_io_i : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of ip_design_axi_gpio_1_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of ip_design_axi_gpio_1_0 : entity is "ip_design_axi_gpio_1_0,axi_gpio,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of ip_design_axi_gpio_1_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of ip_design_axi_gpio_1_0 : entity is "axi_gpio,Vivado 2017.3"; end ip_design_axi_gpio_1_0; architecture STRUCTURE of ip_design_axi_gpio_1_0 is signal NLW_U0_ip2intc_irpt_UNCONNECTED : STD_LOGIC; signal NLW_U0_gpio2_io_o_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_gpio2_io_t_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_gpio_io_o_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_gpio_io_t_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); attribute C_ALL_INPUTS : integer; attribute C_ALL_INPUTS of U0 : label is 1; attribute C_ALL_INPUTS_2 : integer; attribute C_ALL_INPUTS_2 of U0 : label is 1; attribute C_ALL_OUTPUTS : integer; attribute C_ALL_OUTPUTS of U0 : label is 0; attribute C_ALL_OUTPUTS_2 : integer; attribute C_ALL_OUTPUTS_2 of U0 : label is 0; attribute C_DOUT_DEFAULT : integer; attribute C_DOUT_DEFAULT of U0 : label is 0; attribute C_DOUT_DEFAULT_2 : integer; attribute C_DOUT_DEFAULT_2 of U0 : label is 0; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "zynq"; attribute C_GPIO2_WIDTH : integer; attribute C_GPIO2_WIDTH of U0 : label is 8; attribute C_GPIO_WIDTH : integer; attribute C_GPIO_WIDTH of U0 : label is 5; attribute C_INTERRUPT_PRESENT : integer; attribute C_INTERRUPT_PRESENT of U0 : label is 0; attribute C_IS_DUAL : integer; attribute C_IS_DUAL of U0 : label is 1; attribute C_S_AXI_ADDR_WIDTH : integer; attribute C_S_AXI_ADDR_WIDTH of U0 : label is 9; attribute C_S_AXI_DATA_WIDTH : integer; attribute C_S_AXI_DATA_WIDTH of U0 : label is 32; attribute C_TRI_DEFAULT : integer; attribute C_TRI_DEFAULT of U0 : label is -1; attribute C_TRI_DEFAULT_2 : integer; attribute C_TRI_DEFAULT_2 of U0 : label is -1; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; attribute ip_group : string; attribute ip_group of U0 : label is "LOGICORE"; attribute x_interface_info : string; attribute x_interface_info of s_axi_aclk : signal is "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK"; attribute x_interface_parameter : string; attribute x_interface_parameter of s_axi_aclk : signal is "XIL_INTERFACENAME S_AXI_ACLK, ASSOCIATED_BUSIF S_AXI, ASSOCIATED_RESET s_axi_aresetn, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN ip_design_processing_system7_0_0_FCLK_CLK0"; attribute x_interface_info of s_axi_aresetn : signal is "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST"; attribute x_interface_parameter of s_axi_aresetn : signal is "XIL_INTERFACENAME S_AXI_ARESETN, POLARITY ACTIVE_LOW"; attribute x_interface_info of s_axi_arready : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; attribute x_interface_info of s_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; attribute x_interface_info of s_axi_awready : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; attribute x_interface_info of s_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; attribute x_interface_info of s_axi_bready : signal is "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; attribute x_interface_info of s_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; attribute x_interface_info of s_axi_rready : signal is "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; attribute x_interface_info of s_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; attribute x_interface_info of s_axi_wready : signal is "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; attribute x_interface_info of s_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; attribute x_interface_info of gpio2_io_i : signal is "xilinx.com:interface:gpio:1.0 GPIO2 TRI_I"; attribute x_interface_parameter of gpio2_io_i : signal is "XIL_INTERFACENAME GPIO2, BOARD.ASSOCIATED_PARAM GPIO2_BOARD_INTERFACE"; attribute x_interface_info of gpio_io_i : signal is "xilinx.com:interface:gpio:1.0 GPIO TRI_I"; attribute x_interface_parameter of gpio_io_i : signal is "XIL_INTERFACENAME GPIO, BOARD.ASSOCIATED_PARAM GPIO_BOARD_INTERFACE"; attribute x_interface_info of s_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; attribute x_interface_info of s_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; attribute x_interface_parameter of s_axi_awaddr : signal is "XIL_INTERFACENAME S_AXI, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 100000000, ID_WIDTH 0, ADDR_WIDTH 9, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 0, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 2, NUM_WRITE_OUTSTANDING 2, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN ip_design_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0"; attribute x_interface_info of s_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; attribute x_interface_info of s_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; attribute x_interface_info of s_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; attribute x_interface_info of s_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; attribute x_interface_info of s_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; begin U0: entity work.ip_design_axi_gpio_1_0_axi_gpio port map ( gpio2_io_i(7 downto 0) => gpio2_io_i(7 downto 0), gpio2_io_o(7 downto 0) => NLW_U0_gpio2_io_o_UNCONNECTED(7 downto 0), gpio2_io_t(7 downto 0) => NLW_U0_gpio2_io_t_UNCONNECTED(7 downto 0), gpio_io_i(4 downto 0) => gpio_io_i(4 downto 0), gpio_io_o(4 downto 0) => NLW_U0_gpio_io_o_UNCONNECTED(4 downto 0), gpio_io_t(4 downto 0) => NLW_U0_gpio_io_t_UNCONNECTED(4 downto 0), ip2intc_irpt => NLW_U0_ip2intc_irpt_UNCONNECTED, s_axi_aclk => s_axi_aclk, s_axi_araddr(8 downto 0) => s_axi_araddr(8 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(8 downto 0) => s_axi_awaddr(8 downto 0), s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid, s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wvalid => s_axi_wvalid ); end STRUCTURE;
mit
2faa5c52929560628199d06c26154497
0.597436
2.545689
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/led_controller/led_controller.srcs/sources_1/bd/led_controller_design/ip/led_controller_design_processing_system7_0_0/led_controller_design_processing_system7_0_0_sim_netlist.vhdl
1
206,658
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.3 (lin64) Build 2018833 Wed Oct 4 19:58:07 MDT 2017 -- Date : Tue Oct 17 15:19:48 2017 -- Host : TacitMonolith running 64-bit Ubuntu 16.04.3 LTS -- Command : write_vhdl -force -mode funcsim -- /home/mark/Documents/Repos/FPGA_Sandbox/RecComp/Lab3/led_controller/led_controller.srcs/sources_1/bd/led_controller_design/ip/led_controller_design_processing_system7_0_0/led_controller_design_processing_system7_0_0_sim_netlist.vhdl -- Design : led_controller_design_processing_system7_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 is port ( CAN0_PHY_TX : out STD_LOGIC; CAN0_PHY_RX : in STD_LOGIC; CAN1_PHY_TX : out STD_LOGIC; CAN1_PHY_RX : in STD_LOGIC; ENET0_GMII_TX_EN : out STD_LOGIC; ENET0_GMII_TX_ER : out STD_LOGIC; ENET0_MDIO_MDC : out STD_LOGIC; ENET0_MDIO_O : out STD_LOGIC; ENET0_MDIO_T : out STD_LOGIC; ENET0_PTP_DELAY_REQ_RX : out STD_LOGIC; ENET0_PTP_DELAY_REQ_TX : out STD_LOGIC; ENET0_PTP_PDELAY_REQ_RX : out STD_LOGIC; ENET0_PTP_PDELAY_REQ_TX : out STD_LOGIC; ENET0_PTP_PDELAY_RESP_RX : out STD_LOGIC; ENET0_PTP_PDELAY_RESP_TX : out STD_LOGIC; ENET0_PTP_SYNC_FRAME_RX : out STD_LOGIC; ENET0_PTP_SYNC_FRAME_TX : out STD_LOGIC; ENET0_SOF_RX : out STD_LOGIC; ENET0_SOF_TX : out STD_LOGIC; ENET0_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 ); ENET0_GMII_COL : in STD_LOGIC; ENET0_GMII_CRS : in STD_LOGIC; ENET0_GMII_RX_CLK : in STD_LOGIC; ENET0_GMII_RX_DV : in STD_LOGIC; ENET0_GMII_RX_ER : in STD_LOGIC; ENET0_GMII_TX_CLK : in STD_LOGIC; ENET0_MDIO_I : in STD_LOGIC; ENET0_EXT_INTIN : in STD_LOGIC; ENET0_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 ); ENET1_GMII_TX_EN : out STD_LOGIC; ENET1_GMII_TX_ER : out STD_LOGIC; ENET1_MDIO_MDC : out STD_LOGIC; ENET1_MDIO_O : out STD_LOGIC; ENET1_MDIO_T : out STD_LOGIC; ENET1_PTP_DELAY_REQ_RX : out STD_LOGIC; ENET1_PTP_DELAY_REQ_TX : out STD_LOGIC; ENET1_PTP_PDELAY_REQ_RX : out STD_LOGIC; ENET1_PTP_PDELAY_REQ_TX : out STD_LOGIC; ENET1_PTP_PDELAY_RESP_RX : out STD_LOGIC; ENET1_PTP_PDELAY_RESP_TX : out STD_LOGIC; ENET1_PTP_SYNC_FRAME_RX : out STD_LOGIC; ENET1_PTP_SYNC_FRAME_TX : out STD_LOGIC; ENET1_SOF_RX : out STD_LOGIC; ENET1_SOF_TX : out STD_LOGIC; ENET1_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 ); ENET1_GMII_COL : in STD_LOGIC; ENET1_GMII_CRS : in STD_LOGIC; ENET1_GMII_RX_CLK : in STD_LOGIC; ENET1_GMII_RX_DV : in STD_LOGIC; ENET1_GMII_RX_ER : in STD_LOGIC; ENET1_GMII_TX_CLK : in STD_LOGIC; ENET1_MDIO_I : in STD_LOGIC; ENET1_EXT_INTIN : in STD_LOGIC; ENET1_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 ); GPIO_I : in STD_LOGIC_VECTOR ( 63 downto 0 ); GPIO_O : out STD_LOGIC_VECTOR ( 63 downto 0 ); GPIO_T : out STD_LOGIC_VECTOR ( 63 downto 0 ); I2C0_SDA_I : in STD_LOGIC; I2C0_SDA_O : out STD_LOGIC; I2C0_SDA_T : out STD_LOGIC; I2C0_SCL_I : in STD_LOGIC; I2C0_SCL_O : out STD_LOGIC; I2C0_SCL_T : out STD_LOGIC; I2C1_SDA_I : in STD_LOGIC; I2C1_SDA_O : out STD_LOGIC; I2C1_SDA_T : out STD_LOGIC; I2C1_SCL_I : in STD_LOGIC; I2C1_SCL_O : out STD_LOGIC; I2C1_SCL_T : out STD_LOGIC; PJTAG_TCK : in STD_LOGIC; PJTAG_TMS : in STD_LOGIC; PJTAG_TDI : in STD_LOGIC; PJTAG_TDO : out STD_LOGIC; SDIO0_CLK : out STD_LOGIC; SDIO0_CLK_FB : in STD_LOGIC; SDIO0_CMD_O : out STD_LOGIC; SDIO0_CMD_I : in STD_LOGIC; SDIO0_CMD_T : out STD_LOGIC; SDIO0_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO0_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO0_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO0_LED : out STD_LOGIC; SDIO0_CDN : in STD_LOGIC; SDIO0_WP : in STD_LOGIC; SDIO0_BUSPOW : out STD_LOGIC; SDIO0_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 ); SDIO1_CLK : out STD_LOGIC; SDIO1_CLK_FB : in STD_LOGIC; SDIO1_CMD_O : out STD_LOGIC; SDIO1_CMD_I : in STD_LOGIC; SDIO1_CMD_T : out STD_LOGIC; SDIO1_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO1_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO1_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO1_LED : out STD_LOGIC; SDIO1_CDN : in STD_LOGIC; SDIO1_WP : in STD_LOGIC; SDIO1_BUSPOW : out STD_LOGIC; SDIO1_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 ); SPI0_SCLK_I : in STD_LOGIC; SPI0_SCLK_O : out STD_LOGIC; SPI0_SCLK_T : out STD_LOGIC; SPI0_MOSI_I : in STD_LOGIC; SPI0_MOSI_O : out STD_LOGIC; SPI0_MOSI_T : out STD_LOGIC; SPI0_MISO_I : in STD_LOGIC; SPI0_MISO_O : out STD_LOGIC; SPI0_MISO_T : out STD_LOGIC; SPI0_SS_I : in STD_LOGIC; SPI0_SS_O : out STD_LOGIC; SPI0_SS1_O : out STD_LOGIC; SPI0_SS2_O : out STD_LOGIC; SPI0_SS_T : out STD_LOGIC; SPI1_SCLK_I : in STD_LOGIC; SPI1_SCLK_O : out STD_LOGIC; SPI1_SCLK_T : out STD_LOGIC; SPI1_MOSI_I : in STD_LOGIC; SPI1_MOSI_O : out STD_LOGIC; SPI1_MOSI_T : out STD_LOGIC; SPI1_MISO_I : in STD_LOGIC; SPI1_MISO_O : out STD_LOGIC; SPI1_MISO_T : out STD_LOGIC; SPI1_SS_I : in STD_LOGIC; SPI1_SS_O : out STD_LOGIC; SPI1_SS1_O : out STD_LOGIC; SPI1_SS2_O : out STD_LOGIC; SPI1_SS_T : out STD_LOGIC; UART0_DTRN : out STD_LOGIC; UART0_RTSN : out STD_LOGIC; UART0_TX : out STD_LOGIC; UART0_CTSN : in STD_LOGIC; UART0_DCDN : in STD_LOGIC; UART0_DSRN : in STD_LOGIC; UART0_RIN : in STD_LOGIC; UART0_RX : in STD_LOGIC; UART1_DTRN : out STD_LOGIC; UART1_RTSN : out STD_LOGIC; UART1_TX : out STD_LOGIC; UART1_CTSN : in STD_LOGIC; UART1_DCDN : in STD_LOGIC; UART1_DSRN : in STD_LOGIC; UART1_RIN : in STD_LOGIC; UART1_RX : in STD_LOGIC; TTC0_WAVE0_OUT : out STD_LOGIC; TTC0_WAVE1_OUT : out STD_LOGIC; TTC0_WAVE2_OUT : out STD_LOGIC; TTC0_CLK0_IN : in STD_LOGIC; TTC0_CLK1_IN : in STD_LOGIC; TTC0_CLK2_IN : in STD_LOGIC; TTC1_WAVE0_OUT : out STD_LOGIC; TTC1_WAVE1_OUT : out STD_LOGIC; TTC1_WAVE2_OUT : out STD_LOGIC; TTC1_CLK0_IN : in STD_LOGIC; TTC1_CLK1_IN : in STD_LOGIC; TTC1_CLK2_IN : in STD_LOGIC; WDT_CLK_IN : in STD_LOGIC; WDT_RST_OUT : out STD_LOGIC; TRACE_CLK : in STD_LOGIC; TRACE_CTL : out STD_LOGIC; TRACE_DATA : out STD_LOGIC_VECTOR ( 1 downto 0 ); TRACE_CLK_OUT : out STD_LOGIC; USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 ); USB0_VBUS_PWRSELECT : out STD_LOGIC; USB0_VBUS_PWRFAULT : in STD_LOGIC; USB1_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 ); USB1_VBUS_PWRSELECT : out STD_LOGIC; USB1_VBUS_PWRFAULT : in STD_LOGIC; SRAM_INTIN : in STD_LOGIC; M_AXI_GP0_ARESETN : out STD_LOGIC; M_AXI_GP0_ARVALID : out STD_LOGIC; M_AXI_GP0_AWVALID : out STD_LOGIC; M_AXI_GP0_BREADY : out STD_LOGIC; M_AXI_GP0_RREADY : out STD_LOGIC; M_AXI_GP0_WLAST : out STD_LOGIC; M_AXI_GP0_WVALID : out STD_LOGIC; M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ACLK : in STD_LOGIC; M_AXI_GP0_ARREADY : in STD_LOGIC; M_AXI_GP0_AWREADY : in STD_LOGIC; M_AXI_GP0_BVALID : in STD_LOGIC; M_AXI_GP0_RLAST : in STD_LOGIC; M_AXI_GP0_RVALID : in STD_LOGIC; M_AXI_GP0_WREADY : in STD_LOGIC; M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_ARESETN : out STD_LOGIC; M_AXI_GP1_ARVALID : out STD_LOGIC; M_AXI_GP1_AWVALID : out STD_LOGIC; M_AXI_GP1_BREADY : out STD_LOGIC; M_AXI_GP1_RREADY : out STD_LOGIC; M_AXI_GP1_WLAST : out STD_LOGIC; M_AXI_GP1_WVALID : out STD_LOGIC; M_AXI_GP1_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_WID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_ACLK : in STD_LOGIC; M_AXI_GP1_ARREADY : in STD_LOGIC; M_AXI_GP1_AWREADY : in STD_LOGIC; M_AXI_GP1_BVALID : in STD_LOGIC; M_AXI_GP1_RLAST : in STD_LOGIC; M_AXI_GP1_RVALID : in STD_LOGIC; M_AXI_GP1_WREADY : in STD_LOGIC; M_AXI_GP1_BID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_RID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_ARESETN : out STD_LOGIC; S_AXI_GP0_ARREADY : out STD_LOGIC; S_AXI_GP0_AWREADY : out STD_LOGIC; S_AXI_GP0_BVALID : out STD_LOGIC; S_AXI_GP0_RLAST : out STD_LOGIC; S_AXI_GP0_RVALID : out STD_LOGIC; S_AXI_GP0_WREADY : out STD_LOGIC; S_AXI_GP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP0_ACLK : in STD_LOGIC; S_AXI_GP0_ARVALID : in STD_LOGIC; S_AXI_GP0_AWVALID : in STD_LOGIC; S_AXI_GP0_BREADY : in STD_LOGIC; S_AXI_GP0_RREADY : in STD_LOGIC; S_AXI_GP0_WLAST : in STD_LOGIC; S_AXI_GP0_WVALID : in STD_LOGIC; S_AXI_GP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_ARESETN : out STD_LOGIC; S_AXI_GP1_ARREADY : out STD_LOGIC; S_AXI_GP1_AWREADY : out STD_LOGIC; S_AXI_GP1_BVALID : out STD_LOGIC; S_AXI_GP1_RLAST : out STD_LOGIC; S_AXI_GP1_RVALID : out STD_LOGIC; S_AXI_GP1_WREADY : out STD_LOGIC; S_AXI_GP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_ACLK : in STD_LOGIC; S_AXI_GP1_ARVALID : in STD_LOGIC; S_AXI_GP1_AWVALID : in STD_LOGIC; S_AXI_GP1_BREADY : in STD_LOGIC; S_AXI_GP1_RREADY : in STD_LOGIC; S_AXI_GP1_WLAST : in STD_LOGIC; S_AXI_GP1_WVALID : in STD_LOGIC; S_AXI_GP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP1_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_ACP_ARESETN : out STD_LOGIC; S_AXI_ACP_ARREADY : out STD_LOGIC; S_AXI_ACP_AWREADY : out STD_LOGIC; S_AXI_ACP_BVALID : out STD_LOGIC; S_AXI_ACP_RLAST : out STD_LOGIC; S_AXI_ACP_RVALID : out STD_LOGIC; S_AXI_ACP_WREADY : out STD_LOGIC; S_AXI_ACP_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_BID : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_RID : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_ACP_ACLK : in STD_LOGIC; S_AXI_ACP_ARVALID : in STD_LOGIC; S_AXI_ACP_AWVALID : in STD_LOGIC; S_AXI_ACP_BREADY : in STD_LOGIC; S_AXI_ACP_RREADY : in STD_LOGIC; S_AXI_ACP_WLAST : in STD_LOGIC; S_AXI_ACP_WVALID : in STD_LOGIC; S_AXI_ACP_ARID : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_AWID : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_WID : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_ACP_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_ACP_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_ARUSER : in STD_LOGIC_VECTOR ( 4 downto 0 ); S_AXI_ACP_AWUSER : in STD_LOGIC_VECTOR ( 4 downto 0 ); S_AXI_ACP_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_ACP_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP0_ARESETN : out STD_LOGIC; S_AXI_HP0_ARREADY : out STD_LOGIC; S_AXI_HP0_AWREADY : out STD_LOGIC; S_AXI_HP0_BVALID : out STD_LOGIC; S_AXI_HP0_RLAST : out STD_LOGIC; S_AXI_HP0_RVALID : out STD_LOGIC; S_AXI_HP0_WREADY : out STD_LOGIC; S_AXI_HP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP0_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP0_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP0_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_ACLK : in STD_LOGIC; S_AXI_HP0_ARVALID : in STD_LOGIC; S_AXI_HP0_AWVALID : in STD_LOGIC; S_AXI_HP0_BREADY : in STD_LOGIC; S_AXI_HP0_RDISSUECAP1_EN : in STD_LOGIC; S_AXI_HP0_RREADY : in STD_LOGIC; S_AXI_HP0_WLAST : in STD_LOGIC; S_AXI_HP0_WRISSUECAP1_EN : in STD_LOGIC; S_AXI_HP0_WVALID : in STD_LOGIC; S_AXI_HP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP0_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP1_ARESETN : out STD_LOGIC; S_AXI_HP1_ARREADY : out STD_LOGIC; S_AXI_HP1_AWREADY : out STD_LOGIC; S_AXI_HP1_BVALID : out STD_LOGIC; S_AXI_HP1_RLAST : out STD_LOGIC; S_AXI_HP1_RVALID : out STD_LOGIC; S_AXI_HP1_WREADY : out STD_LOGIC; S_AXI_HP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP1_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP1_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP1_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_ACLK : in STD_LOGIC; S_AXI_HP1_ARVALID : in STD_LOGIC; S_AXI_HP1_AWVALID : in STD_LOGIC; S_AXI_HP1_BREADY : in STD_LOGIC; S_AXI_HP1_RDISSUECAP1_EN : in STD_LOGIC; S_AXI_HP1_RREADY : in STD_LOGIC; S_AXI_HP1_WLAST : in STD_LOGIC; S_AXI_HP1_WRISSUECAP1_EN : in STD_LOGIC; S_AXI_HP1_WVALID : in STD_LOGIC; S_AXI_HP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP1_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP2_ARESETN : out STD_LOGIC; S_AXI_HP2_ARREADY : out STD_LOGIC; S_AXI_HP2_AWREADY : out STD_LOGIC; S_AXI_HP2_BVALID : out STD_LOGIC; S_AXI_HP2_RLAST : out STD_LOGIC; S_AXI_HP2_RVALID : out STD_LOGIC; S_AXI_HP2_WREADY : out STD_LOGIC; S_AXI_HP2_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP2_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP2_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP2_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_ACLK : in STD_LOGIC; S_AXI_HP2_ARVALID : in STD_LOGIC; S_AXI_HP2_AWVALID : in STD_LOGIC; S_AXI_HP2_BREADY : in STD_LOGIC; S_AXI_HP2_RDISSUECAP1_EN : in STD_LOGIC; S_AXI_HP2_RREADY : in STD_LOGIC; S_AXI_HP2_WLAST : in STD_LOGIC; S_AXI_HP2_WRISSUECAP1_EN : in STD_LOGIC; S_AXI_HP2_WVALID : in STD_LOGIC; S_AXI_HP2_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP2_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP2_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP2_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP3_ARESETN : out STD_LOGIC; S_AXI_HP3_ARREADY : out STD_LOGIC; S_AXI_HP3_AWREADY : out STD_LOGIC; S_AXI_HP3_BVALID : out STD_LOGIC; S_AXI_HP3_RLAST : out STD_LOGIC; S_AXI_HP3_RVALID : out STD_LOGIC; S_AXI_HP3_WREADY : out STD_LOGIC; S_AXI_HP3_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP3_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP3_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP3_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_ACLK : in STD_LOGIC; S_AXI_HP3_ARVALID : in STD_LOGIC; S_AXI_HP3_AWVALID : in STD_LOGIC; S_AXI_HP3_BREADY : in STD_LOGIC; S_AXI_HP3_RDISSUECAP1_EN : in STD_LOGIC; S_AXI_HP3_RREADY : in STD_LOGIC; S_AXI_HP3_WLAST : in STD_LOGIC; S_AXI_HP3_WRISSUECAP1_EN : in STD_LOGIC; S_AXI_HP3_WVALID : in STD_LOGIC; S_AXI_HP3_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP3_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP3_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP3_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); IRQ_P2F_DMAC_ABORT : out STD_LOGIC; IRQ_P2F_DMAC0 : out STD_LOGIC; IRQ_P2F_DMAC1 : out STD_LOGIC; IRQ_P2F_DMAC2 : out STD_LOGIC; IRQ_P2F_DMAC3 : out STD_LOGIC; IRQ_P2F_DMAC4 : out STD_LOGIC; IRQ_P2F_DMAC5 : out STD_LOGIC; IRQ_P2F_DMAC6 : out STD_LOGIC; IRQ_P2F_DMAC7 : out STD_LOGIC; IRQ_P2F_SMC : out STD_LOGIC; IRQ_P2F_QSPI : out STD_LOGIC; IRQ_P2F_CTI : out STD_LOGIC; IRQ_P2F_GPIO : out STD_LOGIC; IRQ_P2F_USB0 : out STD_LOGIC; IRQ_P2F_ENET0 : out STD_LOGIC; IRQ_P2F_ENET_WAKE0 : out STD_LOGIC; IRQ_P2F_SDIO0 : out STD_LOGIC; IRQ_P2F_I2C0 : out STD_LOGIC; IRQ_P2F_SPI0 : out STD_LOGIC; IRQ_P2F_UART0 : out STD_LOGIC; IRQ_P2F_CAN0 : out STD_LOGIC; IRQ_P2F_USB1 : out STD_LOGIC; IRQ_P2F_ENET1 : out STD_LOGIC; IRQ_P2F_ENET_WAKE1 : out STD_LOGIC; IRQ_P2F_SDIO1 : out STD_LOGIC; IRQ_P2F_I2C1 : out STD_LOGIC; IRQ_P2F_SPI1 : out STD_LOGIC; IRQ_P2F_UART1 : out STD_LOGIC; IRQ_P2F_CAN1 : out STD_LOGIC; IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 ); Core0_nFIQ : in STD_LOGIC; Core0_nIRQ : in STD_LOGIC; Core1_nFIQ : in STD_LOGIC; Core1_nIRQ : in STD_LOGIC; DMA0_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 ); DMA0_DAVALID : out STD_LOGIC; DMA0_DRREADY : out STD_LOGIC; DMA0_RSTN : out STD_LOGIC; DMA1_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 ); DMA1_DAVALID : out STD_LOGIC; DMA1_DRREADY : out STD_LOGIC; DMA1_RSTN : out STD_LOGIC; DMA2_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 ); DMA2_DAVALID : out STD_LOGIC; DMA2_DRREADY : out STD_LOGIC; DMA2_RSTN : out STD_LOGIC; DMA3_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 ); DMA3_DAVALID : out STD_LOGIC; DMA3_DRREADY : out STD_LOGIC; DMA3_RSTN : out STD_LOGIC; DMA0_ACLK : in STD_LOGIC; DMA0_DAREADY : in STD_LOGIC; DMA0_DRLAST : in STD_LOGIC; DMA0_DRVALID : in STD_LOGIC; DMA1_ACLK : in STD_LOGIC; DMA1_DAREADY : in STD_LOGIC; DMA1_DRLAST : in STD_LOGIC; DMA1_DRVALID : in STD_LOGIC; DMA2_ACLK : in STD_LOGIC; DMA2_DAREADY : in STD_LOGIC; DMA2_DRLAST : in STD_LOGIC; DMA2_DRVALID : in STD_LOGIC; DMA3_ACLK : in STD_LOGIC; DMA3_DAREADY : in STD_LOGIC; DMA3_DRLAST : in STD_LOGIC; DMA3_DRVALID : in STD_LOGIC; DMA0_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 ); DMA1_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 ); DMA2_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 ); DMA3_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 ); FCLK_CLK3 : out STD_LOGIC; FCLK_CLK2 : out STD_LOGIC; FCLK_CLK1 : out STD_LOGIC; FCLK_CLK0 : out STD_LOGIC; FCLK_CLKTRIG3_N : in STD_LOGIC; FCLK_CLKTRIG2_N : in STD_LOGIC; FCLK_CLKTRIG1_N : in STD_LOGIC; FCLK_CLKTRIG0_N : in STD_LOGIC; FCLK_RESET3_N : out STD_LOGIC; FCLK_RESET2_N : out STD_LOGIC; FCLK_RESET1_N : out STD_LOGIC; FCLK_RESET0_N : out STD_LOGIC; FTMD_TRACEIN_DATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); FTMD_TRACEIN_VALID : in STD_LOGIC; FTMD_TRACEIN_CLK : in STD_LOGIC; FTMD_TRACEIN_ATID : in STD_LOGIC_VECTOR ( 3 downto 0 ); FTMT_F2P_TRIG_0 : in STD_LOGIC; FTMT_F2P_TRIGACK_0 : out STD_LOGIC; FTMT_F2P_TRIG_1 : in STD_LOGIC; FTMT_F2P_TRIGACK_1 : out STD_LOGIC; FTMT_F2P_TRIG_2 : in STD_LOGIC; FTMT_F2P_TRIGACK_2 : out STD_LOGIC; FTMT_F2P_TRIG_3 : in STD_LOGIC; FTMT_F2P_TRIGACK_3 : out STD_LOGIC; FTMT_F2P_DEBUG : in STD_LOGIC_VECTOR ( 31 downto 0 ); FTMT_P2F_TRIGACK_0 : in STD_LOGIC; FTMT_P2F_TRIG_0 : out STD_LOGIC; FTMT_P2F_TRIGACK_1 : in STD_LOGIC; FTMT_P2F_TRIG_1 : out STD_LOGIC; FTMT_P2F_TRIGACK_2 : in STD_LOGIC; FTMT_P2F_TRIG_2 : out STD_LOGIC; FTMT_P2F_TRIGACK_3 : in STD_LOGIC; FTMT_P2F_TRIG_3 : out STD_LOGIC; FTMT_P2F_DEBUG : out STD_LOGIC_VECTOR ( 31 downto 0 ); FPGA_IDLE_N : in STD_LOGIC; EVENT_EVENTO : out STD_LOGIC; EVENT_STANDBYWFE : out STD_LOGIC_VECTOR ( 1 downto 0 ); EVENT_STANDBYWFI : out STD_LOGIC_VECTOR ( 1 downto 0 ); EVENT_EVENTI : in STD_LOGIC; DDR_ARB : in STD_LOGIC_VECTOR ( 3 downto 0 ); MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 ); DDR_CAS_n : inout STD_LOGIC; DDR_CKE : inout STD_LOGIC; DDR_Clk_n : inout STD_LOGIC; DDR_Clk : inout STD_LOGIC; DDR_CS_n : inout STD_LOGIC; DDR_DRSTB : inout STD_LOGIC; DDR_ODT : inout STD_LOGIC; DDR_RAS_n : inout STD_LOGIC; DDR_WEB : inout STD_LOGIC; DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_VRN : inout STD_LOGIC; DDR_VRP : inout STD_LOGIC; DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 ); PS_SRSTB : inout STD_LOGIC; PS_CLK : inout STD_LOGIC; PS_PORB : inout STD_LOGIC ); attribute C_DM_WIDTH : integer; attribute C_DM_WIDTH of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 4; attribute C_DQS_WIDTH : integer; attribute C_DQS_WIDTH of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 4; attribute C_DQ_WIDTH : integer; attribute C_DQ_WIDTH of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 32; attribute C_EMIO_GPIO_WIDTH : integer; attribute C_EMIO_GPIO_WIDTH of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_EN_EMIO_ENET0 : integer; attribute C_EN_EMIO_ENET0 of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_EN_EMIO_ENET1 : integer; attribute C_EN_EMIO_ENET1 of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_EN_EMIO_PJTAG : integer; attribute C_EN_EMIO_PJTAG of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_EN_EMIO_TRACE : integer; attribute C_EN_EMIO_TRACE of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_FCLK_CLK0_BUF : string; attribute C_FCLK_CLK0_BUF of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "TRUE"; attribute C_FCLK_CLK1_BUF : string; attribute C_FCLK_CLK1_BUF of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "FALSE"; attribute C_FCLK_CLK2_BUF : string; attribute C_FCLK_CLK2_BUF of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "FALSE"; attribute C_FCLK_CLK3_BUF : string; attribute C_FCLK_CLK3_BUF of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "FALSE"; attribute C_GP0_EN_MODIFIABLE_TXN : integer; attribute C_GP0_EN_MODIFIABLE_TXN of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 1; attribute C_GP1_EN_MODIFIABLE_TXN : integer; attribute C_GP1_EN_MODIFIABLE_TXN of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 1; attribute C_INCLUDE_ACP_TRANS_CHECK : integer; attribute C_INCLUDE_ACP_TRANS_CHECK of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_INCLUDE_TRACE_BUFFER : integer; attribute C_INCLUDE_TRACE_BUFFER of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_IRQ_F2P_MODE : string; attribute C_IRQ_F2P_MODE of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "DIRECT"; attribute C_MIO_PRIMITIVE : integer; attribute C_MIO_PRIMITIVE of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 54; attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer; attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_M_AXI_GP0_ID_WIDTH : integer; attribute C_M_AXI_GP0_ID_WIDTH of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer; attribute C_M_AXI_GP0_THREAD_ID_WIDTH of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer; attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_M_AXI_GP1_ID_WIDTH : integer; attribute C_M_AXI_GP1_ID_WIDTH of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer; attribute C_M_AXI_GP1_THREAD_ID_WIDTH of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_NUM_F2P_INTR_INPUTS : integer; attribute C_NUM_F2P_INTR_INPUTS of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 1; attribute C_PACKAGE_NAME : string; attribute C_PACKAGE_NAME of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "clg484"; attribute C_PS7_SI_REV : string; attribute C_PS7_SI_REV of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "PRODUCTION"; attribute C_S_AXI_ACP_ARUSER_VAL : integer; attribute C_S_AXI_ACP_ARUSER_VAL of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 31; attribute C_S_AXI_ACP_AWUSER_VAL : integer; attribute C_S_AXI_ACP_AWUSER_VAL of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 31; attribute C_S_AXI_ACP_ID_WIDTH : integer; attribute C_S_AXI_ACP_ID_WIDTH of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 3; attribute C_S_AXI_GP0_ID_WIDTH : integer; attribute C_S_AXI_GP0_ID_WIDTH of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_GP1_ID_WIDTH : integer; attribute C_S_AXI_GP1_ID_WIDTH of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_HP0_DATA_WIDTH : integer; attribute C_S_AXI_HP0_DATA_WIDTH of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_S_AXI_HP0_ID_WIDTH : integer; attribute C_S_AXI_HP0_ID_WIDTH of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_HP1_DATA_WIDTH : integer; attribute C_S_AXI_HP1_DATA_WIDTH of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_S_AXI_HP1_ID_WIDTH : integer; attribute C_S_AXI_HP1_ID_WIDTH of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_HP2_DATA_WIDTH : integer; attribute C_S_AXI_HP2_DATA_WIDTH of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_S_AXI_HP2_ID_WIDTH : integer; attribute C_S_AXI_HP2_ID_WIDTH of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_HP3_DATA_WIDTH : integer; attribute C_S_AXI_HP3_DATA_WIDTH of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_S_AXI_HP3_ID_WIDTH : integer; attribute C_S_AXI_HP3_ID_WIDTH of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_TRACE_BUFFER_CLOCK_DELAY : integer; attribute C_TRACE_BUFFER_CLOCK_DELAY of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_TRACE_BUFFER_FIFO_SIZE : integer; attribute C_TRACE_BUFFER_FIFO_SIZE of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 128; attribute C_TRACE_INTERNAL_WIDTH : integer; attribute C_TRACE_INTERNAL_WIDTH of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 2; attribute C_TRACE_PIPELINE_WIDTH : integer; attribute C_TRACE_PIPELINE_WIDTH of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 8; attribute C_USE_AXI_NONSECURE : integer; attribute C_USE_AXI_NONSECURE of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_DEFAULT_ACP_USER_VAL : integer; attribute C_USE_DEFAULT_ACP_USER_VAL of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_M_AXI_GP0 : integer; attribute C_USE_M_AXI_GP0 of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 1; attribute C_USE_M_AXI_GP1 : integer; attribute C_USE_M_AXI_GP1 of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_ACP : integer; attribute C_USE_S_AXI_ACP of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_GP0 : integer; attribute C_USE_S_AXI_GP0 of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_GP1 : integer; attribute C_USE_S_AXI_GP1 of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_HP0 : integer; attribute C_USE_S_AXI_HP0 of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_HP1 : integer; attribute C_USE_S_AXI_HP1 of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_HP2 : integer; attribute C_USE_S_AXI_HP2 of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_HP3 : integer; attribute C_USE_S_AXI_HP3 of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute HW_HANDOFF : string; attribute HW_HANDOFF of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "led_controller_design_processing_system7_0_0.hwdef"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "processing_system7_v5_5_processing_system7"; attribute POWER : string; attribute POWER of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666667} load={0.5} /><MEMORY name={code} memType={DDR3} dataWidth={32} clockFreq={533.333313} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={10} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={8} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={USB} ioStandard={LVCMOS18} bidis={12} ioBank={Vcco_p1} clockFreq={60} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={6} ioBank={Vcco_p0} clockFreq={200.000000} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>"; attribute USE_TRACE_DATA_EDGE_DETECTOR : integer; attribute USE_TRACE_DATA_EDGE_DETECTOR of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; end led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7; architecture STRUCTURE of led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; signal ENET0_MDIO_T_n : STD_LOGIC; signal ENET1_MDIO_T_n : STD_LOGIC; signal FCLK_CLK_unbuffered : STD_LOGIC_VECTOR ( 0 to 0 ); signal I2C0_SCL_T_n : STD_LOGIC; signal I2C0_SDA_T_n : STD_LOGIC; signal I2C1_SCL_T_n : STD_LOGIC; signal I2C1_SDA_T_n : STD_LOGIC; signal \^m_axi_gp0_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^m_axi_gp0_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_gp0_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^m_axi_gp0_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_gp1_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^m_axi_gp1_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_gp1_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^m_axi_gp1_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal SDIO0_CMD_T_n : STD_LOGIC; signal SDIO0_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 ); signal SDIO1_CMD_T_n : STD_LOGIC; signal SDIO1_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 ); signal SPI0_MISO_T_n : STD_LOGIC; signal SPI0_MOSI_T_n : STD_LOGIC; signal SPI0_SCLK_T_n : STD_LOGIC; signal SPI0_SS_T_n : STD_LOGIC; signal SPI1_MISO_T_n : STD_LOGIC; signal SPI1_MOSI_T_n : STD_LOGIC; signal SPI1_SCLK_T_n : STD_LOGIC; signal SPI1_SS_T_n : STD_LOGIC; signal \TRACE_CTL_PIPE[0]\ : STD_LOGIC; attribute RTL_KEEP : string; attribute RTL_KEEP of \TRACE_CTL_PIPE[0]\ : signal is "true"; signal \TRACE_CTL_PIPE[1]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[1]\ : signal is "true"; signal \TRACE_CTL_PIPE[2]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[2]\ : signal is "true"; signal \TRACE_CTL_PIPE[3]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[3]\ : signal is "true"; signal \TRACE_CTL_PIPE[4]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[4]\ : signal is "true"; signal \TRACE_CTL_PIPE[5]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[5]\ : signal is "true"; signal \TRACE_CTL_PIPE[6]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[6]\ : signal is "true"; signal \TRACE_CTL_PIPE[7]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[7]\ : signal is "true"; signal \TRACE_DATA_PIPE[0]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[0]\ : signal is "true"; signal \TRACE_DATA_PIPE[1]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[1]\ : signal is "true"; signal \TRACE_DATA_PIPE[2]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[2]\ : signal is "true"; signal \TRACE_DATA_PIPE[3]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[3]\ : signal is "true"; signal \TRACE_DATA_PIPE[4]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[4]\ : signal is "true"; signal \TRACE_DATA_PIPE[5]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[5]\ : signal is "true"; signal \TRACE_DATA_PIPE[6]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[6]\ : signal is "true"; signal \TRACE_DATA_PIPE[7]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[7]\ : signal is "true"; signal buffered_DDR_Addr : STD_LOGIC_VECTOR ( 14 downto 0 ); signal buffered_DDR_BankAddr : STD_LOGIC_VECTOR ( 2 downto 0 ); signal buffered_DDR_CAS_n : STD_LOGIC; signal buffered_DDR_CKE : STD_LOGIC; signal buffered_DDR_CS_n : STD_LOGIC; signal buffered_DDR_Clk : STD_LOGIC; signal buffered_DDR_Clk_n : STD_LOGIC; signal buffered_DDR_DM : STD_LOGIC_VECTOR ( 3 downto 0 ); signal buffered_DDR_DQ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal buffered_DDR_DQS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal buffered_DDR_DQS_n : STD_LOGIC_VECTOR ( 3 downto 0 ); signal buffered_DDR_DRSTB : STD_LOGIC; signal buffered_DDR_ODT : STD_LOGIC; signal buffered_DDR_RAS_n : STD_LOGIC; signal buffered_DDR_VRN : STD_LOGIC; signal buffered_DDR_VRP : STD_LOGIC; signal buffered_DDR_WEB : STD_LOGIC; signal buffered_MIO : STD_LOGIC_VECTOR ( 53 downto 0 ); signal buffered_PS_CLK : STD_LOGIC; signal buffered_PS_PORB : STD_LOGIC; signal buffered_PS_SRSTB : STD_LOGIC; signal gpio_out_t_n : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOTRACECTL_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_PS7_i_MAXIGP0ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); signal NLW_PS7_i_MAXIGP0AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); signal NLW_PS7_i_MAXIGP1ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); signal NLW_PS7_i_MAXIGP1AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); attribute BOX_TYPE : string; attribute BOX_TYPE of DDR_CAS_n_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_CKE_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_CS_n_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_Clk_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_Clk_n_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_DRSTB_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_ODT_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_RAS_n_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_VRN_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_VRP_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_WEB_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of PS7_i : label is "PRIMITIVE"; attribute BOX_TYPE of PS_CLK_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of PS_PORB_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of PS_SRSTB_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of \buffer_fclk_clk_0.FCLK_CLK_0_BUFG\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[0].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[10].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[11].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[12].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[13].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[14].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[15].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[16].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[17].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[18].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[19].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[1].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[20].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[21].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[22].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[23].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[24].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[25].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[26].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[27].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[28].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[29].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[2].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[30].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[31].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[32].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[33].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[34].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[35].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[36].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[37].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[38].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[39].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[3].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[40].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[41].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[42].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[43].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[44].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[45].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[46].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[47].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[48].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[49].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[4].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[50].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[51].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[52].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[53].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[5].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[6].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[7].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[8].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[9].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk14[0].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk14[1].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk14[2].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[0].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[10].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[11].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[12].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[13].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[14].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[1].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[2].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[3].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[4].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[5].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[6].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[7].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[8].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[9].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk16[0].DDR_DM_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk16[1].DDR_DM_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk16[2].DDR_DM_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk16[3].DDR_DM_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[0].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[10].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[11].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[12].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[13].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[14].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[15].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[16].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[17].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[18].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[19].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[1].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[20].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[21].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[22].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[23].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[24].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[25].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[26].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[27].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[28].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[29].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[2].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[30].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[31].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[3].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[4].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[5].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[6].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[7].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[8].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[9].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk18[0].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk18[1].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk18[2].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk18[3].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk19[0].DDR_DQS_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk19[1].DDR_DQS_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk19[2].DDR_DQS_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk19[3].DDR_DQS_BIBUF\ : label is "PRIMITIVE"; begin ENET0_GMII_TXD(7) <= \<const0>\; ENET0_GMII_TXD(6) <= \<const0>\; ENET0_GMII_TXD(5) <= \<const0>\; ENET0_GMII_TXD(4) <= \<const0>\; ENET0_GMII_TXD(3) <= \<const0>\; ENET0_GMII_TXD(2) <= \<const0>\; ENET0_GMII_TXD(1) <= \<const0>\; ENET0_GMII_TXD(0) <= \<const0>\; ENET0_GMII_TX_EN <= \<const0>\; ENET0_GMII_TX_ER <= \<const0>\; ENET1_GMII_TXD(7) <= \<const0>\; ENET1_GMII_TXD(6) <= \<const0>\; ENET1_GMII_TXD(5) <= \<const0>\; ENET1_GMII_TXD(4) <= \<const0>\; ENET1_GMII_TXD(3) <= \<const0>\; ENET1_GMII_TXD(2) <= \<const0>\; ENET1_GMII_TXD(1) <= \<const0>\; ENET1_GMII_TXD(0) <= \<const0>\; ENET1_GMII_TX_EN <= \<const0>\; ENET1_GMII_TX_ER <= \<const0>\; M_AXI_GP0_ARCACHE(3 downto 2) <= \^m_axi_gp0_arcache\(3 downto 2); M_AXI_GP0_ARCACHE(1) <= \<const1>\; M_AXI_GP0_ARCACHE(0) <= \^m_axi_gp0_arcache\(0); M_AXI_GP0_ARSIZE(2) <= \<const0>\; M_AXI_GP0_ARSIZE(1 downto 0) <= \^m_axi_gp0_arsize\(1 downto 0); M_AXI_GP0_AWCACHE(3 downto 2) <= \^m_axi_gp0_awcache\(3 downto 2); M_AXI_GP0_AWCACHE(1) <= \<const1>\; M_AXI_GP0_AWCACHE(0) <= \^m_axi_gp0_awcache\(0); M_AXI_GP0_AWSIZE(2) <= \<const0>\; M_AXI_GP0_AWSIZE(1 downto 0) <= \^m_axi_gp0_awsize\(1 downto 0); M_AXI_GP1_ARCACHE(3 downto 2) <= \^m_axi_gp1_arcache\(3 downto 2); M_AXI_GP1_ARCACHE(1) <= \<const1>\; M_AXI_GP1_ARCACHE(0) <= \^m_axi_gp1_arcache\(0); M_AXI_GP1_ARSIZE(2) <= \<const0>\; M_AXI_GP1_ARSIZE(1 downto 0) <= \^m_axi_gp1_arsize\(1 downto 0); M_AXI_GP1_AWCACHE(3 downto 2) <= \^m_axi_gp1_awcache\(3 downto 2); M_AXI_GP1_AWCACHE(1) <= \<const1>\; M_AXI_GP1_AWCACHE(0) <= \^m_axi_gp1_awcache\(0); M_AXI_GP1_AWSIZE(2) <= \<const0>\; M_AXI_GP1_AWSIZE(1 downto 0) <= \^m_axi_gp1_awsize\(1 downto 0); PJTAG_TDO <= \<const0>\; TRACE_CLK_OUT <= \<const0>\; TRACE_CTL <= \TRACE_CTL_PIPE[0]\; TRACE_DATA(1 downto 0) <= \TRACE_DATA_PIPE[0]\(1 downto 0); DDR_CAS_n_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_CAS_n, PAD => DDR_CAS_n ); DDR_CKE_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_CKE, PAD => DDR_CKE ); DDR_CS_n_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_CS_n, PAD => DDR_CS_n ); DDR_Clk_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Clk, PAD => DDR_Clk ); DDR_Clk_n_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Clk_n, PAD => DDR_Clk_n ); DDR_DRSTB_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DRSTB, PAD => DDR_DRSTB ); DDR_ODT_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_ODT, PAD => DDR_ODT ); DDR_RAS_n_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_RAS_n, PAD => DDR_RAS_n ); DDR_VRN_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_VRN, PAD => DDR_VRN ); DDR_VRP_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_VRP, PAD => DDR_VRP ); DDR_WEB_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_WEB, PAD => DDR_WEB ); ENET0_MDIO_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ENET0_MDIO_T_n, O => ENET0_MDIO_T ); ENET1_MDIO_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ENET1_MDIO_T_n, O => ENET1_MDIO_T ); GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); \GPIO_T[0]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(0), O => GPIO_T(0) ); \GPIO_T[10]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(10), O => GPIO_T(10) ); \GPIO_T[11]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(11), O => GPIO_T(11) ); \GPIO_T[12]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(12), O => GPIO_T(12) ); \GPIO_T[13]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(13), O => GPIO_T(13) ); \GPIO_T[14]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(14), O => GPIO_T(14) ); \GPIO_T[15]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(15), O => GPIO_T(15) ); \GPIO_T[16]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(16), O => GPIO_T(16) ); \GPIO_T[17]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(17), O => GPIO_T(17) ); \GPIO_T[18]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(18), O => GPIO_T(18) ); \GPIO_T[19]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(19), O => GPIO_T(19) ); \GPIO_T[1]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(1), O => GPIO_T(1) ); \GPIO_T[20]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(20), O => GPIO_T(20) ); \GPIO_T[21]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(21), O => GPIO_T(21) ); \GPIO_T[22]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(22), O => GPIO_T(22) ); \GPIO_T[23]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(23), O => GPIO_T(23) ); \GPIO_T[24]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(24), O => GPIO_T(24) ); \GPIO_T[25]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(25), O => GPIO_T(25) ); \GPIO_T[26]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(26), O => GPIO_T(26) ); \GPIO_T[27]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(27), O => GPIO_T(27) ); \GPIO_T[28]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(28), O => GPIO_T(28) ); \GPIO_T[29]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(29), O => GPIO_T(29) ); \GPIO_T[2]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(2), O => GPIO_T(2) ); \GPIO_T[30]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(30), O => GPIO_T(30) ); \GPIO_T[31]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(31), O => GPIO_T(31) ); \GPIO_T[32]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(32), O => GPIO_T(32) ); \GPIO_T[33]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(33), O => GPIO_T(33) ); \GPIO_T[34]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(34), O => GPIO_T(34) ); \GPIO_T[35]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(35), O => GPIO_T(35) ); \GPIO_T[36]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(36), O => GPIO_T(36) ); \GPIO_T[37]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(37), O => GPIO_T(37) ); \GPIO_T[38]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(38), O => GPIO_T(38) ); \GPIO_T[39]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(39), O => GPIO_T(39) ); \GPIO_T[3]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(3), O => GPIO_T(3) ); \GPIO_T[40]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(40), O => GPIO_T(40) ); \GPIO_T[41]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(41), O => GPIO_T(41) ); \GPIO_T[42]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(42), O => GPIO_T(42) ); \GPIO_T[43]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(43), O => GPIO_T(43) ); \GPIO_T[44]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(44), O => GPIO_T(44) ); \GPIO_T[45]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(45), O => GPIO_T(45) ); \GPIO_T[46]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(46), O => GPIO_T(46) ); \GPIO_T[47]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(47), O => GPIO_T(47) ); \GPIO_T[48]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(48), O => GPIO_T(48) ); \GPIO_T[49]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(49), O => GPIO_T(49) ); \GPIO_T[4]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(4), O => GPIO_T(4) ); \GPIO_T[50]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(50), O => GPIO_T(50) ); \GPIO_T[51]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(51), O => GPIO_T(51) ); \GPIO_T[52]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(52), O => GPIO_T(52) ); \GPIO_T[53]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(53), O => GPIO_T(53) ); \GPIO_T[54]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(54), O => GPIO_T(54) ); \GPIO_T[55]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(55), O => GPIO_T(55) ); \GPIO_T[56]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(56), O => GPIO_T(56) ); \GPIO_T[57]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(57), O => GPIO_T(57) ); \GPIO_T[58]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(58), O => GPIO_T(58) ); \GPIO_T[59]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(59), O => GPIO_T(59) ); \GPIO_T[5]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(5), O => GPIO_T(5) ); \GPIO_T[60]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(60), O => GPIO_T(60) ); \GPIO_T[61]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(61), O => GPIO_T(61) ); \GPIO_T[62]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(62), O => GPIO_T(62) ); \GPIO_T[63]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(63), O => GPIO_T(63) ); \GPIO_T[6]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(6), O => GPIO_T(6) ); \GPIO_T[7]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(7), O => GPIO_T(7) ); \GPIO_T[8]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(8), O => GPIO_T(8) ); \GPIO_T[9]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(9), O => GPIO_T(9) ); I2C0_SCL_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => I2C0_SCL_T_n, O => I2C0_SCL_T ); I2C0_SDA_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => I2C0_SDA_T_n, O => I2C0_SDA_T ); I2C1_SCL_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => I2C1_SCL_T_n, O => I2C1_SCL_T ); I2C1_SDA_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => I2C1_SDA_T_n, O => I2C1_SDA_T ); PS7_i: unisim.vcomponents.PS7 port map ( DDRA(14 downto 0) => buffered_DDR_Addr(14 downto 0), DDRARB(3 downto 0) => DDR_ARB(3 downto 0), DDRBA(2 downto 0) => buffered_DDR_BankAddr(2 downto 0), DDRCASB => buffered_DDR_CAS_n, DDRCKE => buffered_DDR_CKE, DDRCKN => buffered_DDR_Clk_n, DDRCKP => buffered_DDR_Clk, DDRCSB => buffered_DDR_CS_n, DDRDM(3 downto 0) => buffered_DDR_DM(3 downto 0), DDRDQ(31 downto 0) => buffered_DDR_DQ(31 downto 0), DDRDQSN(3 downto 0) => buffered_DDR_DQS_n(3 downto 0), DDRDQSP(3 downto 0) => buffered_DDR_DQS(3 downto 0), DDRDRSTB => buffered_DDR_DRSTB, DDRODT => buffered_DDR_ODT, DDRRASB => buffered_DDR_RAS_n, DDRVRN => buffered_DDR_VRN, DDRVRP => buffered_DDR_VRP, DDRWEB => buffered_DDR_WEB, DMA0ACLK => DMA0_ACLK, DMA0DAREADY => DMA0_DAREADY, DMA0DATYPE(1 downto 0) => DMA0_DATYPE(1 downto 0), DMA0DAVALID => DMA0_DAVALID, DMA0DRLAST => DMA0_DRLAST, DMA0DRREADY => DMA0_DRREADY, DMA0DRTYPE(1 downto 0) => DMA0_DRTYPE(1 downto 0), DMA0DRVALID => DMA0_DRVALID, DMA0RSTN => DMA0_RSTN, DMA1ACLK => DMA1_ACLK, DMA1DAREADY => DMA1_DAREADY, DMA1DATYPE(1 downto 0) => DMA1_DATYPE(1 downto 0), DMA1DAVALID => DMA1_DAVALID, DMA1DRLAST => DMA1_DRLAST, DMA1DRREADY => DMA1_DRREADY, DMA1DRTYPE(1 downto 0) => DMA1_DRTYPE(1 downto 0), DMA1DRVALID => DMA1_DRVALID, DMA1RSTN => DMA1_RSTN, DMA2ACLK => DMA2_ACLK, DMA2DAREADY => DMA2_DAREADY, DMA2DATYPE(1 downto 0) => DMA2_DATYPE(1 downto 0), DMA2DAVALID => DMA2_DAVALID, DMA2DRLAST => DMA2_DRLAST, DMA2DRREADY => DMA2_DRREADY, DMA2DRTYPE(1 downto 0) => DMA2_DRTYPE(1 downto 0), DMA2DRVALID => DMA2_DRVALID, DMA2RSTN => DMA2_RSTN, DMA3ACLK => DMA3_ACLK, DMA3DAREADY => DMA3_DAREADY, DMA3DATYPE(1 downto 0) => DMA3_DATYPE(1 downto 0), DMA3DAVALID => DMA3_DAVALID, DMA3DRLAST => DMA3_DRLAST, DMA3DRREADY => DMA3_DRREADY, DMA3DRTYPE(1 downto 0) => DMA3_DRTYPE(1 downto 0), DMA3DRVALID => DMA3_DRVALID, DMA3RSTN => DMA3_RSTN, EMIOCAN0PHYRX => CAN0_PHY_RX, EMIOCAN0PHYTX => CAN0_PHY_TX, EMIOCAN1PHYRX => CAN1_PHY_RX, EMIOCAN1PHYTX => CAN1_PHY_TX, EMIOENET0EXTINTIN => ENET0_EXT_INTIN, EMIOENET0GMIICOL => '0', EMIOENET0GMIICRS => '0', EMIOENET0GMIIRXCLK => ENET0_GMII_RX_CLK, EMIOENET0GMIIRXD(7 downto 0) => B"00000000", EMIOENET0GMIIRXDV => '0', EMIOENET0GMIIRXER => '0', EMIOENET0GMIITXCLK => ENET0_GMII_TX_CLK, EMIOENET0GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED(7 downto 0), EMIOENET0GMIITXEN => NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED, EMIOENET0GMIITXER => NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED, EMIOENET0MDIOI => ENET0_MDIO_I, EMIOENET0MDIOMDC => ENET0_MDIO_MDC, EMIOENET0MDIOO => ENET0_MDIO_O, EMIOENET0MDIOTN => ENET0_MDIO_T_n, EMIOENET0PTPDELAYREQRX => ENET0_PTP_DELAY_REQ_RX, EMIOENET0PTPDELAYREQTX => ENET0_PTP_DELAY_REQ_TX, EMIOENET0PTPPDELAYREQRX => ENET0_PTP_PDELAY_REQ_RX, EMIOENET0PTPPDELAYREQTX => ENET0_PTP_PDELAY_REQ_TX, EMIOENET0PTPPDELAYRESPRX => ENET0_PTP_PDELAY_RESP_RX, EMIOENET0PTPPDELAYRESPTX => ENET0_PTP_PDELAY_RESP_TX, EMIOENET0PTPSYNCFRAMERX => ENET0_PTP_SYNC_FRAME_RX, EMIOENET0PTPSYNCFRAMETX => ENET0_PTP_SYNC_FRAME_TX, EMIOENET0SOFRX => ENET0_SOF_RX, EMIOENET0SOFTX => ENET0_SOF_TX, EMIOENET1EXTINTIN => ENET1_EXT_INTIN, EMIOENET1GMIICOL => '0', EMIOENET1GMIICRS => '0', EMIOENET1GMIIRXCLK => ENET1_GMII_RX_CLK, EMIOENET1GMIIRXD(7 downto 0) => B"00000000", EMIOENET1GMIIRXDV => '0', EMIOENET1GMIIRXER => '0', EMIOENET1GMIITXCLK => ENET1_GMII_TX_CLK, EMIOENET1GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED(7 downto 0), EMIOENET1GMIITXEN => NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED, EMIOENET1GMIITXER => NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED, EMIOENET1MDIOI => ENET1_MDIO_I, EMIOENET1MDIOMDC => ENET1_MDIO_MDC, EMIOENET1MDIOO => ENET1_MDIO_O, EMIOENET1MDIOTN => ENET1_MDIO_T_n, EMIOENET1PTPDELAYREQRX => ENET1_PTP_DELAY_REQ_RX, EMIOENET1PTPDELAYREQTX => ENET1_PTP_DELAY_REQ_TX, EMIOENET1PTPPDELAYREQRX => ENET1_PTP_PDELAY_REQ_RX, EMIOENET1PTPPDELAYREQTX => ENET1_PTP_PDELAY_REQ_TX, EMIOENET1PTPPDELAYRESPRX => ENET1_PTP_PDELAY_RESP_RX, EMIOENET1PTPPDELAYRESPTX => ENET1_PTP_PDELAY_RESP_TX, EMIOENET1PTPSYNCFRAMERX => ENET1_PTP_SYNC_FRAME_RX, EMIOENET1PTPSYNCFRAMETX => ENET1_PTP_SYNC_FRAME_TX, EMIOENET1SOFRX => ENET1_SOF_RX, EMIOENET1SOFTX => ENET1_SOF_TX, EMIOGPIOI(63 downto 0) => GPIO_I(63 downto 0), EMIOGPIOO(63 downto 0) => GPIO_O(63 downto 0), EMIOGPIOTN(63 downto 0) => gpio_out_t_n(63 downto 0), EMIOI2C0SCLI => I2C0_SCL_I, EMIOI2C0SCLO => I2C0_SCL_O, EMIOI2C0SCLTN => I2C0_SCL_T_n, EMIOI2C0SDAI => I2C0_SDA_I, EMIOI2C0SDAO => I2C0_SDA_O, EMIOI2C0SDATN => I2C0_SDA_T_n, EMIOI2C1SCLI => I2C1_SCL_I, EMIOI2C1SCLO => I2C1_SCL_O, EMIOI2C1SCLTN => I2C1_SCL_T_n, EMIOI2C1SDAI => I2C1_SDA_I, EMIOI2C1SDAO => I2C1_SDA_O, EMIOI2C1SDATN => I2C1_SDA_T_n, EMIOPJTAGTCK => PJTAG_TCK, EMIOPJTAGTDI => PJTAG_TDI, EMIOPJTAGTDO => NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED, EMIOPJTAGTDTN => NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED, EMIOPJTAGTMS => PJTAG_TMS, EMIOSDIO0BUSPOW => SDIO0_BUSPOW, EMIOSDIO0BUSVOLT(2 downto 0) => SDIO0_BUSVOLT(2 downto 0), EMIOSDIO0CDN => SDIO0_CDN, EMIOSDIO0CLK => SDIO0_CLK, EMIOSDIO0CLKFB => SDIO0_CLK_FB, EMIOSDIO0CMDI => SDIO0_CMD_I, EMIOSDIO0CMDO => SDIO0_CMD_O, EMIOSDIO0CMDTN => SDIO0_CMD_T_n, EMIOSDIO0DATAI(3 downto 0) => SDIO0_DATA_I(3 downto 0), EMIOSDIO0DATAO(3 downto 0) => SDIO0_DATA_O(3 downto 0), EMIOSDIO0DATATN(3 downto 0) => SDIO0_DATA_T_n(3 downto 0), EMIOSDIO0LED => SDIO0_LED, EMIOSDIO0WP => SDIO0_WP, EMIOSDIO1BUSPOW => SDIO1_BUSPOW, EMIOSDIO1BUSVOLT(2 downto 0) => SDIO1_BUSVOLT(2 downto 0), EMIOSDIO1CDN => SDIO1_CDN, EMIOSDIO1CLK => SDIO1_CLK, EMIOSDIO1CLKFB => SDIO1_CLK_FB, EMIOSDIO1CMDI => SDIO1_CMD_I, EMIOSDIO1CMDO => SDIO1_CMD_O, EMIOSDIO1CMDTN => SDIO1_CMD_T_n, EMIOSDIO1DATAI(3 downto 0) => SDIO1_DATA_I(3 downto 0), EMIOSDIO1DATAO(3 downto 0) => SDIO1_DATA_O(3 downto 0), EMIOSDIO1DATATN(3 downto 0) => SDIO1_DATA_T_n(3 downto 0), EMIOSDIO1LED => SDIO1_LED, EMIOSDIO1WP => SDIO1_WP, EMIOSPI0MI => SPI0_MISO_I, EMIOSPI0MO => SPI0_MOSI_O, EMIOSPI0MOTN => SPI0_MOSI_T_n, EMIOSPI0SCLKI => SPI0_SCLK_I, EMIOSPI0SCLKO => SPI0_SCLK_O, EMIOSPI0SCLKTN => SPI0_SCLK_T_n, EMIOSPI0SI => SPI0_MOSI_I, EMIOSPI0SO => SPI0_MISO_O, EMIOSPI0SSIN => SPI0_SS_I, EMIOSPI0SSNTN => SPI0_SS_T_n, EMIOSPI0SSON(2) => SPI0_SS2_O, EMIOSPI0SSON(1) => SPI0_SS1_O, EMIOSPI0SSON(0) => SPI0_SS_O, EMIOSPI0STN => SPI0_MISO_T_n, EMIOSPI1MI => SPI1_MISO_I, EMIOSPI1MO => SPI1_MOSI_O, EMIOSPI1MOTN => SPI1_MOSI_T_n, EMIOSPI1SCLKI => SPI1_SCLK_I, EMIOSPI1SCLKO => SPI1_SCLK_O, EMIOSPI1SCLKTN => SPI1_SCLK_T_n, EMIOSPI1SI => SPI1_MOSI_I, EMIOSPI1SO => SPI1_MISO_O, EMIOSPI1SSIN => SPI1_SS_I, EMIOSPI1SSNTN => SPI1_SS_T_n, EMIOSPI1SSON(2) => SPI1_SS2_O, EMIOSPI1SSON(1) => SPI1_SS1_O, EMIOSPI1SSON(0) => SPI1_SS_O, EMIOSPI1STN => SPI1_MISO_T_n, EMIOSRAMINTIN => SRAM_INTIN, EMIOTRACECLK => TRACE_CLK, EMIOTRACECTL => NLW_PS7_i_EMIOTRACECTL_UNCONNECTED, EMIOTRACEDATA(31 downto 0) => NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED(31 downto 0), EMIOTTC0CLKI(2) => TTC0_CLK2_IN, EMIOTTC0CLKI(1) => TTC0_CLK1_IN, EMIOTTC0CLKI(0) => TTC0_CLK0_IN, EMIOTTC0WAVEO(2) => TTC0_WAVE2_OUT, EMIOTTC0WAVEO(1) => TTC0_WAVE1_OUT, EMIOTTC0WAVEO(0) => TTC0_WAVE0_OUT, EMIOTTC1CLKI(2) => TTC1_CLK2_IN, EMIOTTC1CLKI(1) => TTC1_CLK1_IN, EMIOTTC1CLKI(0) => TTC1_CLK0_IN, EMIOTTC1WAVEO(2) => TTC1_WAVE2_OUT, EMIOTTC1WAVEO(1) => TTC1_WAVE1_OUT, EMIOTTC1WAVEO(0) => TTC1_WAVE0_OUT, EMIOUART0CTSN => UART0_CTSN, EMIOUART0DCDN => UART0_DCDN, EMIOUART0DSRN => UART0_DSRN, EMIOUART0DTRN => UART0_DTRN, EMIOUART0RIN => UART0_RIN, EMIOUART0RTSN => UART0_RTSN, EMIOUART0RX => UART0_RX, EMIOUART0TX => UART0_TX, EMIOUART1CTSN => UART1_CTSN, EMIOUART1DCDN => UART1_DCDN, EMIOUART1DSRN => UART1_DSRN, EMIOUART1DTRN => UART1_DTRN, EMIOUART1RIN => UART1_RIN, EMIOUART1RTSN => UART1_RTSN, EMIOUART1RX => UART1_RX, EMIOUART1TX => UART1_TX, EMIOUSB0PORTINDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0), EMIOUSB0VBUSPWRFAULT => USB0_VBUS_PWRFAULT, EMIOUSB0VBUSPWRSELECT => USB0_VBUS_PWRSELECT, EMIOUSB1PORTINDCTL(1 downto 0) => USB1_PORT_INDCTL(1 downto 0), EMIOUSB1VBUSPWRFAULT => USB1_VBUS_PWRFAULT, EMIOUSB1VBUSPWRSELECT => USB1_VBUS_PWRSELECT, EMIOWDTCLKI => WDT_CLK_IN, EMIOWDTRSTO => WDT_RST_OUT, EVENTEVENTI => EVENT_EVENTI, EVENTEVENTO => EVENT_EVENTO, EVENTSTANDBYWFE(1 downto 0) => EVENT_STANDBYWFE(1 downto 0), EVENTSTANDBYWFI(1 downto 0) => EVENT_STANDBYWFI(1 downto 0), FCLKCLK(3) => FCLK_CLK3, FCLKCLK(2) => FCLK_CLK2, FCLKCLK(1) => FCLK_CLK1, FCLKCLK(0) => FCLK_CLK_unbuffered(0), FCLKCLKTRIGN(3 downto 0) => B"0000", FCLKRESETN(3) => FCLK_RESET3_N, FCLKRESETN(2) => FCLK_RESET2_N, FCLKRESETN(1) => FCLK_RESET1_N, FCLKRESETN(0) => FCLK_RESET0_N, FPGAIDLEN => FPGA_IDLE_N, FTMDTRACEINATID(3 downto 0) => B"0000", FTMDTRACEINCLOCK => FTMD_TRACEIN_CLK, FTMDTRACEINDATA(31 downto 0) => B"00000000000000000000000000000000", FTMDTRACEINVALID => '0', FTMTF2PDEBUG(31 downto 0) => FTMT_F2P_DEBUG(31 downto 0), FTMTF2PTRIG(3) => FTMT_F2P_TRIG_3, FTMTF2PTRIG(2) => FTMT_F2P_TRIG_2, FTMTF2PTRIG(1) => FTMT_F2P_TRIG_1, FTMTF2PTRIG(0) => FTMT_F2P_TRIG_0, FTMTF2PTRIGACK(3) => FTMT_F2P_TRIGACK_3, FTMTF2PTRIGACK(2) => FTMT_F2P_TRIGACK_2, FTMTF2PTRIGACK(1) => FTMT_F2P_TRIGACK_1, FTMTF2PTRIGACK(0) => FTMT_F2P_TRIGACK_0, FTMTP2FDEBUG(31 downto 0) => FTMT_P2F_DEBUG(31 downto 0), FTMTP2FTRIG(3) => FTMT_P2F_TRIG_3, FTMTP2FTRIG(2) => FTMT_P2F_TRIG_2, FTMTP2FTRIG(1) => FTMT_P2F_TRIG_1, FTMTP2FTRIG(0) => FTMT_P2F_TRIG_0, FTMTP2FTRIGACK(3) => FTMT_P2F_TRIGACK_3, FTMTP2FTRIGACK(2) => FTMT_P2F_TRIGACK_2, FTMTP2FTRIGACK(1) => FTMT_P2F_TRIGACK_1, FTMTP2FTRIGACK(0) => FTMT_P2F_TRIGACK_0, IRQF2P(19) => Core1_nFIQ, IRQF2P(18) => Core0_nFIQ, IRQF2P(17) => Core1_nIRQ, IRQF2P(16) => Core0_nIRQ, IRQF2P(15 downto 1) => B"000000000000000", IRQF2P(0) => IRQ_F2P(0), IRQP2F(28) => IRQ_P2F_DMAC_ABORT, IRQP2F(27) => IRQ_P2F_DMAC7, IRQP2F(26) => IRQ_P2F_DMAC6, IRQP2F(25) => IRQ_P2F_DMAC5, IRQP2F(24) => IRQ_P2F_DMAC4, IRQP2F(23) => IRQ_P2F_DMAC3, IRQP2F(22) => IRQ_P2F_DMAC2, IRQP2F(21) => IRQ_P2F_DMAC1, IRQP2F(20) => IRQ_P2F_DMAC0, IRQP2F(19) => IRQ_P2F_SMC, IRQP2F(18) => IRQ_P2F_QSPI, IRQP2F(17) => IRQ_P2F_CTI, IRQP2F(16) => IRQ_P2F_GPIO, IRQP2F(15) => IRQ_P2F_USB0, IRQP2F(14) => IRQ_P2F_ENET0, IRQP2F(13) => IRQ_P2F_ENET_WAKE0, IRQP2F(12) => IRQ_P2F_SDIO0, IRQP2F(11) => IRQ_P2F_I2C0, IRQP2F(10) => IRQ_P2F_SPI0, IRQP2F(9) => IRQ_P2F_UART0, IRQP2F(8) => IRQ_P2F_CAN0, IRQP2F(7) => IRQ_P2F_USB1, IRQP2F(6) => IRQ_P2F_ENET1, IRQP2F(5) => IRQ_P2F_ENET_WAKE1, IRQP2F(4) => IRQ_P2F_SDIO1, IRQP2F(3) => IRQ_P2F_I2C1, IRQP2F(2) => IRQ_P2F_SPI1, IRQP2F(1) => IRQ_P2F_UART1, IRQP2F(0) => IRQ_P2F_CAN1, MAXIGP0ACLK => M_AXI_GP0_ACLK, MAXIGP0ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0), MAXIGP0ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0), MAXIGP0ARCACHE(3 downto 2) => \^m_axi_gp0_arcache\(3 downto 2), MAXIGP0ARCACHE(1) => NLW_PS7_i_MAXIGP0ARCACHE_UNCONNECTED(1), MAXIGP0ARCACHE(0) => \^m_axi_gp0_arcache\(0), MAXIGP0ARESETN => M_AXI_GP0_ARESETN, MAXIGP0ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0), MAXIGP0ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0), MAXIGP0ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0), MAXIGP0ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0), MAXIGP0ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0), MAXIGP0ARREADY => M_AXI_GP0_ARREADY, MAXIGP0ARSIZE(1 downto 0) => \^m_axi_gp0_arsize\(1 downto 0), MAXIGP0ARVALID => M_AXI_GP0_ARVALID, MAXIGP0AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0), MAXIGP0AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0), MAXIGP0AWCACHE(3 downto 2) => \^m_axi_gp0_awcache\(3 downto 2), MAXIGP0AWCACHE(1) => NLW_PS7_i_MAXIGP0AWCACHE_UNCONNECTED(1), MAXIGP0AWCACHE(0) => \^m_axi_gp0_awcache\(0), MAXIGP0AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0), MAXIGP0AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0), MAXIGP0AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0), MAXIGP0AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0), MAXIGP0AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0), MAXIGP0AWREADY => M_AXI_GP0_AWREADY, MAXIGP0AWSIZE(1 downto 0) => \^m_axi_gp0_awsize\(1 downto 0), MAXIGP0AWVALID => M_AXI_GP0_AWVALID, MAXIGP0BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0), MAXIGP0BREADY => M_AXI_GP0_BREADY, MAXIGP0BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0), MAXIGP0BVALID => M_AXI_GP0_BVALID, MAXIGP0RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0), MAXIGP0RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0), MAXIGP0RLAST => M_AXI_GP0_RLAST, MAXIGP0RREADY => M_AXI_GP0_RREADY, MAXIGP0RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0), MAXIGP0RVALID => M_AXI_GP0_RVALID, MAXIGP0WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0), MAXIGP0WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0), MAXIGP0WLAST => M_AXI_GP0_WLAST, MAXIGP0WREADY => M_AXI_GP0_WREADY, MAXIGP0WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0), MAXIGP0WVALID => M_AXI_GP0_WVALID, MAXIGP1ACLK => M_AXI_GP1_ACLK, MAXIGP1ARADDR(31 downto 0) => M_AXI_GP1_ARADDR(31 downto 0), MAXIGP1ARBURST(1 downto 0) => M_AXI_GP1_ARBURST(1 downto 0), MAXIGP1ARCACHE(3 downto 2) => \^m_axi_gp1_arcache\(3 downto 2), MAXIGP1ARCACHE(1) => NLW_PS7_i_MAXIGP1ARCACHE_UNCONNECTED(1), MAXIGP1ARCACHE(0) => \^m_axi_gp1_arcache\(0), MAXIGP1ARESETN => M_AXI_GP1_ARESETN, MAXIGP1ARID(11 downto 0) => M_AXI_GP1_ARID(11 downto 0), MAXIGP1ARLEN(3 downto 0) => M_AXI_GP1_ARLEN(3 downto 0), MAXIGP1ARLOCK(1 downto 0) => M_AXI_GP1_ARLOCK(1 downto 0), MAXIGP1ARPROT(2 downto 0) => M_AXI_GP1_ARPROT(2 downto 0), MAXIGP1ARQOS(3 downto 0) => M_AXI_GP1_ARQOS(3 downto 0), MAXIGP1ARREADY => M_AXI_GP1_ARREADY, MAXIGP1ARSIZE(1 downto 0) => \^m_axi_gp1_arsize\(1 downto 0), MAXIGP1ARVALID => M_AXI_GP1_ARVALID, MAXIGP1AWADDR(31 downto 0) => M_AXI_GP1_AWADDR(31 downto 0), MAXIGP1AWBURST(1 downto 0) => M_AXI_GP1_AWBURST(1 downto 0), MAXIGP1AWCACHE(3 downto 2) => \^m_axi_gp1_awcache\(3 downto 2), MAXIGP1AWCACHE(1) => NLW_PS7_i_MAXIGP1AWCACHE_UNCONNECTED(1), MAXIGP1AWCACHE(0) => \^m_axi_gp1_awcache\(0), MAXIGP1AWID(11 downto 0) => M_AXI_GP1_AWID(11 downto 0), MAXIGP1AWLEN(3 downto 0) => M_AXI_GP1_AWLEN(3 downto 0), MAXIGP1AWLOCK(1 downto 0) => M_AXI_GP1_AWLOCK(1 downto 0), MAXIGP1AWPROT(2 downto 0) => M_AXI_GP1_AWPROT(2 downto 0), MAXIGP1AWQOS(3 downto 0) => M_AXI_GP1_AWQOS(3 downto 0), MAXIGP1AWREADY => M_AXI_GP1_AWREADY, MAXIGP1AWSIZE(1 downto 0) => \^m_axi_gp1_awsize\(1 downto 0), MAXIGP1AWVALID => M_AXI_GP1_AWVALID, MAXIGP1BID(11 downto 0) => M_AXI_GP1_BID(11 downto 0), MAXIGP1BREADY => M_AXI_GP1_BREADY, MAXIGP1BRESP(1 downto 0) => M_AXI_GP1_BRESP(1 downto 0), MAXIGP1BVALID => M_AXI_GP1_BVALID, MAXIGP1RDATA(31 downto 0) => M_AXI_GP1_RDATA(31 downto 0), MAXIGP1RID(11 downto 0) => M_AXI_GP1_RID(11 downto 0), MAXIGP1RLAST => M_AXI_GP1_RLAST, MAXIGP1RREADY => M_AXI_GP1_RREADY, MAXIGP1RRESP(1 downto 0) => M_AXI_GP1_RRESP(1 downto 0), MAXIGP1RVALID => M_AXI_GP1_RVALID, MAXIGP1WDATA(31 downto 0) => M_AXI_GP1_WDATA(31 downto 0), MAXIGP1WID(11 downto 0) => M_AXI_GP1_WID(11 downto 0), MAXIGP1WLAST => M_AXI_GP1_WLAST, MAXIGP1WREADY => M_AXI_GP1_WREADY, MAXIGP1WSTRB(3 downto 0) => M_AXI_GP1_WSTRB(3 downto 0), MAXIGP1WVALID => M_AXI_GP1_WVALID, MIO(53 downto 0) => buffered_MIO(53 downto 0), PSCLK => buffered_PS_CLK, PSPORB => buffered_PS_PORB, PSSRSTB => buffered_PS_SRSTB, SAXIACPACLK => S_AXI_ACP_ACLK, SAXIACPARADDR(31 downto 0) => S_AXI_ACP_ARADDR(31 downto 0), SAXIACPARBURST(1 downto 0) => S_AXI_ACP_ARBURST(1 downto 0), SAXIACPARCACHE(3 downto 0) => S_AXI_ACP_ARCACHE(3 downto 0), SAXIACPARESETN => S_AXI_ACP_ARESETN, SAXIACPARID(2 downto 0) => S_AXI_ACP_ARID(2 downto 0), SAXIACPARLEN(3 downto 0) => S_AXI_ACP_ARLEN(3 downto 0), SAXIACPARLOCK(1 downto 0) => S_AXI_ACP_ARLOCK(1 downto 0), SAXIACPARPROT(2 downto 0) => S_AXI_ACP_ARPROT(2 downto 0), SAXIACPARQOS(3 downto 0) => S_AXI_ACP_ARQOS(3 downto 0), SAXIACPARREADY => S_AXI_ACP_ARREADY, SAXIACPARSIZE(1 downto 0) => S_AXI_ACP_ARSIZE(1 downto 0), SAXIACPARUSER(4 downto 0) => S_AXI_ACP_ARUSER(4 downto 0), SAXIACPARVALID => S_AXI_ACP_ARVALID, SAXIACPAWADDR(31 downto 0) => S_AXI_ACP_AWADDR(31 downto 0), SAXIACPAWBURST(1 downto 0) => S_AXI_ACP_AWBURST(1 downto 0), SAXIACPAWCACHE(3 downto 0) => S_AXI_ACP_AWCACHE(3 downto 0), SAXIACPAWID(2 downto 0) => S_AXI_ACP_AWID(2 downto 0), SAXIACPAWLEN(3 downto 0) => S_AXI_ACP_AWLEN(3 downto 0), SAXIACPAWLOCK(1 downto 0) => S_AXI_ACP_AWLOCK(1 downto 0), SAXIACPAWPROT(2 downto 0) => S_AXI_ACP_AWPROT(2 downto 0), SAXIACPAWQOS(3 downto 0) => S_AXI_ACP_AWQOS(3 downto 0), SAXIACPAWREADY => S_AXI_ACP_AWREADY, SAXIACPAWSIZE(1 downto 0) => S_AXI_ACP_AWSIZE(1 downto 0), SAXIACPAWUSER(4 downto 0) => S_AXI_ACP_AWUSER(4 downto 0), SAXIACPAWVALID => S_AXI_ACP_AWVALID, SAXIACPBID(2 downto 0) => S_AXI_ACP_BID(2 downto 0), SAXIACPBREADY => S_AXI_ACP_BREADY, SAXIACPBRESP(1 downto 0) => S_AXI_ACP_BRESP(1 downto 0), SAXIACPBVALID => S_AXI_ACP_BVALID, SAXIACPRDATA(63 downto 0) => S_AXI_ACP_RDATA(63 downto 0), SAXIACPRID(2 downto 0) => S_AXI_ACP_RID(2 downto 0), SAXIACPRLAST => S_AXI_ACP_RLAST, SAXIACPRREADY => S_AXI_ACP_RREADY, SAXIACPRRESP(1 downto 0) => S_AXI_ACP_RRESP(1 downto 0), SAXIACPRVALID => S_AXI_ACP_RVALID, SAXIACPWDATA(63 downto 0) => S_AXI_ACP_WDATA(63 downto 0), SAXIACPWID(2 downto 0) => S_AXI_ACP_WID(2 downto 0), SAXIACPWLAST => S_AXI_ACP_WLAST, SAXIACPWREADY => S_AXI_ACP_WREADY, SAXIACPWSTRB(7 downto 0) => S_AXI_ACP_WSTRB(7 downto 0), SAXIACPWVALID => S_AXI_ACP_WVALID, SAXIGP0ACLK => S_AXI_GP0_ACLK, SAXIGP0ARADDR(31 downto 0) => S_AXI_GP0_ARADDR(31 downto 0), SAXIGP0ARBURST(1 downto 0) => S_AXI_GP0_ARBURST(1 downto 0), SAXIGP0ARCACHE(3 downto 0) => S_AXI_GP0_ARCACHE(3 downto 0), SAXIGP0ARESETN => S_AXI_GP0_ARESETN, SAXIGP0ARID(5 downto 0) => S_AXI_GP0_ARID(5 downto 0), SAXIGP0ARLEN(3 downto 0) => S_AXI_GP0_ARLEN(3 downto 0), SAXIGP0ARLOCK(1 downto 0) => S_AXI_GP0_ARLOCK(1 downto 0), SAXIGP0ARPROT(2 downto 0) => S_AXI_GP0_ARPROT(2 downto 0), SAXIGP0ARQOS(3 downto 0) => S_AXI_GP0_ARQOS(3 downto 0), SAXIGP0ARREADY => S_AXI_GP0_ARREADY, SAXIGP0ARSIZE(1 downto 0) => S_AXI_GP0_ARSIZE(1 downto 0), SAXIGP0ARVALID => S_AXI_GP0_ARVALID, SAXIGP0AWADDR(31 downto 0) => S_AXI_GP0_AWADDR(31 downto 0), SAXIGP0AWBURST(1 downto 0) => S_AXI_GP0_AWBURST(1 downto 0), SAXIGP0AWCACHE(3 downto 0) => S_AXI_GP0_AWCACHE(3 downto 0), SAXIGP0AWID(5 downto 0) => S_AXI_GP0_AWID(5 downto 0), SAXIGP0AWLEN(3 downto 0) => S_AXI_GP0_AWLEN(3 downto 0), SAXIGP0AWLOCK(1 downto 0) => S_AXI_GP0_AWLOCK(1 downto 0), SAXIGP0AWPROT(2 downto 0) => S_AXI_GP0_AWPROT(2 downto 0), SAXIGP0AWQOS(3 downto 0) => S_AXI_GP0_AWQOS(3 downto 0), SAXIGP0AWREADY => S_AXI_GP0_AWREADY, SAXIGP0AWSIZE(1 downto 0) => S_AXI_GP0_AWSIZE(1 downto 0), SAXIGP0AWVALID => S_AXI_GP0_AWVALID, SAXIGP0BID(5 downto 0) => S_AXI_GP0_BID(5 downto 0), SAXIGP0BREADY => S_AXI_GP0_BREADY, SAXIGP0BRESP(1 downto 0) => S_AXI_GP0_BRESP(1 downto 0), SAXIGP0BVALID => S_AXI_GP0_BVALID, SAXIGP0RDATA(31 downto 0) => S_AXI_GP0_RDATA(31 downto 0), SAXIGP0RID(5 downto 0) => S_AXI_GP0_RID(5 downto 0), SAXIGP0RLAST => S_AXI_GP0_RLAST, SAXIGP0RREADY => S_AXI_GP0_RREADY, SAXIGP0RRESP(1 downto 0) => S_AXI_GP0_RRESP(1 downto 0), SAXIGP0RVALID => S_AXI_GP0_RVALID, SAXIGP0WDATA(31 downto 0) => S_AXI_GP0_WDATA(31 downto 0), SAXIGP0WID(5 downto 0) => S_AXI_GP0_WID(5 downto 0), SAXIGP0WLAST => S_AXI_GP0_WLAST, SAXIGP0WREADY => S_AXI_GP0_WREADY, SAXIGP0WSTRB(3 downto 0) => S_AXI_GP0_WSTRB(3 downto 0), SAXIGP0WVALID => S_AXI_GP0_WVALID, SAXIGP1ACLK => S_AXI_GP1_ACLK, SAXIGP1ARADDR(31 downto 0) => S_AXI_GP1_ARADDR(31 downto 0), SAXIGP1ARBURST(1 downto 0) => S_AXI_GP1_ARBURST(1 downto 0), SAXIGP1ARCACHE(3 downto 0) => S_AXI_GP1_ARCACHE(3 downto 0), SAXIGP1ARESETN => S_AXI_GP1_ARESETN, SAXIGP1ARID(5 downto 0) => S_AXI_GP1_ARID(5 downto 0), SAXIGP1ARLEN(3 downto 0) => S_AXI_GP1_ARLEN(3 downto 0), SAXIGP1ARLOCK(1 downto 0) => S_AXI_GP1_ARLOCK(1 downto 0), SAXIGP1ARPROT(2 downto 0) => S_AXI_GP1_ARPROT(2 downto 0), SAXIGP1ARQOS(3 downto 0) => S_AXI_GP1_ARQOS(3 downto 0), SAXIGP1ARREADY => S_AXI_GP1_ARREADY, SAXIGP1ARSIZE(1 downto 0) => S_AXI_GP1_ARSIZE(1 downto 0), SAXIGP1ARVALID => S_AXI_GP1_ARVALID, SAXIGP1AWADDR(31 downto 0) => S_AXI_GP1_AWADDR(31 downto 0), SAXIGP1AWBURST(1 downto 0) => S_AXI_GP1_AWBURST(1 downto 0), SAXIGP1AWCACHE(3 downto 0) => S_AXI_GP1_AWCACHE(3 downto 0), SAXIGP1AWID(5 downto 0) => S_AXI_GP1_AWID(5 downto 0), SAXIGP1AWLEN(3 downto 0) => S_AXI_GP1_AWLEN(3 downto 0), SAXIGP1AWLOCK(1 downto 0) => S_AXI_GP1_AWLOCK(1 downto 0), SAXIGP1AWPROT(2 downto 0) => S_AXI_GP1_AWPROT(2 downto 0), SAXIGP1AWQOS(3 downto 0) => S_AXI_GP1_AWQOS(3 downto 0), SAXIGP1AWREADY => S_AXI_GP1_AWREADY, SAXIGP1AWSIZE(1 downto 0) => S_AXI_GP1_AWSIZE(1 downto 0), SAXIGP1AWVALID => S_AXI_GP1_AWVALID, SAXIGP1BID(5 downto 0) => S_AXI_GP1_BID(5 downto 0), SAXIGP1BREADY => S_AXI_GP1_BREADY, SAXIGP1BRESP(1 downto 0) => S_AXI_GP1_BRESP(1 downto 0), SAXIGP1BVALID => S_AXI_GP1_BVALID, SAXIGP1RDATA(31 downto 0) => S_AXI_GP1_RDATA(31 downto 0), SAXIGP1RID(5 downto 0) => S_AXI_GP1_RID(5 downto 0), SAXIGP1RLAST => S_AXI_GP1_RLAST, SAXIGP1RREADY => S_AXI_GP1_RREADY, SAXIGP1RRESP(1 downto 0) => S_AXI_GP1_RRESP(1 downto 0), SAXIGP1RVALID => S_AXI_GP1_RVALID, SAXIGP1WDATA(31 downto 0) => S_AXI_GP1_WDATA(31 downto 0), SAXIGP1WID(5 downto 0) => S_AXI_GP1_WID(5 downto 0), SAXIGP1WLAST => S_AXI_GP1_WLAST, SAXIGP1WREADY => S_AXI_GP1_WREADY, SAXIGP1WSTRB(3 downto 0) => S_AXI_GP1_WSTRB(3 downto 0), SAXIGP1WVALID => S_AXI_GP1_WVALID, SAXIHP0ACLK => S_AXI_HP0_ACLK, SAXIHP0ARADDR(31 downto 0) => S_AXI_HP0_ARADDR(31 downto 0), SAXIHP0ARBURST(1 downto 0) => S_AXI_HP0_ARBURST(1 downto 0), SAXIHP0ARCACHE(3 downto 0) => S_AXI_HP0_ARCACHE(3 downto 0), SAXIHP0ARESETN => S_AXI_HP0_ARESETN, SAXIHP0ARID(5 downto 0) => S_AXI_HP0_ARID(5 downto 0), SAXIHP0ARLEN(3 downto 0) => S_AXI_HP0_ARLEN(3 downto 0), SAXIHP0ARLOCK(1 downto 0) => S_AXI_HP0_ARLOCK(1 downto 0), SAXIHP0ARPROT(2 downto 0) => S_AXI_HP0_ARPROT(2 downto 0), SAXIHP0ARQOS(3 downto 0) => S_AXI_HP0_ARQOS(3 downto 0), SAXIHP0ARREADY => S_AXI_HP0_ARREADY, SAXIHP0ARSIZE(1 downto 0) => S_AXI_HP0_ARSIZE(1 downto 0), SAXIHP0ARVALID => S_AXI_HP0_ARVALID, SAXIHP0AWADDR(31 downto 0) => S_AXI_HP0_AWADDR(31 downto 0), SAXIHP0AWBURST(1 downto 0) => S_AXI_HP0_AWBURST(1 downto 0), SAXIHP0AWCACHE(3 downto 0) => S_AXI_HP0_AWCACHE(3 downto 0), SAXIHP0AWID(5 downto 0) => S_AXI_HP0_AWID(5 downto 0), SAXIHP0AWLEN(3 downto 0) => S_AXI_HP0_AWLEN(3 downto 0), SAXIHP0AWLOCK(1 downto 0) => S_AXI_HP0_AWLOCK(1 downto 0), SAXIHP0AWPROT(2 downto 0) => S_AXI_HP0_AWPROT(2 downto 0), SAXIHP0AWQOS(3 downto 0) => S_AXI_HP0_AWQOS(3 downto 0), SAXIHP0AWREADY => S_AXI_HP0_AWREADY, SAXIHP0AWSIZE(1 downto 0) => S_AXI_HP0_AWSIZE(1 downto 0), SAXIHP0AWVALID => S_AXI_HP0_AWVALID, SAXIHP0BID(5 downto 0) => S_AXI_HP0_BID(5 downto 0), SAXIHP0BREADY => S_AXI_HP0_BREADY, SAXIHP0BRESP(1 downto 0) => S_AXI_HP0_BRESP(1 downto 0), SAXIHP0BVALID => S_AXI_HP0_BVALID, SAXIHP0RACOUNT(2 downto 0) => S_AXI_HP0_RACOUNT(2 downto 0), SAXIHP0RCOUNT(7 downto 0) => S_AXI_HP0_RCOUNT(7 downto 0), SAXIHP0RDATA(63 downto 0) => S_AXI_HP0_RDATA(63 downto 0), SAXIHP0RDISSUECAP1EN => S_AXI_HP0_RDISSUECAP1_EN, SAXIHP0RID(5 downto 0) => S_AXI_HP0_RID(5 downto 0), SAXIHP0RLAST => S_AXI_HP0_RLAST, SAXIHP0RREADY => S_AXI_HP0_RREADY, SAXIHP0RRESP(1 downto 0) => S_AXI_HP0_RRESP(1 downto 0), SAXIHP0RVALID => S_AXI_HP0_RVALID, SAXIHP0WACOUNT(5 downto 0) => S_AXI_HP0_WACOUNT(5 downto 0), SAXIHP0WCOUNT(7 downto 0) => S_AXI_HP0_WCOUNT(7 downto 0), SAXIHP0WDATA(63 downto 0) => S_AXI_HP0_WDATA(63 downto 0), SAXIHP0WID(5 downto 0) => S_AXI_HP0_WID(5 downto 0), SAXIHP0WLAST => S_AXI_HP0_WLAST, SAXIHP0WREADY => S_AXI_HP0_WREADY, SAXIHP0WRISSUECAP1EN => S_AXI_HP0_WRISSUECAP1_EN, SAXIHP0WSTRB(7 downto 0) => S_AXI_HP0_WSTRB(7 downto 0), SAXIHP0WVALID => S_AXI_HP0_WVALID, SAXIHP1ACLK => S_AXI_HP1_ACLK, SAXIHP1ARADDR(31 downto 0) => S_AXI_HP1_ARADDR(31 downto 0), SAXIHP1ARBURST(1 downto 0) => S_AXI_HP1_ARBURST(1 downto 0), SAXIHP1ARCACHE(3 downto 0) => S_AXI_HP1_ARCACHE(3 downto 0), SAXIHP1ARESETN => S_AXI_HP1_ARESETN, SAXIHP1ARID(5 downto 0) => S_AXI_HP1_ARID(5 downto 0), SAXIHP1ARLEN(3 downto 0) => S_AXI_HP1_ARLEN(3 downto 0), SAXIHP1ARLOCK(1 downto 0) => S_AXI_HP1_ARLOCK(1 downto 0), SAXIHP1ARPROT(2 downto 0) => S_AXI_HP1_ARPROT(2 downto 0), SAXIHP1ARQOS(3 downto 0) => S_AXI_HP1_ARQOS(3 downto 0), SAXIHP1ARREADY => S_AXI_HP1_ARREADY, SAXIHP1ARSIZE(1 downto 0) => S_AXI_HP1_ARSIZE(1 downto 0), SAXIHP1ARVALID => S_AXI_HP1_ARVALID, SAXIHP1AWADDR(31 downto 0) => S_AXI_HP1_AWADDR(31 downto 0), SAXIHP1AWBURST(1 downto 0) => S_AXI_HP1_AWBURST(1 downto 0), SAXIHP1AWCACHE(3 downto 0) => S_AXI_HP1_AWCACHE(3 downto 0), SAXIHP1AWID(5 downto 0) => S_AXI_HP1_AWID(5 downto 0), SAXIHP1AWLEN(3 downto 0) => S_AXI_HP1_AWLEN(3 downto 0), SAXIHP1AWLOCK(1 downto 0) => S_AXI_HP1_AWLOCK(1 downto 0), SAXIHP1AWPROT(2 downto 0) => S_AXI_HP1_AWPROT(2 downto 0), SAXIHP1AWQOS(3 downto 0) => S_AXI_HP1_AWQOS(3 downto 0), SAXIHP1AWREADY => S_AXI_HP1_AWREADY, SAXIHP1AWSIZE(1 downto 0) => S_AXI_HP1_AWSIZE(1 downto 0), SAXIHP1AWVALID => S_AXI_HP1_AWVALID, SAXIHP1BID(5 downto 0) => S_AXI_HP1_BID(5 downto 0), SAXIHP1BREADY => S_AXI_HP1_BREADY, SAXIHP1BRESP(1 downto 0) => S_AXI_HP1_BRESP(1 downto 0), SAXIHP1BVALID => S_AXI_HP1_BVALID, SAXIHP1RACOUNT(2 downto 0) => S_AXI_HP1_RACOUNT(2 downto 0), SAXIHP1RCOUNT(7 downto 0) => S_AXI_HP1_RCOUNT(7 downto 0), SAXIHP1RDATA(63 downto 0) => S_AXI_HP1_RDATA(63 downto 0), SAXIHP1RDISSUECAP1EN => S_AXI_HP1_RDISSUECAP1_EN, SAXIHP1RID(5 downto 0) => S_AXI_HP1_RID(5 downto 0), SAXIHP1RLAST => S_AXI_HP1_RLAST, SAXIHP1RREADY => S_AXI_HP1_RREADY, SAXIHP1RRESP(1 downto 0) => S_AXI_HP1_RRESP(1 downto 0), SAXIHP1RVALID => S_AXI_HP1_RVALID, SAXIHP1WACOUNT(5 downto 0) => S_AXI_HP1_WACOUNT(5 downto 0), SAXIHP1WCOUNT(7 downto 0) => S_AXI_HP1_WCOUNT(7 downto 0), SAXIHP1WDATA(63 downto 0) => S_AXI_HP1_WDATA(63 downto 0), SAXIHP1WID(5 downto 0) => S_AXI_HP1_WID(5 downto 0), SAXIHP1WLAST => S_AXI_HP1_WLAST, SAXIHP1WREADY => S_AXI_HP1_WREADY, SAXIHP1WRISSUECAP1EN => S_AXI_HP1_WRISSUECAP1_EN, SAXIHP1WSTRB(7 downto 0) => S_AXI_HP1_WSTRB(7 downto 0), SAXIHP1WVALID => S_AXI_HP1_WVALID, SAXIHP2ACLK => S_AXI_HP2_ACLK, SAXIHP2ARADDR(31 downto 0) => S_AXI_HP2_ARADDR(31 downto 0), SAXIHP2ARBURST(1 downto 0) => S_AXI_HP2_ARBURST(1 downto 0), SAXIHP2ARCACHE(3 downto 0) => S_AXI_HP2_ARCACHE(3 downto 0), SAXIHP2ARESETN => S_AXI_HP2_ARESETN, SAXIHP2ARID(5 downto 0) => S_AXI_HP2_ARID(5 downto 0), SAXIHP2ARLEN(3 downto 0) => S_AXI_HP2_ARLEN(3 downto 0), SAXIHP2ARLOCK(1 downto 0) => S_AXI_HP2_ARLOCK(1 downto 0), SAXIHP2ARPROT(2 downto 0) => S_AXI_HP2_ARPROT(2 downto 0), SAXIHP2ARQOS(3 downto 0) => S_AXI_HP2_ARQOS(3 downto 0), SAXIHP2ARREADY => S_AXI_HP2_ARREADY, SAXIHP2ARSIZE(1 downto 0) => S_AXI_HP2_ARSIZE(1 downto 0), SAXIHP2ARVALID => S_AXI_HP2_ARVALID, SAXIHP2AWADDR(31 downto 0) => S_AXI_HP2_AWADDR(31 downto 0), SAXIHP2AWBURST(1 downto 0) => S_AXI_HP2_AWBURST(1 downto 0), SAXIHP2AWCACHE(3 downto 0) => S_AXI_HP2_AWCACHE(3 downto 0), SAXIHP2AWID(5 downto 0) => S_AXI_HP2_AWID(5 downto 0), SAXIHP2AWLEN(3 downto 0) => S_AXI_HP2_AWLEN(3 downto 0), SAXIHP2AWLOCK(1 downto 0) => S_AXI_HP2_AWLOCK(1 downto 0), SAXIHP2AWPROT(2 downto 0) => S_AXI_HP2_AWPROT(2 downto 0), SAXIHP2AWQOS(3 downto 0) => S_AXI_HP2_AWQOS(3 downto 0), SAXIHP2AWREADY => S_AXI_HP2_AWREADY, SAXIHP2AWSIZE(1 downto 0) => S_AXI_HP2_AWSIZE(1 downto 0), SAXIHP2AWVALID => S_AXI_HP2_AWVALID, SAXIHP2BID(5 downto 0) => S_AXI_HP2_BID(5 downto 0), SAXIHP2BREADY => S_AXI_HP2_BREADY, SAXIHP2BRESP(1 downto 0) => S_AXI_HP2_BRESP(1 downto 0), SAXIHP2BVALID => S_AXI_HP2_BVALID, SAXIHP2RACOUNT(2 downto 0) => S_AXI_HP2_RACOUNT(2 downto 0), SAXIHP2RCOUNT(7 downto 0) => S_AXI_HP2_RCOUNT(7 downto 0), SAXIHP2RDATA(63 downto 0) => S_AXI_HP2_RDATA(63 downto 0), SAXIHP2RDISSUECAP1EN => S_AXI_HP2_RDISSUECAP1_EN, SAXIHP2RID(5 downto 0) => S_AXI_HP2_RID(5 downto 0), SAXIHP2RLAST => S_AXI_HP2_RLAST, SAXIHP2RREADY => S_AXI_HP2_RREADY, SAXIHP2RRESP(1 downto 0) => S_AXI_HP2_RRESP(1 downto 0), SAXIHP2RVALID => S_AXI_HP2_RVALID, SAXIHP2WACOUNT(5 downto 0) => S_AXI_HP2_WACOUNT(5 downto 0), SAXIHP2WCOUNT(7 downto 0) => S_AXI_HP2_WCOUNT(7 downto 0), SAXIHP2WDATA(63 downto 0) => S_AXI_HP2_WDATA(63 downto 0), SAXIHP2WID(5 downto 0) => S_AXI_HP2_WID(5 downto 0), SAXIHP2WLAST => S_AXI_HP2_WLAST, SAXIHP2WREADY => S_AXI_HP2_WREADY, SAXIHP2WRISSUECAP1EN => S_AXI_HP2_WRISSUECAP1_EN, SAXIHP2WSTRB(7 downto 0) => S_AXI_HP2_WSTRB(7 downto 0), SAXIHP2WVALID => S_AXI_HP2_WVALID, SAXIHP3ACLK => S_AXI_HP3_ACLK, SAXIHP3ARADDR(31 downto 0) => S_AXI_HP3_ARADDR(31 downto 0), SAXIHP3ARBURST(1 downto 0) => S_AXI_HP3_ARBURST(1 downto 0), SAXIHP3ARCACHE(3 downto 0) => S_AXI_HP3_ARCACHE(3 downto 0), SAXIHP3ARESETN => S_AXI_HP3_ARESETN, SAXIHP3ARID(5 downto 0) => S_AXI_HP3_ARID(5 downto 0), SAXIHP3ARLEN(3 downto 0) => S_AXI_HP3_ARLEN(3 downto 0), SAXIHP3ARLOCK(1 downto 0) => S_AXI_HP3_ARLOCK(1 downto 0), SAXIHP3ARPROT(2 downto 0) => S_AXI_HP3_ARPROT(2 downto 0), SAXIHP3ARQOS(3 downto 0) => S_AXI_HP3_ARQOS(3 downto 0), SAXIHP3ARREADY => S_AXI_HP3_ARREADY, SAXIHP3ARSIZE(1 downto 0) => S_AXI_HP3_ARSIZE(1 downto 0), SAXIHP3ARVALID => S_AXI_HP3_ARVALID, SAXIHP3AWADDR(31 downto 0) => S_AXI_HP3_AWADDR(31 downto 0), SAXIHP3AWBURST(1 downto 0) => S_AXI_HP3_AWBURST(1 downto 0), SAXIHP3AWCACHE(3 downto 0) => S_AXI_HP3_AWCACHE(3 downto 0), SAXIHP3AWID(5 downto 0) => S_AXI_HP3_AWID(5 downto 0), SAXIHP3AWLEN(3 downto 0) => S_AXI_HP3_AWLEN(3 downto 0), SAXIHP3AWLOCK(1 downto 0) => S_AXI_HP3_AWLOCK(1 downto 0), SAXIHP3AWPROT(2 downto 0) => S_AXI_HP3_AWPROT(2 downto 0), SAXIHP3AWQOS(3 downto 0) => S_AXI_HP3_AWQOS(3 downto 0), SAXIHP3AWREADY => S_AXI_HP3_AWREADY, SAXIHP3AWSIZE(1 downto 0) => S_AXI_HP3_AWSIZE(1 downto 0), SAXIHP3AWVALID => S_AXI_HP3_AWVALID, SAXIHP3BID(5 downto 0) => S_AXI_HP3_BID(5 downto 0), SAXIHP3BREADY => S_AXI_HP3_BREADY, SAXIHP3BRESP(1 downto 0) => S_AXI_HP3_BRESP(1 downto 0), SAXIHP3BVALID => S_AXI_HP3_BVALID, SAXIHP3RACOUNT(2 downto 0) => S_AXI_HP3_RACOUNT(2 downto 0), SAXIHP3RCOUNT(7 downto 0) => S_AXI_HP3_RCOUNT(7 downto 0), SAXIHP3RDATA(63 downto 0) => S_AXI_HP3_RDATA(63 downto 0), SAXIHP3RDISSUECAP1EN => S_AXI_HP3_RDISSUECAP1_EN, SAXIHP3RID(5 downto 0) => S_AXI_HP3_RID(5 downto 0), SAXIHP3RLAST => S_AXI_HP3_RLAST, SAXIHP3RREADY => S_AXI_HP3_RREADY, SAXIHP3RRESP(1 downto 0) => S_AXI_HP3_RRESP(1 downto 0), SAXIHP3RVALID => S_AXI_HP3_RVALID, SAXIHP3WACOUNT(5 downto 0) => S_AXI_HP3_WACOUNT(5 downto 0), SAXIHP3WCOUNT(7 downto 0) => S_AXI_HP3_WCOUNT(7 downto 0), SAXIHP3WDATA(63 downto 0) => S_AXI_HP3_WDATA(63 downto 0), SAXIHP3WID(5 downto 0) => S_AXI_HP3_WID(5 downto 0), SAXIHP3WLAST => S_AXI_HP3_WLAST, SAXIHP3WREADY => S_AXI_HP3_WREADY, SAXIHP3WRISSUECAP1EN => S_AXI_HP3_WRISSUECAP1_EN, SAXIHP3WSTRB(7 downto 0) => S_AXI_HP3_WSTRB(7 downto 0), SAXIHP3WVALID => S_AXI_HP3_WVALID ); PS_CLK_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_PS_CLK, PAD => PS_CLK ); PS_PORB_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_PS_PORB, PAD => PS_PORB ); PS_SRSTB_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_PS_SRSTB, PAD => PS_SRSTB ); SDIO0_CMD_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_CMD_T_n, O => SDIO0_CMD_T ); \SDIO0_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_DATA_T_n(0), O => SDIO0_DATA_T(0) ); \SDIO0_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_DATA_T_n(1), O => SDIO0_DATA_T(1) ); \SDIO0_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_DATA_T_n(2), O => SDIO0_DATA_T(2) ); \SDIO0_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_DATA_T_n(3), O => SDIO0_DATA_T(3) ); SDIO1_CMD_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_CMD_T_n, O => SDIO1_CMD_T ); \SDIO1_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_DATA_T_n(0), O => SDIO1_DATA_T(0) ); \SDIO1_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_DATA_T_n(1), O => SDIO1_DATA_T(1) ); \SDIO1_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_DATA_T_n(2), O => SDIO1_DATA_T(2) ); \SDIO1_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_DATA_T_n(3), O => SDIO1_DATA_T(3) ); SPI0_MISO_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI0_MISO_T_n, O => SPI0_MISO_T ); SPI0_MOSI_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI0_MOSI_T_n, O => SPI0_MOSI_T ); SPI0_SCLK_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI0_SCLK_T_n, O => SPI0_SCLK_T ); SPI0_SS_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI0_SS_T_n, O => SPI0_SS_T ); SPI1_MISO_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI1_MISO_T_n, O => SPI1_MISO_T ); SPI1_MOSI_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI1_MOSI_T_n, O => SPI1_MOSI_T ); SPI1_SCLK_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI1_SCLK_T_n, O => SPI1_SCLK_T ); SPI1_SS_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI1_SS_T_n, O => SPI1_SS_T ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); \buffer_fclk_clk_0.FCLK_CLK_0_BUFG\: unisim.vcomponents.BUFG port map ( I => FCLK_CLK_unbuffered(0), O => FCLK_CLK0 ); \genblk13[0].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(0), PAD => MIO(0) ); \genblk13[10].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(10), PAD => MIO(10) ); \genblk13[11].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(11), PAD => MIO(11) ); \genblk13[12].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(12), PAD => MIO(12) ); \genblk13[13].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(13), PAD => MIO(13) ); \genblk13[14].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(14), PAD => MIO(14) ); \genblk13[15].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(15), PAD => MIO(15) ); \genblk13[16].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(16), PAD => MIO(16) ); \genblk13[17].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(17), PAD => MIO(17) ); \genblk13[18].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(18), PAD => MIO(18) ); \genblk13[19].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(19), PAD => MIO(19) ); \genblk13[1].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(1), PAD => MIO(1) ); \genblk13[20].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(20), PAD => MIO(20) ); \genblk13[21].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(21), PAD => MIO(21) ); \genblk13[22].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(22), PAD => MIO(22) ); \genblk13[23].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(23), PAD => MIO(23) ); \genblk13[24].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(24), PAD => MIO(24) ); \genblk13[25].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(25), PAD => MIO(25) ); \genblk13[26].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(26), PAD => MIO(26) ); \genblk13[27].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(27), PAD => MIO(27) ); \genblk13[28].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(28), PAD => MIO(28) ); \genblk13[29].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(29), PAD => MIO(29) ); \genblk13[2].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(2), PAD => MIO(2) ); \genblk13[30].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(30), PAD => MIO(30) ); \genblk13[31].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(31), PAD => MIO(31) ); \genblk13[32].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(32), PAD => MIO(32) ); \genblk13[33].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(33), PAD => MIO(33) ); \genblk13[34].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(34), PAD => MIO(34) ); \genblk13[35].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(35), PAD => MIO(35) ); \genblk13[36].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(36), PAD => MIO(36) ); \genblk13[37].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(37), PAD => MIO(37) ); \genblk13[38].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(38), PAD => MIO(38) ); \genblk13[39].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(39), PAD => MIO(39) ); \genblk13[3].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(3), PAD => MIO(3) ); \genblk13[40].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(40), PAD => MIO(40) ); \genblk13[41].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(41), PAD => MIO(41) ); \genblk13[42].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(42), PAD => MIO(42) ); \genblk13[43].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(43), PAD => MIO(43) ); \genblk13[44].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(44), PAD => MIO(44) ); \genblk13[45].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(45), PAD => MIO(45) ); \genblk13[46].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(46), PAD => MIO(46) ); \genblk13[47].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(47), PAD => MIO(47) ); \genblk13[48].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(48), PAD => MIO(48) ); \genblk13[49].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(49), PAD => MIO(49) ); \genblk13[4].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(4), PAD => MIO(4) ); \genblk13[50].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(50), PAD => MIO(50) ); \genblk13[51].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(51), PAD => MIO(51) ); \genblk13[52].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(52), PAD => MIO(52) ); \genblk13[53].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(53), PAD => MIO(53) ); \genblk13[5].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(5), PAD => MIO(5) ); \genblk13[6].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(6), PAD => MIO(6) ); \genblk13[7].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(7), PAD => MIO(7) ); \genblk13[8].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(8), PAD => MIO(8) ); \genblk13[9].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(9), PAD => MIO(9) ); \genblk14[0].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_BankAddr(0), PAD => DDR_BankAddr(0) ); \genblk14[1].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_BankAddr(1), PAD => DDR_BankAddr(1) ); \genblk14[2].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_BankAddr(2), PAD => DDR_BankAddr(2) ); \genblk15[0].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(0), PAD => DDR_Addr(0) ); \genblk15[10].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(10), PAD => DDR_Addr(10) ); \genblk15[11].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(11), PAD => DDR_Addr(11) ); \genblk15[12].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(12), PAD => DDR_Addr(12) ); \genblk15[13].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(13), PAD => DDR_Addr(13) ); \genblk15[14].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(14), PAD => DDR_Addr(14) ); \genblk15[1].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(1), PAD => DDR_Addr(1) ); \genblk15[2].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(2), PAD => DDR_Addr(2) ); \genblk15[3].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(3), PAD => DDR_Addr(3) ); \genblk15[4].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(4), PAD => DDR_Addr(4) ); \genblk15[5].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(5), PAD => DDR_Addr(5) ); \genblk15[6].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(6), PAD => DDR_Addr(6) ); \genblk15[7].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(7), PAD => DDR_Addr(7) ); \genblk15[8].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(8), PAD => DDR_Addr(8) ); \genblk15[9].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(9), PAD => DDR_Addr(9) ); \genblk16[0].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DM(0), PAD => DDR_DM(0) ); \genblk16[1].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DM(1), PAD => DDR_DM(1) ); \genblk16[2].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DM(2), PAD => DDR_DM(2) ); \genblk16[3].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DM(3), PAD => DDR_DM(3) ); \genblk17[0].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(0), PAD => DDR_DQ(0) ); \genblk17[10].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(10), PAD => DDR_DQ(10) ); \genblk17[11].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(11), PAD => DDR_DQ(11) ); \genblk17[12].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(12), PAD => DDR_DQ(12) ); \genblk17[13].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(13), PAD => DDR_DQ(13) ); \genblk17[14].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(14), PAD => DDR_DQ(14) ); \genblk17[15].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(15), PAD => DDR_DQ(15) ); \genblk17[16].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(16), PAD => DDR_DQ(16) ); \genblk17[17].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(17), PAD => DDR_DQ(17) ); \genblk17[18].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(18), PAD => DDR_DQ(18) ); \genblk17[19].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(19), PAD => DDR_DQ(19) ); \genblk17[1].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(1), PAD => DDR_DQ(1) ); \genblk17[20].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(20), PAD => DDR_DQ(20) ); \genblk17[21].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(21), PAD => DDR_DQ(21) ); \genblk17[22].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(22), PAD => DDR_DQ(22) ); \genblk17[23].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(23), PAD => DDR_DQ(23) ); \genblk17[24].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(24), PAD => DDR_DQ(24) ); \genblk17[25].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(25), PAD => DDR_DQ(25) ); \genblk17[26].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(26), PAD => DDR_DQ(26) ); \genblk17[27].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(27), PAD => DDR_DQ(27) ); \genblk17[28].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(28), PAD => DDR_DQ(28) ); \genblk17[29].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(29), PAD => DDR_DQ(29) ); \genblk17[2].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(2), PAD => DDR_DQ(2) ); \genblk17[30].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(30), PAD => DDR_DQ(30) ); \genblk17[31].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(31), PAD => DDR_DQ(31) ); \genblk17[3].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(3), PAD => DDR_DQ(3) ); \genblk17[4].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(4), PAD => DDR_DQ(4) ); \genblk17[5].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(5), PAD => DDR_DQ(5) ); \genblk17[6].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(6), PAD => DDR_DQ(6) ); \genblk17[7].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(7), PAD => DDR_DQ(7) ); \genblk17[8].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(8), PAD => DDR_DQ(8) ); \genblk17[9].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(9), PAD => DDR_DQ(9) ); \genblk18[0].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS_n(0), PAD => DDR_DQS_n(0) ); \genblk18[1].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS_n(1), PAD => DDR_DQS_n(1) ); \genblk18[2].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS_n(2), PAD => DDR_DQS_n(2) ); \genblk18[3].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS_n(3), PAD => DDR_DQS_n(3) ); \genblk19[0].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS(0), PAD => DDR_DQS(0) ); \genblk19[1].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS(1), PAD => DDR_DQS(1) ); \genblk19[2].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS(2), PAD => DDR_DQS(2) ); \genblk19[3].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS(3), PAD => DDR_DQS(3) ); i_0: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[0]\ ); i_1: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[0]\(1) ); i_10: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[7]\(1) ); i_11: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[7]\(0) ); i_12: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[6]\(1) ); i_13: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[6]\(0) ); i_14: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[5]\(1) ); i_15: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[5]\(0) ); i_16: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[4]\(1) ); i_17: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[4]\(0) ); i_18: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[3]\(1) ); i_19: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[3]\(0) ); i_2: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[0]\(0) ); i_20: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[2]\(1) ); i_21: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[2]\(0) ); i_22: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[1]\(1) ); i_23: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[1]\(0) ); i_3: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[7]\ ); i_4: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[6]\ ); i_5: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[5]\ ); i_6: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[4]\ ); i_7: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[3]\ ); i_8: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[2]\ ); i_9: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[1]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity led_controller_design_processing_system7_0_0 is port ( TTC0_WAVE0_OUT : out STD_LOGIC; TTC0_WAVE1_OUT : out STD_LOGIC; TTC0_WAVE2_OUT : out STD_LOGIC; USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 ); USB0_VBUS_PWRSELECT : out STD_LOGIC; USB0_VBUS_PWRFAULT : in STD_LOGIC; M_AXI_GP0_ARVALID : out STD_LOGIC; M_AXI_GP0_AWVALID : out STD_LOGIC; M_AXI_GP0_BREADY : out STD_LOGIC; M_AXI_GP0_RREADY : out STD_LOGIC; M_AXI_GP0_WLAST : out STD_LOGIC; M_AXI_GP0_WVALID : out STD_LOGIC; M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ACLK : in STD_LOGIC; M_AXI_GP0_ARREADY : in STD_LOGIC; M_AXI_GP0_AWREADY : in STD_LOGIC; M_AXI_GP0_BVALID : in STD_LOGIC; M_AXI_GP0_RLAST : in STD_LOGIC; M_AXI_GP0_RVALID : in STD_LOGIC; M_AXI_GP0_WREADY : in STD_LOGIC; M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); FCLK_CLK0 : out STD_LOGIC; FCLK_RESET0_N : out STD_LOGIC; MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 ); DDR_CAS_n : inout STD_LOGIC; DDR_CKE : inout STD_LOGIC; DDR_Clk_n : inout STD_LOGIC; DDR_Clk : inout STD_LOGIC; DDR_CS_n : inout STD_LOGIC; DDR_DRSTB : inout STD_LOGIC; DDR_ODT : inout STD_LOGIC; DDR_RAS_n : inout STD_LOGIC; DDR_WEB : inout STD_LOGIC; DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_VRN : inout STD_LOGIC; DDR_VRP : inout STD_LOGIC; DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 ); PS_SRSTB : inout STD_LOGIC; PS_CLK : inout STD_LOGIC; PS_PORB : inout STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of led_controller_design_processing_system7_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of led_controller_design_processing_system7_0_0 : entity is "led_controller_design_processing_system7_0_0,processing_system7_v5_5_processing_system7,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of led_controller_design_processing_system7_0_0 : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of led_controller_design_processing_system7_0_0 : entity is "processing_system7_v5_5_processing_system7,Vivado 2017.3"; end led_controller_design_processing_system7_0_0; architecture STRUCTURE of led_controller_design_processing_system7_0_0 is signal NLW_inst_CAN0_PHY_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_CAN1_PHY_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA0_DAVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA0_DRREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA0_RSTN_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA1_DAVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA1_DRREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA1_RSTN_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA2_DAVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA2_DRREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA2_RSTN_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA3_DAVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA3_DRREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA3_RSTN_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_MDIO_MDC_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_MDIO_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_MDIO_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_SOF_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_SOF_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_MDIO_MDC_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_MDIO_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_MDIO_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_SOF_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_SOF_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_EVENT_EVENTO_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_CLK1_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_CLK2_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_CLK3_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_RESET1_N_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_RESET2_N_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_RESET3_N_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C0_SCL_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C0_SCL_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C0_SDA_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C0_SDA_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C1_SCL_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C1_SCL_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C1_SDA_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C1_SDA_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_CAN0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_CAN1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_CTI_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_ENET0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_ENET1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_GPIO_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_I2C0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_I2C1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_QSPI_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SMC_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SPI0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SPI1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_UART0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_UART1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_USB0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_USB1_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_PJTAG_TDO_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_BUSPOW_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_CLK_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_CMD_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_CMD_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_LED_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_BUSPOW_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_CLK_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_CMD_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_CMD_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_LED_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_MISO_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_MISO_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_MOSI_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_MOSI_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SCLK_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SCLK_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SS1_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SS2_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SS_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SS_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_MISO_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_MISO_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_MOSI_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_MOSI_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SCLK_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SCLK_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SS1_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SS2_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SS_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SS_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_TRACE_CLK_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_TRACE_CTL_UNCONNECTED : STD_LOGIC; signal NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART0_DTRN_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART0_RTSN_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART0_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART1_DTRN_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART1_RTSN_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART1_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC; signal NLW_inst_WDT_RST_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA0_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_DMA1_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_DMA2_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_DMA3_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_ENET0_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_ENET1_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_EVENT_STANDBYWFE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_EVENT_STANDBYWFI_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_GPIO_O_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_GPIO_T_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_ARID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_AWID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_M_AXI_GP1_WID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_SDIO0_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_SDIO0_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_SDIO0_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_SDIO1_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_SDIO1_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_SDIO1_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_S_AXI_ACP_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_ACP_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_GP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_S_AXI_GP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_GP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_S_AXI_GP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_HP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_HP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP2_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_HP2_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP3_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_HP3_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_TRACE_DATA_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_USB1_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_DM_WIDTH : integer; attribute C_DM_WIDTH of inst : label is 4; attribute C_DQS_WIDTH : integer; attribute C_DQS_WIDTH of inst : label is 4; attribute C_DQ_WIDTH : integer; attribute C_DQ_WIDTH of inst : label is 32; attribute C_EMIO_GPIO_WIDTH : integer; attribute C_EMIO_GPIO_WIDTH of inst : label is 64; attribute C_EN_EMIO_ENET0 : integer; attribute C_EN_EMIO_ENET0 of inst : label is 0; attribute C_EN_EMIO_ENET1 : integer; attribute C_EN_EMIO_ENET1 of inst : label is 0; attribute C_EN_EMIO_PJTAG : integer; attribute C_EN_EMIO_PJTAG of inst : label is 0; attribute C_EN_EMIO_TRACE : integer; attribute C_EN_EMIO_TRACE of inst : label is 0; attribute C_FCLK_CLK0_BUF : string; attribute C_FCLK_CLK0_BUF of inst : label is "TRUE"; attribute C_FCLK_CLK1_BUF : string; attribute C_FCLK_CLK1_BUF of inst : label is "FALSE"; attribute C_FCLK_CLK2_BUF : string; attribute C_FCLK_CLK2_BUF of inst : label is "FALSE"; attribute C_FCLK_CLK3_BUF : string; attribute C_FCLK_CLK3_BUF of inst : label is "FALSE"; attribute C_GP0_EN_MODIFIABLE_TXN : integer; attribute C_GP0_EN_MODIFIABLE_TXN of inst : label is 1; attribute C_GP1_EN_MODIFIABLE_TXN : integer; attribute C_GP1_EN_MODIFIABLE_TXN of inst : label is 1; attribute C_INCLUDE_ACP_TRANS_CHECK : integer; attribute C_INCLUDE_ACP_TRANS_CHECK of inst : label is 0; attribute C_INCLUDE_TRACE_BUFFER : integer; attribute C_INCLUDE_TRACE_BUFFER of inst : label is 0; attribute C_IRQ_F2P_MODE : string; attribute C_IRQ_F2P_MODE of inst : label is "DIRECT"; attribute C_MIO_PRIMITIVE : integer; attribute C_MIO_PRIMITIVE of inst : label is 54; attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer; attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of inst : label is 0; attribute C_M_AXI_GP0_ID_WIDTH : integer; attribute C_M_AXI_GP0_ID_WIDTH of inst : label is 12; attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer; attribute C_M_AXI_GP0_THREAD_ID_WIDTH of inst : label is 12; attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer; attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of inst : label is 0; attribute C_M_AXI_GP1_ID_WIDTH : integer; attribute C_M_AXI_GP1_ID_WIDTH of inst : label is 12; attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer; attribute C_M_AXI_GP1_THREAD_ID_WIDTH of inst : label is 12; attribute C_NUM_F2P_INTR_INPUTS : integer; attribute C_NUM_F2P_INTR_INPUTS of inst : label is 1; attribute C_PACKAGE_NAME : string; attribute C_PACKAGE_NAME of inst : label is "clg484"; attribute C_PS7_SI_REV : string; attribute C_PS7_SI_REV of inst : label is "PRODUCTION"; attribute C_S_AXI_ACP_ARUSER_VAL : integer; attribute C_S_AXI_ACP_ARUSER_VAL of inst : label is 31; attribute C_S_AXI_ACP_AWUSER_VAL : integer; attribute C_S_AXI_ACP_AWUSER_VAL of inst : label is 31; attribute C_S_AXI_ACP_ID_WIDTH : integer; attribute C_S_AXI_ACP_ID_WIDTH of inst : label is 3; attribute C_S_AXI_GP0_ID_WIDTH : integer; attribute C_S_AXI_GP0_ID_WIDTH of inst : label is 6; attribute C_S_AXI_GP1_ID_WIDTH : integer; attribute C_S_AXI_GP1_ID_WIDTH of inst : label is 6; attribute C_S_AXI_HP0_DATA_WIDTH : integer; attribute C_S_AXI_HP0_DATA_WIDTH of inst : label is 64; attribute C_S_AXI_HP0_ID_WIDTH : integer; attribute C_S_AXI_HP0_ID_WIDTH of inst : label is 6; attribute C_S_AXI_HP1_DATA_WIDTH : integer; attribute C_S_AXI_HP1_DATA_WIDTH of inst : label is 64; attribute C_S_AXI_HP1_ID_WIDTH : integer; attribute C_S_AXI_HP1_ID_WIDTH of inst : label is 6; attribute C_S_AXI_HP2_DATA_WIDTH : integer; attribute C_S_AXI_HP2_DATA_WIDTH of inst : label is 64; attribute C_S_AXI_HP2_ID_WIDTH : integer; attribute C_S_AXI_HP2_ID_WIDTH of inst : label is 6; attribute C_S_AXI_HP3_DATA_WIDTH : integer; attribute C_S_AXI_HP3_DATA_WIDTH of inst : label is 64; attribute C_S_AXI_HP3_ID_WIDTH : integer; attribute C_S_AXI_HP3_ID_WIDTH of inst : label is 6; attribute C_TRACE_BUFFER_CLOCK_DELAY : integer; attribute C_TRACE_BUFFER_CLOCK_DELAY of inst : label is 12; attribute C_TRACE_BUFFER_FIFO_SIZE : integer; attribute C_TRACE_BUFFER_FIFO_SIZE of inst : label is 128; attribute C_TRACE_INTERNAL_WIDTH : integer; attribute C_TRACE_INTERNAL_WIDTH of inst : label is 2; attribute C_TRACE_PIPELINE_WIDTH : integer; attribute C_TRACE_PIPELINE_WIDTH of inst : label is 8; attribute C_USE_AXI_NONSECURE : integer; attribute C_USE_AXI_NONSECURE of inst : label is 0; attribute C_USE_DEFAULT_ACP_USER_VAL : integer; attribute C_USE_DEFAULT_ACP_USER_VAL of inst : label is 0; attribute C_USE_M_AXI_GP0 : integer; attribute C_USE_M_AXI_GP0 of inst : label is 1; attribute C_USE_M_AXI_GP1 : integer; attribute C_USE_M_AXI_GP1 of inst : label is 0; attribute C_USE_S_AXI_ACP : integer; attribute C_USE_S_AXI_ACP of inst : label is 0; attribute C_USE_S_AXI_GP0 : integer; attribute C_USE_S_AXI_GP0 of inst : label is 0; attribute C_USE_S_AXI_GP1 : integer; attribute C_USE_S_AXI_GP1 of inst : label is 0; attribute C_USE_S_AXI_HP0 : integer; attribute C_USE_S_AXI_HP0 of inst : label is 0; attribute C_USE_S_AXI_HP1 : integer; attribute C_USE_S_AXI_HP1 of inst : label is 0; attribute C_USE_S_AXI_HP2 : integer; attribute C_USE_S_AXI_HP2 of inst : label is 0; attribute C_USE_S_AXI_HP3 : integer; attribute C_USE_S_AXI_HP3 of inst : label is 0; attribute HW_HANDOFF : string; attribute HW_HANDOFF of inst : label is "led_controller_design_processing_system7_0_0.hwdef"; attribute POWER : string; attribute POWER of inst : label is "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666667} load={0.5} /><MEMORY name={code} memType={DDR3} dataWidth={32} clockFreq={533.333313} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={10} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={8} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={USB} ioStandard={LVCMOS18} bidis={12} ioBank={Vcco_p1} clockFreq={60} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={6} ioBank={Vcco_p0} clockFreq={200.000000} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>"; attribute USE_TRACE_DATA_EDGE_DETECTOR : integer; attribute USE_TRACE_DATA_EDGE_DETECTOR of inst : label is 0; attribute X_INTERFACE_INFO : string; attribute X_INTERFACE_INFO of DDR_CAS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CAS_N"; attribute X_INTERFACE_INFO of DDR_CKE : signal is "xilinx.com:interface:ddrx:1.0 DDR CKE"; attribute X_INTERFACE_INFO of DDR_CS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CS_N"; attribute X_INTERFACE_INFO of DDR_Clk : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_P"; attribute X_INTERFACE_INFO of DDR_Clk_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_N"; attribute X_INTERFACE_INFO of DDR_DRSTB : signal is "xilinx.com:interface:ddrx:1.0 DDR RESET_N"; attribute X_INTERFACE_INFO of DDR_ODT : signal is "xilinx.com:interface:ddrx:1.0 DDR ODT"; attribute X_INTERFACE_INFO of DDR_RAS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR RAS_N"; attribute X_INTERFACE_INFO of DDR_VRN : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRN"; attribute X_INTERFACE_INFO of DDR_VRP : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRP"; attribute X_INTERFACE_INFO of DDR_WEB : signal is "xilinx.com:interface:ddrx:1.0 DDR WE_N"; attribute X_INTERFACE_INFO of FCLK_CLK0 : signal is "xilinx.com:signal:clock:1.0 FCLK_CLK0 CLK"; attribute X_INTERFACE_PARAMETER : string; attribute X_INTERFACE_PARAMETER of FCLK_CLK0 : signal is "XIL_INTERFACENAME FCLK_CLK0, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN led_controller_design_processing_system7_0_0_FCLK_CLK0"; attribute X_INTERFACE_INFO of FCLK_RESET0_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET0_N RST"; attribute X_INTERFACE_PARAMETER of FCLK_RESET0_N : signal is "XIL_INTERFACENAME FCLK_RESET0_N, POLARITY ACTIVE_LOW"; attribute X_INTERFACE_INFO of M_AXI_GP0_ACLK : signal is "xilinx.com:signal:clock:1.0 M_AXI_GP0_ACLK CLK"; attribute X_INTERFACE_PARAMETER of M_AXI_GP0_ACLK : signal is "XIL_INTERFACENAME M_AXI_GP0_ACLK, ASSOCIATED_BUSIF M_AXI_GP0, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN led_controller_design_processing_system7_0_0_FCLK_CLK0"; attribute X_INTERFACE_INFO of M_AXI_GP0_ARREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARREADY"; attribute X_INTERFACE_INFO of M_AXI_GP0_ARVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARVALID"; attribute X_INTERFACE_INFO of M_AXI_GP0_AWREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWREADY"; attribute X_INTERFACE_INFO of M_AXI_GP0_AWVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWVALID"; attribute X_INTERFACE_INFO of M_AXI_GP0_BREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BREADY"; attribute X_INTERFACE_INFO of M_AXI_GP0_BVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BVALID"; attribute X_INTERFACE_INFO of M_AXI_GP0_RLAST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RLAST"; attribute X_INTERFACE_INFO of M_AXI_GP0_RREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RREADY"; attribute X_INTERFACE_INFO of M_AXI_GP0_RVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RVALID"; attribute X_INTERFACE_INFO of M_AXI_GP0_WLAST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WLAST"; attribute X_INTERFACE_INFO of M_AXI_GP0_WREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WREADY"; attribute X_INTERFACE_INFO of M_AXI_GP0_WVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WVALID"; attribute X_INTERFACE_INFO of PS_CLK : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_CLK"; attribute X_INTERFACE_INFO of PS_PORB : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_PORB"; attribute X_INTERFACE_PARAMETER of PS_PORB : signal is "XIL_INTERFACENAME FIXED_IO, CAN_DEBUG false"; attribute X_INTERFACE_INFO of PS_SRSTB : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_SRSTB"; attribute X_INTERFACE_INFO of USB0_VBUS_PWRFAULT : signal is "xilinx.com:display_processing_system7:usbctrl:1.0 USBIND_0 VBUS_PWRFAULT"; attribute X_INTERFACE_INFO of USB0_VBUS_PWRSELECT : signal is "xilinx.com:display_processing_system7:usbctrl:1.0 USBIND_0 VBUS_PWRSELECT"; attribute X_INTERFACE_INFO of DDR_Addr : signal is "xilinx.com:interface:ddrx:1.0 DDR ADDR"; attribute X_INTERFACE_INFO of DDR_BankAddr : signal is "xilinx.com:interface:ddrx:1.0 DDR BA"; attribute X_INTERFACE_INFO of DDR_DM : signal is "xilinx.com:interface:ddrx:1.0 DDR DM"; attribute X_INTERFACE_INFO of DDR_DQ : signal is "xilinx.com:interface:ddrx:1.0 DDR DQ"; attribute X_INTERFACE_INFO of DDR_DQS : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_P"; attribute X_INTERFACE_PARAMETER of DDR_DQS : signal is "XIL_INTERFACENAME DDR, CAN_DEBUG false, TIMEPERIOD_PS 1250, MEMORY_TYPE COMPONENTS, DATA_WIDTH 8, CS_ENABLED true, DATA_MASK_ENABLED true, SLOT Single, MEM_ADDR_MAP ROW_COLUMN_BANK, BURST_LENGTH 8, AXI_ARBITRATION_SCHEME TDM, CAS_LATENCY 11, CAS_WRITE_LATENCY 11"; attribute X_INTERFACE_INFO of DDR_DQS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_N"; attribute X_INTERFACE_INFO of MIO : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO MIO"; attribute X_INTERFACE_INFO of M_AXI_GP0_ARADDR : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARADDR"; attribute X_INTERFACE_INFO of M_AXI_GP0_ARBURST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARBURST"; attribute X_INTERFACE_INFO of M_AXI_GP0_ARCACHE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARCACHE"; attribute X_INTERFACE_INFO of M_AXI_GP0_ARID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARID"; attribute X_INTERFACE_INFO of M_AXI_GP0_ARLEN : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARLEN"; attribute X_INTERFACE_INFO of M_AXI_GP0_ARLOCK : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARLOCK"; attribute X_INTERFACE_INFO of M_AXI_GP0_ARPROT : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARPROT"; attribute X_INTERFACE_INFO of M_AXI_GP0_ARQOS : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARQOS"; attribute X_INTERFACE_INFO of M_AXI_GP0_ARSIZE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARSIZE"; attribute X_INTERFACE_INFO of M_AXI_GP0_AWADDR : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWADDR"; attribute X_INTERFACE_INFO of M_AXI_GP0_AWBURST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWBURST"; attribute X_INTERFACE_INFO of M_AXI_GP0_AWCACHE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWCACHE"; attribute X_INTERFACE_INFO of M_AXI_GP0_AWID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWID"; attribute X_INTERFACE_INFO of M_AXI_GP0_AWLEN : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWLEN"; attribute X_INTERFACE_INFO of M_AXI_GP0_AWLOCK : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWLOCK"; attribute X_INTERFACE_INFO of M_AXI_GP0_AWPROT : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWPROT"; attribute X_INTERFACE_INFO of M_AXI_GP0_AWQOS : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWQOS"; attribute X_INTERFACE_INFO of M_AXI_GP0_AWSIZE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWSIZE"; attribute X_INTERFACE_INFO of M_AXI_GP0_BID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BID"; attribute X_INTERFACE_INFO of M_AXI_GP0_BRESP : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BRESP"; attribute X_INTERFACE_INFO of M_AXI_GP0_RDATA : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RDATA"; attribute X_INTERFACE_PARAMETER of M_AXI_GP0_RDATA : signal is "XIL_INTERFACENAME M_AXI_GP0, SUPPORTS_NARROW_BURST 0, NUM_WRITE_OUTSTANDING 8, NUM_READ_OUTSTANDING 8, DATA_WIDTH 32, PROTOCOL AXI3, FREQ_HZ 100000000, ID_WIDTH 12, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 1, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, MAX_BURST_LENGTH 16, PHASE 0.000, CLK_DOMAIN led_controller_design_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0"; attribute X_INTERFACE_INFO of M_AXI_GP0_RID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RID"; attribute X_INTERFACE_INFO of M_AXI_GP0_RRESP : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RRESP"; attribute X_INTERFACE_INFO of M_AXI_GP0_WDATA : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WDATA"; attribute X_INTERFACE_INFO of M_AXI_GP0_WID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WID"; attribute X_INTERFACE_INFO of M_AXI_GP0_WSTRB : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WSTRB"; attribute X_INTERFACE_INFO of USB0_PORT_INDCTL : signal is "xilinx.com:display_processing_system7:usbctrl:1.0 USBIND_0 PORT_INDCTL"; begin inst: entity work.led_controller_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 port map ( CAN0_PHY_RX => '0', CAN0_PHY_TX => NLW_inst_CAN0_PHY_TX_UNCONNECTED, CAN1_PHY_RX => '0', CAN1_PHY_TX => NLW_inst_CAN1_PHY_TX_UNCONNECTED, Core0_nFIQ => '0', Core0_nIRQ => '0', Core1_nFIQ => '0', Core1_nIRQ => '0', DDR_ARB(3 downto 0) => B"0000", DDR_Addr(14 downto 0) => DDR_Addr(14 downto 0), DDR_BankAddr(2 downto 0) => DDR_BankAddr(2 downto 0), DDR_CAS_n => DDR_CAS_n, DDR_CKE => DDR_CKE, DDR_CS_n => DDR_CS_n, DDR_Clk => DDR_Clk, DDR_Clk_n => DDR_Clk_n, DDR_DM(3 downto 0) => DDR_DM(3 downto 0), DDR_DQ(31 downto 0) => DDR_DQ(31 downto 0), DDR_DQS(3 downto 0) => DDR_DQS(3 downto 0), DDR_DQS_n(3 downto 0) => DDR_DQS_n(3 downto 0), DDR_DRSTB => DDR_DRSTB, DDR_ODT => DDR_ODT, DDR_RAS_n => DDR_RAS_n, DDR_VRN => DDR_VRN, DDR_VRP => DDR_VRP, DDR_WEB => DDR_WEB, DMA0_ACLK => '0', DMA0_DAREADY => '0', DMA0_DATYPE(1 downto 0) => NLW_inst_DMA0_DATYPE_UNCONNECTED(1 downto 0), DMA0_DAVALID => NLW_inst_DMA0_DAVALID_UNCONNECTED, DMA0_DRLAST => '0', DMA0_DRREADY => NLW_inst_DMA0_DRREADY_UNCONNECTED, DMA0_DRTYPE(1 downto 0) => B"00", DMA0_DRVALID => '0', DMA0_RSTN => NLW_inst_DMA0_RSTN_UNCONNECTED, DMA1_ACLK => '0', DMA1_DAREADY => '0', DMA1_DATYPE(1 downto 0) => NLW_inst_DMA1_DATYPE_UNCONNECTED(1 downto 0), DMA1_DAVALID => NLW_inst_DMA1_DAVALID_UNCONNECTED, DMA1_DRLAST => '0', DMA1_DRREADY => NLW_inst_DMA1_DRREADY_UNCONNECTED, DMA1_DRTYPE(1 downto 0) => B"00", DMA1_DRVALID => '0', DMA1_RSTN => NLW_inst_DMA1_RSTN_UNCONNECTED, DMA2_ACLK => '0', DMA2_DAREADY => '0', DMA2_DATYPE(1 downto 0) => NLW_inst_DMA2_DATYPE_UNCONNECTED(1 downto 0), DMA2_DAVALID => NLW_inst_DMA2_DAVALID_UNCONNECTED, DMA2_DRLAST => '0', DMA2_DRREADY => NLW_inst_DMA2_DRREADY_UNCONNECTED, DMA2_DRTYPE(1 downto 0) => B"00", DMA2_DRVALID => '0', DMA2_RSTN => NLW_inst_DMA2_RSTN_UNCONNECTED, DMA3_ACLK => '0', DMA3_DAREADY => '0', DMA3_DATYPE(1 downto 0) => NLW_inst_DMA3_DATYPE_UNCONNECTED(1 downto 0), DMA3_DAVALID => NLW_inst_DMA3_DAVALID_UNCONNECTED, DMA3_DRLAST => '0', DMA3_DRREADY => NLW_inst_DMA3_DRREADY_UNCONNECTED, DMA3_DRTYPE(1 downto 0) => B"00", DMA3_DRVALID => '0', DMA3_RSTN => NLW_inst_DMA3_RSTN_UNCONNECTED, ENET0_EXT_INTIN => '0', ENET0_GMII_COL => '0', ENET0_GMII_CRS => '0', ENET0_GMII_RXD(7 downto 0) => B"00000000", ENET0_GMII_RX_CLK => '0', ENET0_GMII_RX_DV => '0', ENET0_GMII_RX_ER => '0', ENET0_GMII_TXD(7 downto 0) => NLW_inst_ENET0_GMII_TXD_UNCONNECTED(7 downto 0), ENET0_GMII_TX_CLK => '0', ENET0_GMII_TX_EN => NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED, ENET0_GMII_TX_ER => NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED, ENET0_MDIO_I => '0', ENET0_MDIO_MDC => NLW_inst_ENET0_MDIO_MDC_UNCONNECTED, ENET0_MDIO_O => NLW_inst_ENET0_MDIO_O_UNCONNECTED, ENET0_MDIO_T => NLW_inst_ENET0_MDIO_T_UNCONNECTED, ENET0_PTP_DELAY_REQ_RX => NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED, ENET0_PTP_DELAY_REQ_TX => NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED, ENET0_PTP_PDELAY_REQ_RX => NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED, ENET0_PTP_PDELAY_REQ_TX => NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED, ENET0_PTP_PDELAY_RESP_RX => NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED, ENET0_PTP_PDELAY_RESP_TX => NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED, ENET0_PTP_SYNC_FRAME_RX => NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED, ENET0_PTP_SYNC_FRAME_TX => NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED, ENET0_SOF_RX => NLW_inst_ENET0_SOF_RX_UNCONNECTED, ENET0_SOF_TX => NLW_inst_ENET0_SOF_TX_UNCONNECTED, ENET1_EXT_INTIN => '0', ENET1_GMII_COL => '0', ENET1_GMII_CRS => '0', ENET1_GMII_RXD(7 downto 0) => B"00000000", ENET1_GMII_RX_CLK => '0', ENET1_GMII_RX_DV => '0', ENET1_GMII_RX_ER => '0', ENET1_GMII_TXD(7 downto 0) => NLW_inst_ENET1_GMII_TXD_UNCONNECTED(7 downto 0), ENET1_GMII_TX_CLK => '0', ENET1_GMII_TX_EN => NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED, ENET1_GMII_TX_ER => NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED, ENET1_MDIO_I => '0', ENET1_MDIO_MDC => NLW_inst_ENET1_MDIO_MDC_UNCONNECTED, ENET1_MDIO_O => NLW_inst_ENET1_MDIO_O_UNCONNECTED, ENET1_MDIO_T => NLW_inst_ENET1_MDIO_T_UNCONNECTED, ENET1_PTP_DELAY_REQ_RX => NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED, ENET1_PTP_DELAY_REQ_TX => NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED, ENET1_PTP_PDELAY_REQ_RX => NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED, ENET1_PTP_PDELAY_REQ_TX => NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED, ENET1_PTP_PDELAY_RESP_RX => NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED, ENET1_PTP_PDELAY_RESP_TX => NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED, ENET1_PTP_SYNC_FRAME_RX => NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED, ENET1_PTP_SYNC_FRAME_TX => NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED, ENET1_SOF_RX => NLW_inst_ENET1_SOF_RX_UNCONNECTED, ENET1_SOF_TX => NLW_inst_ENET1_SOF_TX_UNCONNECTED, EVENT_EVENTI => '0', EVENT_EVENTO => NLW_inst_EVENT_EVENTO_UNCONNECTED, EVENT_STANDBYWFE(1 downto 0) => NLW_inst_EVENT_STANDBYWFE_UNCONNECTED(1 downto 0), EVENT_STANDBYWFI(1 downto 0) => NLW_inst_EVENT_STANDBYWFI_UNCONNECTED(1 downto 0), FCLK_CLK0 => FCLK_CLK0, FCLK_CLK1 => NLW_inst_FCLK_CLK1_UNCONNECTED, FCLK_CLK2 => NLW_inst_FCLK_CLK2_UNCONNECTED, FCLK_CLK3 => NLW_inst_FCLK_CLK3_UNCONNECTED, FCLK_CLKTRIG0_N => '0', FCLK_CLKTRIG1_N => '0', FCLK_CLKTRIG2_N => '0', FCLK_CLKTRIG3_N => '0', FCLK_RESET0_N => FCLK_RESET0_N, FCLK_RESET1_N => NLW_inst_FCLK_RESET1_N_UNCONNECTED, FCLK_RESET2_N => NLW_inst_FCLK_RESET2_N_UNCONNECTED, FCLK_RESET3_N => NLW_inst_FCLK_RESET3_N_UNCONNECTED, FPGA_IDLE_N => '0', FTMD_TRACEIN_ATID(3 downto 0) => B"0000", FTMD_TRACEIN_CLK => '0', FTMD_TRACEIN_DATA(31 downto 0) => B"00000000000000000000000000000000", FTMD_TRACEIN_VALID => '0', FTMT_F2P_DEBUG(31 downto 0) => B"00000000000000000000000000000000", FTMT_F2P_TRIGACK_0 => NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED, FTMT_F2P_TRIGACK_1 => NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED, FTMT_F2P_TRIGACK_2 => NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED, FTMT_F2P_TRIGACK_3 => NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED, FTMT_F2P_TRIG_0 => '0', FTMT_F2P_TRIG_1 => '0', FTMT_F2P_TRIG_2 => '0', FTMT_F2P_TRIG_3 => '0', FTMT_P2F_DEBUG(31 downto 0) => NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED(31 downto 0), FTMT_P2F_TRIGACK_0 => '0', FTMT_P2F_TRIGACK_1 => '0', FTMT_P2F_TRIGACK_2 => '0', FTMT_P2F_TRIGACK_3 => '0', FTMT_P2F_TRIG_0 => NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED, FTMT_P2F_TRIG_1 => NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED, FTMT_P2F_TRIG_2 => NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED, FTMT_P2F_TRIG_3 => NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED, GPIO_I(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", GPIO_O(63 downto 0) => NLW_inst_GPIO_O_UNCONNECTED(63 downto 0), GPIO_T(63 downto 0) => NLW_inst_GPIO_T_UNCONNECTED(63 downto 0), I2C0_SCL_I => '0', I2C0_SCL_O => NLW_inst_I2C0_SCL_O_UNCONNECTED, I2C0_SCL_T => NLW_inst_I2C0_SCL_T_UNCONNECTED, I2C0_SDA_I => '0', I2C0_SDA_O => NLW_inst_I2C0_SDA_O_UNCONNECTED, I2C0_SDA_T => NLW_inst_I2C0_SDA_T_UNCONNECTED, I2C1_SCL_I => '0', I2C1_SCL_O => NLW_inst_I2C1_SCL_O_UNCONNECTED, I2C1_SCL_T => NLW_inst_I2C1_SCL_T_UNCONNECTED, I2C1_SDA_I => '0', I2C1_SDA_O => NLW_inst_I2C1_SDA_O_UNCONNECTED, I2C1_SDA_T => NLW_inst_I2C1_SDA_T_UNCONNECTED, IRQ_F2P(0) => '0', IRQ_P2F_CAN0 => NLW_inst_IRQ_P2F_CAN0_UNCONNECTED, IRQ_P2F_CAN1 => NLW_inst_IRQ_P2F_CAN1_UNCONNECTED, IRQ_P2F_CTI => NLW_inst_IRQ_P2F_CTI_UNCONNECTED, IRQ_P2F_DMAC0 => NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED, IRQ_P2F_DMAC1 => NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED, IRQ_P2F_DMAC2 => NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED, IRQ_P2F_DMAC3 => NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED, IRQ_P2F_DMAC4 => NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED, IRQ_P2F_DMAC5 => NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED, IRQ_P2F_DMAC6 => NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED, IRQ_P2F_DMAC7 => NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED, IRQ_P2F_DMAC_ABORT => NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED, IRQ_P2F_ENET0 => NLW_inst_IRQ_P2F_ENET0_UNCONNECTED, IRQ_P2F_ENET1 => NLW_inst_IRQ_P2F_ENET1_UNCONNECTED, IRQ_P2F_ENET_WAKE0 => NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED, IRQ_P2F_ENET_WAKE1 => NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED, IRQ_P2F_GPIO => NLW_inst_IRQ_P2F_GPIO_UNCONNECTED, IRQ_P2F_I2C0 => NLW_inst_IRQ_P2F_I2C0_UNCONNECTED, IRQ_P2F_I2C1 => NLW_inst_IRQ_P2F_I2C1_UNCONNECTED, IRQ_P2F_QSPI => NLW_inst_IRQ_P2F_QSPI_UNCONNECTED, IRQ_P2F_SDIO0 => NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED, IRQ_P2F_SDIO1 => NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED, IRQ_P2F_SMC => NLW_inst_IRQ_P2F_SMC_UNCONNECTED, IRQ_P2F_SPI0 => NLW_inst_IRQ_P2F_SPI0_UNCONNECTED, IRQ_P2F_SPI1 => NLW_inst_IRQ_P2F_SPI1_UNCONNECTED, IRQ_P2F_UART0 => NLW_inst_IRQ_P2F_UART0_UNCONNECTED, IRQ_P2F_UART1 => NLW_inst_IRQ_P2F_UART1_UNCONNECTED, IRQ_P2F_USB0 => NLW_inst_IRQ_P2F_USB0_UNCONNECTED, IRQ_P2F_USB1 => NLW_inst_IRQ_P2F_USB1_UNCONNECTED, MIO(53 downto 0) => MIO(53 downto 0), M_AXI_GP0_ACLK => M_AXI_GP0_ACLK, M_AXI_GP0_ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0), M_AXI_GP0_ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0), M_AXI_GP0_ARCACHE(3 downto 0) => M_AXI_GP0_ARCACHE(3 downto 0), M_AXI_GP0_ARESETN => NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED, M_AXI_GP0_ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0), M_AXI_GP0_ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0), M_AXI_GP0_ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0), M_AXI_GP0_ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0), M_AXI_GP0_ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0), M_AXI_GP0_ARREADY => M_AXI_GP0_ARREADY, M_AXI_GP0_ARSIZE(2 downto 0) => M_AXI_GP0_ARSIZE(2 downto 0), M_AXI_GP0_ARVALID => M_AXI_GP0_ARVALID, M_AXI_GP0_AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0), M_AXI_GP0_AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0), M_AXI_GP0_AWCACHE(3 downto 0) => M_AXI_GP0_AWCACHE(3 downto 0), M_AXI_GP0_AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0), M_AXI_GP0_AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0), M_AXI_GP0_AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0), M_AXI_GP0_AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0), M_AXI_GP0_AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0), M_AXI_GP0_AWREADY => M_AXI_GP0_AWREADY, M_AXI_GP0_AWSIZE(2 downto 0) => M_AXI_GP0_AWSIZE(2 downto 0), M_AXI_GP0_AWVALID => M_AXI_GP0_AWVALID, M_AXI_GP0_BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0), M_AXI_GP0_BREADY => M_AXI_GP0_BREADY, M_AXI_GP0_BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0), M_AXI_GP0_BVALID => M_AXI_GP0_BVALID, M_AXI_GP0_RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0), M_AXI_GP0_RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0), M_AXI_GP0_RLAST => M_AXI_GP0_RLAST, M_AXI_GP0_RREADY => M_AXI_GP0_RREADY, M_AXI_GP0_RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0), M_AXI_GP0_RVALID => M_AXI_GP0_RVALID, M_AXI_GP0_WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0), M_AXI_GP0_WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0), M_AXI_GP0_WLAST => M_AXI_GP0_WLAST, M_AXI_GP0_WREADY => M_AXI_GP0_WREADY, M_AXI_GP0_WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0), M_AXI_GP0_WVALID => M_AXI_GP0_WVALID, M_AXI_GP1_ACLK => '0', M_AXI_GP1_ARADDR(31 downto 0) => NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED(31 downto 0), M_AXI_GP1_ARBURST(1 downto 0) => NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED(1 downto 0), M_AXI_GP1_ARCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED(3 downto 0), M_AXI_GP1_ARESETN => NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED, M_AXI_GP1_ARID(11 downto 0) => NLW_inst_M_AXI_GP1_ARID_UNCONNECTED(11 downto 0), M_AXI_GP1_ARLEN(3 downto 0) => NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED(3 downto 0), M_AXI_GP1_ARLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED(1 downto 0), M_AXI_GP1_ARPROT(2 downto 0) => NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED(2 downto 0), M_AXI_GP1_ARQOS(3 downto 0) => NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED(3 downto 0), M_AXI_GP1_ARREADY => '0', M_AXI_GP1_ARSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED(2 downto 0), M_AXI_GP1_ARVALID => NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED, M_AXI_GP1_AWADDR(31 downto 0) => NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED(31 downto 0), M_AXI_GP1_AWBURST(1 downto 0) => NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED(1 downto 0), M_AXI_GP1_AWCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED(3 downto 0), M_AXI_GP1_AWID(11 downto 0) => NLW_inst_M_AXI_GP1_AWID_UNCONNECTED(11 downto 0), M_AXI_GP1_AWLEN(3 downto 0) => NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED(3 downto 0), M_AXI_GP1_AWLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED(1 downto 0), M_AXI_GP1_AWPROT(2 downto 0) => NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED(2 downto 0), M_AXI_GP1_AWQOS(3 downto 0) => NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED(3 downto 0), M_AXI_GP1_AWREADY => '0', M_AXI_GP1_AWSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED(2 downto 0), M_AXI_GP1_AWVALID => NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED, M_AXI_GP1_BID(11 downto 0) => B"000000000000", M_AXI_GP1_BREADY => NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED, M_AXI_GP1_BRESP(1 downto 0) => B"00", M_AXI_GP1_BVALID => '0', M_AXI_GP1_RDATA(31 downto 0) => B"00000000000000000000000000000000", M_AXI_GP1_RID(11 downto 0) => B"000000000000", M_AXI_GP1_RLAST => '0', M_AXI_GP1_RREADY => NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED, M_AXI_GP1_RRESP(1 downto 0) => B"00", M_AXI_GP1_RVALID => '0', M_AXI_GP1_WDATA(31 downto 0) => NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED(31 downto 0), M_AXI_GP1_WID(11 downto 0) => NLW_inst_M_AXI_GP1_WID_UNCONNECTED(11 downto 0), M_AXI_GP1_WLAST => NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED, M_AXI_GP1_WREADY => '0', M_AXI_GP1_WSTRB(3 downto 0) => NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED(3 downto 0), M_AXI_GP1_WVALID => NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED, PJTAG_TCK => '0', PJTAG_TDI => '0', PJTAG_TDO => NLW_inst_PJTAG_TDO_UNCONNECTED, PJTAG_TMS => '0', PS_CLK => PS_CLK, PS_PORB => PS_PORB, PS_SRSTB => PS_SRSTB, SDIO0_BUSPOW => NLW_inst_SDIO0_BUSPOW_UNCONNECTED, SDIO0_BUSVOLT(2 downto 0) => NLW_inst_SDIO0_BUSVOLT_UNCONNECTED(2 downto 0), SDIO0_CDN => '0', SDIO0_CLK => NLW_inst_SDIO0_CLK_UNCONNECTED, SDIO0_CLK_FB => '0', SDIO0_CMD_I => '0', SDIO0_CMD_O => NLW_inst_SDIO0_CMD_O_UNCONNECTED, SDIO0_CMD_T => NLW_inst_SDIO0_CMD_T_UNCONNECTED, SDIO0_DATA_I(3 downto 0) => B"0000", SDIO0_DATA_O(3 downto 0) => NLW_inst_SDIO0_DATA_O_UNCONNECTED(3 downto 0), SDIO0_DATA_T(3 downto 0) => NLW_inst_SDIO0_DATA_T_UNCONNECTED(3 downto 0), SDIO0_LED => NLW_inst_SDIO0_LED_UNCONNECTED, SDIO0_WP => '0', SDIO1_BUSPOW => NLW_inst_SDIO1_BUSPOW_UNCONNECTED, SDIO1_BUSVOLT(2 downto 0) => NLW_inst_SDIO1_BUSVOLT_UNCONNECTED(2 downto 0), SDIO1_CDN => '0', SDIO1_CLK => NLW_inst_SDIO1_CLK_UNCONNECTED, SDIO1_CLK_FB => '0', SDIO1_CMD_I => '0', SDIO1_CMD_O => NLW_inst_SDIO1_CMD_O_UNCONNECTED, SDIO1_CMD_T => NLW_inst_SDIO1_CMD_T_UNCONNECTED, SDIO1_DATA_I(3 downto 0) => B"0000", SDIO1_DATA_O(3 downto 0) => NLW_inst_SDIO1_DATA_O_UNCONNECTED(3 downto 0), SDIO1_DATA_T(3 downto 0) => NLW_inst_SDIO1_DATA_T_UNCONNECTED(3 downto 0), SDIO1_LED => NLW_inst_SDIO1_LED_UNCONNECTED, SDIO1_WP => '0', SPI0_MISO_I => '0', SPI0_MISO_O => NLW_inst_SPI0_MISO_O_UNCONNECTED, SPI0_MISO_T => NLW_inst_SPI0_MISO_T_UNCONNECTED, SPI0_MOSI_I => '0', SPI0_MOSI_O => NLW_inst_SPI0_MOSI_O_UNCONNECTED, SPI0_MOSI_T => NLW_inst_SPI0_MOSI_T_UNCONNECTED, SPI0_SCLK_I => '0', SPI0_SCLK_O => NLW_inst_SPI0_SCLK_O_UNCONNECTED, SPI0_SCLK_T => NLW_inst_SPI0_SCLK_T_UNCONNECTED, SPI0_SS1_O => NLW_inst_SPI0_SS1_O_UNCONNECTED, SPI0_SS2_O => NLW_inst_SPI0_SS2_O_UNCONNECTED, SPI0_SS_I => '0', SPI0_SS_O => NLW_inst_SPI0_SS_O_UNCONNECTED, SPI0_SS_T => NLW_inst_SPI0_SS_T_UNCONNECTED, SPI1_MISO_I => '0', SPI1_MISO_O => NLW_inst_SPI1_MISO_O_UNCONNECTED, SPI1_MISO_T => NLW_inst_SPI1_MISO_T_UNCONNECTED, SPI1_MOSI_I => '0', SPI1_MOSI_O => NLW_inst_SPI1_MOSI_O_UNCONNECTED, SPI1_MOSI_T => NLW_inst_SPI1_MOSI_T_UNCONNECTED, SPI1_SCLK_I => '0', SPI1_SCLK_O => NLW_inst_SPI1_SCLK_O_UNCONNECTED, SPI1_SCLK_T => NLW_inst_SPI1_SCLK_T_UNCONNECTED, SPI1_SS1_O => NLW_inst_SPI1_SS1_O_UNCONNECTED, SPI1_SS2_O => NLW_inst_SPI1_SS2_O_UNCONNECTED, SPI1_SS_I => '0', SPI1_SS_O => NLW_inst_SPI1_SS_O_UNCONNECTED, SPI1_SS_T => NLW_inst_SPI1_SS_T_UNCONNECTED, SRAM_INTIN => '0', S_AXI_ACP_ACLK => '0', S_AXI_ACP_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_ACP_ARBURST(1 downto 0) => B"00", S_AXI_ACP_ARCACHE(3 downto 0) => B"0000", S_AXI_ACP_ARESETN => NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED, S_AXI_ACP_ARID(2 downto 0) => B"000", S_AXI_ACP_ARLEN(3 downto 0) => B"0000", S_AXI_ACP_ARLOCK(1 downto 0) => B"00", S_AXI_ACP_ARPROT(2 downto 0) => B"000", S_AXI_ACP_ARQOS(3 downto 0) => B"0000", S_AXI_ACP_ARREADY => NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED, S_AXI_ACP_ARSIZE(2 downto 0) => B"000", S_AXI_ACP_ARUSER(4 downto 0) => B"00000", S_AXI_ACP_ARVALID => '0', S_AXI_ACP_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_ACP_AWBURST(1 downto 0) => B"00", S_AXI_ACP_AWCACHE(3 downto 0) => B"0000", S_AXI_ACP_AWID(2 downto 0) => B"000", S_AXI_ACP_AWLEN(3 downto 0) => B"0000", S_AXI_ACP_AWLOCK(1 downto 0) => B"00", S_AXI_ACP_AWPROT(2 downto 0) => B"000", S_AXI_ACP_AWQOS(3 downto 0) => B"0000", S_AXI_ACP_AWREADY => NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED, S_AXI_ACP_AWSIZE(2 downto 0) => B"000", S_AXI_ACP_AWUSER(4 downto 0) => B"00000", S_AXI_ACP_AWVALID => '0', S_AXI_ACP_BID(2 downto 0) => NLW_inst_S_AXI_ACP_BID_UNCONNECTED(2 downto 0), S_AXI_ACP_BREADY => '0', S_AXI_ACP_BRESP(1 downto 0) => NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED(1 downto 0), S_AXI_ACP_BVALID => NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED, S_AXI_ACP_RDATA(63 downto 0) => NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED(63 downto 0), S_AXI_ACP_RID(2 downto 0) => NLW_inst_S_AXI_ACP_RID_UNCONNECTED(2 downto 0), S_AXI_ACP_RLAST => NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED, S_AXI_ACP_RREADY => '0', S_AXI_ACP_RRESP(1 downto 0) => NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED(1 downto 0), S_AXI_ACP_RVALID => NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED, S_AXI_ACP_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_ACP_WID(2 downto 0) => B"000", S_AXI_ACP_WLAST => '0', S_AXI_ACP_WREADY => NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED, S_AXI_ACP_WSTRB(7 downto 0) => B"00000000", S_AXI_ACP_WVALID => '0', S_AXI_GP0_ACLK => '0', S_AXI_GP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP0_ARBURST(1 downto 0) => B"00", S_AXI_GP0_ARCACHE(3 downto 0) => B"0000", S_AXI_GP0_ARESETN => NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED, S_AXI_GP0_ARID(5 downto 0) => B"000000", S_AXI_GP0_ARLEN(3 downto 0) => B"0000", S_AXI_GP0_ARLOCK(1 downto 0) => B"00", S_AXI_GP0_ARPROT(2 downto 0) => B"000", S_AXI_GP0_ARQOS(3 downto 0) => B"0000", S_AXI_GP0_ARREADY => NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED, S_AXI_GP0_ARSIZE(2 downto 0) => B"000", S_AXI_GP0_ARVALID => '0', S_AXI_GP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP0_AWBURST(1 downto 0) => B"00", S_AXI_GP0_AWCACHE(3 downto 0) => B"0000", S_AXI_GP0_AWID(5 downto 0) => B"000000", S_AXI_GP0_AWLEN(3 downto 0) => B"0000", S_AXI_GP0_AWLOCK(1 downto 0) => B"00", S_AXI_GP0_AWPROT(2 downto 0) => B"000", S_AXI_GP0_AWQOS(3 downto 0) => B"0000", S_AXI_GP0_AWREADY => NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED, S_AXI_GP0_AWSIZE(2 downto 0) => B"000", S_AXI_GP0_AWVALID => '0', S_AXI_GP0_BID(5 downto 0) => NLW_inst_S_AXI_GP0_BID_UNCONNECTED(5 downto 0), S_AXI_GP0_BREADY => '0', S_AXI_GP0_BRESP(1 downto 0) => NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED(1 downto 0), S_AXI_GP0_BVALID => NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED, S_AXI_GP0_RDATA(31 downto 0) => NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED(31 downto 0), S_AXI_GP0_RID(5 downto 0) => NLW_inst_S_AXI_GP0_RID_UNCONNECTED(5 downto 0), S_AXI_GP0_RLAST => NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED, S_AXI_GP0_RREADY => '0', S_AXI_GP0_RRESP(1 downto 0) => NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED(1 downto 0), S_AXI_GP0_RVALID => NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED, S_AXI_GP0_WDATA(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP0_WID(5 downto 0) => B"000000", S_AXI_GP0_WLAST => '0', S_AXI_GP0_WREADY => NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED, S_AXI_GP0_WSTRB(3 downto 0) => B"0000", S_AXI_GP0_WVALID => '0', S_AXI_GP1_ACLK => '0', S_AXI_GP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP1_ARBURST(1 downto 0) => B"00", S_AXI_GP1_ARCACHE(3 downto 0) => B"0000", S_AXI_GP1_ARESETN => NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED, S_AXI_GP1_ARID(5 downto 0) => B"000000", S_AXI_GP1_ARLEN(3 downto 0) => B"0000", S_AXI_GP1_ARLOCK(1 downto 0) => B"00", S_AXI_GP1_ARPROT(2 downto 0) => B"000", S_AXI_GP1_ARQOS(3 downto 0) => B"0000", S_AXI_GP1_ARREADY => NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED, S_AXI_GP1_ARSIZE(2 downto 0) => B"000", S_AXI_GP1_ARVALID => '0', S_AXI_GP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP1_AWBURST(1 downto 0) => B"00", S_AXI_GP1_AWCACHE(3 downto 0) => B"0000", S_AXI_GP1_AWID(5 downto 0) => B"000000", S_AXI_GP1_AWLEN(3 downto 0) => B"0000", S_AXI_GP1_AWLOCK(1 downto 0) => B"00", S_AXI_GP1_AWPROT(2 downto 0) => B"000", S_AXI_GP1_AWQOS(3 downto 0) => B"0000", S_AXI_GP1_AWREADY => NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED, S_AXI_GP1_AWSIZE(2 downto 0) => B"000", S_AXI_GP1_AWVALID => '0', S_AXI_GP1_BID(5 downto 0) => NLW_inst_S_AXI_GP1_BID_UNCONNECTED(5 downto 0), S_AXI_GP1_BREADY => '0', S_AXI_GP1_BRESP(1 downto 0) => NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED(1 downto 0), S_AXI_GP1_BVALID => NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED, S_AXI_GP1_RDATA(31 downto 0) => NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED(31 downto 0), S_AXI_GP1_RID(5 downto 0) => NLW_inst_S_AXI_GP1_RID_UNCONNECTED(5 downto 0), S_AXI_GP1_RLAST => NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED, S_AXI_GP1_RREADY => '0', S_AXI_GP1_RRESP(1 downto 0) => NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED(1 downto 0), S_AXI_GP1_RVALID => NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED, S_AXI_GP1_WDATA(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP1_WID(5 downto 0) => B"000000", S_AXI_GP1_WLAST => '0', S_AXI_GP1_WREADY => NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED, S_AXI_GP1_WSTRB(3 downto 0) => B"0000", S_AXI_GP1_WVALID => '0', S_AXI_HP0_ACLK => '0', S_AXI_HP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP0_ARBURST(1 downto 0) => B"00", S_AXI_HP0_ARCACHE(3 downto 0) => B"0000", S_AXI_HP0_ARESETN => NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED, S_AXI_HP0_ARID(5 downto 0) => B"000000", S_AXI_HP0_ARLEN(3 downto 0) => B"0000", S_AXI_HP0_ARLOCK(1 downto 0) => B"00", S_AXI_HP0_ARPROT(2 downto 0) => B"000", S_AXI_HP0_ARQOS(3 downto 0) => B"0000", S_AXI_HP0_ARREADY => NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED, S_AXI_HP0_ARSIZE(2 downto 0) => B"000", S_AXI_HP0_ARVALID => '0', S_AXI_HP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP0_AWBURST(1 downto 0) => B"00", S_AXI_HP0_AWCACHE(3 downto 0) => B"0000", S_AXI_HP0_AWID(5 downto 0) => B"000000", S_AXI_HP0_AWLEN(3 downto 0) => B"0000", S_AXI_HP0_AWLOCK(1 downto 0) => B"00", S_AXI_HP0_AWPROT(2 downto 0) => B"000", S_AXI_HP0_AWQOS(3 downto 0) => B"0000", S_AXI_HP0_AWREADY => NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED, S_AXI_HP0_AWSIZE(2 downto 0) => B"000", S_AXI_HP0_AWVALID => '0', S_AXI_HP0_BID(5 downto 0) => NLW_inst_S_AXI_HP0_BID_UNCONNECTED(5 downto 0), S_AXI_HP0_BREADY => '0', S_AXI_HP0_BRESP(1 downto 0) => NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED(1 downto 0), S_AXI_HP0_BVALID => NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED, S_AXI_HP0_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED(2 downto 0), S_AXI_HP0_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP0_RDATA(63 downto 0) => NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED(63 downto 0), S_AXI_HP0_RDISSUECAP1_EN => '0', S_AXI_HP0_RID(5 downto 0) => NLW_inst_S_AXI_HP0_RID_UNCONNECTED(5 downto 0), S_AXI_HP0_RLAST => NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED, S_AXI_HP0_RREADY => '0', S_AXI_HP0_RRESP(1 downto 0) => NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED(1 downto 0), S_AXI_HP0_RVALID => NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED, S_AXI_HP0_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED(5 downto 0), S_AXI_HP0_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP0_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_HP0_WID(5 downto 0) => B"000000", S_AXI_HP0_WLAST => '0', S_AXI_HP0_WREADY => NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED, S_AXI_HP0_WRISSUECAP1_EN => '0', S_AXI_HP0_WSTRB(7 downto 0) => B"00000000", S_AXI_HP0_WVALID => '0', S_AXI_HP1_ACLK => '0', S_AXI_HP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP1_ARBURST(1 downto 0) => B"00", S_AXI_HP1_ARCACHE(3 downto 0) => B"0000", S_AXI_HP1_ARESETN => NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED, S_AXI_HP1_ARID(5 downto 0) => B"000000", S_AXI_HP1_ARLEN(3 downto 0) => B"0000", S_AXI_HP1_ARLOCK(1 downto 0) => B"00", S_AXI_HP1_ARPROT(2 downto 0) => B"000", S_AXI_HP1_ARQOS(3 downto 0) => B"0000", S_AXI_HP1_ARREADY => NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED, S_AXI_HP1_ARSIZE(2 downto 0) => B"000", S_AXI_HP1_ARVALID => '0', S_AXI_HP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP1_AWBURST(1 downto 0) => B"00", S_AXI_HP1_AWCACHE(3 downto 0) => B"0000", S_AXI_HP1_AWID(5 downto 0) => B"000000", S_AXI_HP1_AWLEN(3 downto 0) => B"0000", S_AXI_HP1_AWLOCK(1 downto 0) => B"00", S_AXI_HP1_AWPROT(2 downto 0) => B"000", S_AXI_HP1_AWQOS(3 downto 0) => B"0000", S_AXI_HP1_AWREADY => NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED, S_AXI_HP1_AWSIZE(2 downto 0) => B"000", S_AXI_HP1_AWVALID => '0', S_AXI_HP1_BID(5 downto 0) => NLW_inst_S_AXI_HP1_BID_UNCONNECTED(5 downto 0), S_AXI_HP1_BREADY => '0', S_AXI_HP1_BRESP(1 downto 0) => NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED(1 downto 0), S_AXI_HP1_BVALID => NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED, S_AXI_HP1_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED(2 downto 0), S_AXI_HP1_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP1_RDATA(63 downto 0) => NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED(63 downto 0), S_AXI_HP1_RDISSUECAP1_EN => '0', S_AXI_HP1_RID(5 downto 0) => NLW_inst_S_AXI_HP1_RID_UNCONNECTED(5 downto 0), S_AXI_HP1_RLAST => NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED, S_AXI_HP1_RREADY => '0', S_AXI_HP1_RRESP(1 downto 0) => NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED(1 downto 0), S_AXI_HP1_RVALID => NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED, S_AXI_HP1_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED(5 downto 0), S_AXI_HP1_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP1_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_HP1_WID(5 downto 0) => B"000000", S_AXI_HP1_WLAST => '0', S_AXI_HP1_WREADY => NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED, S_AXI_HP1_WRISSUECAP1_EN => '0', S_AXI_HP1_WSTRB(7 downto 0) => B"00000000", S_AXI_HP1_WVALID => '0', S_AXI_HP2_ACLK => '0', S_AXI_HP2_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP2_ARBURST(1 downto 0) => B"00", S_AXI_HP2_ARCACHE(3 downto 0) => B"0000", S_AXI_HP2_ARESETN => NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED, S_AXI_HP2_ARID(5 downto 0) => B"000000", S_AXI_HP2_ARLEN(3 downto 0) => B"0000", S_AXI_HP2_ARLOCK(1 downto 0) => B"00", S_AXI_HP2_ARPROT(2 downto 0) => B"000", S_AXI_HP2_ARQOS(3 downto 0) => B"0000", S_AXI_HP2_ARREADY => NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED, S_AXI_HP2_ARSIZE(2 downto 0) => B"000", S_AXI_HP2_ARVALID => '0', S_AXI_HP2_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP2_AWBURST(1 downto 0) => B"00", S_AXI_HP2_AWCACHE(3 downto 0) => B"0000", S_AXI_HP2_AWID(5 downto 0) => B"000000", S_AXI_HP2_AWLEN(3 downto 0) => B"0000", S_AXI_HP2_AWLOCK(1 downto 0) => B"00", S_AXI_HP2_AWPROT(2 downto 0) => B"000", S_AXI_HP2_AWQOS(3 downto 0) => B"0000", S_AXI_HP2_AWREADY => NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED, S_AXI_HP2_AWSIZE(2 downto 0) => B"000", S_AXI_HP2_AWVALID => '0', S_AXI_HP2_BID(5 downto 0) => NLW_inst_S_AXI_HP2_BID_UNCONNECTED(5 downto 0), S_AXI_HP2_BREADY => '0', S_AXI_HP2_BRESP(1 downto 0) => NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED(1 downto 0), S_AXI_HP2_BVALID => NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED, S_AXI_HP2_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED(2 downto 0), S_AXI_HP2_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP2_RDATA(63 downto 0) => NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED(63 downto 0), S_AXI_HP2_RDISSUECAP1_EN => '0', S_AXI_HP2_RID(5 downto 0) => NLW_inst_S_AXI_HP2_RID_UNCONNECTED(5 downto 0), S_AXI_HP2_RLAST => NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED, S_AXI_HP2_RREADY => '0', S_AXI_HP2_RRESP(1 downto 0) => NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED(1 downto 0), S_AXI_HP2_RVALID => NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED, S_AXI_HP2_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED(5 downto 0), S_AXI_HP2_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP2_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_HP2_WID(5 downto 0) => B"000000", S_AXI_HP2_WLAST => '0', S_AXI_HP2_WREADY => NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED, S_AXI_HP2_WRISSUECAP1_EN => '0', S_AXI_HP2_WSTRB(7 downto 0) => B"00000000", S_AXI_HP2_WVALID => '0', S_AXI_HP3_ACLK => '0', S_AXI_HP3_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP3_ARBURST(1 downto 0) => B"00", S_AXI_HP3_ARCACHE(3 downto 0) => B"0000", S_AXI_HP3_ARESETN => NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED, S_AXI_HP3_ARID(5 downto 0) => B"000000", S_AXI_HP3_ARLEN(3 downto 0) => B"0000", S_AXI_HP3_ARLOCK(1 downto 0) => B"00", S_AXI_HP3_ARPROT(2 downto 0) => B"000", S_AXI_HP3_ARQOS(3 downto 0) => B"0000", S_AXI_HP3_ARREADY => NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED, S_AXI_HP3_ARSIZE(2 downto 0) => B"000", S_AXI_HP3_ARVALID => '0', S_AXI_HP3_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP3_AWBURST(1 downto 0) => B"00", S_AXI_HP3_AWCACHE(3 downto 0) => B"0000", S_AXI_HP3_AWID(5 downto 0) => B"000000", S_AXI_HP3_AWLEN(3 downto 0) => B"0000", S_AXI_HP3_AWLOCK(1 downto 0) => B"00", S_AXI_HP3_AWPROT(2 downto 0) => B"000", S_AXI_HP3_AWQOS(3 downto 0) => B"0000", S_AXI_HP3_AWREADY => NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED, S_AXI_HP3_AWSIZE(2 downto 0) => B"000", S_AXI_HP3_AWVALID => '0', S_AXI_HP3_BID(5 downto 0) => NLW_inst_S_AXI_HP3_BID_UNCONNECTED(5 downto 0), S_AXI_HP3_BREADY => '0', S_AXI_HP3_BRESP(1 downto 0) => NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED(1 downto 0), S_AXI_HP3_BVALID => NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED, S_AXI_HP3_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED(2 downto 0), S_AXI_HP3_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP3_RDATA(63 downto 0) => NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED(63 downto 0), S_AXI_HP3_RDISSUECAP1_EN => '0', S_AXI_HP3_RID(5 downto 0) => NLW_inst_S_AXI_HP3_RID_UNCONNECTED(5 downto 0), S_AXI_HP3_RLAST => NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED, S_AXI_HP3_RREADY => '0', S_AXI_HP3_RRESP(1 downto 0) => NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED(1 downto 0), S_AXI_HP3_RVALID => NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED, S_AXI_HP3_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED(5 downto 0), S_AXI_HP3_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP3_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_HP3_WID(5 downto 0) => B"000000", S_AXI_HP3_WLAST => '0', S_AXI_HP3_WREADY => NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED, S_AXI_HP3_WRISSUECAP1_EN => '0', S_AXI_HP3_WSTRB(7 downto 0) => B"00000000", S_AXI_HP3_WVALID => '0', TRACE_CLK => '0', TRACE_CLK_OUT => NLW_inst_TRACE_CLK_OUT_UNCONNECTED, TRACE_CTL => NLW_inst_TRACE_CTL_UNCONNECTED, TRACE_DATA(1 downto 0) => NLW_inst_TRACE_DATA_UNCONNECTED(1 downto 0), TTC0_CLK0_IN => '0', TTC0_CLK1_IN => '0', TTC0_CLK2_IN => '0', TTC0_WAVE0_OUT => TTC0_WAVE0_OUT, TTC0_WAVE1_OUT => TTC0_WAVE1_OUT, TTC0_WAVE2_OUT => TTC0_WAVE2_OUT, TTC1_CLK0_IN => '0', TTC1_CLK1_IN => '0', TTC1_CLK2_IN => '0', TTC1_WAVE0_OUT => NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED, TTC1_WAVE1_OUT => NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED, TTC1_WAVE2_OUT => NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED, UART0_CTSN => '0', UART0_DCDN => '0', UART0_DSRN => '0', UART0_DTRN => NLW_inst_UART0_DTRN_UNCONNECTED, UART0_RIN => '0', UART0_RTSN => NLW_inst_UART0_RTSN_UNCONNECTED, UART0_RX => '1', UART0_TX => NLW_inst_UART0_TX_UNCONNECTED, UART1_CTSN => '0', UART1_DCDN => '0', UART1_DSRN => '0', UART1_DTRN => NLW_inst_UART1_DTRN_UNCONNECTED, UART1_RIN => '0', UART1_RTSN => NLW_inst_UART1_RTSN_UNCONNECTED, UART1_RX => '1', UART1_TX => NLW_inst_UART1_TX_UNCONNECTED, USB0_PORT_INDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0), USB0_VBUS_PWRFAULT => USB0_VBUS_PWRFAULT, USB0_VBUS_PWRSELECT => USB0_VBUS_PWRSELECT, USB1_PORT_INDCTL(1 downto 0) => NLW_inst_USB1_PORT_INDCTL_UNCONNECTED(1 downto 0), USB1_VBUS_PWRFAULT => '0', USB1_VBUS_PWRSELECT => NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED, WDT_CLK_IN => '0', WDT_RST_OUT => NLW_inst_WDT_RST_OUT_UNCONNECTED ); end STRUCTURE;
mit
35f99c9d5d5a27dd81c39ddde653f0f9
0.640546
2.771961
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-ztex-ufm-115/config.vhd
1
5,587
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := spartan6; constant CFG_MEMTECH : integer := spartan6; constant CFG_PADTECH : integer := spartan6; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := spartan6; constant CFG_CLKMUL : integer := (3); constant CFG_CLKDIV : integer := (2); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (2); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 2 + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 1; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (2); constant CFG_NOTAG : integer := 1; constant CFG_NWP : integer := (0); constant CFG_PWD : integer := 0*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 4; constant CFG_ISETSZ : integer := 4; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 2; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 4; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 8; constant CFG_DREPL : integer := 2; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1*2 + 4*1; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 8; constant CFG_DTLBNUM : integer := 2; constant CFG_TLB_TYPE : integer := 1 + 0*2; constant CFG_TLB_REP : integer := 1; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2; constant CFG_ATBSZ : integer := 2; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 1; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Xilinx MIG constant CFG_MIG_DDR2 : integer := 1; constant CFG_MIG_RANKS : integer := (1); constant CFG_MIG_COLBITS : integer := (10); constant CFG_MIG_ROWBITS : integer := (13); constant CFG_MIG_BANKBITS: integer := (2); constant CFG_MIG_HMASK : integer := 16#F80#; -- AHB ROM constant CFG_AHBROMEN : integer := 1; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#100#; constant CFG_ROMMASK : integer := 16#E00# + 16#100#; -- AHB RAM constant CFG_AHBRAMEN : integer := 1; constant CFG_AHBRSZ : integer := 4; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 4; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 0; constant CFG_GRGPIO_IMASK : integer := 16#0000#; constant CFG_GRGPIO_WIDTH : integer := 1; -- SPI controller constant CFG_SPICTRL_ENABLE : integer := 1; constant CFG_SPICTRL_NUM : integer := (1); constant CFG_SPICTRL_SLVS : integer := (1); constant CFG_SPICTRL_FIFO : integer := (2); constant CFG_SPICTRL_SLVREG : integer := 1; constant CFG_SPICTRL_ODMODE : integer := 1; constant CFG_SPICTRL_AM : integer := 0; constant CFG_SPICTRL_ASEL : integer := 0; constant CFG_SPICTRL_TWEN : integer := 0; constant CFG_SPICTRL_MAXWLEN : integer := (0); constant CFG_SPICTRL_SYNCRAM : integer := 0; constant CFG_SPICTRL_FT : integer := 0; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
gpl-2.0
ff0afa0209655769e8fa4d8aa03fa7dc
0.643458
3.673241
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-xilinx-ml50x/ahb2mig_ml50x.vhd
2
23,773
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: ahb2mig -- File: ahb2mig.vhd -- Author: Jiri Gaisler, Gaisler Research -- Description: AHB wrapper for Xilinx Virtex5 DDR2/3 MIG ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; package ml50x is constant BANK_WIDTH : integer := 2; -- # of memory bank addr bits. constant CKE_WIDTH : integer := 2; -- # of memory clock enable outputs. constant CLK_WIDTH : integer := 2; -- # of clock outputs. constant COL_WIDTH : integer := 10; -- # of memory column bits. constant CS_NUM : integer := 1; --2; -- # of separate memory chip selects. constant CS_WIDTH : integer := 1; --2; -- # of total memory chip selects. constant CS_BITS : integer := 0; --1; -- set to log2(CS_NUM) (rounded up). constant DM_WIDTH : integer := 8; -- # of data mask bits. constant DQ_WIDTH : integer := 64; -- # of data width. constant DQ_PER_DQS : integer := 8; -- # of DQ data bits per strobe. constant DQS_WIDTH : integer := 8; -- # of DQS strobes. constant DQ_BITS : integer := 6; -- set to log2(DQS_WIDTH*DQ_PER_DQS). constant DQS_BITS : integer := 3; -- set to log2(DQS_WIDTH). constant ODT_WIDTH : integer := 1; -- # of memory on-die term enables. constant ROW_WIDTH : integer := 13; -- # of memory row and # of addr bits. constant APPDATA_WIDTH : integer := 128; -- # of usr read/write data bus bits. constant ADDR_WIDTH : integer := 31; -- # of memory row and # of addr bits. constant MIGHMASK : integer := 16#F00#; -- AHB mask for 256 Mbyte memory -- constant MIGHMASK : integer := 16#E00#; -- AHB mask for 512 Mbyte memory -- constant MIGHMASK : integer := 16#C00#; -- AHB mask for 1024 Mbyte memory type mig_app_in_type is record app_wdf_wren : std_logic; app_wdf_data : std_logic_vector(APPDATA_WIDTH-1 downto 0); app_wdf_mask : std_logic_vector(APPDATA_WIDTH/8-1 downto 0); app_addr : std_logic_vector(ADDR_WIDTH-1 downto 0); app_cmd : std_logic_vector(2 downto 0); app_en : std_logic; end record; type mig_app_out_type is record app_af_afull : std_logic; app_wdf_afull : std_logic; app_rd_data : std_logic_vector(APPDATA_WIDTH-1 downto 0); app_rd_data_valid : std_logic; end record; component mig_36_1 generic( BANK_WIDTH : integer := 2; -- # of memory bank addr bits. CKE_WIDTH : integer := 1; -- # of memory clock enable outputs. CLK_WIDTH : integer := 2; -- # of clock outputs. COL_WIDTH : integer := 10; -- # of memory column bits. CS_NUM : integer := 1; -- # of separate memory chip selects. CS_WIDTH : integer := 1; -- # of total memory chip selects. CS_BITS : integer := 0; -- set to log2(CS_NUM) (rounded up). DM_WIDTH : integer := 8; -- # of data mask bits. DQ_WIDTH : integer := 64; -- # of data width. DQ_PER_DQS : integer := 8; -- # of DQ data bits per strobe. DQS_WIDTH : integer := 8; -- # of DQS strobes. DQ_BITS : integer := 6; -- set to log2(DQS_WIDTH*DQ_PER_DQS). DQS_BITS : integer := 3; -- set to log2(DQS_WIDTH). ODT_WIDTH : integer := 1; -- # of memory on-die term enables. ROW_WIDTH : integer := 13; -- # of memory row and # of addr bits. ADDITIVE_LAT : integer := 0; -- additive write latency. BURST_LEN : integer := 4; -- burst length (in double words). BURST_TYPE : integer := 0; -- burst type (=0 seq; =1 interleaved). CAS_LAT : integer := 3; -- CAS latency. ECC_ENABLE : integer := 0; -- enable ECC (=1 enable). APPDATA_WIDTH : integer := 128; -- # of usr read/write data bus bits. MULTI_BANK_EN : integer := 1; -- Keeps multiple banks open. (= 1 enable). TWO_T_TIME_EN : integer := 1; -- 2t timing for unbuffered dimms. ODT_TYPE : integer := 1; -- ODT (=0(none),=1(75),=2(150),=3(50)). REDUCE_DRV : integer := 0; -- reduced strength mem I/O (=1 yes). REG_ENABLE : integer := 0; -- registered addr/ctrl (=1 yes). TREFI_NS : integer := 7800; -- auto refresh interval (ns). TRAS : integer := 40000; -- active->precharge delay. TRCD : integer := 15000; -- active->read/write delay. TRFC : integer := 105000; -- refresh->refresh, refresh->active delay. TRP : integer := 15000; -- precharge->command delay. TRTP : integer := 7500; -- read->precharge delay. TWR : integer := 15000; -- used to determine write->precharge. TWTR : integer := 10000; -- write->read delay. HIGH_PERFORMANCE_MODE : boolean := TRUE; -- # = TRUE, the IODELAY performance mode is set -- to high. -- # = FALSE, the IODELAY performance mode is set -- to low. SIM_ONLY : integer := 0; -- = 1 to skip SDRAM power up delay. DEBUG_EN : integer := 0; -- Enable debug signals/controls. -- When this parameter is changed from 0 to 1, -- make sure to uncomment the coregen commands -- in ise_flow.bat or create_ise.bat files in -- par folder. CLK_PERIOD : integer := 5000; -- Core/Memory clock period (in ps). DLL_FREQ_MODE : string := "HIGH"; -- DCM Frequency range. CLK_TYPE : string := "SINGLE_ENDED"; -- # = "DIFFERENTIAL " ->; Differential input clocks , -- # = "SINGLE_ENDED" -> Single ended input clocks. NOCLK200 : boolean := FALSE; -- clk200 enable and disable RST_ACT_LOW : integer := 1 -- =1 for active low reset, =0 for active high. ); port( ddr2_dq : inout std_logic_vector((DQ_WIDTH-1) downto 0); ddr2_a : out std_logic_vector((ROW_WIDTH-1) downto 0); ddr2_ba : out std_logic_vector((BANK_WIDTH-1) downto 0); ddr2_ras_n : out std_logic; ddr2_cas_n : out std_logic; ddr2_we_n : out std_logic; ddr2_cs_n : out std_logic_vector((CS_WIDTH-1) downto 0); ddr2_odt : out std_logic_vector((ODT_WIDTH-1) downto 0); ddr2_cke : out std_logic_vector((CKE_WIDTH-1) downto 0); ddr2_dm : out std_logic_vector((DM_WIDTH-1) downto 0); sys_clk : in std_logic; idly_clk_200 : in std_logic; sys_rst_n : in std_logic; phy_init_done : out std_logic; rst0_tb : out std_logic; clk0_tb : out std_logic; app_wdf_afull : out std_logic; app_af_afull : out std_logic; rd_data_valid : out std_logic; app_wdf_wren : in std_logic; app_af_wren : in std_logic; app_af_addr : in std_logic_vector(30 downto 0); app_af_cmd : in std_logic_vector(2 downto 0); rd_data_fifo_out : out std_logic_vector((APPDATA_WIDTH-1) downto 0); app_wdf_data : in std_logic_vector((APPDATA_WIDTH-1) downto 0); app_wdf_mask_data : in std_logic_vector((APPDATA_WIDTH/8-1) downto 0); ddr2_dqs : inout std_logic_vector((DQS_WIDTH-1) downto 0); ddr2_dqs_n : inout std_logic_vector((DQS_WIDTH-1) downto 0); ddr2_ck : out std_logic_vector((CLK_WIDTH-1) downto 0); ddr2_ck_n : out std_logic_vector((CLK_WIDTH-1) downto 0) ); end component ; end package; library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; library gaisler; use grlib.devices.all; use gaisler.memctrl.all; library techmap; use techmap.gencomp.all; use work.ml50x.all; entity ahb2mig_ml50x is generic ( memtech : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#e00#; MHz : integer := 100; Mbyte : integer := 512; nosync : integer := 0 ); port ( rst_ddr : in std_ulogic; rst_ahb : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; migi : out mig_app_in_type; migo : in mig_app_out_type ); end; architecture rtl of ahb2mig_ml50x is constant REVISION : integer := 0; constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_MIGDDR2, 0, REVISION, 0), 4 => ahb_membar(haddr, '1', '1', hmask), others => zero32); type ahb_state_type is (midle, rhold, dread, dwrite, whold1, whold2); type ddr_state_type is (midle, rhold, dread, dwrite, whold1, whold2); constant abuf : integer := 6; type access_param is record haddr : std_logic_vector(31 downto 0); size : std_logic_vector(2 downto 0); hwrite : std_ulogic; end record; -- local registers type mem is array(0 to 7) of std_logic_vector(31 downto 0); type wrm is array(0 to 7) of std_logic_vector(3 downto 0); type ahb_reg_type is record hready : std_ulogic; hsel : std_ulogic; startsd : std_ulogic; state : ahb_state_type; haddr : std_logic_vector(31 downto 0); hrdata : std_logic_vector(127 downto 0); hwrite : std_ulogic; htrans : std_logic_vector(1 downto 0); hresp : std_logic_vector(1 downto 0); raddr : std_logic_vector(abuf-1 downto 0); size : std_logic_vector(2 downto 0); acc : access_param; sync : std_ulogic; hwdata : mem; write : wrm; end record; type ddr_reg_type is record startsd : std_ulogic; hrdata : std_logic_vector(255 downto 0); sync : std_ulogic; dstate : ahb_state_type; end record; signal vcc, clk_ahb1, clk_ahb2 : std_ulogic; signal r, ri : ddr_reg_type; signal ra, rai : ahb_reg_type; signal rbdrive, ribdrive : std_logic_vector(31 downto 0); signal hwdata, hwdatab : std_logic_vector(127 downto 0); begin vcc <= '1'; ahb_ctrl : process(rst_ahb, ahbsi, r, ra, migo, hwdata) variable va : ahb_reg_type; -- local variables for registers variable startsd : std_ulogic; variable ready : std_logic; variable tmp : std_logic_vector(3 downto 0); variable waddr : integer; variable rdata : std_logic_vector(127 downto 0); begin va := ra; va.hresp := HRESP_OKAY; tmp := (others => '0'); case ra.raddr(2 downto 2) is when "0" => rdata := r.hrdata(127 downto 0); when others => rdata := r.hrdata(255 downto 128); end case; if AHBDW > 64 and ra.size = HSIZE_4WORD then va.hrdata := rdata(63 downto 0) & rdata(127 downto 64); elsif AHBDW > 32 and ra.size = HSIZE_DWORD then if ra.raddr(1) = '1' then va.hrdata(63 downto 0) := rdata(127 downto 64); else va.hrdata(63 downto 0) := rdata(63 downto 0); end if; va.hrdata(127 downto 64) := va.hrdata(63 downto 0); else case ra.raddr(1 downto 0) is when "00" => va.hrdata(31 downto 0) := rdata(63 downto 32); when "01" => va.hrdata(31 downto 0) := rdata(31 downto 0); when "10" => va.hrdata(31 downto 0) := rdata(127 downto 96); when others => va.hrdata(31 downto 0) := rdata(95 downto 64); end case; va.hrdata(127 downto 32) := va.hrdata(31 downto 0) & va.hrdata(31 downto 0) & va.hrdata(31 downto 0); end if; if nosync = 0 then va.sync := r.startsd; if ra.startsd = ra.sync then ready := '1'; else ready := '0'; end if; else if ra.startsd = r.startsd then ready := '1'; else ready := '0'; end if; end if; if ((ahbsi.hready and ahbsi.hsel(hindex)) = '1') then va.htrans := ahbsi.htrans; va.haddr := ahbsi.haddr; va.size := ahbsi.hsize(2 downto 0); va.hwrite := ahbsi.hwrite; if ahbsi.htrans(1) = '1' then va.hsel := '1'; va.hready := '0'; end if; end if; if ahbsi.hready = '1' then va.hsel := ahbsi.hsel(hindex); end if; case ra.state is when midle => va.write := (others => "0000"); if ((va.hsel and va.htrans(1)) = '1') then if va.hwrite = '0' then va.state := rhold; va.startsd := not ra.startsd; else va.state := dwrite; va.hready := '1'; end if; end if; va.raddr := ra.haddr(7 downto 2); if ((ahbsi.hready and ahbsi.hsel(hindex)) = '1') then va.acc := (va.haddr, va.size, va.hwrite); end if; when rhold => va.raddr := ra.haddr(7 downto 2); if ready = '1' then va.state := dread; va.hready := '1'; if AHBDW > 64 and ra.size(2) = '1' then va.raddr := ra.raddr + 4; elsif AHBDW > 32 and andv(ra.size(1 downto 0)) = '1' then va.raddr := ra.raddr + 2; else va.raddr := ra.raddr + 1; end if; end if; when dread => va.hready := '1'; if AHBDW > 64 and ra.size(2) = '1' then va.raddr := ra.raddr + 4; elsif AHBDW > 32 and andv(ra.size(1 downto 0)) = '1' then va.raddr := ra.raddr + 2; else va.raddr := ra.raddr + 1; end if; if ((va.hsel and va.htrans(1) and va.htrans(0)) = '0') or (ra.raddr(2 downto 0) = "000") then va.state := midle; va.hready := '0'; end if; va.acc := (va.haddr, va.size, va.hwrite); when dwrite => va.raddr := ra.haddr(7 downto 2); va.hready := '1'; if (((va.hsel and va.htrans(1) and va.htrans(0)) = '0') or (ra.haddr(4 downto 2) = "111") or (AHBDW > 32 and ra.haddr(5 downto 2) = "1110" and andv(ra.size(1 downto 0)) = '1') or (AHBDW > 64 and ra.haddr(5 downto 2) = "1100" and ra.size(2) = '1')) then va.startsd := not ra.startsd; va.state := whold1; va.hready := '0'; end if; tmp := decode(ra.haddr(1 downto 0)); waddr := conv_integer(ra.haddr(4 downto 2)); va.hwdata(waddr) := hwdata(31 downto 0); case ra.size is when "000" => va.write(waddr) := tmp(0) & tmp(1) & tmp(2) & tmp(3); when "001" => va.write(waddr) := tmp(0) & tmp(0) & tmp(2) & tmp(2); when "010" => va.write(waddr) := "1111"; when "011" => va.write(waddr) := "1111"; va.write(waddr+1) := "1111"; va.hwdata(waddr+1) := hwdata((63 mod AHBDW) downto (32 mod AHBDW)); when others => va.write(waddr) := "1111"; va.write(waddr+1) := "1111"; va.write(waddr+2) := "1111"; va.write(waddr+3) := "1111"; va.hwdata(waddr+1) := hwdata((63 mod AHBDW) downto (32 mod AHBDW)); va.hwdata(waddr+2) := hwdata((95 mod AHBDW) downto (64 mod AHBDW)); va.hwdata(waddr+3) := hwdata((127 mod AHBDW) downto (96 mod AHBDW)); end case; when whold1 => va.state := whold2; when whold2 => if ready = '1' then va.state := midle; va.acc := (va.haddr, va.size, va.hwrite); end if; end case; if (ahbsi.hready and ahbsi.hsel(hindex) ) = '1' then if ahbsi.htrans(1) = '0' then va.hready := '1'; end if; end if; if rst_ahb = '0' then va.hsel := '0'; va.hready := '1'; va.state := midle; va.startsd := '0'; va.acc.hwrite := '0'; va.acc.haddr := (others => '0'); end if; rai <= va; end process; ahbso.hready <= ra.hready; ahbso.hresp <= ra.hresp; ahbso.hrdata <= ahbdrivedata(ra.hrdata); -- migi.app_addr <= '0' & ra.acc.haddr(28 downto 6) & "000"; migi.app_addr <= "00000" & ra.acc.haddr(28 downto 5) & "00"; ddr_ctrl : process(rst_ddr, r, ra, migo) variable v : ddr_reg_type; -- local variables for registers variable startsd : std_ulogic; variable raddr : std_logic_vector(13 downto 0); variable adec : std_ulogic; variable haddr : std_logic_vector(31 downto 0); variable hsize : std_logic_vector(1 downto 0); variable hwrite : std_ulogic; variable htrans : std_logic_vector(1 downto 0); variable hready : std_ulogic; variable app_en : std_ulogic; variable app_cmd : std_logic_vector(2 downto 0); variable app_wdf_mask : std_logic_vector(APPDATA_WIDTH/8-1 downto 0); variable app_wdf_wren : std_ulogic; variable app_wdf_data : std_logic_vector(APPDATA_WIDTH-1 downto 0); begin -- Variable default settings to avoid latches v := r; app_en := '0'; app_cmd := "000"; app_wdf_wren := '0'; app_wdf_mask := (others => '0'); app_wdf_mask(15 downto 0) := ra.write(2) & ra.write(3) & ra.write(0) & ra.write(1); app_wdf_data := (others => '0'); app_wdf_data(127 downto 0) := ra.hwdata(2) & ra.hwdata(3) & ra.hwdata(0) & ra.hwdata(1); if ra.acc.hwrite = '0' then app_cmd(0) := '1'; else app_cmd(0) := '0'; end if; v.sync := ra.startsd; if nosync = 0 then if r.startsd /= r.sync then startsd := '1'; else startsd := '0'; end if; else if ra.startsd /= r.startsd then startsd := '1'; else startsd := '0'; end if; end if; case r.dstate is when midle => if (startsd = '1') and (migo.app_af_afull = '0') then if ra.acc.hwrite = '0' then v.dstate := dread; app_en := '1'; elsif migo.app_wdf_afull = '0' then v.dstate := dwrite; app_en := '1'; app_wdf_wren := '1'; end if; end if; when dread => if migo.app_rd_data_valid = '1' then v.hrdata(127 downto 0) := migo.app_rd_data(127 downto 0); v.dstate := rhold; end if; when rhold => v.hrdata(255 downto 128) := migo.app_rd_data(127 downto 0); v.dstate := midle; v.startsd := not r.startsd; when dwrite => app_wdf_wren := '1'; app_wdf_mask(15 downto 0) := ra.write(6) & ra.write(7) & ra.write(4) & ra.write(5); app_wdf_data(127 downto 0) := ra.hwdata(6) & ra.hwdata(7) & ra.hwdata(4) & ra.hwdata(5); v.startsd := not r.startsd; v.dstate := midle; when others => end case; -- reset if rst_ddr = '0' then v.startsd := '0'; app_en := '0'; v.dstate := midle; end if; ri <= v; migi.app_cmd <= app_cmd; migi.app_en <= app_en; migi.app_wdf_wren <= app_wdf_wren; migi.app_wdf_mask <= not app_wdf_mask; migi.app_wdf_data <= app_wdf_data; end process; ahbso.hconfig <= hconfig; ahbso.hirq <= (others => '0'); ahbso.hindex <= hindex; ahbso.hsplit <= (others => '0'); clk_ahb1 <= clk_ahb; clk_ahb2 <= clk_ahb1; -- sync clock deltas ahbregs : process(clk_ahb2) begin if rising_edge(clk_ahb2) then ra <= rai; end if; end process; ddrregs : process(clk_ddr) begin if rising_edge(clk_ddr) then r <= ri; end if; end process; -- Write data selection. AHB32: if AHBDW = 32 generate hwdata <= ahbsi.hwdata(31 downto 0) & ahbsi.hwdata(31 downto 0) & ahbsi.hwdata(31 downto 0) & ahbsi.hwdata(31 downto 0); end generate AHB32; AHB64: if AHBDW = 64 generate -- With CORE_ACDM set to 0 hwdata will always be ahbsi.hwdata(63 downto 0) -- otherwise the valid data slice will be selected, and possibly uplicated, -- from ahbsi.hwdata. hwdatab(63 downto 0) <= ahbreaddword(ahbsi.hwdata, ra.haddr(4 downto 2)) when (CORE_ACDM = 0 or ra.size(1 downto 0) = "11") else (ahbreadword(ahbsi.hwdata, ra.haddr(4 downto 2)) & ahbreadword(ahbsi.hwdata, ra.haddr(4 downto 2))); hwdata <= hwdatab(31 downto 0) & hwdatab(63 downto 32) & hwdatab(31 downto 0) & hwdatab(63 downto 32); end generate AHB64; AHBWIDE: if AHBDW > 64 generate -- With CORE_ACDM set to 0 hwdata will always be ahbsi.hwdata(127 downto 0) -- otherwise the valid data slice will be selected, and possibly uplicated, -- from ahbsi.hwdata. hwdatab <= ahbread4word(ahbsi.hwdata, ra.haddr(4 downto 2)) when (CORE_ACDM = 0 or ra.size(2) = '1') else (ahbreaddword(ahbsi.hwdata, ra.haddr(4 downto 2)) & ahbreaddword(ahbsi.hwdata, ra.haddr(4 downto 2))) when (ra.size = "011") else (ahbreadword(ahbsi.hwdata, ra.haddr(4 downto 2)) & ahbreadword(ahbsi.hwdata, ra.haddr(4 downto 2)) & ahbreadword(ahbsi.hwdata, ra.haddr(4 downto 2)) & ahbreadword(ahbsi.hwdata, ra.haddr(4 downto 2))); hwdata <= hwdatab(31 downto 0) & hwdatab(63 downto 32) & hwdatab(95 downto 64) & hwdatab(127 downto 96); end generate AHBWIDE; -- pragma translate_off bootmsg : report_version generic map ( msg1 => "ahb2mig" & tost(hindex) & ": 64-bit DDR2/3 controller rev " & tost(REVISION) & ", " & tost(Mbyte) & " Mbyte, " & tost(MHz) & " MHz DDR clock"); -- pragma translate_on end;
gpl-2.0
d5ab754a09ce2bc546536d4517b6532e
0.524629
3.594889
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/my_lab_1/my_lab_1.srcs/sources_1/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_rst_ps7_0_100M_1/synth/zqynq_lab_1_design_rst_ps7_0_100M_1.vhd
1
6,783
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 11 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0_11; USE proc_sys_reset_v5_0_11.proc_sys_reset; ENTITY zqynq_lab_1_design_rst_ps7_0_100M_1 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END zqynq_lab_1_design_rst_ps7_0_100M_1; ARCHITECTURE zqynq_lab_1_design_rst_ps7_0_100M_1_arch OF zqynq_lab_1_design_rst_ps7_0_100M_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF zqynq_lab_1_design_rst_ps7_0_100M_1_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF zqynq_lab_1_design_rst_ps7_0_100M_1_arch: ARCHITECTURE IS "proc_sys_reset,Vivado 2017.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF zqynq_lab_1_design_rst_ps7_0_100M_1_arch : ARCHITECTURE IS "zqynq_lab_1_design_rst_ps7_0_100M_1,proc_sys_reset,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF zqynq_lab_1_design_rst_ps7_0_100M_1_arch: ARCHITECTURE IS "zqynq_lab_1_design_rst_ps7_0_100M_1,proc_sys_reset,{x_ipProduct=Vivado 2017.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=proc_sys_reset,x_ipVersion=5.0,x_ipCoreRevision=11,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_EXT_RST_WIDTH=4,C_AUX_RST_WIDTH=4,C_EXT_RESET_HIGH=0,C_AUX_RESET_HIGH=0,C_NUM_BUS_RST=1,C_NUM_PERP_RST=1,C_NUM_INTERCONNECT_ARESETN=1,C_NUM_PERP_ARESETN=1}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END zqynq_lab_1_design_rst_ps7_0_100M_1_arch;
mit
32bc8c39dbb12bb448e728d538753fce
0.713696
3.351285
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-terasic-de4/config.vhd
1
6,666
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := stratix4; constant CFG_MEMTECH : integer := stratix4; constant CFG_PADTECH : integer := stratix4; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := stratix4; constant CFG_CLKMUL : integer := (5); constant CFG_CLKDIV : integer := (5); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (4); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 16#32# + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 1; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (2); constant CFG_PWD : integer := 1*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 4; constant CFG_ISETSZ : integer := 4; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 0; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 4; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 4; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1*2 + 4*1; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 8; constant CFG_DTLBNUM : integer := 8; constant CFG_TLB_TYPE : integer := 0 + 1*2; constant CFG_TLB_REP : integer := 0; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2; constant CFG_ATBSZ : integer := 2; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; -- L2 Cache constant CFG_L2_EN : integer := 0; constant CFG_L2_SIZE : integer := 32; constant CFG_L2_WAYS : integer := 4; constant CFG_L2_HPROT : integer := 0; constant CFG_L2_PEN : integer := 0; constant CFG_L2_WT : integer := 0; constant CFG_L2_RAN : integer := 0; constant CFG_L2_SHARE : integer := 0; constant CFG_L2_LSZ : integer := 64; constant CFG_L2_MAP : integer := 16#00F0#; constant CFG_L2_MTRR : integer := (8); constant CFG_L2_EDAC : integer := 0; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 1; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 0 + 0 + 0; constant CFG_ETH_BUF : integer := 1; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0033#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000009#; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 0; constant CFG_MCTRL_RAM16BIT : integer := 1; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 0; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- AHB status register constant CFG_AHBSTAT : integer := 1; constant CFG_AHBSTATN : integer := (1); -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 0; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 8; -- Gaisler Ethernet core constant CFG_GRETH2 : integer := 0; constant CFG_GRETH21G : integer := 0; constant CFG_ETH2_FIFO : integer := 8; -- SPI controller constant CFG_SPICTRL_ENABLE : integer := 1; constant CFG_SPICTRL_NUM : integer := (1); constant CFG_SPICTRL_SLVS : integer := (2); constant CFG_SPICTRL_FIFO : integer := (2); constant CFG_SPICTRL_SLVREG : integer := 1; constant CFG_SPICTRL_ODMODE : integer := 1; constant CFG_SPICTRL_AM : integer := 0; constant CFG_SPICTRL_ASEL : integer := 0; constant CFG_SPICTRL_TWEN : integer := 1; constant CFG_SPICTRL_MAXWLEN : integer := (0); constant CFG_SPICTRL_SYNCRAM : integer := 1; constant CFG_SPICTRL_FT : integer := 0; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 4; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (16); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#fe#; constant CFG_GRGPIO_WIDTH : integer := (32); -- GRLIB debugging constant CFG_DUART : integer := 1; end;
gpl-2.0
7fcdfd07365d35c84a1557f61a8bdabe
0.645365
3.568522
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/adventures_with_ip/adventures_with_ip.srcs/sources_1/bd/ip_design/ip/ip_design_nco_0_0/synth/ip_design_nco_0_0.vhd
1
10,885
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:hls:nco:1.0 -- IP Revision: 1506191711 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY ip_design_nco_0_0 IS PORT ( s_axi_AXILiteS_AWADDR : IN STD_LOGIC_VECTOR(5 DOWNTO 0); s_axi_AXILiteS_AWVALID : IN STD_LOGIC; s_axi_AXILiteS_AWREADY : OUT STD_LOGIC; s_axi_AXILiteS_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_AXILiteS_WSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_AXILiteS_WVALID : IN STD_LOGIC; s_axi_AXILiteS_WREADY : OUT STD_LOGIC; s_axi_AXILiteS_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_AXILiteS_BVALID : OUT STD_LOGIC; s_axi_AXILiteS_BREADY : IN STD_LOGIC; s_axi_AXILiteS_ARADDR : IN STD_LOGIC_VECTOR(5 DOWNTO 0); s_axi_AXILiteS_ARVALID : IN STD_LOGIC; s_axi_AXILiteS_ARREADY : OUT STD_LOGIC; s_axi_AXILiteS_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_AXILiteS_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_AXILiteS_RVALID : OUT STD_LOGIC; s_axi_AXILiteS_RREADY : IN STD_LOGIC; ap_clk : IN STD_LOGIC; ap_rst_n : IN STD_LOGIC ); END ip_design_nco_0_0; ARCHITECTURE ip_design_nco_0_0_arch OF ip_design_nco_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF ip_design_nco_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT nco IS GENERIC ( C_S_AXI_AXILITES_ADDR_WIDTH : INTEGER; C_S_AXI_AXILITES_DATA_WIDTH : INTEGER ); PORT ( s_axi_AXILiteS_AWADDR : IN STD_LOGIC_VECTOR(5 DOWNTO 0); s_axi_AXILiteS_AWVALID : IN STD_LOGIC; s_axi_AXILiteS_AWREADY : OUT STD_LOGIC; s_axi_AXILiteS_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_AXILiteS_WSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_AXILiteS_WVALID : IN STD_LOGIC; s_axi_AXILiteS_WREADY : OUT STD_LOGIC; s_axi_AXILiteS_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_AXILiteS_BVALID : OUT STD_LOGIC; s_axi_AXILiteS_BREADY : IN STD_LOGIC; s_axi_AXILiteS_ARADDR : IN STD_LOGIC_VECTOR(5 DOWNTO 0); s_axi_AXILiteS_ARVALID : IN STD_LOGIC; s_axi_AXILiteS_ARREADY : OUT STD_LOGIC; s_axi_AXILiteS_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_AXILiteS_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_AXILiteS_RVALID : OUT STD_LOGIC; s_axi_AXILiteS_RREADY : IN STD_LOGIC; ap_clk : IN STD_LOGIC; ap_rst_n : IN STD_LOGIC ); END COMPONENT nco; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF ip_design_nco_0_0_arch: ARCHITECTURE IS "nco,Vivado 2017.3"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF ip_design_nco_0_0_arch : ARCHITECTURE IS "ip_design_nco_0_0,nco,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF ip_design_nco_0_0_arch: ARCHITECTURE IS "ip_design_nco_0_0,nco,{x_ipProduct=Vivado 2017.3,x_ipVendor=xilinx.com,x_ipLibrary=hls,x_ipName=nco,x_ipVersion=1.0,x_ipCoreRevision=1506191711,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_S_AXI_AXILITES_ADDR_WIDTH=6,C_S_AXI_AXILITES_DATA_WIDTH=32}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_PARAMETER : STRING; ATTRIBUTE X_INTERFACE_PARAMETER OF ap_rst_n: SIGNAL IS "XIL_INTERFACENAME ap_rst_n, POLARITY ACTIVE_LOW, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {RST {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}"; ATTRIBUTE X_INTERFACE_INFO OF ap_rst_n: SIGNAL IS "xilinx.com:signal:reset:1.0 ap_rst_n RST"; ATTRIBUTE X_INTERFACE_PARAMETER OF ap_clk: SIGNAL IS "XIL_INTERFACENAME ap_clk, ASSOCIATED_BUSIF s_axi_AXILiteS, ASSOCIATED_RESET ap_rst_n, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {CLK {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN ip_design_processing_system7_0_0_FCLK_CLK0"; ATTRIBUTE X_INTERFACE_INFO OF ap_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 ap_clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_RREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS RREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_RVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_RRESP: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_RDATA: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_ARREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_ARVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_ARADDR: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_BREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_BVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_BRESP: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_WREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_WVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_WSTRB: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_WDATA: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_AWREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_AWVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS AWVALID"; ATTRIBUTE X_INTERFACE_PARAMETER OF s_axi_AXILiteS_AWADDR: SIGNAL IS "XIL_INTERFACENAME s_axi_AXILiteS, ADDR_WIDTH 6, DATA_WIDTH 32, PROTOCOL AXI4LITE, READ_WRITE_MODE READ_WRITE, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {CLK {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}, FREQ_HZ 100000000, ID_WIDTH 0, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 0, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 1, NUM_WRITE_OUTSTANDING 1, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN ip_design_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_AXILiteS_AWADDR: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_AXILiteS AWADDR"; BEGIN U0 : nco GENERIC MAP ( C_S_AXI_AXILITES_ADDR_WIDTH => 6, C_S_AXI_AXILITES_DATA_WIDTH => 32 ) PORT MAP ( s_axi_AXILiteS_AWADDR => s_axi_AXILiteS_AWADDR, s_axi_AXILiteS_AWVALID => s_axi_AXILiteS_AWVALID, s_axi_AXILiteS_AWREADY => s_axi_AXILiteS_AWREADY, s_axi_AXILiteS_WDATA => s_axi_AXILiteS_WDATA, s_axi_AXILiteS_WSTRB => s_axi_AXILiteS_WSTRB, s_axi_AXILiteS_WVALID => s_axi_AXILiteS_WVALID, s_axi_AXILiteS_WREADY => s_axi_AXILiteS_WREADY, s_axi_AXILiteS_BRESP => s_axi_AXILiteS_BRESP, s_axi_AXILiteS_BVALID => s_axi_AXILiteS_BVALID, s_axi_AXILiteS_BREADY => s_axi_AXILiteS_BREADY, s_axi_AXILiteS_ARADDR => s_axi_AXILiteS_ARADDR, s_axi_AXILiteS_ARVALID => s_axi_AXILiteS_ARVALID, s_axi_AXILiteS_ARREADY => s_axi_AXILiteS_ARREADY, s_axi_AXILiteS_RDATA => s_axi_AXILiteS_RDATA, s_axi_AXILiteS_RRESP => s_axi_AXILiteS_RRESP, s_axi_AXILiteS_RVALID => s_axi_AXILiteS_RVALID, s_axi_AXILiteS_RREADY => s_axi_AXILiteS_RREADY, ap_clk => ap_clk, ap_rst_n => ap_rst_n ); END ip_design_nco_0_0_arch;
mit
39c848ef119bd5e48e4aa24902f549db
0.728893
3.446802
false
false
false
false
khaledhassan/vhdl-examples
shift_reg/shift_reg_tb.vhd
1
2,536
-- Copyright (c) 2012 Brian Nezvadovitz <http://nezzen.net> -- This software is distributed under the terms of the MIT License shown below. -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to -- deal in the Software without restriction, including without limitation the -- rights to use, copy, modify, merge, publish, distribute, sublicense, and/or -- sell copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING -- FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS -- IN THE SOFTWARE. -- Testbench for the shift register. library ieee; use ieee.std_logic_1164.all; entity shift_reg_tb is end shift_reg_tb; architecture TB of shift_reg_tb is constant clk_period : time := 20 ns; -- for a 50MHz clock signal rst, clk, load, lsb : std_logic; signal output : std_logic_vector(3 downto 0); begin -- Instantiate the unit under test (UUT) UUT : entity work.shift_reg generic map ( WIDTH => 4 ) port map ( rst => rst, clk => clk, load => load, lsb => lsb, output => output ); -- Clock process process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process process begin -- Hold reset state rst <= '1'; lsb <= '0'; load <= '1'; wait for clk_period; rst <= '0'; -- Perform the simulation wait for clk_period; lsb <= '1'; wait for clk_period; load <= '0'; wait for clk_period; load <= '1'; lsb <= '0'; wait for clk_period; lsb <= '0'; wait for clk_period; load <= '0'; wait; end process; end TB;
mit
1c9cb8db3faa99572b499dd2d2ed65ec
0.617114
4.198675
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/lab3_project_default.xpr/project_1/project_1.ipdefs/ip_0/tmp.srcs/sources_1/ip/convolve_kernel_ap_fmul_2_max_dsp_32/hdl/xbip_dsp48_wrapper_v3_0_vh_rfs.vhd
16
142,619
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DFZ5WgRqjFT9lVyqK6nQpKgB80akrPBjimQzluHFLikgdYrj5bwA2ssN1ElOIV9nrvuu87ubKZBv lnRe0OSrzA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kC0FQeS1WxNdMHZ6za9mILmkUWaq/YvbftmdmD/YvkxE9qXCzuQ4X/Kcd+x95IK0oLwYQ53mcHtv EJQQ2fhu6R3T476x8WBoOkJkm/HOADjkpZm+Zg3MJSjn5sPtCsF4Z2/wkUlCmeZLLxI5OsYtWFyN 04svrx7Wq6Y6eU+BZBw= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xI46Pccjo8ThDecbCfZ+2ohSnYQOsnWr2St3oXXHpvwFg0Nu1rUBEPSQt4jcO/raYF0ZQvMZFiHg KSyOn4d3AwPjS3FPjL+Ky0GBJMLNsYWxYDXZrfSova1B+0HzhVtGQ8xMO0ZRkqPilj00dH5Hg4rE JlpIxyXjyhpSAWu96sw= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bFmZshi0sqkN643qM6zE1i27VisWSU5eY/nwaA2zsxUk3CqZcruFM4aqqI7SbeQ2eR59k2dkeUTC m4raP91MF7BThgpZc4IkoR8C7KjDTBjNC9NjnSaEn9In4SiO+V3mvFEDMaW8s5fXjZ3hyBENWPaY 9YovoxnXbpPQ5325vf6Yevh9YCoyIasfp0RqxFxjNHdXJhHsp010HvJMvimpw2f5pdp1k55zFvXH pitA/aB/99CW3J+QubemecW/ILdb7msBsNy0/qeyv4b9K8OPKLNSzredgiYa4fGbHzgphZqw1/a0 AtorqDnV6AITy6RHzZAUsvSRnUvt32AY3w8gQQ== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GT3YnJzupabpLi+SPy7VFa7UWjQwy7qFFlY58l/uq4gMFprj7TNyCu1vqVseo2VwzEizHzNcK7kH 1GqtX3RH+CceHQiYgdfMZldAK8gWy8GAkdwVj7zmpoUaIt5wYYMP3SDiidy/J3PDwAEN5imFQH5Z xm8DPpTl//MGSwXokSPmpszqyH1WYwp8K/1j1JkB7HsIBpkoWthkUZZanmOf7weEx5wMxJkQpLz6 VPQXudw3YQYkb0Sy4QvLsAhlnfKh1Vq8HzScK7btRBJvs41joO9hm9fSDvjrFzJ+V2KNNEL4J2Pe TT3vkjsziFz9KOQXBiVLM0jyNcQUSQjZy4pC4A== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Y6LshsPD1xF3TdW5KuFKeeUs/lgXWIJ7Je8GJUjsQs+U2qvOsyNMAInwIkk16UW3gxJ5JaFc4m10 mmnHCs3YIOr3JumCuS1jJogRkVTqPd2+o+j8FypFulSA7owquJjLTt5jm6RkpIqqdTzK0bv27ruA /K5EPDB8CYmS5HhFZgaGGk6Ka0Ip5SB7ivzUfwsRLUw1Z+K3Epp0FNgWB2SoQOWMDTdpQa71cXsd 2OsgR12rpRLx16Ula1xC2MWeKR16MAnz2wagfpVIn/dCyIGHHVYBDYUrii40EP70ddOLlwG5SErt jE/m+WDVJygvfcEun3Ys/e1u+V1AzDx+vNxUFw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103440) `protect data_block Uus/h2WhOYqYiBovodvY4reCVXdj8MkqJvk6OurfbPvYT8DjZZLZwSk9W1al8HkKHKI2YzvPoyk7 E0tqf5G5zjBbkC8OGD+gVyXjkqFP/vLWRONyn6sm3AuwYk6xcbp58eDCZF2LuE89NJkJ8WDRicXc gUYTemHpUc38CpP6K6UysTDE8mrp1IdYLKkerSP5Emlj1x59fU/ngWGe0NF3XacyWvEPgglCx5f2 IZfC4qqhoWIcVz/FGZsO3mJwwqdLUZkE70ZS+eGs9DqgsuKlhIPkGBdq8zc6BQ87msO0MYY2tMSf pE7VWKWn/UWZbmNENbD+DeLiIEVMiejsPL6lsYq5JNCuuJK9c1B5haoJZslLjosTnIfSZYT0iugL L9z8HvHQle7srZ5mTEzOTPOE8EVXAt98A0UFSKf/5wlwxxn9vBN8PZuXQ6EDQXHhJXUY1O34XmzH LwptSFTndPI8KSPwfnUvwHn2VgkI6sLq5KgWS9Gne56fpKrb5ZgBaoWi/JJ/yuGzHNHaIeLlhU74 3OATXl12Hr+A+CRzry4eGSPqsLJOqGAD6QjkZKDYaZcd/rMseK8KA/tO2hx9zhu1BMyjTUUDDgVQ eU4ltoPS8HZWnPEqp9HY4YGmKXFLX5/L/Ppt8BWLpMvoVTI8twbzoGqXAubZQP8DbjM3QPF0v3nw VVUIybmVZstWuqC7Rvizbj/9jCMogs8bE91jySiFacV8eF/NA14h3+bZ283JHbDxFZolIINws1Sr e1qncd3n59ARJqGCAcN6Ahqmgrmmuu47RRrGJ2Rt88/ynRAO8jmK3XO5eZUztwJPIL0YPZAT8QuN YPOGlG2Lg/ZF//T7quK39ASUxz+zXyr/hwi/nQXAqiVyjjBRWV0tvw1YKKYVOxPn5Y7/OLjAtcZN vyxlsbsWO8bAcOmDpHJnM6dQ8uKFLd3bRH8fIAtrLXJDlbhX4SaQt6wrl/FoMuNI7PzaXDP/hY34 BohHDE4BOBXEtgxk0gJ8jO/TqVLbaury8WW42i3Rp59DMfJReVQ1qb7OE4VRRStddioyqkmb/0Oa ZQ3X5oQhg7Q+L9j40rsUShBrYc5MqFRO/SV7n4Ely6/iuuTePvhJWHeonFhTQ1TAQnhH9UZ/skYT /4sypJr6wQOdKlAbEvu2uZrKJvhZFQ+V/7awKxtpzjKqDCJz7YvQkJdySCvXVBBujceVzxldb4IK THKFJAeU/VOaBnvwELlo8ORUE3zGQeh2EBCDkdhbLjYFmzkT7ojfVLctgkfEDHAHzKeZvOr9loe/ thQ9Lah2wLNOk9DAjXf4PtEAHUFRERZzv8svk6gI2u/7L7Nj7Nv5aC3tqNBbVV5XKNtqNqz61OC/ NPI/HfVrCu2Q9av+X3PWM/pT0ioE+l/JzyOkUD6/xFpp22KidpoRJKCMyYR6lz9j0ZAG4ntze0/W pP0/t4u3ymhWUlylDxZ1KOIPC9GVPh3ezg+T6Z9JmIGVOSqgvT++CClff9LReADg7IvL0hdEFKxU AOQ1V2PPNbTLt8QGDwU7ozs+AomGTpFDCeWRkDgHXLVvDw8XSTkFy1SqZ/KUw18XXs8pg9q+PMNV kGQATXfTY55cXvp9+1Z+RwqNob0RGyCf1iSRt9p/+aNO8KMdDi/ao8WhLcrEIfaUydmfJ6Oja4l/ I6y52k3KdLBbd2FXynYAsaEelv6UmNCHO2QIIRdFzicYCGAQ11tBt26zzQvIdP4piJoESBoChq0U cEhTPHxPuHkp/Zxb/v1gSCxj4MGj1kj+8FH2I5KyTwf6IwY/1+koepfup2Mp81mG2hbs3Vy5VF9C zf1FboUnK5OGxM61dFt+Qx9feJ8Q1Q6BVHr3HOhGdoFQd1ZGXP4nEYTWKWmIJSCNbEPXqrJlPSp5 twYKdYHHSwr55mLQG7+f3mmpHdvjMKC5RkjZV6LRzGXvlvd+/fO4nipIqiiF2IzCDXaX3Vl3KlQz z4A2/dVOqGXPEW3RkRX44DVgy+IhvBjcVeB8PBKoW23Y/osQEh17gUntzr/feDtzlXFp8XRUPgfu ApcuoYHR52iAghfiOeTk5cr+KlNRF1d6LXPvxwrUy8loF9fnc9lkvsgvB+hPnUzrSBgFGcWKQA7O XDu/XnRtUBSFwMQEnzxmNtHIEnnRjgeOq5oXMYgoX40pAqdMW+4wBxTi404mP3xCgLs+sq6w1tC7 JIeDzOmx2ognzEzLOltrpiqSU2GQVYNpCJfY+o38kc0ivz6RNPYOIFCOdE7o2Mw4TNm6uSJVYZoj 9HVE97cM7qMjsvoJYH6hkgrPxRBdT+AUFKjsb7NCx4CByB5PVlQuRbmIfu0dl4M25YVkW7pdT75Q faejNVZ0MzICCSQs+A1JKHH9g3UpkSHMtdnc2e55n5KL085HkLb2rceex9LyRwG3+exmvBI5sxED PCzJLP/5+jq9XSxaK3hzA86ZGFs+yJCag3CogAwnw4D98r6zyOEqRPK+JQKFbcvZRddm9V8gdEwJ /Eu36BtReNrLlHtsBUff6yANfHb3HDJ/Suzr6qyU9gIzmblUTScrMnGTNlIEGD0SSlS53slnvG39 4QN58K0f1Fr6KcxoK2hPbGmKgO8MsTjDgUAO1hQ6vnG58p4bkt9stx+B+A2A0L0fxziX/kHqoxxV 6hbKUqCDnT27Wz4MV/gCxXnE1Z7SJrM3Jm/+bhmVOPb78cDPZx8vLIwdv6YPUPP/lTNz20NT1wrd +eWgxOAq6RCr/raimIopvhVSbcT6e02UZYNIXg07nPEnk3PhbyCdIcrhwZ9Jvdq4mK1P/wOOkrNf PIeC2L3o9+7WqP4aZtUkS9oqAbOzbREuB+1201zxf+sUzoL86yoOioBF5h3rv37BsWtw0Ufdu0Ks Bo8JTLtD3ZZ8Qox/dLGc4bwUoSYjF071etQh/DDJ7GZMphnD2ne5Sr4HRW7uFZqyiFP/ug6Kp5Kh e3/5Lbd61dad55C1SRZrJARaCqV4aOlfuYvVB4xlBHyd422xstno6u1bhdCuNQBu52FHxwO6IN0a 2106ywYHu3fzx1GuyjI5/Ya0YqBS93Ut4clNmPjNeGAW75JD9BjrX5y7AXz9RswIiUHdSv3ec525 8a1dKXTl98DAZXyAfNGoQd3ULV2EAofa83NM9CxoydHA8m3Ry3WOr68IPwtaWKAHkzIdenTihM/j /qH32nD/25i1HK2qlvVL8a1rogua/UL4UL+A/Z95uBVEdEDSmv0EA13v4Rz25ruCzduSFSfR+W/3 uPNmnpm1lDmCt7NnqACA+XsjHI80vWaH8guhN42Ega/iRezkNoUupiMfEeD3CZ+BU5tB8htxPuzO Hafagc/L9sVC/62loWVFu8vOVpyRSscNwOwfsQCz1ztdJ5mBC43fDn0y9NXegH369JcXY6x4QMsb 3Hlq1GUAcwtpwqPoq2BtTtfI1fjsAGdm5gDIfCyoUIUmCquotjUFBw+G/cRVoZBSSy0XTZqm+ZtT hFvVsCqR0/4seLSyc8Etm7qDdDVVeO88jpBIDCFvn/OnkJOr2HO7Fz04Ur8HyxvXhKQeaPSQJ/mt tVYQZM6Az112g0Kcspm7UoY8fSNNqebsnHkWaQ0yRt0+iKl0Ier8WKpxx5URJVbI2/LJqM0Z1gvW IhhppcCxnkX6LAJSuER7U4RYXOWSWxLOfjwp2fi2Zo/RV397AxfIPV/R5DasTPWRNP1CK54XDlbm fQGb6wvB0LrvNsavQlL1FJ6tcBo2Pyrs/6g1Uu2MMPIuD+x6j7kuPP7lBfqj+9SN3LXwltxqf96O ehz68S9xPkHyuo17u0BS5h7Wquxo0s2M2G5f8Vec5H/vIapxCq2B0ARYtuMlWdpPFp0DKCu+2ShK nLJWPLzTOzb+CFNiOpsOnm+efHcNbxeCaDx8N7qzskHvUAiyfwDc+UNYlYOksXHc431jUGCrOHfP 2ReJWWSrW08uUSq9IxU3Inw4F23b4uX3ouXK7Y7VeelFY6UnkauhR8fOzSmmG8PmzBIGma4nh8M4 S3Oscge+T2udMuK8qW7xOqFhswGx4gm9zEQYO1nEP2bDf5gvKVpIupE1O68ZHEeZb1IWLH1LMHSr 7bCgixtATHLhM8VLT9Dj+rQQeq5UjisBbi+ZqZhThIqlw/1TpK9RDV1S9XPSN3YzMeiHmieLJ1rE w3F6uTscgXDnosoZ4yurWC9ZtXhs+tc0uulRjdcgFULhkc9yRJzNUexhWV8lMYNFUntN8GRLTqKH k908zpCHcANqjEq/g7OGtj8Qcg/abDa139MoWZCXB89eOixZy0sgbGangSywSqjyvmeT7Oq9ZBDE CMPg6F2g/CxyLNq2XXQGQQ/Ar9m0EmxWz6eXg4hLyRIsnbZfzgioEdmUxaX2ld5Su+/zRp7AgKRV 9rQB3+kByZwxQq0JWOlr+eKCkefNdFT85hMxBv/RK4D+N6jIt43vaZd21E4TMOjaDYS8zBQKDGr3 7xmZ6LDQGOW66S/M2x1AV+XiqSXQ3JPkD0Ml6+aFXWtEPsbYdcstdW4pYfVoaQxIAnVGA4lNPBQl 3+BEbzU41S5yAE3u7zWPM6ilJzoLHfRQQodFRUc97znxrDwQDaYu3y6J/811OuLN3eXCHDzIUf5j ZEFi2+U1L8jALHh/8OM7vw3BCGYFX9bPKtyiQSTzYBRC9CJuhLHe/+1ArDNgGA8pLbeRkuPy9n7D SLyV/QGTA6c5ZLnMVr/ZacyEmyNTkXnEtILwrOCDv/mmS+RWoM2WdxMXLTdT05ZUrzyftHXTT2Xo SB6jaIgWTdtyNFnbKUx5i1TpFG4FIbZDNh0mWlH9Lrp9Sv+RqMqPkcaZLYLqyP4KorntXXS8lBfc t5V/aCVAh0VRcLElm/t0/8jC2zRz+1tso4zq5XOtbfIFT/eyo2xWm9kPuxFNR0KrULsWZ9ISVJTT eWeSIsivY3DMEHbPHEWuiqpR5NyuvrD/80fn+QigH/HPVxeKsDnvWi+I9bwvUSIjTEL15U4hdizM jUwLp5rLd0ayxdWsYOREt2XWOTmFZH4Gl9ycxbM8bX+F39DfN4mC3wuK0VL9rdj9/vdKKMpCPKZc WNGAch12/MJ92oTbZCt8UNjWF/0LAFr2JVuscg3y1V/bWG8Og9uAcsXCtX2ZBkHWyNI5p29CONsO VA7J2WKABj7aUbBXbWuMayBzpidw+/r760GGut/ualHp0+k50YeUO0wbqe+cE5GRu4ted/nTFlew 1Vx1OCrqEaKjJ+r7WlYMNoxtIP+cHRTJNtQ8JpF2Sali7tppYdACx1lSHDV66XZWXUp9uHketmCq fCH8woZh+fw8tbn+swozrGKAs4Ob8nfYMVLM0cb9vQCMp6wEeGC6O2vPaakZEW4AG0/2vnxT9MTr BUWpniOwGZMpVyE5XhEgwHevmB40F5L6MnGJuhf9dzLuOvB5amnnV2Ri8w3p9s6nkNhu3j1AEctS QH6DXryMkpKNAQOctkaTRTAqTEBaplUG6d5u0TgPertpibSxFZ6dgpwO6XD4iDwTqCuQx+ikafAl 9elTSMXXPKb3DabYEQKfReyv7TLJLKWuTccsc+4daBU3d67WsnX/wyj3DvQucZF9qn2Uo3yZwgvb dzc/leTWVTqbMMNoV7fXDYP6YD2Yv+F9+XoSHR5O997rKnGFDHA6FdEC8bZDuvzSu768836JsYuY p6KEOZb1UViOD5BENorNlOstDIYK5VBMwzmH/fynGVLHsRPkxxeHGzTxHT6ikh/ttPm0+yJpaY8s 9gAuoU1tuDWUhwnyGFc2PhBz+I+m8U6IZY5VfCN3rBv61eIgW4sUYOK+0yZtPmIq/OAZFDdwi3YN VegFxwQnaHPrAvH/9+BKn5qipfqFHwpj4FzOnGagUCUFCb5ComDeSgMlx6kB+/XzqAtzamexxONv FwGa3r4apzFzF1Enn5sXAkvcBZg/nIKnVFH47jBp/kIG79FzEZH0v61F8Q99WdRWWPMZlaY4YpuT z8lLPKa5apa2eMfhC97OvDPww4e/BCTDbZKUbTgsK2mJDXkpzDXMsEVIqTkzI7ecoSiJw2VdYMZq akJv7Zg3UpOX+T28PHdEzYFkU469AtADY0hlNdGQgapcUyKPxtjccvTNvCSIdylh1vU1zKvkShin pluNe/pDcnXJFlCZDcvXxGTbAqEQQ5GfJfOi2Bjk7XCsX8JFmt3HD1YLv3EZkONQxXCHcXajPnoc 1IxPHyRnBytmbuPp+WyVbVr3cdnk3CtvCDRpmZB/6HZriNGXFrQ2x6tAc50POyIukcAuMf25QkML FmHWkWxSItD1sibPEQJlUCofuwhMP0AV6w9g5uqDIjal2Z8mMAApJU7zk+T6aiTTgBKGkGQKVYOY zwsPWmGtfkFDBL0odu0Jj89CQtcjdIPbidRQtgTzNEx6u1ARWCfA3XBaylm0JUNwv54WLU9URIwO M0Undn/NLcISyMCY8PKHyxWKp2h7QSRaR3IGv/tXG6NWk50l3NvIHeQlLFN/92OC7mvu/G5e+owq p8b9GnV4xOWAEYMiLZKkcfD0btGgjLJGgHm+M+J3pAGVZYA6Di+VCM1xWp8b0MFMyIRejeVIYlsX ZgrA3gYrWHGXfLif0XZ25laddyGY2QeKVMdpclVX3LCE9uG2zMazrw4y3vwl00vAzRO0QgF5xP7E G7/Cq122a142mu8gmKM81AFWRoYwmfYSaZE1CkZPsCEBLjw+vRMftXyt+kXnTFlXebUlksW//ENj eLB4OaG4Vv6DmFqPjdUjydTIja5mz1Tx3jFHeXAHWvnUHcSh2kDak2e2pCwVOEIsPw7/pwPBHWlu mCgHRryejG18Zb57Khn0bBWIS0qvURN6zG2mf6K1v8mZiT2/K0X1v36C9czsyqvhn0TiM++aMsvM TkmmtXe35UjJfRrAFXYd/Kh7GNrqzYe5Kezq44O3f3g54XX+tU0jkdAb0a/J6cerfyDJhkh8rk8/ mdHMOP+MnYYxmPPBBSaBzUJ3ApGIHykXoH0+K1hxp9I1Zf5DbDlIHkkn8HZtbYPHhAOdvfziXC1f y5cNW2dWoUdmBwmser48CyWa34YbABQVDKxi9s3WnmCKf73lplktMTqvz5cES4IWry9JuQX4cYD7 w67GQ20+gEsjnASybFQwX/6d7azgr9ef97xBSNkSR8v5Kxj/UpI3rPQC3yr8okPuDZSPn1j+HejW T5HR8J0I6ayxU7rqmANkZ6bbMLxRb0wv6Kdp3EgI/SnrNabAvwVLLkXdAri8JcMg7eDVkPcSfZh2 fCvvWThvGto/ODNnQVkGr4YFeV4MWvvXsZmdOBXEmzbDOMAJ7sMVuZXnCLYcqK8bp3hTusxrInnK ODXQdlUi+KNXmvHsx5kkIYL/bAUzDRhPJzCJT23pUMiZuL/qppez0fW8MvZrQnAT8j28Qd4cR1eE Pf4SrIX3kP8czqyKW6C7gV+7lFa4SIGFqkpDPigQKA7KJqW3FR6RSVTa6N0TItPb2698ML3hvRJA yWFvbCRcHUhywleQhLL5eaDxNwG5QRLmcY0rGYsFWMxyL/gksQ6hQFKlUiUnKIHL25d5hnanKbeJ r30jyB1UuyUmB1j43cdFvhIbyVr8unc4jvFJ7QHTUMZSux7yLVeBYmta01Fa9ee5xcTtJSkZUbdl UOePiUvHrGXHKxW5zKoIlZR1+dUL3nj5jIR6CE3Vb2/RMqjqgVGiwYESCGuLGLhKxub6APP3sw7v yEPVCRwmcR0oHT81LX1x79rht50ThzHPfmpTVC7UsRYuVLwWNP2TgNd9Jmr8/VdJ+qRfutJgoz+N 9KooP5bqH3QXgsRTNBpdRlNxEY62iO+B4Plm+PXUey6pJrWrbM23s8t14sYmvRE15yi+ZrqsUnbW VAukmV6rNx0pDakcjAmTle/sGA1D8MFOeVVWOme6RhT4R8XeXCzxNSrqjOB+fs+7Aolz3xPAoPc5 GvNWHA4HuGBzsdPZ2tori2R2NZ5FFiWznPsQfVvYVj/F2K6W+3sAJxrt/U3vjo8kYPgKDe+s3VRP 17KCHKcpFT4OHnvLZkN9lW3tYbjClhrvgpPmmlV8cRpWLHWPcNOOuQbNteU164E2L4KM1vlpEtsn kg5u390k59DL6HGF/pqX3nhT4+X/BQXwv8C19xUkO7W3byP+RnEy2ykyda6btjNBs+EyIwn0suxl GQ+O+lz6fMEe1vFc4a2GFo7i3JLq8/Cu8GjIzyvSKJeWXwqR9H1ktkvS07vH8gaTriN3UlPGr1a2 qWyUs4J8uUw0wV/lmm6j7/5Rrh98D0OFgHRaWoJMoyRxr2W8hwiCgn2n1PmUBnsbYcfgztNllTha xg4TsNETCI5CMvnj0G9MCdgMwyUr53Ttsow5IJXwJJhv+N5ZmZL4EI3Cvwg704/ghjvnntDZPvzu T1gW+IX7ZFwTbXwReHDXpRknXA4AzML6up4KyOA6dk/xKFXbzgPyGOng/y52eSfFOndDfriU2dV9 CGRXICeFiHIrdaM0iqYlFbPNpIgciVnkwQMV1DWOEH5oJmzZo+ZYzjtYgT7ChrpV0tbGYcyIaMAZ hIfRMTd6py5WyivYN9Xf14mIINzO2EhM8qHu6AKZTD5WNwLE6bCndTmYho6ewHYachiqQAOzlXuL i2U9H01fjpjafD0FqJvZvMzVL0vreOvyPupTwj55oZMCksIF0RaneVMQj0NiAyvY78NNWEl0bU4R 9svWGDkdG/CXCqBnIDPS7kj88gijT8lOmVe5FmjykCXjXKBglA+3glZhFm9nWNoV0FdiH0d45vau ut9Qj5bgRA5fhPNif+2/QH9vxz7q8CmqBPoX0Ixvq/xxvlAVo8zKrucFMpqXKWdeDr6s+A84f3IO 3ohh8vyQJjeNfEJTQeHnFp+6eOpmkqGQJn6cdYechFd30lnATMQr93BK/f/KJ9qfjJRpV4Hj7gCt 1p3zSWOP2JXxgzZhDtX4DIRNLN99Hg38e4uLr3iA4bKy0PfE7TijDI9iw1aO+deKnfrSFtrKuP/K MJk6FqbQ++7fGIHxWZ5rzJnmKsFkRgbVPMZwM16D/Pui7b+iZHBTCrun0ekwjItODyVHxZebkrvU FZjdzSZieiFnkoAl4N0TQ0MQ4Z++qqMNiao/SskvxaYt6CSgkKkujNXRVzdemh+AAlrMzbcMU/TM P+rRGVYHqVhzVldcXZHj2wm3e5wZAMv6A5NCedZmkIu7YnH/2KhtSXOe8H7r9gKWUKPAg4fifle/ ICYTWi3eLV4NIXianAzX25AAVo16EffSCUaf6KU5EiJy74IU5esMzTS1YNdByT17ShI126y7kewx Z2p40P0/c7SuX2H0IpyXD7UV44hZqt7NFBhPpyP5wIOCipqlUG/pKZ5T5aieYAinliwmDM1rIchg OoishVCcTGE9LZDCF5VtOC4+5snEl2JImH3zH8LTHjhM9+17kAuit6uNaF9/vppwFVIRibtXaspn r8jaR2ZZqW/dsLOOOnb1CDgj9kzH4QPKXCyprVh0NW/u7lBs13D8DoaTYn3CZzrEqdqx8/opIRl+ MkXmAjaGj6oBo0Ky1DxlRxq5Hg1Gn7kdSCgD7/hj9fD9obL0LD+fP8KzdBqej+0Y0XExFzgPSOVl DT2y804KrE7por3bYI/cU2x73czEESsTOhUkdBEsOxyQ6o0RQ371ChPV+fPU+4bLPheU9bxG5nzU LcuyT/pTiX7DhrcQvmRR19CHsUxlQT/v0/G0Zy5q72OkEqXC6pmFVWvebFdT7BhN2244txQS9FbK aYZuqhgJzdtfwaEgE0G5BaDM9xDWxdZiTEddwMZJTOlZKpnIVE6cxeLUObD/QqR9aBc36i1jWolX PMSczey3h5zgyR+/9bEuebLeAqh03cjRj6NYh3EZS/KyPjuQ2G43vphEstWN+cRW+knikiHLyCuX WN6ai92A0x58BJPyeST4IZIzraPDdxbmmd1BRORk+jYD1bv0qagmqf6Xyc73opXGDSoq9WeOXfEm +ALEl6i//dgc4P9bF4j7lhVpdBHXEgueiVDusPZIgkdCYwiUNY+o1vi2sftSdK6RTIWfYDZGYQFq 5j/Iwmg/wlB06rrAn6Fy500Y8cJULLYtLDek73NalQQbSJSCwLWAJ+oJH7IHz5L59rHnELyHDGbb /4T5JvjEXezHDJCkHZXbBactmHod7nawmzrXhBe3wxLQYl+NQCvaMae+1a0OLwmVrY6OlhloO4c+ Qs2ptsuaDYvznEwoIqNEAFdByHctFXZBRuY/VljbQIV1MMFFszjhMRmsLMAuFY1UtQ1cmDVh88wc B6XugWuSvEUUAYQ0NRDbGbE2baazyAoxTqkuYjGKlwcYvmJrkLg7NrqGO6RHcGh4lU8DIt0Qo77y Nb922+6VFBQvCacdKsYoOhen6CgFV/P1YChzYVb/TVLMOJaYjQlIbF160Au8Jayf90a1O8m7hLzH DXINTt/AnkJjZf3Xc7nBPbMU+sJHUWzKZlmlJJfB3kdBL8KfVyDpalYBruPeC2TSWx/whT58KP5V BWZQtK6hzHzD++Y73+BYTWizYdRwaz+Nr3+dXO5M4K9UD+F1Z7ABsH2mmtI15xdUxyaqE4w8aljx oO76dR770qssbIpTKHRXgLnvqFl1aGTSbqoQdy6MleelyDUURSw3y35XCZPLybNRz0McYlnIzKWj d1HFQnVgTPJsLE4OkZp0cIKVeJqqoYFM583sD6QIqQGqodYxZHExXG3fewamigR3Dcc1cTBO78l9 VM8jg3tHi0WJk3I43HB9da2vYyVrj6G3y8/WTs2h+RGJ8eRYa0ewiJ7VwZXSZF8V9QKjD2VFaT0w u2YUfLZdWmal3+hN1RmFlzgQLwxDITvBZijcJ48MLsUTdybtOBx2JvAgLOyUeVWm65iKg0daG96K i6MOyQ9AonaUf4kOt7EzJGuiH5SYtkQYzEvGN5vqfSA58cHJOf3LdyQ5HkWlVbFQmiEKuotZzRhv u9y/+NBB1+0s1SOAdBLUb8D4Z6Tbrl6K0uSJY92pAi6dhs5DnVCXpY11C7xHrElWZZnfHU/TJUit Vshv+yQYgbn+a4TGOvvi4rssBqbqvCOdnCZOExpsj2n3379gqYxnYMwKlf0bkSAksDt+tf7NxgYD dIyqOaNQS9Jglpt2bxrJMHFsnJTEwIkwHaSAK/v0WjKKuaccykIsvNoKtKJ+Ag85zmF/Vo3D7Q2k nbbPoIZvQO1l8tEGA3FUNJB0xvkKNfokoViFKssOqmFKPgPWf1zufGXBNuGZykoK2C4gH7d9jqvx 60Qay3gvF1oxk0lJSsr8l4q97FEcq2lHel8URGRy/7EM1cBgBeJcPdURDabbqboUDeKEBLqxZkGQ cH/ehOaOR1o6Um8SuLSworyVXttDYsGPs73IJsMUMP0PqlYB8ofURRjvL56UWi5abmPtWr4FGn+F u48qM5JnUsakruadzqL28S789mbKQ0J3DEAgTYhjqgckL0DQdXd74es5uPRvCiBCdeoUqTkaMt/I n14vG4tzhPrIK5CDNBykvdCxDFbOYm/HZRTMNEVFf6Pl38yjNBW7XoWD8t2YVUA9cDAXtPegCrRb lnXNa3b+wh2bIfQyH0YB/mYsf3t++7Yk51fNJ27x+T3N8GC1bto9KFyzbJDZf+2effqWSGT3jpln tOu95YuU9rSZycX1/CEOjHK4u9qcP+rgLqcha5FfrTncW0363+ghhFndVhj5ZH3sJFBBMQ8RS7KX JuuUROat83z01Pl4TfwHUB/sSXGWFrAP4fwODYYcRUd9SpdzLuxdFUOcEy3EPApzyKIfKzNM50f9 2eDCaaEKN6yTG4SSAgyyRzwoqn5cBX75gWg5r6yauuaDe8ZrlRV3aBn1FW4WbFeVnhRk/QIfLH0y zMuH5XVfoQmuc+YLTjJDiD5JICtxxMkOrJ37EeMHQvwQWrNejZ8tMZfHE3DAIx8skAKQU+GMQR75 wwxkGXWpZorqDwM1m/JBBeh4PQNPo6yPlGPspvM8J1pdL4MF6LML9/LlhO3V54saVeVvSs4M3nBs V1YzTso+CVCJ9xjXoTObuUPUc+KyjqQIKCECe9332EHHitS6XxvNp6sm9v5FjyPmZgwaTgDEmMld P4MKIQlNIxXxscXA8/bmkzohgQBOMYxwJIE/ELFT2+qD+bYFv9NJrFXdH5zDYY5WS3SHKbFC/ckh gs4BUXiXHaVasXKKvLhxykK+OB+ICdUANp6OKyo5Xn9WAiliBB9V55CYJv1YfP4No4q6YpxPxaVY rS6Ud/BbCIqp4rIrAiGhcxc3/DXkEB2YzZiTbmq+npR1HdFdtq38TcX+bL1z2nPao5eb+Rx5LPym Py6Hz5GLXBLBCtQaVFT5a4mgcbqVXWGV/cRMYjAI3lAUcFj/84t8gko/eG3qDFL55rHF3D3dzEjH M7u3fSe7R0MuRPC6Bep6F1m+ozy1e+3H631J+VqTk2v0BPrCrUTqVi16CwNWTm5tuwRCH9nE8tew grHOhKHKG7ZVeVJymRF5HUXwLdzSH3Kmk3L/Rab2RxLIaBo7XoB5Mcl6lsbHIV0TtTrOgtSyqx88 z54VmFcbjJRhYLF6sYH4lcCsRi3f8RAC+BAZJnUCB7B1lQro4pHlskR49QJeJrSb+9H0kdCZP8+g tNBLdOHE1azp3Ea4cHiwFbfAlz9kWWyPYZcug9jSTLH9FBVyQav6pvPwOkDUvjLKurBuP+j8pc6c jUy4pbuhH3n7T2qWMi97KgyqsPZ+m5rAEQ4N5wM5u5dM6PHMYXblQ4VBd9+eNNRViohbeRgku8sT v6jpD/UAGpELcBzFt5ItVB11n0ePht2671WCZUaZsaCk1s+MDXsk4rzNKgE+/MovKBjtQSab7CIQ tZDmyi/Isx7gAz8ZZMjqN2kmj3GRtPH8cp4WnwOCgfVAOtFHUTFX45Qoz6QhtueK7iQUVzPtP1jG 6Dr+su+bA2h4qY4pC87SQ6mXa/cldb/c7U4yPCHDRXZLY6+/vZpq5y2PeaokLpuGWOSDKOZR/3rx G97jozjfHNpwcDP5O9Rbj2QWNaK0XWTjxRzkZylA/KVrxI/HNdW7mLK8Edv27UoNAYRkmgwGNKC9 Q+/Zz14Ytn7esb0gryTxv4ln38Et34dZ2g1fnUE6xE4n57/4DH0kMTdpTIoyB+QqZan9wnfe/37m AXKCSMO/CUaW5l49fwikcrLnSwTFunZ3z28bQrKat5Cf/P8MbocUiHD9kc8I64eu3ttzk0gjg4Ct LyBl2+e8if7PK6xyryAbewq1np68MvaonEaUBUzxxP48iSdbKGbtDoJxcfHiyeRDhSyBh4mOFF2D 8bRncIk/Qq+lCtaz/OiAkuA1JeGNh73SV2AOI3SmVKRqIlmZQe6bSK53ePSJSYaOfX1nplMWv8fm AIVyspEP/xM4Y6hgTz83/l7z5XXfvXEb8M+xqEZyoDlZgAxpy3IeHFQnLerewar/9ZI4SvQegdo0 DsjQI3DKkO2LJFy5xks5s03uinSWIGZ1l+col/wv+l5vQcH9p7XyDSVjNw3faI+PJI0Mz+D9nc+v A6rs73sdJFtz/r8vpTXYSFobRtGyUBZ/o2hgTult57WClqGEVDP5o1KLQKR4Hn7S/Ftc1N1jKaY9 Zk3g0n+PauKqoA75Js1HsZoINUs12L48GPnfYk9URrMdkSyvhq6KZMweqnF+xP1OuCtlgYDnGyWs dEqhz8HjaakBPUcZZXRdJm472DnTOgB124E5v4k8MI4Lddskum+Odne63QLoiRTdwE5dV4cTs13d /ntLD6jrjfYH0wikSmv57NzQBplAxW+RbrlWdfZWlCn4dptpArzLm1ME14AtVS7Mf0INI832vUxc LoAuxDx0gW6EMuLlSf/6wqY01sXRu2xWfmcsn8fQWjeIRbSL5IzXZ0anNoVSIUwnYcKgOtB/xoqO ZQkMMyZVA4NH+vo6HlBaeqfKP3WHq4KMD0cH2MdkSaz5oJQadXQD8uLi/pr78CdK6VDuEMValdjH LbsunhKCqfasQiQ/iC6pAVGl8JBMFdVdYWpo4pFfdgvEh25hlKvSJ5Wo/5z5kiB4vualXr74vr94 Y/qbh6jbjWbpCkt0kFyB/5p+4lrBlI5PUce+Rxxjuhslky9USWWM6dbXaS4PcJCJ60uUvXAfTNAV 2fIbL2Yv4mjEjKR77CXI7fsByhTcyLKwlGH5UDIziT6vHKxPdH/ejrbrfG4f5foz+LiRdCFmNA7L Jm4HbBvAyb8Jk1+jnz7MVGndmb0Ky8r6wEYNmka4aEBOOXAwcNTq1Ao7ljxpvko+rpzqJgcbm0rv 4L22zkN+8Je3ZMLWLgj3+e3uhWkkmjtqb+sMvjMq7zTPCm1425GIMvP/czpIzZl/kPDOkceMKT4O 3uh+GxzKOq6CID+QtTVjMnBxkYAYzpocF8sFsHMqXQpUqNnzm75CrD9aR8zlWtAAd66LfiSpK4JK Lgbn++bo6kYiVWeYMG8WD/dDsF4ac5KDAfhIioHqPvwZM6dG6Kxs+dd8AHXOvQPdQktTh+ownlJc gsJDlLFokKTixdCtBODXCDajifl748vH5xMAGW8/uOsTiwHkZF79r3pNQWKJMQkA+lou7Vx6X1w/ Jsj9cxRysO0MNBsuJRO7Hamu6RmoR8v/qMSngsJqf/5PtRSVo3j0V+84c1NKbaWj73QBdS1++vhj Td9A9zrBPdKjttdlU3tFSg1xzoCT0iotxUZvS6awxcGC9JtmxLe3aQ/0VHB4qlCnB5LqgvRKBBul 7/fBZrBwHqyB5aVKL8qqa3+1JtdkHb8//x0EwKTbRh3edfEHpw1jGSvDJZU8jA01v5M5DxjuglYQ 5D8D/pgW9W0lpDJXOFgzuUH2U6k/kgwYRmCx4o+MLMKy+4Idya8KVc6qwYSOpHEyDTP3mXcQN6Kn aQs+NsJNTMtUhxe0LXUrWi5YYK4enJnESBu3DSE/SHsh8uykSCj1E1h5d0ywfaW7KmOGtZwHhilB EKjEBJ8qBp978sCw3YryCcfIB+BMVVGKWdZQ+7bq932D8SYQzpxiqhlL9hK5iP8+s5T2QrqO33vs r54UcQ+ke4Hp6k+FH2iag3s8rxhfNyarCQj0i8swBozmKn4Qlg+jc1rI+8LxI9CXU6mseGlB3gbT AUhovUrKyjd2Mdao/o9xqR1jWjepH3mc+DrNkK4mCWhzM7t40Si3WlXeDYaQJJlXH9HoaMzSyxSL 4xjFitn/Rr0zONeJRxdYRk63qr+t9rWXFH8xCGCVhmi7CDZv5NAMFaIJL6MrRMQAX1MKMj4OiBkc iBAzbiOF6yKhzFm/VEJAqaII1JlJ65VI+0+zvHqz8UnIGKr/OKcPc17qePZ6vX2vOQL2ILrpDQ1I mceAfhiRfhJsOlzNJI1WU2pDg2it+bYR/fa1sylXAcmoHY+kPuyqyRPUMy8xi4sT2Kz2haencEtu fw+itzOcRwUV8xDs9d1KVbx+rusvD3ffna+yfc4l/6zJ0ebWsijhxEWc7zTSne1UqN9sD7rL0yRP Jw115CgBFTVhTAZQuYiJ/5n/3lFtMKUJRnBXT/VkhWcPBVnuPA4mPoA6LFwh4HS9kSUr/ADnT2fG O0gQyGBqjuK8kGvXeHquvufij1QmRGj9yaxUvho6SQfWwUinwegKW5cGA2U/hLhkU8rmaGBUne/4 loWNVcbGZRVBJGAYLXVGLAInRrA2WVn7lNOUkSQHmUsEMuOVEhFUWR4vCWJHPWN0/Q/koWRNT2BX 2ZLh9TQVNTFeI0FkwlhJQv6bXMnb2i/dY4luShH+u1VIZ0CZ1w7cntf6wJIkQZ6ehfFrk8GU6gYw S5w7oeXouamvXcPtp3x49HonZmh8cy10sc6Utk0DpYiAWWmd0/rAkj8b+DdHqx9XxAajqWjJmgwT EVmuatDpo7TL2ita1wOWwMaDX9ID8za+2jrPybt6AYCRvAA4q1vxzhQWlJLtwBNm1hyDNChE49Z3 avW0pG51ISZ0rPFBdVogoXjYjKCesOxCDRqEynhRU/28wiU09UV9HcSTu8HMCyh+UcthrFp0Ef+/ 2j762GThZ+OrD5bj9+GjQqU0uP7ULQlWNoQV0STMF2eqkxXvf0SzFzrDJlJpHuKCRX7oQ7OBhYsN GMMVZxyo2rtlP4odoLjGaDqdOCKbu4L++dg6rv8tbc6ZsWvPuZgLe7C4f4f2vohI6yT2CzSDSsh7 tJbID/ZeA8qdp1+0gpHF9TFFv0CMEV8IyzsUhi7UzLJLw+myCsLXk8hukC9DY/QiIsmbxJ08CY/1 GPKKhOZOZyE8ErOnpuwfEyyqDmdz4KdNW0RP2+6LGIlgQFh3HL5XJ99pA/HAh2kg1ZDaocGUjtv5 WVKH2k7Ueqeb2pjy2ebW+FDNdlsKnkOdF7EK0e2ODQrAA7E4Pz9ZL6Bp0tX6TTGFbUbfZoRAGANA NFZCuAHj4WUEjrhEmbUxP4C4T+22vndl7Rqh2weTM4QJbhP2vnstGmrxB35lFmnGO3bIxggX4+qv pPos22sGRNRHz/mbPXKc4qw0Z5ATwSf1bY7AxFnxyWSNCfY/0UWLIiMqtD9eJTUVh7eA3roThg78 J/t00JBq6BIbGCnk4OgM3N5m7niGAM8v1AedongENZfqJLVi4d4YkHiYWDL/DZKC5W6Pbo7k9pa6 gxx2hT6tvV6nz+3yRe7tM1OcY08kyQ3BgUAQYlKLuSUClH7mtpMPb5b9RSsvKrPlcmqQBI2+EAPq VGiGYp2W06uZoHxogsfoAa/4eRUVPPOWyKb2tyfnwtw5wxAVQSlAOnCxA6ifXadg7ZR+9JWYyoAy MCMDMugBKMjVwnRhnU8PtoT/RJNKct8ocCEXehcTleKHPbCwnvkPlV/Lz5Td0iD1S9NTr5Ucvi0A z8klNgDfr/xYGWWfLZXysq4b/Qq1aArN6ou33xVtQhv5Yk6ofhOoooP/m5iQsnG26PraoOlM6pal dDQWUrFEpX4WKDZqHYIhZR5wlxmhUGeRF5P2TeWa5njfVc7GLk2DsigMNIRLnQ/FSgXiHiCKe5p6 46R5gpEqaHPxlVrPGzZMTGx2dhr1bsTNDhSu0Tt54hPUhBRfe6J5rfPHyTi6jEwOvLE50XEqm0Xs BsYW1PP+PZIA3P3pcq4NGBXd2X+pzxEkb5qroVgJgJux6ewJuM4qTO5uK1l2MytWVdaYR47GYYrL VUBuj3xZwPWVhEE9iTFxw13GldcOnWd5IK2m+5t927PWnvhZuzsFlkjqJZznXCnWU675S2GrswxZ +InYl2eIX0/52uS8RJifXvQ/3cypem2D8ml27gXrRLf6jKMEmyWjPXFpdw7wRs2EbqKjTc8/ey5o aFbqqOu+j9eXvXUBDV9slwrRpxCf4LIiJEnNyY7R8loBvDO0Ie6WnHaFnA7ZCmuWmD23xxYqLzfY eechOVHonx089aU41vawtxQCr3iVZ8AvNohvjUME0h/kWiT00+0kKXh3DOhwof0XAQ5rkHFYF6Jq FXvFQFMEHJPg4QsycCbUSqVtMab5AFyqLkNThakmM/H94johAEhekMWO4HDwNYhZcMsr6qhiYZTT qn0SERK7cf8t4THp1rqMnR9dj+Oh6gm+7mIeCp9qSWr0L1o2JKqIAe+EUD//LLGPHva66otyGQnT /rPvrz3mYXVVCJeha2eNF94zP+4rasZhSjoJXM6Im+aYLJOS7tzo6P3SnBN4vOK0US+R5ry/pCU9 bCKZXP/kRSGcHOuKI1be+3/KmbV2E3goZgjqEU5sPuuawmOQ/l2U+s0XiciJDdW8Nff7kNDlDOVP x5IyywmJQD6tu7Y7U1WkAsu3Q0U++srAfMBcUWWdfNetQJs986i4wE8vGSF46Jxh9+hDaYomXnD+ DXlYc6tDBUokQvDpPqublk8CIyLIwrW40xuEUKcwfS1d9CzlAAfO5mtCePsNmr+HlzJaHH/f4ZpW je48GEgS5KOFP5x5BwKcwL48zB0OeL8nJ+qLyD5yP6agMyns0r/sZIRua8MyIHzOt73Nz3OUE+or TxdAmnghJDo0rUN9bdwyfrMoaTk8FN0WCcjDusuOfXM4JV6Nhme0a8rd/W/jEi8XEGnjBsFtv2t/ m8073KkDtg+hOWD4Hfn8bWe2x+bp8FbYTzrqe9W/ScWso/cDgfShd8nLAdeXH3Vcto2lljxs+xu3 swP3UwnZeRWXDxiNqPVyjoDuAtRc++D+Xd9Yz9DNBIl71H4RS9UrrcLM8qBAHEaRe5+Ui+wV8EBY HQMGGhCS3564ZuQXRjuApCPkdIv8m/NBowrCNpOzjOvUNEJ4TeG/zR+9p5J0q0t15v2X1E5bBkpu EGoYSVV0G2AjdvqOLxs83FwJoEVdSPFEAIjVxZs/ZqCPKnSDTeVv7/rvk7PgnfNMwEONtOuDxDsH XE0uQ69ABlmAkRsSqpUebGjpsFeyDUqHTmc0VXkMFUKoFwR5u5/ERP3aY7rpAVJ47lqAU2oPO2k2 m9RNo5jvVkZMJRVlKtcGHIqrMrez9TCaqcfLo8g6KzItmEhOA94z33ashyKfe2dHVnKZ0ceGiBsJ HC1blI9MJZ8HtVCgK06dFkFg1OR1meE40AWqFxFATq5bU6Rbq0jmRHI01Ks3MHLiGxwV5RSKSzPR XCwWtGUjDphxWrHn0+ZF4W/erjn5rzHdOavCuMQWbpuP7zngzFDSiL4aMVPi58T3P+e8ACBZ+XLL DEPbabjsXg6yEqyKO8wpzZF3Uru+Z3WjUbACVRr43q/oTEcgs5FbpawwVSvfL43JntPnWC/LU/ZY znAzejqZIVJyiVy04mmBkqH5i5+hSmJfCbT9FmqZ0VMNFxnXGjqFvsk37Ax+cZ30zm4NtvcCT4U9 ZKFDyLqpV01aNV/0NsuNRxpHCoM5FkYdT/gYsKMlrPdA1HJ0m3mqcgQJl8AnqQMsDEHYX5bVROkG HpLW1aJYQphQhRzfeL06Vb28BRkJRIcQx3/tuQoVmlvKK6A5fg4g0VLL45jdllnFurEFZeqaAvuc W4Uc+GPQxIa9bI5ebz1Mp4lipd/meQC+b1Z1c0TyRvpywqFlNK64/DdFfwENnBw5g01q3XLBu3/u G959Dlq6ocAIVdwJ4oGHhDkCs0HJL+s1uvZptEFCk7Rk4Y/YWE3OOfJS4TruOFXh6cn8ImYCerNC k5QzS8EHjplVSoQuUf5fo7lZxvcHfc6YwR9WIxZh3BA0Vmsk3CCLwGyQlF0cWL+wgFyePB3XU90d MZrLFBxb/EA/LR5aKVPy3wZOxFahaAqVpirbFMs3JPPQXZ2mZXe8yRAJBWETWxpXyH2u4wMT9HtF whkn2pko7z2g0iMShLh40Qz98Mu0gV3Gogpwu8T2xrq401ESs8fNkoUZzdRWM9sNZiONbQ4iFRs1 SI6ZgxVrFvKYbfIgF3B1JjgdMXi1U+5w9Bqm6stcaLJd+drwIVRRLokBMVIFkGRxdRE34utR+gw8 Rxi+87LXv4R/LHOyh6IQ2dv6Lyhf0V2VyPI7bETUJGlV7CDR/tziA9crrY5KW5GCr1C3o2GA3QD7 1gGostP0Ij4M0tiy5qvnToWxDJyQ/4/JCwmSrDv2WIiXHVEtw6A860gBv0+jmoCouXqavkAcsWDd C1DMVaILGBpdfIWLUujOyzqhTVczHBJmeCUAaa9P271FWFdn8TqMPZw7rgYyAwtbiTlXY2lOrZ0q uR1oq1i7ClIQtWRZDIBPfKrw65oajIU3qdgffrQZ1Of/7hzfe1oyMxgeu8xR6ICBDsGGIHHyYqAN gUS9LpVWtUX8YjCdczc6cj1624YNkz2F8zuAdj5QtZgSWYspv9U1/KU+ARm3VKdzlmSyuV1N7/0B 9DBJbuXO4nFBNkwXxyc3DZzL/gpVkcJZD3IScrj8WjjQ2FTIX8u3lo5sF9UvwwU1qS2nay59DUGr y4rDn5O4ZZSJXR2GFzU6GqWUjCkHBzhCUC8VOuCFnMU8DHfJ94DoMSIBDuZYF0QPeiPhJ0urDxJ8 vIxP62kQSqDUJ05o7jAYNI6fZLeDDcVPY6/qp/+LQ1FDwTbXIwXk+U9xAVt9a7ODOx0mPCfMiGG/ 3lJivrwaAC7pKGDDgMseASvMRtEUgs3FBBih/pL1z1rQI/5nsrThqURetsrgjVWZ/hYbvNvy9uXR 6+gpxJbtslUyK6uMlv519ei99TJ3sOxxNSCJc7/6LK+LaI95CYD1Q6mQROH3zBgASx3df9dTPzwl gb5P81iw+TjH7/HkTEO1bbYIM/C77S06AzVT4lra7/bm1gm79bHH9+udMJ1Yw52it5XpuZeXMyPT MnYY37rhZoUfeCpjnw7aJ3D1CiH8qK/RNm+nhO+XpuRjCsZ/K7dj11L7YeFxpbCI2Y198/GNp53R QTwRr4Zd6Re6e/k7hRFsZu30QFfmg2w/Pbbl0ipGS7g5wRlWHP2rwCOuMj/G5iF3T4h0uDwg27yv ubI4Hkow+0wphoBF8gMbd9dhdYkWkG9VelOnLtZm+ZxmWgjI2jOVp9N80hWnEGncuzOtbZJcoQHG CoLxtCJ1TnurywxmNv976mSWVbCXqk6+DeoVdvaxsMyR2/7ZyXIAkbKM+VCBeBg6z8c/8wmiH9/C MvNrdWBOVC/dRZi4K92EEqGTuomPchPHe15BvDVIwlkrDgQrVL9iPCOoJZxFi2MAMx6KdcG/Gobg OBB0Vs0RsLMDWb9yRt4mRPoHvn9/DzK6ZxuMF5N0+bVLbuir4BPL9tsiOi/sLzMPKVq0UYa2Hwtj 7RvQLZfXDiLchTtuv9ZAALVyZNhTXQxrxcL/qkORn7T5Sm69+DjnMInPphoXxhXBC3VgTaJ/DpIm gEOdOib/n7fOi40b3AbcjwSO4INVyIE1JhoDn1zgeVrBUZR3Fu05LA1Ee/QoFQDOWP0N7Gaw68xQ UMrdie0XN6VJCuUyYf725qhTlUWSS4zO77ZslBvktav8eBCcRpFxE+YjyqzdVocyYIh9M5CRYfK0 0NT6qFUQ0AbJrfvvOw3XlDGSf3Hw6H08C2ZhV4vGCKUnu/cvmsRXBMxpFDsDT1LbJgXPPDOTNFeS Iik4G87Kr5lieC2JIvDxaQcClpHN0rjyeZKizBr6bUj7dWQWRjSNWfYeUg/Au6tKqYGkti43rD5M IRKlcwbvEmNXg2zMP97OA5c7KoU/qZsKzGFDiQiq1vu64nYB0OialODAIfZWlyHNw1kVYgvRF5IC JVnISg04vKb1iCdmTtvUEMdFdlDDVZSSeoxgZ6LkdIsZP5DLEoumNPSg6gLcg+YWOmkpdSiG95Fw NeehhjC/14RT01QIWEz7WQyVl3NiWvMJ4KQDg7DPgc495mV0Z4tXzP01UI00Ejt6woOkfXAhKasa +6NHm1e0eQU1JveMt6IQXZbZ7UWZDb+R9vnM2eCAmqvVrDUu+RcvDmb/n0i4hMusBD0SMqkMF6aP IK8z0RsicDHe+P5sujfxGRwjDPfVWPIeYOq0ODvJIm2LtTrN2APEfso/9DF5UZ+iZJXhvEHpviO1 CrLHfCsssIGQNtu32hUE7G642dyYsT+GJ3lHPbdeIjelp+z6AnVG2oXuSs5LnCjvc33aKN5N+qUN Vq+4iKpLubed4ZJvqJTlXsuViSwYgWVvjHqvM61KhmCZc02A1qRs+NxORZWPCtXZ2HX9h0ABcaYb 0axoWNVfb8XHn6kdecpHSW14PzUpox3+HTuo9cAOgrp5u3Qj/aM7y6gRODXiSD/Ua3rJmglaiXSF j4yVHd6VePrlc0rsfNMVXuMrKkEm7lasW3W04pQ2Ou1gs3koAhB3eIBUN0Okiv2fw6oVtJnMA4nn 3oldm6YtDS5K5NQwyMxvmS12nmr6GglUW8HYEUmFZo9IImyVzEjAFt08qZYIMEXiF/TGZB+ALsg1 0QU60e0o+LdJeknuEURd53VL5G2iT1duYlTpqDMuiB5xaNwdpYwkscL0Y40KQ2RGWtHVux8pn/OA aD8YZWRN/81unan83LAJJHa5kmO7MUJ5LdjEd4wEG2zfYztOuBk2LkgHGD8kgAlUCRYh+0NjXtZC yqa0BtItAT1TQ0KkhvNwkBBYDterln69Un4JlPNg/Zo+roXOwLfgkS9CSpLQrb1yE3yUH+jivr9q T0088mt9N9wKIyT7gCaDjLtVQuJAK61D9QUDN734i/ksnb63iGzkYbHlw4Pr9rhylyThg8WmwwRS 8kyL9iogL2P3AO+NWs+aQuKNyiFPkDure+Uoxdmb7CnB5swnOQ8j8G6DrzdWP2mKNCEYeI/9csct 6PLxatatFcb0fFcVCX1Yjit61FykgEpGL8vYU16MY+9MbTu8S4NjRzutoTGUuYCkQNYk2+XBl1AS B9u+JCv7TJCc0r4CpkMWyJczvg08ArusGemJozB1BtyataqF9aBfDMIfIzUs3jvW9G+Ruy5K7VgG Cn0A9LrKb22Jg1JvMD0F18nYFByTJyD9Y4cnycDLKoo6VXpi5eRhkYwLZXBVFrmsDkQsE2mtEhWi bCTpCY2dAVFyyvqLVrMTlfWK2oruJWXGGhIJQ9ehvEcdySnWqNsUgIXkVZO/DReeFENJrsh6krOn KOt05E2N/385ZzlYpGuPhlYHL/sIwnxqoJRX1k5bcJtq9UvVKPBU1IEuSDXNDYArJ9mPPe4nu0EB aeyJKV/QmwuRnyMICztSX86/Qh2sfY1NBpf5ZcpnTJ4feQ61nE2dmbP4cSYBWjHalc+/G1BBSHwx Wx6Ibrh/MK2Up5ZvvFLdFALZrnGLWlT5LZeKgkYS1Upi5Q6uy/Auxb0TFF/whrR6w3MfzTpMrKY0 hJr49BCPzt4pcYDnlCieGQdYIHVEWrpQEUSAfQ3ZQCZpX5hj0v3FYtj4VlwtWEr/wnnsHblNMO/Q 9eley7Rth8Dur7+bOVajAHppdvFD4zciyEg7xI8HjbiP/eJE54VNecyseykh3/k0T3pDRfm3VKE1 crYeziTD9s2bqlybMgtNVhjg924Z102I9/ZAzsuY8DeI7Cbt+KZ91xBUSivCAgxil412fbhr2Ifa EMQonW7ivixuQLvhYh/cK33Rm6RVTM5+GUGNZJnvJPPvNhQXu2nhlmesuuMAcfIfzDTvZvAKSjox SBIGVHzEdfgb+7bMGGucVfHUlW4IdD5vATV2BSzx/mLKYrqdsi4XZkz7SykTfatvE3KW45IgKOIr y3+e7ZBbrmAZgMgfveD/v8RFV2EUKvkwi83bIt+gq8GViRNOZhgqhkHGjd5fc1x5NDRrifIv9D2U jirdGY5ZpPyn7DlQXc+v/bXOM67jzVbuSC4D71e/YrQ4oSPMu1AxhDi+nbfb1aJxFRDyb+X4dowk COiv2iMemKL2+bI3IJW+DRuViDVe0oenXL8y1OJtxkv4FxIHKWYKoJmRQwByWfO+WWH22xL5CAin 2Ob7R/TFkCivylRYi5tmwn7AzsOZaemRd3IW05ud6CVNM9sZfmZ1Lc4om/btxYIWTI8AQdoEYjaP 0nz1OCG++b2iI/PDT6zLj+L/z/UVFovNNGFUaSoJtHGGpu+hKCXeu0gy7KZE3x9C7tUbhYcfNmVq hyQVkkPTziOU/d7VtdKlQld/HksWWP0yUqFIxDLlZVNtLBoKaL6gkZhkjBpUlLkgClUHUdjIdPy8 oLub4O0UwOdBkXwKnUNPrjWNIjkpFsAzeu5+Pmk3uq/Ukz5C1yLExlLw9Wroe5vyCODOrRXItGyB Bhh1zB60T81kxdNh04Nzwlxn82889nKEWqUgfvcjsDPQCY2ye/sfbWZke3u/Eb55BdUArzgGFipq c6gBxdtR7++W2vKeHqGjEbgUDT5g9rzR1ydC7QWEpuYLcW6ls+9TC5haC9uouEMu55GXVuKIdFdK ZR5mOhh8p+C5JTEaZNa0X25ketfK+ADh/2WIllSlnqcHO89YcsCYXX663RMXmTfxeSVOSLEYeWxf IVYp/jGf5T4tGP11Vew15ghU9bekBuQqAD81JDTP1Cpo5Q2K9vYwipqRMsFUjhLlcR85id4lB23n YbAJ4MsVg+anfpKmsyXQBfkbFjk2xD0orFpnreBD1Vsa/v+frnpyTqMgztulffhRO0oFsJPH/8KL 0qdhjj5D1BirwnuOZ0f2lWtEkSIY/SiFi1BuhgBKgUPuifcdInEXJ0kHZzEgKEAQJ6NRxBEZcuvT r8zUuZAqpA5BumlP+pVdmIQFL0aCRcS/uQ2QpevV5OY5KbZWrmkWTGabpNA5KEWOT6A8pAma3B6U 1MmQQzC3Ai1ef0HAXHUbrvdqTXQFf9wkRNcS0l1dtzgE3KsAotMkU3IwtGyC9Bk406+IFQAR8N27 mvdc2GtkTP8VvjLbRhKKd1+YoUt7dhdL/8QLV4GdboXEg8u5yrFkV5HicAiqQjrsydg34+Y+D22o 7cJGPqEXaIR5B4BKFsrjoR7cqJpy+Gc9MVYHEo678FPXQIhDxkj5yHMPYNCYJT5Kl71AN67k/rRj 30R5fRUkQX1t4T1jRfRxLOWyG6av850YyhafbD++UUmYnprfFEfQv3Bx5ldEel++TMikmwVxGeNp 4/IJj4niTg5n34tm0RRZY94ldOnTDbMD6rglUQqNpiYs0WvkOUOSS8EkQzg8EItK0IgdvSK0QX+8 Po1LPOJlAVrUSlkzXYCwVJFzMm3n/qJkqI9Jnk37PzJGtFKdDc2X3VuhGmlvqUPn/RAVXBfFJGa/ bO7oSwmPbvJBT53HkwRiwgMiyW5m+gfhLRAfge+W9akG35Kg0y5u8O0esTc+COCn1M68b9O6jH9l V6EYLAYMuBcEfkZ4cPweBeOtOZnRSy/D90jsJ6GBF3i0Lj8ps04lrLi4QkTFkrQZQBgGu0qP8lfJ xR6oHzIVd1UGcNtn3CDcLUmUIg1Qp7KPg98yi+9dmNG5auyr4GUXBSMpHbEcNoSetvbTzMrU9ZJ/ houi+b8g9YmrK+yCuTxaiebBrxgn4TWhyEqj6yKsUmp2DE1wAnYKdyfLmNndsANmZ2CgOqU7Khyu Mye5Z6R49/qjNIQ+ROgdoJjD5a9jwRCOBuKqaEPyGkM0WQWfznEhCfjzx9CEiz9qhhjTnjYeKQjp OczaKNI88kqcVwy9mT/H1P99b7ZvaMUiEAT5RWiJWvm17m5D6G8de+9gNiwddUuF0fz8/0Xf1wD4 C3HW5EJ7IXoo1yg+8/e4iyarG0boHzSlNd8sn4xaaWHT+ovwceg+D1nyYm6tMbsWt3C+jxiizzcs ab3kDk2F73fcly3DweWYQ2dVKyq5Ho8X9jIBXSCIPV11b3PETmWAl2y8ZyMD+ppSrwu471Neb6Ta JVzx0FA7MZi1kvsDckYfHyoF7ER0TBV9cUO9HCPvcmQT+B7R2BtGNITl+joA5UE6P6yczqKfSWgS tM9OUui0B50c/jUwW/BYdn6K6RMvDtaDlrG/Tq3gO2rfaU7pJUPphiaPx8z5owgwhoruA8ci5oUn su9jcydXSfwaHAPpNos0U9JBc4TtpoumCW2ivuoa0Z+rm/LZGRJ9nPmu9CEl/GC5PPR/U3vuWevY ylCteqXNVgncszLoMweaEd+Va/l5vMBCHSzzZJe7DQqQRutd01myVsGVQlHufOM3dem1m+3/+yuh dElmoBo05v7PZN3Z7r3r0xXjK7avK6TWSYw+Bg6z1NWM3FvzNVyK6mhypXVyUdi+sA/9fwfO03FE yi1P8K2HHdWjcxum1BiRmBuETLNK3nfvMJ00raEqzHZvrHJrzyXjwrotjmmF9tcIOvt5xnglfA1G reT4M0RHCUu7Z3hX4xofpDrHnu04iMRqRcp+oPGq14Th88MvS4Rg9FJHGK5fRiwNNPTjodqzWjhD pU9m6+V9/xvJ8e6NvvS+opQ71R5NwNV4VtXlyvEsJ4cOJce+9CYH9IQjzooFUyEgCxYrkMeQRvzY uYPk/L7pIJAz+ippotu9se9TWrbFFFcyHEnZrOm9zAFls6NcM1+v6XcO3d7A0YrZUZ9Os9+ayt4g bao9gglZzyBqlBBabWUqF8UfFSZPosDNyJWGSwKRdH2tfb8qloIQF6N8Q/Dzo1odebFlJx7OE97U lyKaHa15E89191OSuwYAi4gzKHkPJ0g7aLeMvgJZ0zS9xJWVFkvvv8O5D2ZVuzQP7eR/faWYa9Nn Jb8a37nRKDievWTBsVala8YhhhGh+7FT0lepzauq0lxEPuJpPjWokpcmXIxWLCiIaeeklCCkyHLI kr5HRaRcBHj/hPfySeif5lMCMl/fjoh3NrWZ/6gQ7PSGWTqUmWp3V5JP6yqirXpbY06LV4ksmWZ+ omeqL0DIk65MG6s8VEez0/Ez2cOzgQc0qgC5n6xa8C2AHRNha15CE44mDbkcu/SgRl5SPpUFcg5o aZ9u/1pp7k6pfx2xCtALX40EFvZBWTIFVQM/3wNBgqsr2V3XfexaQdqILFjYex9ks5diFz0ANiRC Ma6qvTiQjgu4niVZA5f8RQ45eYLvuviV8iXz0BZHFAt4J6l1++c7PDYKmObAjiUrqE3PHeR0oeej SdJKWuJlV0MDimC84WxIV+J8TWTzpaGl15dObXb0QLuDIdxVbdyWZT2PkbjksQerXyxsMkv2t/2I l4iKJ35h1jzuvD2NrohqvC0csYXmbJLgCCyus3u9SZk77LD18HFfOw1CWw13CWStAUH4Bm5PNCVk sXM4+nz875mWnf1luX8ruVupnh9PQe5r5xLz6lrmI6ZhUHTInA51oY4KJAUHQW77oSHmPw8+rOjZ Q0xde3tYfc7RsbY93Q1ZcBT+f6lHQubHJYSu1Z4PBbfa6ZBNVJmwY4LaSUl+YracRRynzPVNBZcj P3+6maUTOdN48Z+HTnvqATE4zfc53Q8Y7Uys0L8jyiNNPmpG6htgMwQMXRbfhY0VG27ji/K1lZdS G4OtbH8ZnnB1NoK+xqL0VUFDfN7gFgE9nBBRtoq4bscEXifNzVq+Iu+5GqlwAWF6f4Ad0/ovA11F Ij4dgkKvJrza64w+gim42qmopgTSM+XXjwG8eYtVH10X9XK3KtNToGynN3n6q6JqDyLGLFw9Hc9A KtC60vf1shAtTLIjd0FCurON1strR4Dkt3O8IR7gjd+5GJTnwYvsT/vm9yLMsioin8WR91CenvWa c+JGQTL2O+yjYVg+Wah/qCnF8bb9tdYoh8XJM2l7quy8LmmKAdV7mgcMFnB7u8KubKs7TI2nTg0J b7i+LCTZPZzHOf/bIMYRqwVeOWf3Uh/beRIWPvnmmH+XBYGGGAeZRtCpf7wemXo43UphXFdu3JtM ANs0iANswv96TDZGjMOBny6a/w3VPh9VM361OBT2BuAMrIzgwzdB7CzC6BW3lvAa8AxD2St8d/nC LXBnWo3M898mgLdk5HPQv6VSio6bNI3hqn8bZqKXpm80WEL+CGuTkXNoZCPk2NzmwTcAc2jotZNU bJfF0Tu4DB22JPeF9iXF4aaO0mv/OueZtAtRhx+R8AXCOz9w9mb6UgqUP4INpkweqIDvkutIMphL 8mEEcZ5kXqQgwSad1A1cWfDqrfTMlKYAJ0HLUic0BC1KmLOjTx5k6pFh9lZxEmWN6W3wIwDUmbg+ AlRiZL/63yI4faFoaEAu1ZY2NJO5nzBA2nsaXWoJEAC+tcg6ui4NzEe/TFt+yedOxV5PR9wettJZ RU93UMHvdYg0wgqT6soviHp9Kb+ywNaUZbGN2tBlzeoWs9zyiektoJfk0GC+jRseE8ShIOuSF8fF tlhSsvGgYKaq7o5cD+uP3c4uuODFAFbUw8YXXYfcl3TSI89SWoKIJDl5KZqbd8G+wXbcb5mZUS95 3ZJIQsLrj2fX/0ergaH/JdtDWE86KZksnQQmylkXNA7jvjnwEFVtOGxU/ZJGhd1T8TnH1UcgDaiS sEGlmYiHo38L/G70/eSTH6K1urfmkWyy9Rv81vSObB8TCbwbXDPq42njDQkYcAm+6sIhbMTgEyF/ YKjX0unBH4wjLKIOaHGhaPA5y100VJZsWbdfdeKV2UfG9e/yccYE9GDdPTLBydJy7EG5haBvA619 gGwesx3XxDEyrxBw/PuW/XIuoSn7lYzK45fNaO44wrlprdvPc3T/PlQ0mAzHZhhAFXDjoyJMe7Z2 06ZZ6ZaMt65lBjlsk+rFvDBtL1KfHepYBwuKNcJ5ifknV1AFmAbq4S50aHen13Pi8fBDowK+Xkv+ VXcKso4Cq/CZOaUihwRQAGTF3pri841SkhtHbDN9Hgx05ciuZmBoAe48Qou0DadgVZSP1hWKYoS4 RUrO2VrgqFyVYglO0Z3NNAb8f3nFPcRbpgKH86Ua2z9Xor5RtL1vhlSyF5UbZ4oSCzXhtCFXkaCG +vKL2bYxLTm7FDf8g+/KGOCF7bmZ0DvvG3qG5NWuZoH9XWht0+HlfttxOtzgoc5gFEOlVSrpEYvc 5Jjg1jsYZ+BCfFWpzxsF8OY1FNDKJE81Qo+0fKeCj3QJDdCsFm0uQLAMa7CgVxdhmziXQdLcqwjd /96FzucIXfNToOkn+ONGzVNyWlYUwjVrQP/tkMnhaTIrRUG8rB5uIshmBESfeCLP6knc9TXOHFrk k/AuKEH8tEX0AJq8fgvyhQSLGkgN6Yx1WpfMap3GEgkuD1idAOGN4Kx6Deww/Xn/qr+UPKL8l4Xy U1reiQTbwY6iQpW875sLiG+Ps61bzyFn1eyol6Mn9q5vWW4UFlQ29k8t/38jH2/upwxj+HXM9baO 1w4WFdIK+CTPvoF63fovLHa38ihC95GH7nPEsTMwWFevD8lDAAN8YsmrWYhdv3OwdQBL+/Vnh0sf +HGClqJtoEJZMKvZ3dxbUpNM4wzP0uMs3DQA0/jl4bk/ehjuJEFSYclS4EISRM6oQszPec/ZsJ8P gOTh3zUdS/LBgelJ2mRs2hA1GY72O9OdhCqPeLpGsTOgtxNSedAHLBbr/GhV4zz92kMSXOwUjol/ uE1SdKkDPXCjBd5tMbvbwI6u/f/05xQVj6zWBMdplxCnBSNh067xAEduHIxNnWoiMViXeKyGHnDE o1GSZoccFJ07/pyMgwT8A30AEHubD5s+3IE0Wn0iCWuYzy/q6uncIB+x8l7yvoRu+BQTUCt8PaT5 p+itFTbb9nTcMODgR0qM0zEmjzGuFFDC6xqLuCutGT61bejBFqEfpl4SSwx/AIne381g4zp0cfQ+ gPvqt/Xe9ooSxmBpqg4cNgcxKLgo35FoMEyqoLw37o8+fPxV1MhNmHu8zf474figNo8tlpOH7c4A RhmHYgmZV3XibnOkUQt3ADztUhHLrZkjQKCyEQej72KCViPSvXkoAfK+Yxc4cnriL9ZWdSxBMaP0 Z73R8d6JdZGbE4RYNWXLGsNBOXCfbSdjsLJXYW6ziuUsSYdI/nUQIfX2+m/2JI0pPFa8c5CrBqpc wAMOBuTVVLBdMTbdmlz2rYnohrzMzlB+qw4nMyTAsj4SJ5d0/APb23q2ROJpKn9VNeJzfut+EsQO aRnBmYaerF/jzXUPgCyCIc+XibwvjalDjuzO2WWHa0qduDLMRuGkM+FUlixoB3S5ZQO80vIz3ScR +EN/yTcyOQKJk6r1Jtsea66nZwqWYxUozDk075gmwDxo7HpAlEeVTfNAVu78QGt3sU0sRvDIt6gG XuaH1ckw50wPK3XOp2REwY2hU/yJQ3jaR+ty7pdUUBRUqhGnwljRoW85ywnahyNLUX9/22JNCKDb CEsNuyYbE5S1MPz9oe/1zD9r3aHfbBHv+j8G66HkWNC93VO2fsVoim7db1WzOpadfpM2ysyje/OM /nyTDgvaBqhT5OuXSOqX2/MFhxXvdGnM+W4rjmhPEN3xXfTOnv2eS75i2RXHhtvTU1R0J0P4p2cb EAY/ynKVGXiCQKfzurW5mbqWeZzzc+8k85Yj1hGgqY0eVq1URe2o7xfQpUgk4krsa7NkRfddJp8v Y7J0ju5B1dQViz3r/lkGAe4wmTPfOWe1Vow31NHAtNaX2JdeFY/Q9d55ubvcGPAilrz7YBc9W58H IHQSPATOWhM7Fp7TNW5+0m+V1BmZSQkXTImDEOol2O+f5rXJ3R2f0tadlDqCTU1G/oQSo+SPN6uP F6aWHNMvhmD48SGSJr+qAxxqqlwtMk5SZupAkXO6XTw9PX2cQirwpmjwfXRlkjhFuirekeucMuPL OLqHEkoQrxDHBf3zhaASFY1Ji31F6oUaeG2IKzJQveriIh4TIFY8mFYai+8Z/qGw5mC0lYumBJUs F55SrbHP1bSmY8LkSE0vDmAG/Sz/rpMLk5KNxmMN70pcoQJZfEh8adMeDLjbv+0rFUATzY0B6Tl8 9DDW3hm27rKZJfrUdlCR00Xp7HJyWHvvkzV/57e7SFXV3p2lohDHqVmjg5CNvEr+/Ns0IZ9bhdbB z2JE65aidHrELwP/Jeynkkbb8vUkYYMTTpD2P+0NUCHfFnYHRs1jh26Oyw5sorWsXk8TdXXUSLfp w6jDIGIubqJGRrbiWU1Fz4a5ic39J0eqygM0HFN8GxYbxWdQZdIbtoTfVanrB5o9YIRyRrDzGmyi //iUeQO9DShqZfgsO4sCUQCTc+Pn9CDtJlce4T6DynKFiy+vy/skkoYMjuFNeCPD5El/45KAuRiu BC9kcO2rHvxD4tf5nQPpd1LLfiSdoj4d+6EoFawYnzUZ+mTqE2Z+G1P4oXVsyRzOAZty+40f9ken pvjIw2FUIfXfuNGowRUSmNVwqb+J3a2K/WnhbSD5yG3WvRh3Z7GPCZx5BbA1Cw3OAl5VIxLCmYU1 hRgTmCiM8v6Vwp8w9XW8j53T/wUp4wKYbgREcVJEc4Xg40HUUNsm1FAAh+LLibNSD25u1sQCQ3GK UIRgK7VLaFAQncUN3i17+3ZB2ilMp27RY7w29pY2gCcJma0dgFU/1bVHtG8cV9YTrjOr+mG8p1R3 mTXL0hk6oabBX1BOKgYf2aC5aOnK7m6rmFC21fDOBpjXy1NCMvinxY3iTpT1sHGnBU7jwRK9VJy3 B6708HO6gfgmhQIOE915rqcpsGdMfo3v6PsiN1pESCbr1F819eMKfYfq7qDc+exxYp980S3Ao8SQ ruTXpYhIFwgmCi98HS1oZZHN9ZEAfyYIcWUin827Cgn7CyUXmbeEbJmDDA6SMW+yCjU64jaBV1SJ NHyVdfzt2pbTVrZMLxOEQ0JzQSPzWnux9VF3XsRPmqbMhYafN+5t080P7Glu8QZN3pJN5JI0nku9 6FgD7fMb3WOKb9IEJxFAcBnuXbs6IZ3j2I9vsZENyF1oHezEQ8Hi9MXolWDcnbPJ3iLJjc13zJ93 Hq8iVRgxVBpjtFUeziDzcRm6V45GXJn5ora4wsI+m5Z8KMhU3e7Xo+IknIWVKQN+y4rDKkUGHY6t igErrVEQHAYaAa7VAdP4yYRSUpnF2YmBg9639OmhhnZdT/V8fn9o8wyRtGjS4MC4cxPb8O0lG7eF ZKVMiIIglWvFes2gEViRGmEaXZbd3koTqd0DMz0t6YImF4O53uJI1nHSu4TUQlzrl11ju1sJQnYE HTKfxvREA9WaKJfsqq6ojAqwJJOSyMcIRDr2RL0HsTqJTpDWub2QtfZ/7JADXne4YZOK8yAymR9l zSNwl1IT79fgo1ux4TNtBZxYuc9kiGDkc3UbOmqpQqm5FZCBY4gPvPEOJhUTvkp18y1/7DWZ3BQn 6QjHS3+ZGD1EVVrjeaZYQN3ru+mmzCKHtRJu6yt6KKCEOfm2za4PxGaXggzZcFg9kkVqPoF+g5Um r0o5K0lZFrLKmQG3WJFKJgtb2McUT2crc4WhhRO7foLBZEkTfBFRtN92HPb+q/hFBVoSTdgzPC2S S/ce3EGmp/ZNNoNDE9Sidk8/ITdFUg1xIP0yX3CBapgijC/39gJ6/0sb0O5nt3U2zjFeF7AhTZT3 cTWXPvsb3DdPqZdbn+C+LANx68ogZXnnisINRJHTTuzq64sgHmaLEW5n+mj5RD5uW9M1uaaW5jL8 LT0QWdKbi8i8w7/y9FQdxlKFLYoiEHJ6X/TaaGff3GoNZiWH3hOMlUhKh4Gu4JNdlXcAiCITceEp ND2I+L2VGn2R3bPI4vPqaeMy6NmHtPhunh4Ibx8pXYfz48mWR+KKNzmLzYVPQ7D9uaF+G7SUJBbL G1CsBkSlOBuL+XJa9Skq6NdRzjwepxtamdkWEdg5Fdf61PZSKwbvSimnE8J9Lf7CIeub2WDtY+Sd TZaZgC/+Aq9KP8gdZ3DM4FHQSIOOMG9w5JBcbwEXLXUx4KrQ6VkpFOYPFT48a/XGuywe8Zc8TaDb m5gtT4l7FBPsUvd1TyVpr3svCWEkhwCbK+Zq73UF4xJMLnlLzkHh94AsKYDiLS106kpMOHKiEG08 ds1S7HsAXpeGXcHHPAtmRO3T9fOi1M3xff2hDuyxY6Vr+/t3zmLzyL4XG6z8jMTPjSwNM8Y9hGVg 7R+hciOOSZmWJ81hDXmnWaA0CZO/3Sco4cq6ovIRjExqz31cA2Sdrqo8X/Vo84T0skwKkAQ64l0G kv6vDgV1LXPohmml4e/nzLZkVwvxOQtVQDfZZXJKsBYaCqrLBUoCVMpmRR7lRvwCc5Hqx1YD4BLj UNdn12fgXRLxXfwxQYfKTvZYcn8tn8BNT9I7hIPLeTenML0bQDxJwFbJ2xJW284Bklufuk7u/yt9 w+Hio7tnBUe2tuWvSfe+tW5JO5J9wTPrcjtuG4UqJcjKbb8iBbqtSbJ82qPyuVHonaeaP+HVCjuL Iey8DUY8Ugwl0UkxBZAt2y6eif5u6wss2JI4+YdvKgQzYxQ7U69JWGJPrAnzXUPKSO3S4ei3QnrM yly/Al14tBccwCBMPswu0sWCI52iVaGHF8GAmwZvYvdYqxh2aZY+V3ERrnnI9TpfGCkBGspNjfy8 VELtOueM155ryHoDtZrUnGVaicH4DHuXUSp+GwcUTcTHU1+XoozfL9XktTSrcrk9kf+hYIri6wz1 2qbxIPvF63aidY7av6IzoFmn1XMN9rqkVc0rwYzmVwu1/4Sf5AXZCTELN2xbjjpyolX8+8qZxSu3 Lmz0u59NZO07ofvhmp5GwJ4WTu3pKAqc1T1I4rBOXknHcKfV061Dmfk7AuVfC2gjkNWP9ms+PVHI eWQPAN2CoFieIxa1fguDyA+kqfINcl4vBHTY8XL5c2OVeYoUdzMWxY6pszWLGwG+FHEGyEjdRNLQ 8AuQaCJewVruPuhVkv3KAhi3mu1oYJAtxD8rS1YOBe2m4iUBM9r2sDmlVdmGItK9+pLvIMYaxwbs 3jenRj169XaVssTAh/JoQ/Mg2lEIGCws/2lbSh6x+tV8gTYTQklDe1FXy+HeHWfQizZLfHeHFi/D n4YXz7j6bHGNcYVpQSH0eViAiC06+WjFc/QCDZnAPtUDMUASvnh/XC80F1rEHa23bo0r4bCcrwgB xpxYqV3opmhLj6eOOxviqQreUMd1EgqQe8IqHfMs2w9IRZk1RZhFdLrjzM7J6VIKviR30LH0CIv4 vITXqOHR2WZO+kB+1VXeRIOVb1wxKEEMyAozBsci9J9I5ktEKTkayzgahRkvQKSrG3WKAilLxEJD nF86JM/+L7joi8qZsfubghasEq4iHSk57O16rhweWdba7bcxOSNLnKgT4f78ont+T2tnX0ywuQq8 Qv018o3575DTqYpAtxCgY1KicOwidyKKJ9TSEwqdzEZPWAPqsyPixe6kpnm+V7KT3UO+eXtg/szu h+CVcutxP0gz4tTF5hMEHNF0Yfm9P77K6xQm/3/swSSpqr9Uy3dvm6dHv0+g4evrEFIP+TJCB6h6 0eelg+zKUauOHtKgcSX8YWHXo1kt8UHo6ZrWJQXNi9PHjudSlBsa8RYX/pp5plyeGAG1ZAkD13ne 1ej4pcgVMFe4ESXOk3DG4LfhgiuMKHSGO3g4H91AHJS9G7feBexNW8tZD6L7P/quRVjxgM7ZICY1 YkeulsxrfRGpwf0bZXX/1YXdIHCytF3ix6QLkpCSUOYfJ1BTtgvtIfw1KEvMRwutMrXPqP5WZhyQ 5+m5h0KUmD2x6xGAZ3NyNl9Uzfu4neYwqo61aqwFEh/SeXhOXT6vaEVctluSX8fDXv1Qoq/VYfDz 3r/g9UkY3fGFHIn02827MCAtWswU+cROGb3XJBjQhAGs7saXVWvNso1fykOIEavRVl+fS0Rjzst8 +g0Gvz+489FsUsBevowQ1MPLYjvfm3DWcJ6Vk9BEn7DjNjXGSO+BenMfsmDfVhjjcgKoUB/g+jSW mCrh6HCwi2ZBwfhvKYaOYOhTNYxJrss7Bf1T5xQvLV3C7gp2kWftwZ73VR3F+VZzNE0AU7skPzH5 mXQz0lZAJwx6GITPzfhJVyZbEKcQwTmchrpheYJ2Azcs9FjjevCpP3sIaai/QrLrb9HqfTLDLGs2 Tzedx4tnzlmKefNaPz6J98Ss+3/leX+l+cLgxn0hL8PPhshU1TPEmDEbNl6Zil8oNlOKDB4eLYKP vXD1hICm1n6J9PnRDcAkSJK1X5U+SV0FAPRM0AixQ5qO/B5fSZLb1knSOXb6mhHUjpIUGR9s6OWE jcBkYhD3mrR65cc9+jJS05Oj6gvDW0G3Usj0+oqh8UqHa6ygLhbEPz28soHXXwMOaPQqSlITil5q ehSsqAee3jWzT2jrvO+7uOTtbqxyyfCHpqbNFyIi2AFCmpbn+irJ9m2SrgdLc7jKB0suVXmBNgTi TYA3aoFANOZ+TnLY4weuGtkFRc1YDKa9vZdZdT18U05gov4kfNV7TIH0MRvhLZYaBHD6qvqkGx0p e9VkBa5pg4030PiheARV8f/0J6hHHQamugwnX6C1kZHq8JI47deiYJRt0JugkkNEWC8AxH+MDNhG JU+m3XjhyrFFes+6JYDKglmh0WfoBXBfJlyna2W7uBBChfda/5CcPSiMXZFhfk3nehsAKKR4zlAF 4xJmfuOouaL2LjUBWDR2ZpVFQ1b3eh1pLUoTGKyd0UGO8+RnEHZnUOCZw5Z6+jRZZGmRL933WcVg EJNqYfyr2K5ly6qTgx5osy2b6HLwSYgbI314hwx77LHh98dg0pTFH05JTk2lEZ61OWaZWGL/tNFX IBqCEXs2BAnVbJfl27h1wiXZ36ryYtwX8CHQWt3dUTfks0hTrOKRbkhYQrF7C1xHlAvO9ode490B nZ10nphHwIltToV51/yGUEPmwzCAXsW6wU+Th3xce7OB3cFoFtqu7uDJN75y0NIYaNSl3ZVu1TsQ /vCpUpyFnctrJfdlscmvBL8m5QYKRnpsCw0voVK3WFYe1qfzy6biHgNeecmSpyRannjgyxidUkr3 YuhmLfTlD7c33pa/si4Rbnmq3R6NvRailaIaLa975NWqrk/PX1v+ixbnhVwFpzooFEl9A5h8kzkG dS/XLYO+JWXZ8/busxjPUu62xF1OlYwcEkDbeiYjBFkciGijgGDa9VPNcbjuK0tvomLhaXOAcd9a WXOJE8X1J6uCxu7g3B+OZnuCfDKEXi9MGzPOV1XE6A/WG1pJ9PS3nuZLJQI/Cw0ko1M6jC038jA8 6+Rfx2nMvmr6Drh/+TAOSoW/zo6OYRDybepKxtwDCPjSldUBcSLjbDB8xuUeakmUt51WDkqnEeOO yRbgbNJybNKtDou42LUP46aUIq2MvOaMk1nUcZbJ8siTdO+5OA7fZDGKCQD4hAC3gxANiZME/i05 0fCHZYuGnCQC2rk+sp4fphkEOITnWdJsSNpnDPVhg0wfCfUZ2ZiuVmGbrQ50z6pIzB0YA3CU3Re8 Px1fy56S1DIU95nfiIdl0BUN5Lff8WtOekBVHHQL+JGd79LQx6IalQeW+o9xvNlWl4spVb1iyuqc ySJsA8ri4RfSIPwvf6ubeQRe/cpjC1nkFEYV6BlPZl5aeWJIM/iRg9u5DypMd5lppwLs6UuNiuH1 x0RI3iDG5/tUN8a8tizTxnEctBEwxuVErOlYtEgR5mDyh1T1Fk2gsaYgOL5fpOXaj2LwBbKKZa2y o7KlsgdGtxxco8Uh3eLmsU1e6LkHdcpYFiIUp77H+bujseA7AYI8x9M8V4JJCP6Vwv90c2W4sPWF f587qkfgWstg0o7jWRiHGIhIL+FzxbOlnXNuF58tIrbPv6p6OGz3unypCbHGlkGlwLSoNcdd8TUC IuVRwrK5bZVGxaz5+1o16U4fieuGrOM59JCGosKYlAZKUl+AlS5Q1SFhsQoB+oaQfdgnMYwkr4RG bkfHiE67rszUwAObuyWsECRczH1A25Ud4XHdJXfE5y2b8GVzPBhfaoD/AfS9qPyrZssWIPtkL8ev cSKzjLn8g86WQ+LxJ0FEu43cETQjA4omZE0ptffwvsJJhYp1AAlCFbtR1oCk2oEbVXALYkDosWHP RxdJOKIVo/xQOMe/+caqF44yNojmhzN7WpJz6WpdDqWwPcc0wIicG0MzYsA0vHXn6FU4rzXJeUwk QB/QOarVQuVlvrjHDJ3nu8Sbcp4vQIfXaeSoVvzO+/uphybyP3mRMKFMe4M1ZJ5JdMgtEKSNO6jl qLTPQBzGcrHVPdmCi1miGWsPh4Sp9YJX3+veut0pkFXxMzsE4W9WvrIasXjkoUsK9wyueoL8gcOy uF4YqXwX6Aljp9028OGXRW5hJas6JU+d6Lrsmd+AZ19jHFpcR2RhPbTC60fvApyxiodF9xfHONGD pRQdJC0tnYdx0YQJpggRzZjc/wg/LdhcN4spiuhFqx5wV1PvCW8rKAcJJ2/0PC7/UWqltlSky+Uk Me4ckAYVFW282wo30aPd/RYyv0UnpaCgtP0+sq5YBaIf/IjGSS8fEE6sEXQnamF8e5M8ZoqvDrAg 28RtXXK1PHsvcGQSPWtURtqjSldCfrTVjHby2Cg3DTFYDorAVMk1LkTgfOBAM5uDb+VNDDb3zsim wYBuSY5LqLxXJS1IHWyJNcVHZLoyngLoP/9Fbdh4LxUjKHoHDrcgjhgwVofIH+2mfqKoq+nMqfdw YzpaPMBfZ2wb8yXhUAZDlJEFge8rgdSz2lcgqN6onK5dxhDVuck9C0qN5jSWwZ2E0AzwnuzdlTf2 XpjaDJD3viVB62h4m0z5D5g1qSqqGhC4lzd07DOZOpYf1wWyPyIBDXa3Tz5PducQ6l3a9v0oj9UG RcTDQwnbd92oCutnkMb2xlexBWmqaa/H/A/oOl5OycEUIpG/K8gQPbgUY5UIaM8LSTSn5c5c9Y5m bpLVAcQ5nLfGCKeqqHP2WjZYU/gQCWdFBm7IZT63+3N4MM5ZLc9h7VgQihtBbaEqDSaBXaUusJRw 1Wu+eB0sMaGHu260MCBDe5OvML6KtoiYVj/lLMclfR3CcuI8rDnixE7+6E9uN1Dwfm9bpz1gLCpI h/Ul7GDYuwpG7/kFvy6FtgDdQvLo4RyYLn8KTKkO23WNsVkVoJApeOVgjK4/KC2GRlIzVQ0kg9j+ wFbymyP8hUem2m/JOjxY3J9SHOPvdJdFbL4k/SzwQ/lPwawN2ozr5HRnEWhaAocUHT6uLfZk68w/ xvWv7U+bQV6Lv65Fuyy2UAxqHd/gqtgWGfe7Rgivd+CvUQo+zkYkgOgTNuLDZOJIiXh4P8W4bku1 w/aZU3VQHYdIMPPr9WUomwsKT1nq2L5Grms4nu1tZZALlX0Qg0EIOBNc6fqAH5Mz6uavrktJGaT9 J8cf+wpY1Urg5g15JeA6eRoG4LGOFhFLDJU7uq0CMm9KhlgNIKN1LJ22M/tpZk9uVZD5CSmutMp4 K3AW2wrlH9abcqC/XJNH6qrd5gbHN+m+MmlLv1xFSCSUUNVte/s416A4uqbeqh0XkLNQXyfWJdUU XterRmFLS8+W7grx/NYRZLZ0RxiLO/AdmRWfh9F+rduVXGdjxF41F3jehKYLO/trOhKecsngXWew FBp/aFFuPTL7/ZW9UaUBqANG5W8gAZbkouIxDAuE39T/HWQBsMwanLiVZV88EzJ7W4Qh1sUDmCfH 3HAaA71ajwmVr3HJx13FE8Q0PSRLytK1FhQkf8H3GS/U2V+NbF3AxbezDkycJhTQSRuJszD0uYTi zVX3UwrYWrBerol0BvhDDrJrSHaoGRGq6XZ6+dMXA0lLDdvPuysiKbTB9CSFDqRco1qffSL6HU+W nrkpGkA2YTU4X/Xqf7xg7FHlUlUgp9ck94UgY/5iPafa/QlQ0CkZEX4U67fneXk+VxCpOaf5Bbyj /rqUcvrQK51hQ3uwV7m/7Qn0Cx7N64ZZgrX5cD2i8Q5EDFA8T7sFz1X5HMSTE6v/a1AP147xQhNy xJAU7ldVrvpt/ROE7Wtxk5MQtlxUjBdCEdkBfOv3C+h5Klnz/B220KArK0jiIWKrlM/aA21gOuqP 2XRn3nVKauciw7qCHQmawsgYqEfvKtzUSBY+ktPcaQ4bAZwfoS1PGJGiddn3qp9ped1KxDm0ufjy gFaBzr3jat6C+StvIDG6nhs3y1ocNfFaUjUt7PdSgb0oasgDfmit5Os9L1g94i98VcJYPAPVRsq5 C2FZ+ZJapm+1FOuWN4G8Bb4tXFSB5KqiEHup9vWwO26Dlw1RfQoHG36Xe+AZnsgFU7K3wBWRXfRk eEPnvGqBDI/4WWnU5PkR99+uTuzeH4pLLVU9PrMZICWX1X+zv3+h8Y8cBpPZHv0d7+rQngByCiyj zJI2wccdor9Md/jEFND6nwZuVbCTeZoyRncvWZU2c8VZol2zpCe7kjXm5UV152u0g3015B2lQJwL G6ltW/awWV57wVmZ+s9aLD7OE1dIHlK8aTRy7VGnLLK0sNcve89YqrUsPGIhpIAigxmRTPsOL137 170GFRS/lSB946iN8RFd3RHcOhgVIIjxDhtgnmURgeA1DbBUFiOt7AglyHfY897q1Zy5lT/ithcj BNVIYkC8Is38iuhc9p/eobiCbsnSU//yF5FiU8ev52WHdg5J852GX1r/u5BrYGXQefRDtVUOxsHa 7zqKHAvB12Jb59Gl/rqlNba0ykiJb5F4Bi+1HuGDQsp4278b6VXoA0NvGEZWpDmXLIyso61sSbRa E1Zl5J8W4akME5olh6HXpT7YSuBeMHnIy1MQWADk02tk8O7DHVgfMHcuBwyQOocZXzpdt0qc9iT9 vigvBZTSXf+pxCqHqR/BUJTRp7TS0iIc69opLf3ZiKj12ymQ4pRwWQR1h3rJZXAECYPP4iIK27E/ yL+pBwL4A8va2v6GOmmpriJOj/V4XFfB7UckV4FAH+Sfxe/9OTyJI/ttVfYn05RfYTTibpGA86es 5MKAgmWWh4FK72Nb3xolJ+dk+82E4X4wyFb1+0kZNaQLdtxikUIalC2G1cuyqW1Hf90ko72qsZza bpvdOkdgbi+pnDerz7f8c+GOS/bWBpIsnF5EJ6pwfY0Y0YStI/Ozsit/bsBolaZxjHwZfq7bY+wZ Rrbthm8LlHlXVQ+fhHR8lrPMKwCqTqRcS726yKz7qe+FyEq57dut8YY+aAz8FIFdSTjY9IOfhq2D aPmWoM+2aTfiQkbi01SnSxd2cm+ac4VjJrlf0Cr+s+A7XXTBiltFj4+EGEPfhy1/4Up7hF2UjSd6 eVtA6OaL5h4RMQ+fk45it1RVQxd4DCPZX6m6NuQm329JlwzuDgOnpcC5uxJZUbnOFFowsvfcOqTY yeod+O/0vO/ShWmt2sSs7+lR2kgYI8AJDK1dj3vhH7s2b20r1U8Rsp6C6TH+IJGOR+nb3S+tKLmJ N8haWZcbPQNKu9ZakJ4Tvbf8L9f2wiVkIPvWvUiJyd4FEcgYYj6KDRfy+d5cYsoV+OCeXW01GxLV 4FYSMluo+WXZspQNB+P149yAM3FeSceutVHTPrF5OB/XWiNxjMSAgAgaz41bApE2pogajcGtNUtJ CM4oF2A2MuWOoq+fOD7begKdSjdM3dL17jVGcOOmUFjM3xwOXFZM19R7AkCnjxmr3jbjFsKzeO/N e8/79/3pPuYv9ALKnmGvWd1OW8TFgUc+21DLFCMZ4HdDzqJW/U/Hjl7Xj2Z9rTk1plfLP2L7S5nS tbpX+Jw8Q80dGuPnHJBbWoA/8dvn7un/wf6zWl4dpj6mMTsoGhSGty905vyWvygNvXTsNNTfQDm0 vUj8lH4O1ClB9ghEKfjdIKewZbpo5rSCzZFET3vsYd6Zo3WYkDYDTMeZyxwTsXszrTIvC9tMfm7L C4suJMNO8DctCipHGYOICFwKkadmny29LhsCzdxhhEgsMBwUcxT0iS9P69XZG5nCTYVo8J7K5jKd w+9Zyvf51BqFhM5Ry+2j/8yRBprELSLb6jBOP1oxzpfyzA9Je5n5ShrwIgQan5f02IzXaNCMv/f1 ADpI8Wms3tGzQcy1PJac4DWr0EQjOm3NNXGOY15BCdNim6EIt4BexCll84zdv33YIYSlAGqsx6T1 nq5Ogto8Uw4Jor5jPo8Nr5XPm+zu30baTO2NzSCEBqOd+MvDT6l/VfUae95R99ikhtWl3NgAtAxe CE3lYa9YrZZMtmvjQ9WppMPS1IDI+ACPhU/5CpO77P/1UxfEdxUZu1Y9c7fZuM0Ngw46FHRRoUH4 rUN2ycBbhsRquEs8afgmet1VnsiuPZ25AYSSZmxsxAy2LlE2QwiKIzEuiTiqROCp/IKy7fdWLTlh QW4V0G+61oDG4o2c0u5IZYL7mJBeTVPc/4B0BtsB5H7yV0bGgtbCmn4oTizgFYIfbUb7rILbuc+9 AonaSdvi7oWmZf7psFT+xAQtSPTl8K78IjnIOz2mQyT4QxwlFCgotug+W8eg6hygH9PTpbfcibPy r7hK+FDxNk2ft1NL2QnqBmeQxL6BKsuMsXMC+MBBlep/QyGQkETJPw+o4IkfGXbsm7vrlA9mE6Zh 4pt9U4pHnG+Iu+9LLhVzv9M3G5R6OMwk5I69i1zyRQkoODpIdQV3K9W03NnBoTRH32nsZmIOkAUA SxfO+cpmqo0/882pVVYhNwo4RHIlHZOOgkAjNw9Yjt1/wwA3zAH881R2jZmg42M5lfPOhp8pZrkL 3jirEfk/RgIPiSOMJ38x3vszxjAUo4W/vKnb+q9gnh2CUZP6YGMOLZMzAQZMPrOqn/Ks8ZnM1tAg yGlfr4hJG2vVYMYWFe7Cy0nLk2HrV9gtgZi5vICXqmxkCJIH5dHpQDLqY54uyIWa+NVZ4wPZzkOX 8vKxlz8H8ifNxCev3m/XHNMUIs1QgGrdKFtwgCciyokU4g1urdBnqoVGjM+5rYf4KCCmVwNqHzoa cxfZawkaR2v8Y+qWlws8gbg/B0+2VOYyorKfHJl4Ofdpia4dpdIybOeggSv9YqaH+fITbIoOWkr7 AC7FLwcOXqgl3b6xIAvJbJo4fnf8DgxDxESZJht9paLTkKhcqfUs900KK/3K11NhUqDVCfXdc1ek 26Fab7wPtbalU79GnQ8FSQDXtjZay8s9VHYLrTQI5cGfn10lDQy5qHk+2UKfjRPHgiM/C1wRLH1M CdlsewOTPJ8BjO488kXcXdJrQpX9oNgEAKyUdv7vn7xapueYd/Aq26GRzlwmRLpo8jrcNl96fzpT kLzNWyC5BJ+GLd1hI5IyzsaAXXZuPiGk20XzvLff25eofLngCIZ4K0EJd6Piwsku0lx1YnqDOBO8 RU8/vXgrlb/8LBhLuVXwNS5B++0qxPMTKW11ZMz5TF7Tf+mhcCqnu0Xi8dLKE9No1MLsThI7NLR2 8iT4OIQC0O+8I017QswaOddD+nikycAHqj6QUCllHtAiCu9D+v0TRDNKr4VnGJ/92ijJjDgnKmC3 IBfdVytu/IKKaNwY6hN3MPT/xi2U8ih/kCD4XKPOtgfEM0r/T8MaqneghX5LUB8YsBM5KaRfyDga EBrkPYY7QaYlkzlYfs78AIPgtlYpnIDC35AEp5pBDIec08nwnXp5C9gBtamVFOMZsrL858HnACyH MZiLZ9AiNGncBgQFo+msau7vvgbq/Hiny9/83kJDLi6IE66N2kuzj4gi3w/f9LAdmEVCtK8e/NKu hY7gIhhYqUdcqJezPbLO9vjyR2D++LblVVUZbIspnGJ65RHv21dmIiIbhQJ43KZUK/A96zxfB1wP y+CCWl1toibT6lQkoB/y3nl1l7HWzzGv5zj0hXsubWRJKTvE9vKWlC31WwrYJ0HByRvcNoFVoO3W uQBmarOLqjYUEek84JpXv31Ze0cfpXRQtdkPz2qJdmbhQZVK11mnYGr7SKznYOBwOthRLfsuNw+Y mkGi8W5SbX1WFbuk1I79bCJu7m51VtMbbBCTBHqDNtKOVaYl0SCKQz3LkXQwSYrkMR3KRqj4TgkG nuny4/libJYxPsJPvljjgeIM9An9QhyohUgJODd7XKkH1T25mG21T3UNEWx9Qe1JGVHndUbBPIHc ByDWReYxVqw/ofWitRiW+Ayh9V+IOfYg8lLteyk42cC2gVUYRN0NhWHyHPI6gFgnaOk7N68x+ncM thvSw4rmkbj1d6klXLurY5/k+kMDFYO+9MrtcTF5a2Gi26MD13hnJ2RDPTin4LfX8g20RwnwRu/M unN7B9NrCP0LGpTr9IItFakFHzdwF8ZRMEebSN2kMoSIQHFqxoddxP4YdqA73a2sGDPVPfIxgrcv J/w1Jcu1GY+tu1qQB6jGY9L791yTyLXpJ1kKuuqIeT+ygZQCcQ4Clil/jPCG3xN1y6e0gK/hX7jV Mroh35fP2NmxO7Efzeyc8xyEPo9rMmRSYFZYyHNMdaQtKwHBwqfYjWOWDJpwiR5jA93AMT8ffKaH S/BpS/ZfRCNVcN9C3InUxMPZN4roC0zBHC8h0GDFsQmIj/ZvTNRS4xHkA17y45ZWalGpmlIVKJM7 LKZV4mkc0fuRXuwplZnAfZgunHNGj/WH9AHIffpx74L2eb8T2QDvn2TpdDZN6egfvkyuDpXP3uaa fP+sCvgXxvAZB/pQrdhckTI67kG/pYGOw4wWuV6LzJ0Lo+y2d09oQqUVKTF5+eLmMuu1O34+SHYM 9WdkSy/LRHBJxozH2MHXQ/6CbzbXH2I9ggHthObUmqJ65roQSxZf3+fD65LN7ZCOldPRsDXZBHEy /uaWYkk758/5L1rNNz1XWEnmpO7ogYGbeRyqvYi4C/s4cFZIORBcFEhKgS478OyMQNKnjiA/h4h0 /T7Z0crM4QSVN8d9lA7BF0GEx39rKyDEsDzQ5QBo07QK2QbtWYtSUoctkTRbFbCg9/hQHRCVPQiO Lu6gmKLatDFg69GhFVXzpv2FApWo45Q8UMvoka6jf0SAoNboC2LVKGcyd6SA8o9rUKWNPnZrj+rT ykoglUUhHk5vC1mrXGMaiOU0y5bRfd0wvjI4lqdzs26z6+c9fTaqHCHljUkw5rFzQABus0FSi0pY LCqMbi7UJyCtj/0mtk3cChIJQRYm7kgeUZmu9fei6Sp6DGCSH0G91RG6gIp4yqM1+RP54ChStLUi djLrDAjWku8W1zft8N4XwtFLYTxzK+D8810NCBC+xw1mnTdsMwYqXrF5ySEVDD9RnUVGmzeRI6p9 xzjMZlz8pF5LeZJEaEE8K0yHdpSdt9izOSAEUbnUNQhEjPsf5KTGsl8QnYjnSwKBjoTMmgtwWS/1 YREmMk56Dl2HzF0hod7NLmOdsmmRWwlORc33TZv7bCcut6PYPSDN1wF/3njovfUIaPHWqIBgbi94 JZJKW11cH19OvSGHgfogYjH2Z9nRu5vbd5pvogaCDAyQ5IWpRX3fodkBOU983uRpZF8uNMlRr77p 2gqFOENOloAdSi5iavaVJCRQKYsIZSspjqIn3K9w31d3H1DWYnI9v+rn+EuquDVNX5+N/YexfOta +phoKrlPGGJSTDkMSJBnCxQ9icB6Mj/G3KtNeo9SPBwsvlCDbSjvlixpUVNoGunuHQaTISnaxqBO fz1O6lwA52O8xbet4F1fPbSHQabf8h43hFnu7vuDGjwXvCI0fBQKUYKm6qdOELhLRL3FIvWdA8hI X4PerGKHUuIzup/fE8od+pAzZTluBI0t1wfSX8RgLbHKlfp2NxrsmSwI5uEuqnsgsoYsXGa+2G9t Z/XAYMdMX0oUQSgXy/+bHX65I856pevzkmEzjx+g+LFPuXYDyhQ9Uy19G7SKMlhdt+MhQxB6HGFd SBCU+v+xbLE2b56PpzZsa0wHwIfTauxblYQCmqFze5MGFQC8OfL4ZtDVTYHw+5z9/MosPnTJ7oQx JI7mvIeO5cSNuCpeWnR9GAs+6v5gzdMPTuLICmER3TYZ37MA4r5WDOsf+81B2+B0pwrFDCHygkAK 9cTL3AO6tEcwIAQtfonlBCtyOddresa8Zidw8Y8XENia/TfBdVhWvrOwfFxbzsNEIOqF3YHB80px Kt2YinX35ptmdpFok3J9UQFzOGvb5OYyaGuvnAsxG+yFgwbUiVLHZ9iyrgnJ/KjQrxKDh0MzG8Wb L00BLyOiF/wQiNPzmq65ue5iG/CEgTIH36nXASBBSofM6a8tZQ1gfGG05V+ZCBRQDRhpMjrogPAa vEEyU20ddHjWxIGlA9PXbTyuW78+H54d4sdmmnDGxcHL86Zod5/YcaNrgNo9rFj4vtM95LlE9X/y T2/3353gUCtLD2YhEKYyEjokUmBNqgJU+ki47ZyR+mXFrHGIIiJNJfG9+10rAoR5TUspjx+zIoo6 sROpWhECGRTtAAndhMuibeyvaViCXHiloVVXIIoHEtIdnlX1kcLMG0FfjTo1x1T+DK5q6A8hEtTh +HR8QNjhRO3DL4gSwhE3Q6JUkhvJqxOzLEjQVU07Fk0NUvvIEDvyW+CavQQRVK+zZ9LIF6xbN9yi qhjBcKH4FsorUtIm7hRKUiwVztst5hA8kZlmp1msDtMbnWE8KEE1q2hdxaaRyeaNZhzGmzP+Eu78 sENn7OP32UgJBbraj20oMXozMgff1oprqlroo+HCViFKpYJPotAYmPORUxdGr3NA1N0bOHxAuLvA wRvLuS8VOzXMta6W3yqXnvFe7m2CpFlVs0/W8741D8dV7OBFQ8FfoBJJjih1vm3WDuD+21IcwUjJ kAwi0wrNM+IdULN5x+5vj3GYrs9sJvpe5j/IvA4eHk6Hg2QVuxSW8buXi3H1dEkdmyMIMBJcMkAJ sljT9rdnLKz9oLjo2dYvUHQLKD+l7b2dmtUO3JKVJR134V7/YpZtFwnnTMiXtLEiLD79b8+f1Ce7 LwWUbSeOtKilckDgNuxeRY/cpiqFawGwvISASHafFIizTxrqZAI2nAIJrYUz36wE2eJRkBz5AnVs BKwTZfRmFr2VNnRSqzN3wK4keFGCFlMD1sBrKLfbumU98kbUoP/k+wgeSc9Xr75olkOxaDzFVumH XUff3Y43DJLknASr/UxdD8MjaMMz14ChL2ZMmdVsEKkifqxHy2tFnNFW+6+NTT51fHTMU73//iC1 TAvqowmFS1MIzQrUAlX5NdSZtp7t0+vwdXGLL+Q9IvWRQ60njSIDo7CUq/E6eOzpqEeNX6Zwat0I XIW6eKW+8DXBeVJDPsOxznGH9N1FucGF+qliDkckfK2DsC6/A5lZfDuCC/78FMTWj3A585HQag3A MFU6Mj6o2VjkZQE2NRU5uHq+Hqgbx4ZPYRpDl67oEAmZXcCtBPmXJz25Q7nk4Vcm5TC42rhdF7av ++c32WjnGeucNgMqCM97UJqo63/rq1lGm06u/F4jAJvhYoxkORRfoDD7p2mBlJl9DRWNTm23cglC Iow49rnPJG1QQMdBNE5F3cdi69KbnDWCFz6nnBoOmA7Sr6opeB+iluI9YOOUmI5GE/Q4iN+WyWwk b/kiG6mYHWEIa4A+Zi1ugiW3khc8jA3SW4L6yGTegkQKc0jqTYeXo/GogPUtOUKyzRDPfy69AUSd cHQPrMFqDPOgj8RdVRwsTvAdnmW1JXFq7yu1B83BCgIXanBcPZ8QgNnG77mOoJBEqErgLM2GnsmO xsalWTBWEofK+kV8RwYGSpepKg1/TVyegAUEAdYewuw2ul2glUJTPzYP1A4yQFlmisyAAgKdwuYu KPmaizx1L29cr0+oK3sYeE9V/6mtHslnqxG6IBNxanej5REXsb1IpYE6lAwB4qP/Q0Z7jZVoRQiI A0dSeNKSZKcPUKe4EETyKr0l+KrNXvVjMRpKNnW33H9dUiNNueSHRqmhlFIlyq42nnR0kWaMjmVU eefFO5tD1EiWpUf0ZLHJtDAwD/SU+WbI2KSFedFGsHOkIUIckD5Lm/2PVOQvGXWTcm9Yi5IKUFJu GQAWMR42deE8clgwfMM087/+k3kJSoZJCyh8pA7gODoZkeQyCuiGV6yiEfHKUnCOnP5LsPMj+h+Y YvaNvOrtQrPb9AAmw/mDi9tQuYC933CCxg8sXpN1XzYXw7B8VzpvurDk6cd12Fznl+iFoxg803TA 1XElC7ri5/oj0HMMYt78HzrD9CQXr9Lx5G3i/ICDlQfNRiUmyY7q8q300mZgpCyyGZk7SU908dRa qHhEeThRBl6E/2O6ap1cP/sXSLFtw55nI5J8sabYB5w8nzLiojjc5kBxGaIhqaas7/DEa4ZOhivZ /E/aSsQPzHr4Y5zHJxB/D4YLJHENqMCbGb69LT0VBaLqs7UynrCzLoYx2ohEr6FPq54HR2KkTfBL aEGDyt9v0YICpR+SK0zoB2nvdoJUY6YV/x32SjAyhbC8elOJ/UDfBTxddsJurZzSQQFH1V+oxmd7 rcBfePMhVt9HaU1zlunZKaRnGBabfMQXsEQUDZNjFH/53fnQX5vm0+ieP9tb6PAx2fW0C4wjJ4z8 sywhVGyPEXEUQmvX7Z+e6m+wFybU8u1WwidvUbdudZrEyB2eMhYwodphIescGxrvAk9PDgf6ZD7R tREBBZ8I4AbhWYASBiKFwq7suf7E3YSnC69fsFcGpH2rHacwnFQ94HXmrie6Cf0bAgyRThhvoVH+ YVX2JTB2J73tNRnW9FaMUyEW2UyhGItCTVGToerFKblZ1PHtAw0bfTuIp/bL1oUeqYsB3acH4Qcl tR0bvBGy9vwPR/BNaL+NW0dFwuWxRFF0W6v2BAxXiJT4rgDfh9PpS6vARFxI0A3l0efJyjDrFXQh c/Gf3sI264c3tRDfmmwN9c2eejla2YPt1diNQ76WGWxhkTOhPrLvYhC485BLlVZrP1nNx4tTAvlO 54BUe8DnaKi0zcfyoQXoA8etVZOaL0ioY59myzCnw3vOonQKzTFXfYrClo/hZyKWiGMmuOg8Kvk0 JXzRK8EVwAWOfdMizIJxGYLjjXOW8fTKVyiXHV0zQqPTnXU99TAWSmkeATIu783M3Dj/xPqR6P7f XQ1GSExVRa2eVpv0Z0erHZT/HJSpjRJnhghF4fio5V2tVzGvSr1a+kHtb5PjdLwnlYdqjtKx3Cih 4luAPztWucmiDmapaYHCuRK7x7CoOw3r29cmJXCLJ7YfzO79YcmCTQNygE8kM+IT1WcimmtPoPW5 5/4FQvT2dCzYTZ00l5ACXSyPKI4t0VhV4GHunjFaER64mdbMuHka1pzHljBPfA29Ir7WWJlTtAZO Jbgt/AQyMMbXQUaJwNS1Jvg5SjQcAP3qEC2g3vPvXENek8net2uIOJTn9V2IIGZUKk7T1PzQqZkk P4C/UaboL0hBIZV5P4aBrT267wIARqwH3PLbouO11b4TwPYiUcQS2igzbOFkYzewwS5QZaHzLlxF wseJIbxWwgVBhERk1iF08rn7smVjTHxr3w8siR37FYb3N6TCFKFlBSTn3MfDYsFIS072Q69vpJCL kRxbKsvd7AS3ng/eVLY3Z8z9Av3xz9zT+ixF4bP+Ua9eTmDHgjiXFOJX2Xle3LuDjQ73ZQ65AsoG ttNzc6CzYKc/G7USVvciBCKgtItYsslC9UnyNonee347lTBmraFi13mv5/F7enmH7tnOpLJCtZ7h zmTgMVxKpUI64BC2P+sb/A7Drk4/D5tRMolCRnPhDwPy0WdaKlEy+7EkS+goKMzKMfZ6qTkdfwzg Gl2TJtIEFn2XZs2P93Qb1RrEfsKf7Idpw5KiHjnwYQYEWlkcywYaXyO9+qk62ibAZrB/6IExhz1R FrmlCIotb3FAmE5O8LXqOflEggKyGkN9RnQdJW0HIgZj/hFLU9GPgOPJ0GeQK24t6x/zDGwc6weQ /U3Eany0FGqRjGek5lAqL+gnQT2JDWLd3mgion+1mD6HwV2bJRALKsuiUMkTwH2bMU6jDR/nikel Co+rF5p3/ypGl26+lRjlho1yHY7xQmKrfR1cuIg4N76jf1g9maZLlUPZoUk0TXy8mukovMjvfhjU TAU3NJlwZZnYJDbsaB4rn02b3FGqRvajPwSg1IFXjI7jg8J8ZiwSG3FC9bW3stE0wf1p5Z4cjuH2 yyLoavZ78mx2qTiMzGqxMkuNVTFrxHkLzBV8xj2AYgc+CnFC8+barb1sN68FKGJbpUowxmlHVcd1 T4sWSZPeBRYEEqigBg9mgluUBkw+iy0M+/Lv0lwLGmAP+A9lA/Wyw47Xyg2KCUPiu64h40ffNc8g VMr0NmaKlM0cdTJDr0Nxwm6XYrE9si8/KRQrh8OyLu9CdAvNB3YJG3XkYkLInsOKK+LcmybjfG52 sp4j9apLOJMXpdQWrkqn5hixm0vhdJNGb/MsN9m5VA6wd8spf5dQ8pSihFGlEXRkg/N5YdsxIH11 83NChn0dlQTHOkqWJDisA5BlMVJ5/spFTclw69pzrUugMJzC0H/OPZpPnR98rRzl/BMJvUNzVAqK qDbOctzF/4fqYrr6hEBkA7tBodmCMEesSoERwc+dUixU1yJPijui9S0At+pB5B1e60nEcYqIbtte zmZ1QDZ/y41k8U9Bkm+oLoLopXPP6bVRTVKhn5bqsBd4VDNaGsZGXvqnwdBQvmwg8IJDwj7+Dt3a kTvgQ1K/gmcLlES2le3GZg2aXrZJMWbkpCJrEki3SQG5FeZzkRjCwDhap0t+DMd1ewK8tGjFwubE 5h2dumz1KvRgs+IE/aMRJQCnXHQV0QnACgs9jj4vewAZsHX8lUknljlX7cZSY7SMKFLDpU1CblGQ fcgF+dUSss5NUOD9NjqhZPJWaktL9bZy721pOKDIqwhjYE3ikFYwdvsXdD4oFB9D4esp50Or1/n2 McKlqiAjo0fJBfktfeqo7tXwQZ6zDQ4O/bEiwcic9VWusaZNr9QWpX9lXw2HxeQLuMZ45MoLmTvc bqMBHNbxcT/FTu519DnKDAahgucMkmjG+CJ/ksRuDTWN5G1QK/2HblecOodtb4a0s9WMA41qZrRZ ADEm45YvGUG3+mJZosn/EXOSLK8F32x8xcepf6fyap/JC6dWeaVBfDmRfCu97+FgXfewi0cL+pJv hVgJ95lShr+L+0Eg8DZcqbdyvT1hRPyh1GwDCdvwMSRWjLSiUNwovFxcs4B3rIaUXGMHLl2rRGsA cOHtfih5GljYfoa+b8FlWgJhfuNugyU+w5SpkZUI52k1xNoBiTvLDVAnv7rn5BztTUuyKw2iy71U YOwZ0lWGvLb6rnC0V8bKdVOCuGpkstweDtB19aMCXIC248mYVUBkKm9kcHy0SnfYxHpeW+5WH/CH RuPRcX58Xx4T5G4jHdfJg3ts3qGDp1Yw1fCuIlMmslM+7w+X05UIuIaFShD9bQPMgTss5TAMMqdN la8viN6tFuLzE3WaoUuH10Mwm7kY8S7vXcHEbd76SKWKPvNZv3+d9Fzu2YgAUcIwgod1tABxcZ++ 6OSV/wr4g21dODRPraHQgUhGG3ZQWEjhPgMBChdSGlR0yo1r097QXZwe1Gm6kvPxyLqzDdoc/bMH /Beh4VoFIUGDtfePbv46l2i1Zqm1tj0HbWxl570MvoEOKWE9EoVhv9AV4+XC01Bxd8IuZJ7bftz6 lX1QThjBy8vGfALZpCLwrrzmpqpvBwssjWlG5dGCIzoCRJ/iDJwkjO7b7k9Ghizrc8K7sReu239I 4zXyAXRqNMjcgs9WewpRs2747ftDB+QRHIyGH2S1kIUvBcLHGcQBEKraKTNR0x/0CVgn6W6PciGx 4OEZ4an/Yj0eB1WBzJb/Y2Z9lZ+l9UQF60P23ylIwQM00SwssdoqxRIZSGc2EEhoC1k/FShp68yU BfuaGU9Eid8QJo7Le1cw1xAYf4b+/wnKWJrl5rExqZQjNUcVgmvjCdnN2etrlXcir1mCAyknoBKV F0+VbncXUrllkLjWCzs6F7Zor5mCBothpdg+4S7D0eW8jnTe3JMEsJUFrxXxyaoJ6PVKwZKV+Klt 5k7L5RDTTo/3AQpjW2CvDEjexyI+tyNASIK0DJctoKtS+gWeVDUKicubYlm/SUhHq2TX1O+aZxNQ uRlxD1MFkXRxYgBkUrYiI8IyyTWcTFiZhSsBQfj79jIKgpXLOQS2WjpYoV7/qWWT22cRPsPT8PjA VGX2bp3lFG18bNUgzc47lEPSMJL9SE55PgxADul+SX2u+gHr8Zn4DG/HMSeBRGKZ9zNoUb0vOGPs MEYS+mqrf5WQSb/Zb31Ak0ZBNRq/7YS8zw9bO4LxB+Nv9/MjlpfV/2+NZDrIaeOLWdINPOuIpakV kKwReU5Bb2bbtp5qigH4RzqKga49LbHTDbZDc7nvz5mhKV16qjqhuNxv8YAGmiw7C5/j8EIyjexK lp3UAHKu4nfSgwbEMVmlZWa4Ybw64urWuHOKMMwq8Nd4RvflnUQNQKtc6U0xkruSVXRNS5huIHDc jCmuL66mLdttZfEu76ohKYt/YfJsS7kE+cOUURxC00Irts66H58wPV5ri70q+Y4EUtjF4BmqrL2q WJVo4OFCsecL7DWZj+9emstp/PP/xWvnrWCEfdCyCgLhIOMOfJb/MIlfWOeXxtU5kZDMt7PuZm8c TBJD1L5Fup3q92iZGhbo29O67pya75X3/ZAFyK1Iv+CHLjJQv0YWzPMlo6ET3OU4hBKclSt5XabQ uIgGZ0uLdBmsnsz3fRa9rRhNUvrF/dD6HCM/BDPUYKzElQsKX1fElXtSQN47IYjDF+W133LmTm8k o3jqHEIV3EYJLlO3T5n2iXjUXOMQTQlvJpX75BIZvDrvDcTYRkU96wkH5IZPDNnR95P62+MQN35H Ku0A0r1UuK3iJAePuj8v38oZwS3hr2MLoEZ9bPkTz0VsI92xCGOXFJbIHBG+8z/UafNN8dRsZRnv qPJfr4ksKWPaOnPQpRMBZJ6REvfjUaeeLAytXkZ/0BsXVvSpp/nwsoDJ7STIGWQuNs/1Q7jHfdup YYDeWYPT6kBcGXPcd6bz1bzH94jFFKlzgBG9TcXozgCX5oF6HBgMgy8vp2VhNutRukWIYoOuCfzd 8oW1B9OVucRI7R7UzmV6J/G59r8xT5sttu/Du2dEym3HdVpfwzDFIK9RvVRcMCdjCm30uqEnn4ki I+XzSh0+We13WyRjP4K4MvNnXidUNdGb4fAX69B80W7cb81W++bOlvvq2MZafps7zNF7yVq86Tyt 90BQ8EY3CnFBImBuy9/wwfOpOGlntFvbyf5ByAH8lTEFzc/DhSBz2sk03l4BiosqIY63gCXSegkV jNGeUnLMQHYx8jgsRV28YSdGtRDGqYnP7m8MfWr+ZmmgGxPhutD5JVjY6VvTg9lr8r+zwjF25hv9 2QeJTyUutCoY/4gA0Lgjl0YnaF6o4L0Mt/Zk69ZCsG8nBl83KqCCi9Xrf+PD9W/GqrrhWMnoGNYz HyOuE2ZZ4DBRdBbzCwfx9B4UBorSS5oGsVXMoaCjXQaFdZU1p4JaVGevzKakridCFCLJTI1CQECY K3NB/N5rCZQnz4ldP5zUFPRUd7w6z3aFEV/EPuHqGP2RihhNF07wAOvfJhj1mWwl9j/aGrnb0+0X pwVrCoeyu/FpfNWrpP6Nkun8Cs/ecBznMXmqh/CvZ8d2z1cY+Mnet8ECmL9wksWd+VNzovoWQTTU wi1MIbsLu6AJ1qULUQXdN6oxvAVARKmVloGqhsMBIqyGUtKlmJxARqeck3JTbetOkUXOi/VRcaqx zvPDuJyvVfzWM8gL1uRA4lQXepoml2Y+RDN6Aahr0RaqFRhdakvxSJ6PEGuJGUZFE9jOnp3wBDBT iI8UU7d1bNgAnyOYufsqymkMvBKM2hLknXhyLr66w1QqB0tjhx70YCBWZwmLcHAi8xFrU3Ugk3zd SlWY1vLT52oNmwi7b2DV8cqZPyV7oeuomrqanjl+p0j3WzIXWNJvRRa84jQL/8vNC9pWbM9KSyRk Drggzmr3aF/Fupy+QzhMQaXkTxocmpuhIif3sQua747uIuSV39Ok/s9arr/siZUTyuBy13XsNYSU tkTIIfGi9m0E7wthYN1OCVzQMeqO4AvySI+qkAuQtO1ZHdVEzUVuFwW72nXMcdWIi3B9TPBrc62C gMBasL0AMwofFl12X2gWqSu8uzpJbvpsHryScMhDtZEfEFrXgWAAFk/7IsqlInRFB4zi1H06D0A9 9TTS1V9zD1WiGiZujN8HY4dQDbNGIJQqn/HxIzw+bjwFQDK1yHN2zbHbxvxpz0ETDSX+/c7itKNQ e1KNgpEv7o/OBsnTxCcYtmzAcgksD1iFTAB9vx1pHOwQK/+RXZ+qiDm+A5YFYTDYUSqc4tAy7Ff8 dHfkG3WLu4idi61y6R67VLKTsVE74ICWiYRhZSIR66/eD894nrBs62SVwDb4wRQFWxa+KFprmnpR dEHTmKRO5p2Ejbs7niirjnkePRH70tnKYmky9eZMnTmSEFdZZ0q1DcI4IIzKA/HH0fgFdNZkjUx0 BCfJymumFq4VaQOeTesvV0My7g/C5I1GNcIzVsvCFunwLyrUlIF1kr39lzD8rGyQXQoRDe3mFi1Q EgyGwrIRubx7BCVV/xar8/sopflWf38YO/staFwRNGL+v/nmf6x6FxvxPxoRHQMLmFEBBqTFMPT2 C5UOF2eaQLvKtmRXz56tsbxFlF3A2lW6hIwmiRnsdK8TPqbPTrWVzaZ5+FIj6fdl5f4mbnmbs1dn woN/oK2vsNsg6q+VIoem+nzrBhPu2O+GeONUpoaEFixpXN9cp2kGdqhsTkPS4ncD4qHDbmc2N7/D 5HTtouaVRpyWLvLpu2EIYqAyvvRPDBm92d0CaI3hhstdfAQA29SFW4QP7HGxDaXm7Sv1C2tVgy7R I5kCAZCIz4ozVTExT8xb/Ul7veqrXuRLidMh6SeD/X9QKgS22LRI94ad+mEQyDikEEbGVSZPZFfr fe1ci8n1azZk7j90iSKOUp7gNdiFIUvUb2MUZpkhm/DVWXT2hpqPIQMWPh5ecP42Jpy6wmDukrm/ dyRG15T9EQIcerYn0v/Mf/no5eLkkjoDNXkiOCJB2giKn/BZo9fKfGVhC7HWrms+uvYvpXcl8yXF 8nkk+1Q6gfgkwiXSdeiHe2Zjt8re6nBGN1JnhFOJHAPedyjB0tRG0ehHwnU+KSFGRTH7onxa4oBo iZy7mpn4XivqCITRW1kM1LQz2QjY6D9J5jXolZApkgcpXQSmq6UqG/j+ACgeDRC2kIsnDrdiCG9C DSxNRQUdtNy3EoqVXsVngmPgZcIlZOQbDgkDcuMPqaul5ymqFTKkZ2Y2JELJQAAOs9eOy14SOlpo OeXKRvydBzKIWQ88896jA/yreF7AmmINRwGGOPG8hNE4vYnH/uNH5xvsN9bBUEgfGJfuKVX95Yz8 ERF1ReaHc5B+7dKVjAbxNvE9zK7689QbhhPxqbmeKSTwlsJIqzQ9A/K1zmVtIjlaSWeDU/w31cJP Ubrm2zuA694f9b02+GpNKfAWIYTgGadV9XReYrk7fAYgfSGip+HXnuzOG2FDpNGituXppICi+oRp TUMVnqs9JKMO2cABxSbGhUtJ7tP/jNeZdz1skLK2DneHIwbcers/9u9IURFjWzqJJNBwGw6Zbb7i kvgOfl5+RKc3H9QQz4OBUkUAr+NlkI5Sd9WHM55j/f7dFP1h493Pfzru7s6mql+wWb7ka1RlH4Jb +9x4P0049ZgfzP/tIT90n1fS1OzFrkahg843gGx2vPwSvIUg0NI3MviVhjmnbluKY0EChPZEO5gj 1KfCNWep2Mi+SjyRnLPklH5sdlJZhvZGYVUxXoSVrrw+k1XGfRp5YpC+uYBMz3ltnl7wLAvjPqf3 lVaAbaqu2PGiwYRUaeKuo95gPVGPlNuCQlhzprTf2LNwuPK094IQQPa35sQZdWZZoKzT4L2OU/UN C43PgyNW9DHTNseW98l5Eyg0xxl26YDmSsPnr3omb7fu61/J1zxla7kZXcl2f4AtygbKEomc8jwu VQL8mat1f0sxWm5uLtvsMFKIjt3jqNj3P24Ma0wwIqshOgA6d3ufZy1nwYtyXwhRj/+UXGxCa7NE 5iZCPN7NpBTIDbRJsUXoxoTjo9wMhNQ/jqOBkDI5GaxKZ1RnxJIOoPeDlpHPqALp2eYp4TYCkDq8 HfdXNi235/pnprRZVott2xMyaSFx5UaZ9HXctCS8BjNHWrbjQHh3lyPIDtZTTtfrYwNP2/YE4KAj IurnLwQOaUuJCu7VFD1ELGFgT1GWEnSlE2RzxWtpCDC1Pzm34dNZb4TO0L7XaNRjqIPdmKDl92IW SE5oln8fGJikhtx7gGW0VCdIdqUPe6att0tNmOuZo/dyUaxnV0P9GAZn5jOXHTDJtpk8udSTBtaM EQmwdFzSspkPTFTkvHgC8LSIq5vVaKQfXrbBBgPPUtc0mgHIZzGPzYIqNmVGWSC2W9SFtXgSF1G6 Ec9j2uqT+Rtca4NMKq1PZyg/4O0esVpV+BNf89r/6n3vxea3L5mgWgwHVDHYSMfqAJPnvs114J8m wXIa6990zpxrCsBQXE7E9VT+sKmtFxgTCuzG+TZ0B4eNd+roowSiBOVUa5UBHeNRwnec9AejsEJB IsRmhNcAz72iqFNjHmJJIljeEfqqAIfuTg0QxmCfE5/hkEOmhkxyPfmwwlusvaVGGJ0pQt2shwgv pRhjlgUi1TRA0Zk+ualzo0SEhKH8y6SGRTVtKuUza/p9carFS9MqC1EOHncdBf6ZupVanyLKs8va Sx/jROdCw+3+zceD+w2icIT16IdxVb075qKEyIqEORUqcbz/GdPNQ1wOtiUpdMKr1Q2CjmO/EHlV 2cFy7YHvYu/8sk05tdimq+S8XHZ+9UPl6fT0/Yw7zh1kgH3N75KvEZY8uEkI9XOPTZpIVnPw/kjh 32xNB0jq+z3CVC7fd3ksj04IfFFz3fAGz0L2XxMXEKCpwy1mKrAQSZolHohCTjUPloXvXQ3BRmwe L149LYGfibYx3eqhlGwFGod6BAYCBETtuO985h9etoYKiU1QJbidvBbXDszXYcmlhVdct6goGotr mQphB9UiLHwLRavMCjt2bll1ytQzsiJnHsd0uX3JzKB1qCe3gCO7W46FeMhNxSKfoNsBiC78u02E NV+8YG+VihTHafIYkORy2M0A2MZqCRrYqeflqU7qwsc5NSX/10alsgbpbJ5yigJHopNs3esTlhL6 SqcMqNC+VipFUtvWztyXA0dsfk3xCd8onZlVhox8efrB6QERleiKM/OgCjsvoiKVSkNFDdUWCTIw oRlnS86dsZfVBCTl/nDNL2UzntdawiMpBi+rnbQyPMFAUbVSuPyO4i6kBdWBOQ+bhkEBSiKP/qhb Wh6RiNLBNgmYK4pjCqnz2l3el6U0SNd3YWjkU0GhVHI/Zm2FXVklOttTKw0/AlMK0UqvC7p/nngp sCM+ttHBGwwO3wkPEAllyHggKqhUes0n61Vu3eBsKhfoSS9rcq3Zo2wM1f/1OW8SX2t+yRtGonZU /+6pqUhQQPw90q52T4qwRcN0aihEQ/o8/DNoxr9BgbUDIzS0qsy7tEWSn+AZ844F96byLeeZ2kGe aB/JI7IUWVsftGIs8z4P/8XqVy9WaH725UMmJ2m+382s80632WwTZptYJINbMAsEnG2feGqUFvcM rvAeBVMN7tFnYn4ZDyrZxYgpQgt//02iOd/iWyq/HUvqA5NdhP64j63OTh54VK4G1PSrQX1wDPTZ AkELIyUgUa6IgCdGxA/zSuK82a/2KzaKYQfBY4oMBQSHGvzLDhYJO9a3CV8YXmMBhQiGruR3fNTK 273hVDjIDcNRK4yjX/+Db9goTzoZESuL05QNuvD6t3Bk16mtrBErVEt3wjEgoaScd+fqvYOkmaGd xumgWD9WVTJQVQqjRfSFkDxRU5Jjfj+2Qz20PTCJ96GSoxMpilIo/RH+lhihT67/5DZJUDL6jDrC xYig1yOW9q8CUkIMlrJSWrjxge2MHj1KBVj+l8MUQM2v8zlHVr4zo6mH8AwVwsGt5N8JJUe+CAfd /vG38ZZlTGpyl04czNyvh51mg/zwM1CX5X2Q01je/aJUdsXlr8URt11fspJkAad/kqEjTjssPj9S Q8TXKu3O2Rm8MYi5Vs5+jvGu5DagPmAautcqX23z6quWBdwOMkIRj9prfVzLzL2SyToZRK9uXqdZ pYfTdn+axmkCZ8PvhXdScNP3hWrFwNGNg8YFulnNrPbLnS2cHf3T6SinPlCmheE9LgzaNq+Q0hnW VqQRq4a+PKejSJQxrFgoZziiVnoXlTBuC6L7aOVVBU77KCIOYbzF2fgLlpilCQ1yTnJslUI6xirU y8FzDA+rQOgzYsqgOb0pFHCImlkZN/u9Nmnqv/wynHM//CXd3KrYskPdmpCjG2JPD1sVciBqCoEg fl+9Rduw8AboW8eeJzAW2affxj+bsTtX7BnMf1ByFscQIYeZHEDklpFNtNZzykYwfyL5mDU/1jKT cYGdaWfKnCIjkMbcJq7GPnH18oHxCTvpRFT4ycClkN5e1Aq25e3daHvayPphblP05tHOqEEO8Tg6 XjJNEvfDGofYl+2d087W+AL3BMgNhZY4c8os+3wvlvfmg5HgUucUrHgDX0AE7BoG7OXv3yTP10Wp i8mTxLmbeVrloKnqGDkum4FOWovW93GqZJT+rqwR6wHOxIzIThwfW0L0+nrcA1QiiS6AV/UTe/8k xhusZGbm2uoQ85fh/v5x6BoL91HdqfU+EYDH8wB+zroi9dVUOgiSNHmJ9x/rASnZ3PCo8FAzmw0O gU9fJ8IXs43uWouSEPTFB5JHOV60ImhizGMS0O0C9q1y2fdS+cn+X8rRP+/yH0X+fbhf8CPdTTsT Y0Znz6a5+9o6HrnsAsS0UnAmHVankAImeNiLiHwWXvRmrI4g8DmP5TgHUEFHW4GlGz7WWO272Xcr lF54VIKIOlVylh8LaiPY45akUoad594Xn5UnahazyNmzQD5qPQ8ICmXVu5/8hgicVgwWaW59LWIo UyBhhtDQ/u2NrnNtoVBDtuuEok2g3AyNX9hsdlFE75bqMkhpkH61Cs/TsW+rFLtAJilcuNCrdnxE p5ubHGoiF4VSC4BLTft87TuLlYzOuHeRnRAyWFlDAVXXv7TypYSjTkhuG6UgNged2sSmwjA2XJ7a trPReJwImTKCDntTLMy6lIQgTMrxQywTCFNYINnS8ooZnx9uQmAgillR5r8KHUaVgJkS+pGOEXVL gpZ6AGWY5kYJKmAkNPoLgh9gBxHNYEJKzIEFxcyBaNsKhzZuWtIrrDXTWHdQWMZzUxD/ogOLi5vJ FFik838JLkltckn1QzvxzOixzVyAa4VaVxX0qrPArLmhcDAmRUYyYBqkej4bkAzFDu1+gcvLGVOb QiIMksN2NAfqqECVE4OCcAvMkmNqYUCKFIwQvOJpBc0nXxDvewTEGOUti5phfsK7HAn7nB4pkeun h8RYU5zexHPPQcu/pHz2Ozq2q17BnO9BXujylfMKUKDn4PU4wPm4RH3nP9IjLV/eCSL6Cn8tNhmP fzzZpCHjb6S4byxE5fQgpR3o9x68aJX1XAZRXo9DK1VodANEFBp2PGtKjC5hoSxBE7pP8QTnoPMn D35HQpyas08/xyITBNuc0XUcfae2Mr7gwLJyaKNEzdz3hzYu3+sYvyfn7t51OEuYc/vcmikNleGa FD18MKL6tEzsKIMK3JLnH/cIdhUiVaU+xNy076cikaGDehCvaY/8YVzl01Q9Yc5a/fqyyMtUaIoO uiBYZj1nUiJKzyRnur4h713+1rMDi9kN9x4rhIKjz1AYEhbdhCf7i/u/mydIgqf+9HWtxBPi4ig3 t5/5yO9iIzU41zHBayZrcHidBquUEf78E9Khsb4/Z3xfxJNgvghKCzeCOEFUPWFeRMRhdzw7ls/r zx2wUu3XjKBpdkFhbLrt8HMU2q1WUgEwr1W4gee6cSj9hb+tNelUV9Rm96M0RtzWkaaDdjIOO9J7 z9EkzhR8oRwcxwam+eKuww7VVBOmuldmNWcNYo29vJtDMcsIw3wocXOIwq7OKjwMdWerQeCvPUze 4U8Lqu1I6ho9WLxEhfnoDyjW6AwD+xoWgOFuaBksFHNUNFiADJQLMDQjfq51llyICqJBKBq3Dz3P uQVFBz01wLohHqJEe7J2xmu1R7ts/IIj32vNnhWMc8Bd5DlV6/Vt57kyjrNG2nu6BNQ4ycn8fI+S DWz8Wu4viQEJMZAS4dXTFhMuybcqxL9QmR1J9+t88n41Fd6yxSDoLxDwd/NdFQwlWcl4dU0cPZgg nGBRtw71xwc1vknb8jH6kM1zvOffn3Ivlz3/lwu0X6Pjxnqn3S4WXtdPL+NCwioyWFWGy2kGDQ24 1q3cOoUF4ldC2YRPOJmTjIRAz3OpmxCiu/MQTg1xs3Z3jMEOqkoRvSL7H4wRckkoJpPJE7wjkatw IQBf5BkqPBEqLoVaSOk5ABNxUUyb8it7JgO/tYFGM86KmNzGH036zC7h1NOdD2KZXJrDtpl+4lqc g9oFN4My90ja62gU2VzRe5g+ij5bCBDLczXvz+NOf3yg2rtVD739IMxLx7KLEpgeGSPCzXv1OJ5O onyXt/y3j4MvgMHgGaqod41HI4YPSanP53vEOMebgxmSYdKr1ittcH38DOFGBIe++Wly2PDcuCgv TO29F3BVb/BNQ5eNOCHIRsaj/xAgHOAIIIgQD0la7SfqLOn1ifY0K3m56OZTutpTUdukew89cBeO KzZHQp6wS5gZshBqbF3D6QlXOEtqxNHa19TvaplzELkcAlBcQNT/j9nBGMxmhZQa6ioViUaAGwTm 1pOIn9QmYT5lkMEkvqvwgpAjjwPhuBCUoMDnjgAs10+ARbj9BCTzXQM4uFaSfweUJF5G5HjI7GDh MQu3cWvrU2GLJhz2PnGalWrt/i0ZsSbMYYUennLNtOBoRkvL03lTWJ0wd1UglEA6q/MxnV/lbzKA hq8ali0RfDyWID1wZlgA3dCVLdC3DiWx4CXbmtsPNeC34Vk3G0HkD3JOva63ypXBXZmYUDHIAv7N VX7UOTZ7fU32/NPcoUCn0mVOod+I7/cNnV9ayZ0z6t5qMei3P5utD6FuMXtkxsenz/BFlUZTal3T lctSXsIly0ftkQlrq98pNQvGJ3zkcxJwISkOHPTPPx+t/YIKcvf8rEjRdfKVqSYIm07vPQZMol1a ozybr4ZHeZGQyuZ/WuxcrV9GBQwtsqc7zwcKpK43YlyMUoeHUgpXcH73OEyOExOzTxDCeAvqw9ex ypIFTLGwl9Pedd29peuuhUOuX3nHuWlbuFeCtKZ7S8/yDUqBqx6oG808vhigaUD2Kd3P+DJn1lL8 vN7sBBsIk0Wb9SOoxgxNWp4lFVuqo7RHBnNawh0BkKdcJYQAOvNRIZvrR2XYefTs/+VEt5BtrmV7 wKEEfnK5MvViLGWCAi/Sn4qKdi/4ZEMD/gzBwp/a094kb07IfDa9i7c6xA6GEiUvwilYuBxLnEQu rBXX8Z6iiFH2YT1/KMZNW/8QhJH7KB/MbwdpBJNiof6uiMg+3bhy30XMMFAMHBvjKmzxCNOTb5sJ Ehpq+DkJmF/pzkmj+QGTsXmjE4IkBCLcNVtlTWw2UvlJdL9wUu4a4rCmKRBfdyUzpY+hJgBsr+zw xQ9r41qcyQ4Pl5jOo/w8+RVP5O1RBHiyqsHgk7dbeTBHawqfDbItBFsBjkzn0TFooyNnRbamBecH wrVEnW/40r9mmomYj4AAa2Tnm7wOSP5IiY7j0v2AdgcDzLSqzP1uV/5fuAggGlzqEiPbJUruCVu8 UqbGxgk8btMSRccGvnRQfI85SvQ8hnvGCzCMRjW97TYQWYqmsSbvVwuBrwlcvc8b4ZRIqqpumqN+ Q+6y0J+HJvQFXZEAWHWx7GwA+PBAAwAQumD7mtYj0iV+rZ+M41rOSDxIhY4WKxMn4YABIPJ2/syx GgLGlwo7dixTMDQg173c9yKF9mZ6Oz2rxpWdIZEWWYmQlfpJbDBhtudPQOzLBRH4NqrwcBoqmXsg Dg7Q5uyoz+dW3PKCHe/qQNfWip9ITGcKXXsFmuCXhDlplqSjcGXnGql/It3+55IOZalU11DNQmjZ 9UZ0JF10ENoJHSFCszugTg+TfgHAiWP2B5mmyhfJ4WC3ocHTuF+xINeXweezRD0+HlVyJDgFOsQ+ q2Wc2w9OFc24DWG9q2ekmIZoeDQ2jR6AKLvcOhRuEv/0kOh80vYpj7XGeoqM+bRmvJEGkRdP0ZA8 Tpk3SNPZ5Eb/opp9drq9NCSDrej6UJlSw87q74uMZHZzgQdgLxFQrEwriDgwhNYAjxcd+V3y78eW xr0ibOQXqoQvUd1vRSrlEBEP2Gg2GBFnfWuNrVe42/Wkr9Cp+/e/Uybnh80kX4LSIbGt0HeoouoN HuZ8WL+88RWzc4dCKiLFXVh7Tdtkcy5fPmemHdfwzLi5UBwZmdotyikJ9uxohBAuV0S7w4oqOj4j FQMVHpfR55yM59fe8QZk0anb6O3Xy9OC7nPR2sY5XTWYXZvKnaNFE6Z7coS41xUxBkXxjo7aoaEV 9ZBB7WyMOdl1LbW6IjJPA2iN8RRm+nshLMdko9osr+vPM8EV+uFod+w9/skITgeorIoayqhDqoYW Rpodjr7N+gLcFTbz0KlLNobijwjPTsKpuzQ2B3PqZvY8UO2Y4/Pz28eLFQFIBKSElckZ3uvmcNWy i0Rl2eKI2ZwH3OClfzdv6a/F1Kclz3+njBl4OdeWhiG79crsNiBEY4l0D+Jf8KtBMIwyl8dp8Mpj wzk44e0Kz8y9n5xptdq7r8SKuQKdmA0M/pMFBj1E9lj0TE+Z6v9omRKixtpWW4UcihrPvbxeRtjg Kk1L2da6SRv1ajSAIwXq37b5IAvLxfVfSsLo1wlh99gItZV7HgA7+eEieQYLv9zzPJek9fgVqFzi R51gQJNTaxqqqANbNNLknoDRKyywrCVPdWxcCKsCi4I0ivCbk8uZFFKRW/BhE4wjZ6yMhK+Qlnrt Wo5xblCsYTeDwdDIJTE49uhmxohUjbb8PE8FvAz0LbVWr7do+5ygBOAxFJWYlJUBBLX23oYuj1ze oasBO0sdvafrOssmyNbeFB/G9jJl+pNL0n/sGg4K8WMD7JdAesfsmqLsyjFGSdElAYxeHSMMLvyO BZEUR2gFF4FlINAYAT8DFkeFIJAtl5jEsZUkww+8biiyEfjwoIoCe47MX/mi9TPcTOa6mdCB7WGK SEYdeci3P89j1+V3OAxgMRhnZ50IsuZ6ZqnYX1iTn/WLNj5/bqalQM8GHszGvt/h0QMo2cPjNglw BO7RNvb5RlZBsBssp95PKPRFgInILiJmSJgSo5rt/jiitAGvaxku0mBrHJuLb8WE6IvqD+iUurFh iV+Ifo9qgkAOzF2n41OapS8So2EPsia4lBW7x3E0vXXJDrUdXs1GySqZjlJ4pmYA6gYCg3CiVHtx IPz+WCG6JwAF5Hu2BIoG33i/ionRxKFBsmA0Hl7P99QiRZ+AlFk3q7omTN5VzYSsuZcApLpZbG+I 2W3BEGzF7J2BDGYVDfMm/kgPtrXotRZ8DJCNJXf8iYhsyDpF5TyGyAl+o/rKFOJJ4RIyy3SzL0qV fty3+n4wC6TxyyVpZoJrKO1ekF9QJEKOe+0TAHQLMDbyWW1/tN9+wASV/4Ul1zHa0n634cUQGDx0 jNdOYwuHOQA215zQY/RofuF0YrJoAqtcXyWghGjiXB3fLB+KDC1VJhITV0uZhu+l3a9SygPgm1ub AY9GCOtLC3aCaECfbNwQVfGN1JRKn9f7je5Ax+WDnpUdFWZp/Xu2AOtE0X1Wj8QYz+8rb6QKGoC+ p8evnRoOSbs+Vj+xAmavsRfT16JLJOgXTawQw1g025jfq/U/+Bvk7J0Z+FxBk6SfTd56EezD7g0z p/6qzGZdnDKsNMX81WnCXi13n8WvY3FYMpXtd1R789eipnXGELOchhLJF5jIJwDRRubSZYjL80wI +l2G3ip9ncB3fakZCP5Jjm8dm3YMd5pi9M5KPGiUhiHnMGUny34LVctw0m2Ottku58omvtsfucg7 6EDx6rvQDTYo1JtMWjXvKcl4OfN8d0ytUYuBZTq3tQggeop8QXu0ESpgEvX1Vy+PZrfuAH1LXYaM om9TJPWNQI1UMUO9L1+vInm/emkUjerVFJnTgocR2tDkfruMQDFMnhgc5u9s4aMnlV9DgpkZZOH1 cX1yPNe5bf84khLfS33vrW609gOD9xBy9JwUGytJ0tOUz/jVgXk1NFW8IkGKTLmSqVirjUT25Cxt +XW2E7SU3gICJlKKKuPENLt7thD1agtisBw5H9K5bwIzVRAwBirr1alwoO4IsMS9yNidr0mKIa3f tsdZOVzAVSI7GVlMXFp9XaheC/4B2AXX+6Gk44OZ906z3UgpCBFvkEIASKW2u/r12PxweindT3P3 RTZ60Cg+jWbGG4D+7WZC+Rj8AjVFMRaDQDTIyOMO2OC+umY0+aUe9cZgXf6YQduT7B0H7iHK79bM /J0I2q3bStAD2At/3MkV+MVSsMgC16nsLF8PbBGoVhFw7FHfkwZkCsDHvLtwGqAxNzRObemaI64b TDZaLeP6q89bAe6N5C7f7AGo0cmeqQfbedriwLm591150rZbI5TJquvhSB7Xu8YSXniHGIjhiwzJ KNmyzS9wfyT8IgyEYSzjoVUP0KR2JQo5XSFqu2+S0KE0jZuc5P+l7wDuI3H1kZM57SHd1Zlfx+qU f25HAe9ABzMJ6e5mTkBowts6FIkC1qp9yln7rLSSGun+Ev1BeO+bSSxjw1R71Gc4hAsZA8xPYKe2 DuU5prA+iJpt9PrIpsN11Zy0Zy6xLJ71v3CO0iAaDjatjfs5yqvMX32wsCMzwh/si1O3dp469S2i XqMEXOZW+SOkvERFpqtbDqmFT7wFMrVsLhzuvOoOYV7URYlfphXlPgrWHQzKqbvEpxJnFgxN2pmi WJGZ3uvKVvunJYPFf8c5zH6w8w+B05zCgxRTwuCwNrES9H5Lb7gPnSmZc5EdLFCfw6kvqBwyITxO CpsKo+o0mFOi1zyVObDRUkypjR2Y1hY7THPTV0lyins8r5ofvbxqjqpDyGIxXqQ0m4fjgoXIO+mv R5cHlsBlJ0u+KwGYtfsLeSvuVD12m00skrrtSbcVIw0pP7VzYGqRGV+5xDDeMFUdAZpw3XjRtT25 NR6mUvEC/1Fyw6VKx4F+JCRN1MpaVkkKdPe1g4ta2Us6/oEIIHaHhAJAsJ+7Z36Nlj37hvYJ4aGN wDBr1pPonHw8asYwQWmFb0LExX/j7MnaTAZAl2LsbCSA1R7iB7x7xDmBfWwp6GX1Q7hWPJrYW6pG Mj/X3l6dvTfGvcrbrhAB/Bs8XaIwj88Em/QTkgDwwY7p6XwyaMUkJQpHJx25QCOOSp8muoLV7XWS TFW3tQbrtmtcZygKFhDfsizCt+yzU5Lijk25tqCrTr61oq55T5y7mqsU4jjpkofTtqrSQqjhXw2/ r2ssrwT9xT4mNs4xEDWBmwl92h7V/4A3XkyjflvLF2GS6nqLH0VUnHAQAv7mRbCCZL3+YgDQ2OmA BJCSLsWhS0glTzCrEtGUTbKS0J6IHOHMAgfPX+J2BKKUkKre1I+1ppzhme/oyrTBjbwTmgLlZmET 6c8KX2vKmKEwnYhiKGfJhZvjAVGge1nvdhUXPCfVSQtQNI70ryAUx6B8YDFNlsCk+lFALCg7U5/2 BI//KacD6DpY7eqmP0RBXMDaGFrrZCqLYafdVNv6dViReiVHYMiFwPx4jDNRq1Rn4hJ2bPiWPJpP n6dQ0XaR9ugF0mrS2wrRTEm2j70KVyzBRc1UTfMIoe67BuifE8uzKckz8y2smjA4UQAIrP4TlZ4Y 0LwQ1hOZ+ndSmwZTPzLLid5KE5IybLN+WVKbwvWo7m3Ewi7It/gTdh5zdGVjfRHdFIOTcmibe7YE PjhuTW1em5vlP6f0Ty4HilETdNXzPNGdJOdfs4MeydLnxn19hir9tsuekIY35XBfC9FEPjPTChgH O4Th9nRJEsKuGUJ+eDxJ8fVa82np/K2x+Dxdn9CdKXDuP+JSsf+73leXuixzG6kFKqhqTBuWnmgB aWdN873/c/lwGvZjcw5hbQlFgTckjA030sB0lXVGhyFDQpys0lxwYlU5LQI+f8lJPoVwB69gHUEN WU+6YtkCjdzrtjfdNOibEELGBd7030+L8kx/8TY+P8WlUEyGPAynkA0bp1iVZeN90KFGzLQbNILj CW31Li8oIWx9CMdTT62u7ysIedOuzkZmxlFtThxM4su3V9eZ8HBp872dQkDMZIPHo7muQ8GeJRoV u6gqw2K/0PofAFFS2yRGqe0cQ6JfKx1vpNkyXU/yVZ1++6g8iDCyzmbwEkA3JuEo4/TnLVWJotsJ kZG0ND2AKg9+uqOsYO3C+XgOHCbGCeHUgZqGBtPFtivaCgjDnPDJ63vMGOGib+RjwMNHyVVe2s8z 7LDQxN6UuEIcYBAuWIajupLdcLKzto7IZdrKhlTGKJBKKw2OFA2H5isItUJ66tDvuVavap73Qpvs tCmIr0iVgKpKbOxpiAUIIR/mtCXdFaMhTCwytVv9qO+SBPsCsWGa9Vm0UYqWx3BZrxKbOrNet1Hs DZJ7HD4DRKjF6wB+mB/bsYvnp/f7/6huJ6FmhKAUkb8Kz69W/jenkMkE7PKcIIQw4gxeZ+pS29XZ wGpR49o3oLngFGVboR40njL8mshf8XkuiGpoaVMUSCMcsP1n0BAZxMzOIuxOEOZS+BvSdoogeKqW E0N4d4VshvSmP62RoCV1e3RlBWcShCpDVvFM5g0lWBy5tyQkDBY0bzvyuGaYh/aIF537zRAAJyX2 3a9tCjVTB+4RsxVkomRqnUYwsPvmWEKmWRAq8rWf46YE0jRGPskAr526fyQmkONJeNH7xNqNFzr5 pULhfH1evCg2vB1SOUtB2nDndsH9Zx8pYUb8Ny02AwbhijSmPyPlYU98drKGV/TMXODKgTRA/3tl isGhY7jJo537qiZgF9jn1xRs2e/DSn6YalnbhajqSIxOEMZ0Ji4d+oyvLLS+DianGsLs8b2brmXT UheFhifGdfPBdmwS7pCTcu2f6sv7rWHgWFw/I4mpaU3DueoL9kfSazrTY6p3iGjVJ7Fg8KZ1Lnyr LIFV9VKbS0TJzFsY71QVOWmrdBVzJr+D+01LH21kqR/pzOFHeFZ3wuGuvmQsF8xBDSSx9jlGGjY7 kdRORRWPJLdA7RXxXchLO7t0XFOhHEsIdTOHbOFLcV1pZ/0G11UYqz7guDDM+9ZNvj0kaenO2Zqy GVsDA+8pJd3ydn41UV3vvrcMZ1akYnLsuxGXX9rkq5lMlIjCqIIGCIvPt7o20z4B46dyvDyfffPM BwT5atQ8yOR8O5GXWfqvmNfWvP2cICAVnOeqrparpmiPdl2HdyJtieVywTF6wmkeXCyuYXKH9XYe 8GCKVtw5YDaElXeQSQE0abtKEeodgViReF26uHtfSvr8xthoSL9vEcNzaseu/xknlW7QG1Cnylsl V2hsZPvBK5smofOdgkBp16Xn/+1nrB+LtYol+bcyo4qmFk2cQMZKw03SB5GjCvTIku7BeWqgh7f9 8UeuxbXCi5Q+tZSBfIkx6Mt46AI0jTZsjD2JfeLPW+FwFOWLkMSEsQnzFS4lIwRj3qMyV1fJkW5d bprTufWTVqMebaSgupN5iREyn6li6Hmooq07HQtA0P4Hw5OKpCuBKcMCUgQ3jjMKxq8BDWEYd2eO 82gzLDoGb3kdxcK2aCxs1VUzkrOv78L/en1fA+8eXJ+XtQ8CJPMVcBYp3yC/CK1HUOR+WJGKK0cZ 7z1OnnrTVdLAbjoxvDdYOhGMNkjO/X6k/5SpzdvNSUX5ueg8WrgZwmKbgGAQIdrRxGUHwpStDJfr kXZdn174mowh5fH6fiq8Ga5Iy+deZuNyx/Ij32Ww3ZY7NLmmpxDLRp7Zmq012yPDMKwQ6Wshrgr/ L3dNiDQ24AfsLbZtxkxb2n2VG8d1GccezRZHh6giLu34n0o8olwuY4iw9EyQOvixpZEEB0ryot84 berMavrRkCvm0vmUXAz8vi9SnOKizF77v1eWq5KPMXYjnVC35T56QYMGkwtmEEd6rI5mM3gRUtoH nkOoDs+KKx1C229M+jR8CLP4A56u3P0QZGmlrIYygxc20GtjjYAvajTU2RH3DCVHeFaSGXt/XczN +6xHQ7ateN/P3Hh///HLnlAenk33H4O5PrxjsIUV7yHN9gsUvQlyjEXeHwvIErUK1WrSsysQhzv6 khkuntJfb8Bu5iA6feotsvVuWk3KOxDI8HoRsW3J0a2LYEbVmOmHyuT183XmdHhSwjl4F79LeK7P TWjI4TKREVY1gfabAN5VG9rtla6KZMN3SLz4ufgOUHyYFZEaDEkkLz9HZQg46fnULRcKp0xtF6xS IGrgBktTJdr4X/GxDYWwCy2jKz7m2419x9tKAx/rH16SjveW/9pSg+GudluTbOnPmgijtl/5mKMI 57YS9GKrrxvtmVBjlxlmHn7iMvZ8uXwBmSqPmnh6QxhnJaCDy3IGpOEIQ9YRhHR5CyxgnjikYgHk F5huZdqVf7yoBwEZ5CXUco763kYLe2G0xt680e55Rr2rVl4Wxr7e/lEMr+Kac6kBVyZ3rXOKlu8Q SHz93xmC+QIuPmSGmLo/Y6H4TGcM8Tq8yRtr5TILCu1yE1yYg3K5F2tNjZrzbTBWBViMddnddO6v mfFBl/OAffVs2YIkBQcCan5lX24dPoWQApGst3kAaW9imvzshGSva+2liMC499vuADOssjjSJhKU C9yefDk8QhZRATzCiVveUTmwTNfec19eI29nkbC5tpHATiwwHD2C9JxMg9ICKmYtk50CZEOeQWwo i//B82Vd90mk3rdOsyFPR5zC4gHeW4vLZzh4wgpa8C3+JSJX80SfYQWcrJJqhsHkWkNojFmpgJib SAL4fcfD7wOUWZSgGqDKfh9iqsYFzfGykpbGzebp1eE3CJPno25Noxgje3dkkyWQbp0pLCXh9Ywa tPDgJMexE14Vg+iw8qP0MZon+J/U2EOvRH14rVl068AOewK6LKKVyVXKt6evRfXyK4cqY2Wad6e8 jwNaynxE3nOnJR6qGjZrpow7+MLEa9jp5jazsOjreR8t/ABc0fP/PNqha3yfGW/I0Y1p+JW8E/Rm VBUrOQSUFkZh7bcVqCOPdSo8IIGgqj6feyRxxOJy6Xy6c9VAbMjnlSZL4BHPj1A1l5GyN05wWpTr ypnFyh1VnvUm26tQd9zoH/YRCFDT/N5g/AUmcyEM5LNqRtbUfZP/ZdN+wlxkZgxSD8p7Q2Kr16pc 5l14tuVMWecHdSKK3kVZkdq+1JfGNSGaTeWUMkD4XRD8JKa+pINXV77Edit6N1zOftWpoiyCRTbZ sffri/PS62Snk76M3Bgn+krFOJ1aisXcCYrrEdH/3UUeKKfKF8RTjY9eM9PHRGwEPfRZIIGq0BxT 0vDk+rPKhq1aKKWHTlQ8FvC+BK9I3nhRa0JI5iFYo+5Lpj0DaLU3xIa7uAHa/SVPCaARuqGTm01k 3ntFqx4gl/qAQ5lAm1MgRROXT9CpKUIGfRzkVTR5yxVaNR7lkfNbjXFXu3UnbZ9msTFOh9JlwtjC /y/K8hbRT6K8XaWvgjJxqF6TBnxnOpnMFFWiQj3cGKFJWTHi6h8HZkXpEPN1rhJibkcuR0VN5vWN 53ZNGoRQt9E3E0x6yzZHEWxIPbrQgkYSwWeABMPfNQbEEG3+DryU+DHFfTBjux9nKZoJSz22HCIV ZfjS4Mghco80O3JQPpohEmLI2IOEX1b90er+kHt+jtV/UbT0sRYN+54Hezy6/M9bbA/l6Fos+UA2 UGEHMnMoL270l2i0riYPwlFBpW0rJ/xxBKzdzmGxFtYzJDGRYhnNic4oBOdDmqWQ//d+lhiXMklm Zb2XeGOOZUUUBjs82v4E1qzs0fw/0jLhpbB2oIeWraRrwRDUga+u7Jlomg50wqK02XTpfzuu7+A1 bPFhStp1b9gk60f8ogyYH8ZpI+vC7j62izzVEyvbLjkhjS/w/S8H2eZB7bTY6Z9SeR5Bke0jmPL8 Z7Th5KMnI4c/pOT3OgqYYThHZOWHRyFbVwIVSQNkLeWMhToI7/hfp4GAJkW+iqqMoahUIEIDf11Y Q6NbtBBznwvyuTt9SPnsL8dgaSdDBGCIPxeuTpdEmf23oV5uHtHvZK1T2FnKfrqLOSzkWu8JJsXR kxP/zhAjcsdyASagRBXwpIbnrnptJd9Q59EGF34iz2bqyKegH5Qh27+oedgHKLIunzl17RMyZFfl kTdDxR/itpzn3q4AlUqF+gniJ6BtxDIWWUm1GBtBfMfXqv6S3SwUeTA3mXwJRhq69En9a6MNm4V9 FPk+hXv1hqOaG0O7Wq6vqjGfzou3Vkic1MaAbkhDyRuI1EZ4lILnVLZCIW2/Elj70X55ixc7BY49 Ek/sIVWE3+xEUblhy5rOCxg9uUN8h3LwbTKDQElY/SonAtZQhzYypkfXWWdE/w0/64B3vPZJoltY t3eGH905u3XyVSfwy6TZ1z762hdy/Iih0rn+N1nXsgGP1cesJ5akX1twdvoC5Mq6uLIq7L39SVa1 0ZXnQ5NxZQwr5+kWu5dq8hEOlP8jU6YLgn+yz5Nmm01HzUs+lUkXzp5nYKsssG1/1YXeEdcvmzNi 5u++p4bbO7E81PHqShKQ+qDiQMmJLy0c5XDWhSH9r+H7lhjOe+Tzb4Ly1C0DOnn8eq28tdZmTMvb TvuK4Qk18Lj6snMjkQjcOGqelmemRBX/gHyLExhDizIGWX1U+/YMbOrSIpL0cWQzRtmkTAfSQXtW y8Ny87w2aOqfF6H2ll7jHmNOumSdSpN/umVb5ML8Afu1H1CfQn1KXoRwqhHsyYzciRbbv3noxV1+ dfj/S/Yo9Iqq1nyo2mFpkVyNCHHHgwzbDFfyhEEZq1fsBwvxSxJyHYem0f2cZHDgiKoq7znaJzHL v15QUNbcyuOHs2LvH5D/N4VfFKt/vyCzAxuEfGQIakv3hZgZ1YKXIfigwAUkysahVXFqblBjBd8V ZpJW0U6pTCxC1saHXVcwAYOv1SrnYEYtC2dnDvbRuqAwljtzlj9O2H7nMgIyMCwz6uomgmp7+h7V xAAmuLaI+SMWNZxIW60bIO73TYGk6ZDZi90U+GFzyS9jD4f4+iCphaqu2KDXhlG0MocFY1KxsS2T kKGfz83XUPoqtDo/DZxI5yMTapfIltDUrOhwTKizEov17vcZZKQVKlDdxptRs3flEOSp988rcgmx kqgKAsh3DDaWfiz6u6/ysOs9edk55SO0NspA0jO/hRaLXY5GRIJS30zQUrBjin4ECgOIw7w3paSk 4IzgRb49V2ia3nZ2/BfU+NtGQ7x5MIuzHdrnkbJx5KHYpV1tlXI4kzlZU2M2tUt47tdR1ImYDpzp e/vB0WtrwFtInSZNDYKBMEABDnkis61kKs1A0KAwyGV7t5xCkW3QB2vvhcK8rg4gBQ5/GjqzLHfr XhhbRoR6q17eZNAG4W73wLUnI6WTqPEtY5iD8+e+M5c0BZO1jSbErawU1Pnc8FeoY41oC8lJZleG sTTcM5fGOcEGfOfEnBfjzTQL+HMusyUlG/7933UVhW6RSNL5B3CWq+4BJQNS8z9TP9cRVEJ29QS2 MZy7Sguem/mqxFob4usGaLpyauZAYPnEA6DZsxtGLtAL5EsRMYH+0lOEK2gxsn0vIWKrdDsxw8Rk DRMXoapKyqildMIP1lmwpWlKWI2tYbW0MDm/BQugYeUtb37okzAjjQEa4XXZ7CVdP3RFpjDltVs+ XIO18z4PXvv64fV6KxA4OU+OUUoGQ2Lk7WsBiAao/rTe0p98riGq90e3+o8caO1NU1ygGjaLrp5n wj8nz/6jpgmtUprctAjBQLncEZzpO2rmdIPJ+jeLj5RH8f/6v3Eafwfw2i43L9NL4BVeSF1MRLMm WWA0PVkmBm5MJF5ruWanhZ3Ksopwu4/DYUmbD2sQhGKV5nTAS49V4Dw42/VIsylB3DzUbdTg4cER kSdZx53DD4XhseBR1eyeNVCwpP6xXd9DugOn2ImLU99mUOakBvDxbwPWcdtwgIUFfFQlxbsPj+WR vL/GvlabQ3N0Bs6WHTmRb+P49/Mh4CYwyjxJimay7rXnipzCl6Ckp9OFVrYN4br18x0B08ox4dpx SkZuvjMuEvVnOEZOVRjD9t2ZK9G+gMp1J6PgNBrwAW+EGs/mq7buPMH1cSWNxy2vol+a8Cu8HaC0 AYy7VUP98Z1CTg3ymUCm8+nz8zbk7XSmjBVZwATSwH9j081Krc28eDjPXri/+bGhNC8ymKmXscWz Qp6d0QRQaigwtofQUqZlBYaEYaDG0A5IYzkPGYOPmTk8MksypuY0iL0cZmR79Y5SbciMBhE+kQYZ 313Q+OHowJ+slIdx8+vz4gFihBKkUnM9WLFZJSIapO/rygpoVBlM0J2ubvlIkAiIPClDf891vN8D +7qTS7g/4HUPc2q+/SaDEd/8D4h/8u5Hgf4WvM10Z2aSwpph8TwKP/Hh5aAWZ0+BkwwZgweG40qn 8t2e3J3jxeZUiDzMLxhwK1GwcBReOECcRizqfj+1IxlhUNEn7+UepuxHgxbWwyksnyE21bFu5p/Y MPyBvbdAWc6CgTvVAbEDdGDFV0NPQyf/oLY+IU82ACklC04hJZGPEB+BLFvAseNbKUERi4adyGvh zXcs9j0nHLz7pV/LBzhooQkKY++moNehTPjC2/RvE6w364rl+EqNh5AfcjQmIVMtqmvXB+W0O1Ve +6MpklXOSRnyud4Y3nYzix2yG9V+cD4mB3Cy37qg0dLAJf9grU4wNBG9Ww7osB+rhvMD9kv7WEWI xzYDU15t6Cq7uHFv7BoWDJPh9pXF3WzWHr97YWZ2kUwfCk8KtPGSZsVtSrDU+FwlEgTzrsi/biA5 Sq0HIv4T7vbNNZYtOsC7QlRTOK395/M8bk91pJFqjZjB6eWXnI3ucjSyERgKxpCXL7kECzSOVD9P sU4z6kUB9h2tPQFHgFRxjeU1cbFSiqEWPLLjzqgyp5U2rCbgl4QY9ymETA6+evV5gBWalTINCQ01 SlaqZ58qoDGsq5UBtxleXBQzO8VWR6LiTeafaQRpDp1vUYYkTfwVwb1daKnL+VIAtymdPZDgRPla hHmWZqq1KEMEsrGzodw2cvXXt0jR2C0cFQErKCu2NhWgNbvQHImxx4GitOeRRufQhmXyxTSkSrKs S62ofVwEjLBIm1bZUPXrUnSPm9hwBhg14zj2uqdi7WZp/zIi5tAKXmJK4tf2DLiFF9yXY+SGQx1O 4WrzvvolsMT9UffNdRyRXwlfJOTruJ+X1DKT4l3QIfJk15HHZqekTNYStWeBbe5FTs24OHRN/8s9 i7aAxNeyr0MSuzKW5ccqqAIYygCrQlVzcAe2HovEKQOyDWmY7d2wplfJsBtbXZnBujVYeJE0snwd FcrNGo7pw+qLhtozOZw493rR06e3g6Uvaiis0Y616KBQG1pZ/KL1J2z5vhmSarke0HJMpnJ28Frv VaQL973/A+6JLbre7qdkhb+OrvFxxJFTTRnadyumDVIr6DHfUIiUiXu318pZA/Q9NuP8BR/UbJly hUpse5P0VXCmrdvTbEeuz4Xfx8H9eTD6iGA0CN9dHAO8D2RbbaTI/YvFHRHcOqORnGpcojICrOGz vSe0woKIxYU6GRv7SFn1d+oIgtvifZYaoFA73IdzlaHvnRl0upz3rTxZv3I2J+fz4d2oqEfVp6SC u+nC74RUjKcd+ihen5BtRKFw9jr3LDiTHmYMWoxJLJt8qMOk46i0hrW32q/Cn6r1OsuWXiqhyIvb urSqDSZR1afWewZwyDirU6OU3o8Q2VAZCTzPKrNgpNps9ItEH3uU6qiTbJmf3A8E1Pc4cacxCPs1 hb+ngCqwdtp0mdf4EG9Qum/IBudQf1Dcfv6YQ+0wuInY6YhM76m2it22jsINQiMtfk6weU3aoFAI zJQcd65zp9zQ4eQZ/MjNjjNT+6knd4kSzr9fiRSLbCD3uXKvRQpLDNJuhPecn9mo0RnYBbYc9chK t2cHC7KU75TbQh6gj0MtXEP8wgrIxawkVCqay5H9/EcWzOzL4R0NyoE1boC4jH83JuHakNTJU/hx GTXs8MH/5giQx6xLJpn6lceOZ61VST4rqhv3j6MdAV1yYAsSWyy/EQbxErLPTr8V28V37EgAbiOf clT/A5ul881776cA5ZH8zJUwgtuzz3YcZ0vdzHm6H/f/Av8OxOcf7NArfAMI6cTTPE72rpR766Ii knVR+VBVK65m6PHhvWwpgyaP9Gcs2CSP6aDF4ghzD9Juou0dsg0jU7LRuBCcuSTrzJ/83p6b5NCz 4ygE4FsCVexW4asyjRGzvMptzbgXFfI2VTKP1vZO8df/uNgMedbK0/kEM6mHv18zs00MCKSYBEyc 7H3ctq4jAxawhbRa7GcxA6RQYxDW4U0W5IBfXm3LFMs3o8CAmbPG6Jbf06NsNIuRUL5sVcxfrvhG otLyepneKQ4rkv1yUD6i23TM223dvisF2PJW4IJ2MAa4DCQp4xjJOPaz761UTu4YFsVM1hpRBO2k 8d1e1uCMax2bqRGur/ecH1Q1hrfCqCohKhHUCfepU8DhLN/Kc+U4ffWgdGt+0fzw9AV8H43T4z2D ruoT+095zbb8ERFozdU2V34CY7cukFbK2hBbJHUM6QAhgKdPR54PDsYPhK6Kp0QetOv6k8l2lFHg mbY/ZUdi97LToW8UulqB83Pzh3PcoFRnNph/TCCtczVtrFrUxojV2Co1oetXdN6TG2ZdsWvAJPZV 2BOuum9eD0cr28uy/nFDQ4+lmvJbek8yNpDT0Xatt4njYsbayZfbTyh8G2tUnYFEASg7mpH1PlD+ G7cJJy2cLM8Oe84H4Ue8odYuFOM0UEVXJPjes2+dHs09OONEBP9let8cDpeO2F+Nsr5WgD27sYI+ qaHZfXQzXAVjPtqA/k5flKLsmHHsru8pjT+F4yY3dpb6gg6hdFbm6DT2Lee2OlZvAaFHpmCwaJE9 K+tF2N7bDSO6NZ/5OOsBpnpOtG7/5ZA4yduVYbQ82XhK/6EhFDzugu8rtUApqeCiErIShP7S99sf 9kWDYk7KtPduZPDssiOqUTE5JjYhinSYo1MbHF49JIAIUJEsXqm1Rn7UyHu8Ex5YlKfJ06Kv3DUj ClO040sIr93h9hJ9iYCn6/hsNzJgWb2oY8EtoapqMKGV59b9wgkHO1MMGHC7uORCIVMypB5pNN1r qg7YIa1koIt6XtbiYlGuvYF88srLDacDyWBGWlmZ0hynw9I5EFqyocghkAAC0JlpJwue9vhxO57/ r2lK70ILvjMmIwpy6DI1kXO2YkDKbVeKr7MEMpFnIMJIpmhKlo6neOvsGScoIh4Bl0opYtLx9y4Y XbXJs+9/EIS4zmESh45oheE/tnCyhZm9xqkQfOFhJJvYd4zChlwgi92nTy1niy6htPVrdPFv+iNX 4cPj3RgQxh5Pxikfidv2ImOlqUlGTmLqIXeoNbl3hPO8cb71y4ged09VgJ08AEJW4xI54FL8U6Oe xk//1kb2Cy8LlI4qINm80Cuai/NRrDPYetxWRTQ4Kq6qQBOeuKvc8lkcnfbJhYfT6HKQ22pBHo2+ S6hYKNHvSevEyzDIZYFUE0b2LJzcHXhxK4RJY4AfsCYTxkug3t4NJ86DKDreMoUVSRepiv1sDiUe GaC2Vtd2Daol6HaNUM5SCOMR11jcn8AqlCvMitJu1JJDyum1g+BTUNgJD968HKA6GWM13tXsFDDK wAGGGF1Lh1wSgljbt3AL1LHOSrhRh+EJyfG8w0Ec1xxu9vhggYODyV3eDTuUTqAvjyHIQZ7/jWKe 73FUKHsqaX4pC+bcvXkDL5rU2gbx9EdL/QYJq8BpvzK59ukMt+W7Yf2MJaCI4Z+Lst5cWmZZApZC e84hY3DfT5yQjAR7w/+a/uxsr7g3YlFEXO4GNeM5c687MwvP+Uzs69nbwSOLQVTOG+fEj6P+aTfU k5oWF0zKuJj9Al9zIKG0+7zD3BtFayVNDdVazGX14XvXq4IKSnx2BE6y9grYbl8pASZPyf7TZdfk 3JjAJ14CrxIAwfH7yyRMyhxkl9Qi5x7P5whECv9vj25HIceTqbHFKylcLy5YZfdlwlpCOx7KSxq7 6LHm/aEMg+2RoCFDE5Gwmm+3oXwbQitkpXy6zMHSInxxjeg902Z5dFsRLuDrzuKEwM6KWypcUnrh phT3jXDfDtAKoflNw/5KzoKqg9uMPAaDeV4s9pHEAkHnGBv0m51sWqIUrsH18vmC5t/I8LKmuQ8N 8+AhY3AXzxNtUESiBoVCmQiFdOjl+Frj36KCFOekdema3V8pl//EHNYSMCEr7EJ4p3HcJNkyUzRq JHd/ncy5f8j9OabPIfEb9KER4z4a2OnkuVnjqtFCm5O6wCs5/VeqVDfaYkPp8YaXs+jn6IopvDJl NehwW7DpgAhEBikudkiTZ5nQS3KS/i/isF+qZF03YeAIwwIXhUtJXBrbNJOFyqMjjg4FHUV+aEB6 iGddoIi9ur/eMj0kwk96z6h567KnwEIS9SaQXVFKBxkh064r1cO6LqI7mB2ty7E3oPg9HGnMm/q+ lRK3PHYsPioWqaPEQckgDNsRWGaysFKR3M7oxSUQ/CBa3Mafs7cUkkiSeVBr+ECUoe0Kcb+9xkAJ SivrmlIzIOdsqsWKec5t69orVA+jBmgg9wHit0OJTS9SyUr8joeWk5pZnCesKfDPuWBg4d9YhuP0 VwZPRkfSl3OeW792nP5XDt21aTF+AhgrlEuNJf1BRgxLpFqJwO9WKMDsBKQ1Ri2+5TalLVBFiW+p XMAchW2Rsw9knfxnKAonKOcmtvDMAqUBnlbGOJ/Mt1ejWHSLnZNF6Gr640721m0Nw1k5Saf8rVVF DnTQBpwfOlaZKNnPE2l3Q5ILNbNtKLNioZmMZSCWpI35aRZP2BHQFJK5EPBFBtE9qvLTuMxb9Tgz LH2DreqtjqYhylp7Fy4RiYjdP+OpJGsPmJJpfP0StgsQqDfshaeM0iAhdPVB51grt6S7/kzJa1z2 F08a4tiCG2Zz5M2csY/3IoH4ezW3PmZUQzyYPXWyYlIxwgfBO3lmKy5aCO4abPcbyWygch9HDbMF gwLi+X8e0JIWW02wFROuKIveZNOYWcBOO3QFuT/rDixIesIbgtUPEy+RXmbVVZdlnqJEqxYlzXjk X3pLtGuTCy6XjKWKiaOYNskFAsBO/vSxZNBn+yNldQ/wkr8iNeb9aCpApEjI8OMTOafaYhZcMHUu HmaMoATvX6cjB4a7kuhoCea8fc7olu+IRTw2vQNuFwB39+NhBZSiDPED/e78bS0eg75gPpOY8Ni4 31tae/UNxTuxSQIHh9oonmSDSFlICqEk6SL/VcYnse5AnkTHgvprO3m1RRKCzkCBQf/xMUafaJRQ 8COd1ZYGcA06lhA6xYmfRZbwIJ49jXfvfmTllI7rylP1Q5Rq1IMkKkYp1mE3i0PNG2I+POm4UQ3z hNlL6B78bzr/A/youcv4KRSAepfY3mw7bJoKFRrDVcJqmoirsnCFRPAglxnCCZJ4m0TJQ9VR8Ofx 1lX05+gcI5ZKR+zuUryvFVaQzM6XMkYjtIUYSBBZrP8C7nZgrgzNMs7jdWctjhFCNDSI4Dftp6Ii y7x7aXTwfS+WoDyEd+0A2K39WOgJw5LRYwOM2okrRQVs7mI8fat8SEOn7UdfUVMnU24BMXMQzCcu rncZmKFo4T5L1NP9k3JD3uD35vMOn7Q4nNFUWVU+WKHga4Ifb3uEAUDMcXaKCtYXO0iH/idzbMOB WLyTr/60psheXkqVBvlILkknjbAtJIYmruMPpUF/iGzv0F+RLWYxW2r8e1NG2VMkSswkw53BTcqf VXCdMNJot9ZruariseFvH4FQP84ye4lkUPQsnP1pYpQX1XxenyOBwEHAUdVoyb8hTpOx6LvLO8Zj WREzweSvbhFoOzj18cbh/otoe2/7zmVnkNe3kHk9Y657pUDwwUfg71X3y/73F+zuCNl1JEO4oCtP f4LMi0iZ2jukoW1sNH9jEVjMayV1foE9xa24RG7a2g/eerxL6V7lLhOekOJtdP0MU2hN7IQFwe6r UwspAsYmd9BeOdXfJkJ45eqSoU/hh3fas8a3fzUj7OnBmOO9ACQUX7E/cTokG7J0QNaonPLDBDNv gAPXT3ebzVsIGSlIRwn88EpOTtTkpBVx6h4OjaixvhRzarAZ9FJ30FPgc9LOX7LXF+zzLJoOWpSW bn3LffoY4cqJlRzhkkLu/Gae+VZhyW5rnbd8yzEDLKyZ0JZkaVBKcdG9bdJeAExRRUhT9jnt/61O 3xWZLd+g2UElVGizRBJyziECwuV2/yi5OFVSZ/xYvd7BXxy8Gs8ffe2AQOKqMKzj44kj19AGlEEX 4ijWLqXE/ULkvTx++1pD/79SC693RGZ+3RmNY1d9aLjYdMuFX2FFNCsJuP4wLvVYHETEk29ASzz9 34VgoscUWtE7ASmFXLQ7Ma8NAo714HjjfSKdFzCwO0JPH6PSJQysaD3FuMv74RQDvPEK3wMiL7wX 1XfeEN04/UcZjv5yWUewzEp9AM3KBuEnRZCUKhtlibJRNg88gPAR8vyU7JpCAEMjAZnBTYu3MYu3 dc/MkWgDJrBtkFHq7+CMgn6BUOdM1rQdmbWFVcggcGwyztCsdkAq5zkpHJHe9qJZewP+9KeeHuc1 GGn7yucBUp4kLfq8+6jQv3UWlhXEgMg+575CSNQHK6CybyHsX60KaFesoTYJWggU5bcjQ56B0cJh yWiF9agQDQcxO7ZVA2CoJ8vS9VEIIb2u/l9hOa31euBi/8MDcaiHLNAek6Hq8SSkh9jyPXPcRNAu 8NyZ7banrY/2yVnexmUSNEyqRG8wyZx8VMFh7cJ7DPdKuZTr2xRxYvYEMOURuMSZjGvXF6HLLHZI GFq4QSOTtsZAva3sz2Td/O/xQy12GnJc17dK1SYsQrK46GePu8USjxfOWo6c+bXS7p4M4bRwFx8K JNfL1mIGW0gnlNeJSTmeVfLTIEWPqCIKiKZ58nzE8BweQSpLAqN7jQMC584aJq8fd9Qa3fdZsaAR H8upTYtJi0UBEpJlEtZWg6VtZU5PGP1ScUzeM7ksue3Sdk431K965dk2wJ8jRtk59ekudF9MoMHT cLqO9IHTDAtcFKQuEoPIp1Vi5mcgx4mTVGbe2+YJ99yj0zY2H2o9oMGrE+dr1ul2mm9FakHd0jIj pD/N5XS9Jgu95mbn0v57Wp3PyI0jQ1bfXNWI7bGHDzpnyhjVex609CKEvayjEhB6p+BWLgDrN/Rz vnQnphLDS5EITii6uBED8nheWoxHpLDtZBi5Zs8bsqiNeOXO3Kqnr66IpL3rN8A2FXPqNJCBKVA4 igtsTZpXGpYIraGa2RtZHPYY6tZtNpnxwdtnsoV0Te9b4JEE+rGvWGYBpbEREB0yQ840OzQqrc8V ONCItevfBLoVNz7BWzVZQiY2CWprFrLvPbS7h0cVpxBE6LwMXgP+kmqyFf1sYtykHCu6zHwZI/NG zvmncmKvD2STcq5l4kAQ8Bd05g4S2oignxNyOuCdZ/rPejdFc3PRonAd4qmyBT265yBVgF7EC74B 69XaJaKgA936CWu/jJTnFYAOq8Z7kWx9f61H6Wb7S/NoW6ef7kpH7Fe7e3jZmTnEdJadZK8yK2TD h79ehAwDJTtKmKivIKsw6SLf2iM6Lh7iP0wWpOXKckGJkaSsO9c0/s6kGXPvGx5IHKnfnjSsKWR+ Wxv4N7bOWslu6ykP8xrtHQZoi4EGtql6Rreb0abRHb1gCvH4njIj6IJhT7VRInsr7iMUkCKEw389 Vtiwm5V+YLcCnvXhu0eFzUBq4WhM6IRvZcLEGg31dP33nR+Hm0sBpn45BAutKlp2uSlLozYF69O8 2sc1dSvTvfXStRTXMPbZ5IB7YLDAUGgOhyTXIMHOws2ui1qhdHmwbfLaIMviX9DA18TCcUmamrql snf1QF2b+2MJf9sJBVEtVQPqcwUFWQCHGUOwS+AcAwa+RQvXm/C/P2kkEqiK6Eym1S41TG3Yhe4a 2Bz5p6h/5SuqnX0LuQs6XG0fYV+bWWt3ClN4i3QL1Z1A1MtkdMbaM/SkwnXJ8NrzuJxJVxcylmFZ nhaAq6OiKNM6UrzuFQPsTJ4YDpNC47XA7PXrPxxhNTcvaipe1KzKG5JYwce4HX1NUGFTpM6gefcR Mm0Q0x2yMlXbProq7cSyICLxZL/UXFD2qiMsoZ8K7U+P2XHKqsa3GUS1ID55PzeEO1CjwT8dxpDu Q4jevRMyaqbbEqMcL+bfaWscBgPBfIHDewV9iyXA8jmtGq7d77nD3XQOxWLzOQdMax4fqhLPcmAk AqlP7uOiAwa91ChTCGVxGz01xENtSddg6RCeUgjGgGnXCMYBBRZLtkQrjU2DxsbYYPWQPH03ztGE dg9AydD32tJlA1/upNlOA01+K2/zzicTpa+5fdBLsuEsDVMddSy5SjrDNKE9+xYLDINzxy+Wfth3 EduDdNEVIE4unDEnndxYMO0UhTthVzLve6DBx9Im7aZ9IDNE22e3d4VtJYFfAzLN4SKJ3kuxsZN6 1h5zSvKFkoS/jkqr65NCf96S9O32PiDfmXFsPnUU8MLKX2Mar8EDaXOFWiunWenY2VdTvSbTrO4X isvwP2KwWECF9mkW3cS7AhBEWEHdmPKPd5ZW9rAWT41x82ytFo5sLdTqZFWRBvKwSsTLbwk3GGlV kqgQrk5GS9LqfdV3oT11U3e/9FwcB5ZPgnC2edWt9oG38oBjCGzhcmb1P6BaJFjUMAqEs3npWrsN obA4+bBsh7GtELXHdEBK/9unrXtIEIy7y60Oi5zpk9yDy9oBfM4jtskrZFDDtvehSrffsWw0vFR7 ju/r8bGznwJ5kxuDH55SRI0bwszuN20ZPkqo8Fe1y3020+ulCFsRFO/2lB5DOWOjtqoMyfohY3YZ gFAtC8/2fsqYBW0oa4Dp0iy8AfJV2nAmGCIzNzOvq5ZslM5yeZJ6F12cMcvoDPS6oXcZZ7AFhJEs L/bOKnE213lgVCoN+XgK1chrY7ThD/Ip4nW1iblTUilZDuRjdrUhoRoNvkplCvR62tI4pYqw2LQ+ JYsP7qX4VoZ6VWHK3BObRbtcGO3K937i1NXxD4p47TSxIUj2EEBGpfoKu3+UPJ3ehzJYlSn1AXUb Ywi0S98FYq0NbHk8WDcLcuzZqDJ925Yd5bpSB+JfE17WXtEcnZBg3OH5Tv8nbsVjP0DCzM6Z7Gee yTNzp3EnTpmwIKgOtsaAjbTsjsSajw8G5VJjX2ARVjlmYfOFXTF1pJXODcoW8X8kHfxEejIzIKV5 BZhGO57zZhNV6/IHiEcHgw7k4BvQKtTHOuZh2HTDefit9ogYTiXl3QF/AHVdSruGw6sH4WIUvT+C sIKwxs5rQIdWVGh59QV4H/wEQrYFFdC/8rXG/UVVPO7yX6R95vsxf0OwCLZnVFJGNnGQ12LBxHxu ibUu2oz22zj4vn00GDsgkcLrO48jX5Iv8kvs4w2dWd7qcoOOok5tPtK7G1Bo7iWAx1Y7ay4mSnOu r1PRlU8wD5gSmnyR/uAf1b4pZI73wDWEj3TRK8+zXAF/84Tynbkt1ydZymiQY5beooJbKwClBJBs ZpdQ0SbDZ3WY0xO+ZsGfZzgcX/PcZLzFRVq07orqJXsIBi6py7gEVJ/ApgCI0ykNnDB+SmptxKeA 9bg6wSpAm2BMS0jn8PTGiyObOpMMztx+RZoV/EcnsRHxj1vbe0vNsT3iGdhSZE6j1ahathFTAkJw QuqMypPFnSJ1PzHH/YNJuKflN1SbQk2bFoudIceOeNlJ8Q7MjbhyrJMw+U2L7obcMtSRWuJB/t9f qtkArHNQ4pUEtdbuEWIJMRv+7XtZtezrDB4C1d4t1bAulJ+IkLy1Cn6anJ/QFLQ6O7p0gEvX2dYm ZJTF1B+3PUBXVgmJTpwek2Do1iBJu4LNW8fGf8wtS6hckObWZ1euz/rIVl/oNUab6PS4f6aKL2VJ v6ux0k6HjRVd/m4gbSQveswAWMkMgX9LwxRDNeagZpq0F34dKDXHSWgBjBCeFcZ8KoTWdCUXCOFt e740K91IcnH/XvmHjVjAQC7DWsNzKZ6rdlK/V8/m2ZsCYeRFIJGsXeffLqfG6UyEpZfUytUUunA8 B552/976SPZpdCOpXcrkRZmGd8NznN3bY1K+SArCs/2Scgu5B/0lVf4Vw4iccudrfpROnCKZ5EdT WN+UhabUyMQm7vjabBtGM6usiWZTnX+AOnoyX5IOpffhv+fRMg9co+oOySJzD1QIeov9Jesz5rW/ /NSJHkCJcRpH05ofdp4K9bCyVS1rJJxqGh5Tf60sZ463oc1e04pjV7Q7lVcumJCdHXhiet8uv6nq 0nFX8JB0WxxoAoWi6wK5dnu1k6BIKm+PCTsZqzpB4M4FFBv6ZFkqiWcuwM2cJoe9MFoj/Y5FDcUy DZiccNsX6eRXFVlQDIS8s+5lPO95UMqBvZl5cL9yo4je3j/+RIO+xh3MjYa157+V8Qno4ewwbgzv hhM6YB/arbJp4aGBYRUU6dizdbUJH0Bb5FgQ0nYbMTTFRyoNKjnXG20kCvO5XpVgI7ksvlIodlsj scYTE4THDqt9JWfGlZBLd6/Pdnh51bM1NewFgaqVGkG5xSwb/XBhaQ7RvcisICXC7U8gTgqDx7pb uFsTtQX6oe0eCKeMP/MpbemcX1erLD03mtzAwbaVaBiVycqwQV//LvK5KF6pDJbzw+Zk1ilhcru2 BvF5n7meaS70ihKl4Q9nSr8jh54JouiR2hZGoQ75Xq4Y4/F/uwYoiLnpowDpEY3rESgktvOJDYGJ vQSf0nBOZUPa9z48Y9MmKWJdWL3ypeQRphYJ10XfM5c1Akh/BpSdLRi+6xZv2ye+ZNBETz4lIsUM QAzLywa96w3W9V8ADUzvZekLICPj2ZR44EFxUkPJtvyYVQkqsYekhNa46r5ONBPgRCrwuYMAcgTf +R7q1ppmrBrsJ+6pccg4B1MzvAVJHiwjrrvd8rOcF1A7WR7afEoyF7RexmD+6qKtGG5QJVpEHdRT aPZVSg71YtpdZAJ5tsVKYcl5wZaSs9L/N+d/6HMAwMxPCrfyQ+41DRQUoPFmwtQZwcz+6gBhelFq bZStuTwPm8Me5WJRBl9RO8CyqHnRkI1gwf1ia7NhGtqpoCW0y5vGb4WniDw6SQjaY5Agwem/yYOm iR3Ylqt4VuLGsLEtel2yb7FYZpYlCtz3U8nO2c6QZlaDBuxFzFjZM1z/VCJqSI5itsFwZtSRYAwV HgGKqiruRjJskuKUSzlO+pGqk0DlsGxESFHPW7rZbAgwArD56ePaBG4JQRlehJskFDI+CmHvGOSv 8kIicULP66nWv2Lj8B6tK5GlO82ha1925pcXhakBjz5bLKiJjbjlTH2WiewEyJKbESvNoQY5Xq0R s50QKb5OT4dpDXAi/02RoEl3wNPM+Qaqyk0G1KA3525gaGNakc0Sx3G5bIv0yJlcfqVeWEDdy9Jk U31hk42EKUTDG9wkK61uX0VR1bJbbJn4AeD/i8wimF8eQkQ5hTqeYYMWBw3RMpKZSJSgrvemyBmB A2feSkcQCwp3CQ5ZnDrfeKxpiTYKVeMMLuCFqSyH0L0H04CZ0mOCNOy79fbuDqtomtqdARo9UE0h HSqkzcAvPnZJXGnECmRicUjqDXKZ0nxQGPM4+3WDXuEr/hc7mPHC7h+6QzLKedRY9FpiPQFKEExK 0oxBp3+e8UW/VFuRMiaazGHMWenC7u3Ao/0vQgdakiGlND8YVzSS9DR3q/exIwqBjqZIcTU5jhpT BkaI7/BSi+VvIo7hnR1MLHTb5PuoLTYOdrOw0XFlJ2eGW+bxcWBbDsQfgzxKO2VMJT1heOVKOmb4 cbMc9IURPpLJLWD+MjYVosv5NMn/i93g3IwXXvgIFCNgHBBZHt7Qfneyzca0VPwO5lE5kK8bb4Kd eUSykOZMwgxFRZTUVM6lJ4tOf7IHcLJNpE9s4Buz2cvF0ET7WfdKTY317OFQFqyItyatcKxWKv5F xoIKQkmVz9UAj3V55AVqaI887yUfgT/BQXtnVD2CTlTToqC+2esvK6Xs58nsgIIYPB9++iEFjaMZ dG0Teoll+dBRQAchAbr5mhFv706pfj0LoaQvkyKMu959u6z3shLe6irwM27mpgyap9r7T0JbABPW vJ7dxdnEDd/YnetG3tVB+28DOCxHIPBwsVAK8k1se6TngfOGwLRfcz5S3itD+euCQ5LKIM3K3w+I hn5yysiT1Q/X5Pt4TwBFpzHFYB4HdbtNdPKtehenESZPYSGTv1QlWSXhKK7faY+VimyMY64S/1My wpDwQk/3jc0q3AAgSwOTEJkk287sEfyYsWIqpYzgMIFFF5lTKHUxePv+fGO8ikQVuI3p7JzV/K9V 8hNFW2VbQDTMt7ze8N8WureLsmExFNwElwz9urRR3N7PKao+LAAaJiSRDdx5KwIhrYdxNMavWd1s 7zsfmrNhn1EBbgYCxf7IkZfOEgs4Xt3AT+eJdwMSwTRQrJW1uPqZMXWT/yywvkYC842Ux8jzuE5q DXKXOIPoTChXttJ4qoHwLscT5c6Yu5zO4npOGcYEU3fTTx18BsUVRtAi10DgAx+MnhRhfH9fRvHc cT2Hj52xtFvtE05i7XP9PkgAIngEBEts+Z5toziILTTB/S9DHwy44eexDGc8n3RoJPti2oHe82NV PKFbtFvifK3ZuSFKdsxdSJlS0rJrTFOFU1Zscq6pwwQEhRSoGjIOVRQGLDXSHx2QC7g/yYWatxw/ Js0qOruuZ6lSo/dUAKqR8p6TncXxIWAyyujC9Nz0xg2DmOTYarItRbjabjfZu1TJ1uyfA/jnXEA0 P80xgJiQQw5AsxpMrsG0/m7fXipxEVX6ivilbwdkLW3CiWdOpL3YoS0LOJzJpk11k37YRRiJvnmi ZHIkrh1kJsTcPVVjkMMhvceozDqGH22UXkXsc8xGgGHprOdjyNtpUQMVHz7xO6RRTPLI9Tm0AJ8I GJAO6tYHUFme4YcqzMY1b7ysrP6H+4enCzw5pztkPk9Ak+zzIrAYk28/buQXZpLZ77jYeKePLiW5 zdOSPHPtuNpYOKZVTa1OILFVXZmUrlNFskjPgljVK7xMJnVl2++WIS07IF5yAAIXdeJT/O9d8COE sn8SBpUyCDpT2yl5mxtxsckkinT9rMH4eWUmXiAOpLKVHiY9qmjDYxpHwOLlKuQxvHDUHnaW9d7J C/SXrBHY7PIyklFSbNsb0Vg4/LziFTcUhz4q3xYNJZ5SR+Mc+s8yqWSSftityAa1cZN3/Clu5muc /UdcsAlg8SVrds38tCm+U3ILFoLejvzpDUx/KOQvH8dgSy66faRixKoqWvvr6dwhynPDGqlFoPxp lIhtjCgonvsmySHWnZjpKJv3ogKTwWim1+Je6LMdl/MsJoVJrbd26ZKvB4sK6OsejEDdcXeHYxk2 f1Bh/fiXY6fs3gYp9q41UEKh/YaXxRcLYZW7vvDQa6zWLoo4EE57o7hMvuiH2Np+2lAmhmCNq8/T GokNT1Q8dx4gYYdWufERMbPEGX+dCXmi5wrD73/dlkd9vzl9hu18dXkvsPnGfiJ10es+SRhzJiVu vyC8BSG9oYzQmOhg6kLU5KQD0jox7em5lS5/sLsf+CszrTnpMCdYRllDN7PLhCZSgezCiq1tKtZD Kwdqjbsr6T+pLeVQ3HIWfWbXydAkmq7Kgu8e5TOfBq37mik3ax4mV3q+7PxXWPF7UjgYxTo8OPhe 6dlGRkure19LRYO2RpU/XgpcaQssKFpddWp/9Y83kAmHcM3JyiBvtotomXRGs5NHsVORcQGpZq3F ukTpKQTogQKurQY1P/fq5tW5TZ+Rif+MqjErAYULzkQXZlJsK0v8AgDY12vTNmMstdxvQUpxvgu9 dLkea0K+k/w0vGktB5LnMOCfg5Tdw/mxxZPlAOBJfL29IDzz87itoctS/QUx7NrsPDX08N1aiKXI Chttviq5bXG3Q8pGc6bl0FgVPcea39fqQIE1dXL9UKOD7F1X67TYx7Xj9rDht9eFsm/xoRNJdEK2 qUzrNsg/PE/qbR37frvBZb+34MWmg7v60kQjUz2H+fk3mzKApQOBCu64KUOoBEP+SEBAuNnYHT9A BtoKlSIBIUkc9vxIlyaOuxMITy358qkuHaV13nUBzoPMb5AFc6l3W1AtiF0EMXRejeHUOCMZLOeI 1sGJqpryVhQSaBMX3koroj9rmARKm7LECyM//kSARvLAfk3F40fZZp/AL81UUlehDskqai/Xv63C DAZDxdTLTpYz8vj9AJanKWf4XulPS10srOO2vpAZRqr0OgJ9CovIgF+DYLPqiG4+FL0QA/88aBkT sKqfIuEH/I8AbY3tJ17RXKT2gh3pvaVWl55iMpv65kzya/5RZ9w+ms7tsPUXWQwSHcrd+K3Dh8LM klf3VcK85758fBBUgnyAuf469npv/5he7spzQRD1xYCO4oVjFcm+cks7eMnUbVigDVkds/BRMp02 ogjT8GgxVhw9XNAMtbbf3fYikJqP4LiRG3xMii68NxZa4RRQ8KsIjdO01yH7bH6+7BVFmkRC0v37 8aASak9T05qUkvD7dqxLhenZlzN5dsSVf19z1Czp/N2sqNc8pPIktiRLuWfFIkkK+YKgglBsiN+6 lYkXuNFXP7oRNN7XVocGrafuxDqiZN9EGfQpZGvimY3CTKmXMyG+2rT5YFUNKJ1eGgO18INH7rIn kMk/35jcyPkgq8/sNExo4peYpovpr4iCkeMA+zd75UnGzTiJXweYtnRjJPWxtWsqecwBmj2V0TC2 fS2vgXCFGoEeMYmQ9TFUczf7/uWn2aCM1tEzDnw4Bx/rOkCzA7B2FI21m3QljLH3L+wakWaTrqyH huIy52QAW3SgtYNfFBgSTgL9YTYt71rL6/r+FL+6b/5eWU/aVr/Nk9FBPdx3gpnYB3w7AZ0pZDd8 czl5Kj/M0Cxwsqp9eGXKdx4EiGEfT7Uu8fHFZgJsCYJr1BIB9EhzkoD+PO+t80BLkbmWUxL57UBA MaV8XoCLfl3h8awdsCFqpM4R9hi+UIwESIEVShL1avajPdVh2LeIn6n4ZMWtYMUvDmNUadtxt0vD a8bbmRnObQzHFfg+6Pnj1r8a4rQOAZLmmUFxR2AEzaGLK33PaAshcnczRDRDGfzJnGIEG0oTp7nG v3GavJK7n11cZKxekogKaCB5u2EwMCMhp9CIIYAsKKrU2KKVj5iPdMRmWtOLigKSbXBmnnlOZQSm PycWgZ/z9BGF6qE+c/xh7YppbOqJvJ0PcF9coKZjn9RaA06IBNZ/SyvtPehRiuwFMoFtsEAsTod6 wdNc3E7m4vbyCkWCtMyuB4L+kivAF9tSY0B4Oirwm3DbqA0OYzJBn6sTOPrrGM/byNNMdWGiza24 vVc7QOXrGIrQY4bou+iNDf6oNS/RKa3Y7ZBnvT3+fwKhtI2y7SX2g7X7HKb3XXdawlAfyNme9DsA OvBvNMeWhn6zNNuz83LGxvvEs7Ns+x7nVGzhUzDXquH4sZsoCPb751RBWInGuqBW+1U3IS1qVia1 f7cx1xFmElZkHTsheSOFhv9sRa2jJAmOBC5BGvD+eD8HbGTycjzBNKz/aiDMOeleOkhDNjoFVnOv C7PPMGZhAbPZrkR9kDI/Zx4MN0KQrF3cp+a6V6RUvbNJzlW86lMjVxXb8DIqV5W+NFIQ8FsYOYVG C08xiSlC/acleTI0yGjAnC6zrGuklCZ0tbryv2rpSRJanVmVFoqohSI5ajbkNcRis+ZsVU7NHnvg h+/PrRAnlGKtg8Fb37i17gW9aq9D5FcQGMEjUfH85jb7NzV9K8PhPpqbXXkrjuhq3VCr1GCtyh8S PZO596Xcvtc0B5tzDIcPRcWPcOro/w0OsxppPDW9kUWK2N0zSvxcaGrUn54cJKUGzt3IxsbB8Xy2 N8ziuSny+HAMzmA9/nrkJSLgPV8Iqf1BQqb+iRdbdZNz1ouy5yB69MzpCLOq0OpCeZUYDKMQ9Ur4 t3Hy77m1Syr6wy2+t2OllN5ePRGW8f5gJwn8oeKMH/4tU/FHZFc6BFtp4PCrD/pBQdSpBoBYvlOS lSTyI/bdNcaynrTqz8XRNk6MWrPkWIBrykjK4Nm0sXOSxsq/H4nuTe0SXhr3nyvTDYT2HpAA9t1E LNhzYbe+MjyRhURkHFG238erglIevS4EClyNYlafsJbiUG7/wvLKAWJvcIDgLcb3yGvc/yBKSLfm zkd1kF/LMicl6QMKGTE6MJV8u+8kcFQ1pib/WMjl8abxWINxl9YbTaZl9bdVBSoc3zKTkV5yVFLD i7MvOiApjseqMM9hs8nIIIRYSRfIgX3ibgwq7bfQ1oO5y6cxhfmfSar6ywit2kMt+brQoe0Su4U3 iW3vxbvDv59QKKtqyB/ikKGp1e4wBKlY88+3DRrGf5V8lAeQ9/sP29sgIe9bAv1tkmwEJnqXot89 cXoja/tooHMKtQ1fBavobk68qTrEuzRqaQj5eOn6n6VCLUyNfcYBiW0e2Fky1aI5T8x6PKZQtT1o ooPg//H1ocrRQtRKDYLY/svw2gm6zSGL+jMTxu0sZhRBRROXhcT09AueLNup4oXUSsxZnz9rg2vp R7Hjq7EbL8m1WHsRUfw28SRpWA6zYBNe6P+VNOdmyQPQSbTC5QdjzIudL6CRD99htMZNzAp7r3yw OFoeJ0pg47wytdsG3CT7mDA4te3NWV4QokJZai45L6Rx6eXWdL4nfR+0TyaMndZtZsiqMVOLYUFW trMhjz5ciKQSu+Ug5xdAwCeo0rKgj9xod9YOZ7/7eBvC4MorxT3MBjLLAD5ZUUmsa5PW3YXzoUcN Xp9HdTTtpzOFCP0ag/pGwpM7StpX6GoFshpxFXWHu/agmQVq5d8iymSTyBPedecgAw+UCvLmzWGN 91Pc1xvVOz6FY1TkocuzRKPz4rynG448WeQjOgaK9bVBjov1HHNQwQT08LzMP1ZpWN3RVmFAEq+A ObNfZQX3WDBUGIIg8YQFRLgD8LRVefS94nLkO6tKFFRAucL/p1hM7OF2yU8cev3eubuKadgJQJl3 OfOPkK/ZNfeO0Ge5v222Q7HOOmLNw7kl8BejRMtB1h09GAMZcNwwpb63Sz598E/n+v3HedQkNQaC qu7i0VJe1i7iZrhhIbr1iT0raBMZUhUfhoVeXyKSVwBEEwNITbx7Ru/iunMRLrSrnij265hTrMnk NTmfnFTXMMBlRHKdtFtpMO4muDouDeyqWBDvAegSC2A7duMrt33l6NssF/Qm46RPMPT16ctrELF6 Np9bBdLDdQK0HdP08DzvNwi9a8LhOBkCZO7hwn+qgPGIXxc5wWKLwaeINvpyhqx3GqQc6e6ar1iU 1Bbfs26BsN0Djs55agMSUhcsbXgnRRlIbSDl8Fij29uYhMcAe4wLjrNOEgqjxF1EL9Zh7p24bNNL HK435kR3THCjyIRIc8dqlKGMSSgu1YokFj7QZZyKBy/0NRhTU/JWfUpW2DUFBAXT5LCRrccbJVtg UvCvW1h6s4Nzs9A+RQarnzb4jJkqSTqWvXIJD//czrTR0s3+rPl2SjkJSvi7OtL8rtRBy04ND3YF ekXcLHwWswtsTo983vKhKl3/nMfq60kYJpPyxl8OfVIvGcryXe7FWlgWSrOdpgtVxfXfvIi0Lw2w LavvN60B9Uh7Sw9FPYF9z8mxmGTVG4Agj1WrEQ2MPqAM1ilxFLX39T3VStG1hfULtDasBLDXZiMZ z8AztkwOAXLE1UV6Y0UsfLLQaHCbtmS5wSrYE6SUOL3yarBonJtfGuDdScVakK8CGyeSGkfUc9wa +GI186N/6/QHXksMLjlBMC5bgWmymsOhaqYefQTAtf1eIAiYtBOaJ550cf4q1qd2mDNHLo1FI11D GdXqdBiyqWnq3yrjUntQlFi39acM6qWPkr+rxbw+mUuvfmRuCh5tQrlhmRvZzNPVyF1czGxDV8pF t2aZy7oaK6088T1f5GD0pUdUXRMKAFIbKkMYyffT+UrRy0rz3Cgv6BuhOQxUzg2Qkl+Bbl/cfXXy GPTmPR2Mo270b8fOqpD6766NlIU425d5XaRqShDk18Mj3du4MeWShrysIwdqaNGEE+LKoKoO4U1v V5zLkSLYGhWQHXhlvIQ7sZIw1Iqb9gLAmbsDe6UgYU1DeC/q60cFYJomz8L6xxJidyhvMI6vlHsr Ij8aiPBpuhWZaBeVkSFT6cIgyh4whQ3p6SkwnUXZnpRKWmnM+QJD+7TrkbdNPuEz1tYs9Oo9aFZa hSd/6vitDPxkRbGhztHETvQKkahQz8+QJXb51ZYNAUznXyzMHyymQvnAEcMktjv9ZqMeldpk1utN ul7RylbQmsQaZxSL6woZD8+hGthAp4CN3KigyEhwvkj4gPySBt/FzNWPJHf2XAQiI2fpLsVios2V ycWSqOv/8csR3p5F/WtuN6PR29/JwxKQAbmiAsF5pB7sjtjU06BRVGfNlnxXlIZ5D0mYuwlvTjrN 4ZnQNT5jjhw/hiJkZC6nzEgBvFX7aYI900Zuz+J4WVszPaIiSHMPGCd4ct3P2MIs7WgGXN7O7cPX BTYvvvsjySNWh+T3zeu1nBq3IQ9dpe7B51XYXH9f/oR0N6K94RQ8HITfVeYqS9UBjy3CiM91uCVh XCFe9IkF2GVR9qc/4cpgCjC49kgaD6jp+YPdaU3rsyhulBFtZ26rClb3esatTTfj+g5cusMs08EY 3Iea82lPahpKr/9Ut2EY69ZGEw4XwtN9hHihqjO4yVy1tCCVTBMLlD5Tx1nPW8IFbdpb5JDmUuCF ml1g1zchPqyxMUK9NOGUMRmMzi00WmmEV6BKjHnRXL75c372n+iIY7KA9vynfRXtEGAryL2b8YeT XoZDcnv+tF+9oA00fe2I4ipMglwdq4lmbTtCzsoMrVxAKkrYW4pxP/FFxd4ycfZwP/nhImgFOCPJ JtAWty9F3J93KBtrnXAxvrsJaiRVvEgH/aQi9lLfiutRl6EnlsUH2YRuVqmd+VWjC4tUNr4j+yl3 yRWhcR9b5Xl/C3DnQ4l34FwoDoup+ZUwSokpMetu3m1hF3rgPK/VfseW8pvTFLg7of4wECoH4XZr KJZsXzy5xWI+uLBQm8yXDPmsm2nWlBkdxt+CXQ00lLAkPi6rV8j0acEdbLoaWf+GKEc48rMstTKw 1y4/9BeQm3Y29XU3H9mdEoqyeAexzNzi/cUHvipOk8rEYkWk0i4ZolPiyXq0veTU1Tqoa3rqMqZD /sfoPVemBmvwGotf+NLjTCJBSSakxNGMgJAZy5CAWyvcvpZoV+TGW0k1rdAYGzdt1IkGXj6VLTdA h4R6dr2VwVOSJiIqy6HxCvHWYLaCy3mvIvfP24CrQGOFTt/ebL1Icad2UdxBmciONofsE5FG3Dbw nHq3Fiaf0JI2eg/3IA/AX8ylYcOjLA+zXQmxtc0cz3HeS3hNs7NXfQX4/92Fd5nrpXWffFVea49c 8Frpe0sXk+U11p1OEqbNME8nk537c9+a8LbU9J3rK6N2DyrjtSi/UN15yIM4gU8bPZO1SwfyquLI 3JcBMrqwhweMBwb4sRb122dRW8AyryameGPJp3Y37PDsg4ThEILvQJMFD3gRe/tUDGFOovWMBv6+ KfBHfsPxtukjEglB0UYoaKYbCX25IZVzAACiAzaZZoi6msElwT14ACToeialU5bP+wSHppxwOf/b bAUwwpZTL6NuNJX34WgzQTc7fPAr4UIma1NK2n884ezqIV971rzb3N3t7w/pzqlMUXAZY83aokqq 9AMcSAWzYIm+mFjS9XOD5Ag6bNW9O2kHymlFyN90MDG70Jmwq68oyYi0u0438dbMlWDlODQry8ft IJ51/8k4g7TfEqWcp8ZjpvdY3/e/PzjYVdXWUUkx1+ZvtU7QNp1Y2XnBeelOvLe23QUJSvT82o9n P4c/pbzMxDasA1WA9J3XS83MXa4YI6LSx99TZjzQzU4HuIGetUcMWm9GtxZQPcV2kQ60CWTcW8M7 M7z1uy8w6mp49SvXQSZCf8eWFaqNaG/iq3e15nq4ZM3osgPVEHIDPGo6tDI+7SRS8ukauoPj3nfJ fZQ14wL8ixmXAw2r2WGKfJPwKJI/u/XOVD+E5d17nzAERjhYvNioEOEGLxqVuu0F5YNq8cAgdSIZ UMN2jMGqBx3pNHntIGkV3wvzrRZGOaqNs6IeH+fdKvIvhBb9bxmw3mg2hTUSdo4W48CoLhQ9wDX5 8jtARkyP8aQO/q2epCn4T3yOWElW0VsI6FpRmqI82+NRxapCa7UN57V6P/jGNb50AAOiNo8uJJrS d2qKg/m1VtmRN4RIVFq9ckzI/2lAcd+oEvfuhFrVnSMdHE9ULXg+QjqCXvrWTwViDbxYWh7+Ytyt UocPaZx/kCo9hh1UCcajWnOe0JObi9Y3PIAowG52Ld6gpmYOKHYbA9zvs4e6iIHkuh/9ne74ZSvB tldvUc5uA8xscKCsdKjBynZwLe7AyrGVpPzV58sqEkKm0pNZzdMITRFmMvCvvtSenxKqVqmUeCvj wYKY1bPtPs2ux0KbGYGVMv12C3bRhBiVYPRKEUX1D6bIjsMGdgqJnTeTW+c0NBtBremwJVoj/pwe 6GQ7n7DUXmoHp8cy5SKOrMCBNsBK84O9yH9V3e58O/sK6Yzha5OupEwxpTBtFu7L53A3eSS5zyjP xca8gaByE5/HbFMdMwAbHK78T7hgbQKjRqOKoWS0qn9JrvPJYiUNJLCyhiHBYuyI059ePCMyDxJI UbX/u5IXlfWOiGjjL1ExGStaWlbikaOKQcMiQglCvOxs9wbL44BrbXcqA44uv4GZLaKc7rCwofW8 nIh5A8tdtW6ZlV+Be4mthH6zxf1MHP8TFpyq0iPGjXRd10czkIdSgCDcWg9+LVuihSiXQZ5tuuYr /knJEVp97+uMqJhd9ZZLjRiw9yXqaMroImwSvu0y/ugDi9SK7BwLls4Hfsp0i485WKy6rVb4zZQq XxwzlRnYvZ7AD74IGHeNc2HOft3phj18uYEQROFKjJ5Bd69FmGjQfFtF7TmvVPC7BUhkVfou8On1 hxwbvfv/Wv4RK8QqUqBRYJaQ1qzXplDo1smj9ZgacordnZiUDGDqCf5sAOizeAIguc/jHDcZTMci JpfKHz9fgnnUyt2J4zE4o9aniGItRgTNOwUQx1x50HhgeXxwkSf5HNJ0WxZ4nO+wtuZV9+oHansc /+ASbVJNW0nuoabvB8wyxu513nsiQMlg5oFdpaB24lJ/QCt/tL/P+9hDGcJz9iUDUQ8vc/m6svSh D81KoBl1ZnxTamTngrSa/QbR8zUJXeoXF5ixGLbhyE1m/lKm59coHzo98QKhfO+c9K34B0phajgm RD4Xhq6TA9SgHDFChzyHtFzRhG+wmy6rMghM2Z/ahJ06y7OW7JofUpD3FJrhE8FvKFlg1H9udHds jJVmQUOS2i3U0ulsxBR6KphcwXS4/3M6NZtK1qBDYMnJUK3DROV1MXz4HeUuuvzxfor23bQvXnHL EhpafQ2PDG0lUigu3AMgnERTOo79aY3spGB9G37L15L9bnRujaeWpnxVCEYU1EadZuK7n54uGdOI qX2j2ViXPJOlFTYnFNgemxjsoSE563xqWTEUwksO0oXSTPfuC/lM2pZ0ieK8/C53H2pZiSwK8jsu KdaLkHHjevmhmiyeoAKMVeqrFyZ5FRYaSs6j08oXo7L048cjy47w52svfk+cEgLoM4D+hcZDplH3 uiLUCXC9XMe1NTeZkBSHbHrweHhIz0QfFwU31IYDJwo9y70/bvFJI48CDCI5krn44X3Sr3hcgpZq AHoBOgwR+bp1TZUG0a4JYYEfOEoTJ/NgiKFqzU8404ex74KDgec36GO0vlRKem24BP1S6zOh+Amh yc2PbLsmddB36xXryeraA+8b882Mf/Pg/+yOT6UU9O0DdtzIlM1k7hMlBgg7qsON8X+5FUGoiqzU 5NRDxr/1nphXJnm4Soy5oeLgmFo+q9E5ZoVyWvg7vSfPaQSIIafo7nRKfyiM9sOJbpP5sPR0Xd6U yxHGf9XWm4LcK1wuSPDj3u7NpSHie3lXIOYM1U6XVDGxkDgInBvqQgX8q2UwkgLqDb+eN/Dj39sa OqWOpGgY+wSZilnPQziBi8HtiQ8Q3eOwuDE0iOV/aE1VvHNUTsn2dR58Zd+cccm6CcAINpx/0QlZ RGB0WT8t7tLKMDG/HNeAs+UYVlJqyl1p1NS1HBDymG3GQIvnhy2hnY6OCxoRMS4HbBHPXiIUsqYX CavTiLUJUROYBEptuRyeDddHOuwA2GQ6XTI/VYX+Yy52g/VrSOurXznhcIubOhwH/nv8Tte5TTy/ ekRwUt97OEdvm8AkbC5L4HyKJSxdRE9uqfUSZiccQo5HhxgakmIrcgJuN9rSsfpgeaccWs0phaen TNm3tbKGHg/aBzyYWmUlzYUt7vzChaNIq23bpURCX1AFwYCr2UxlicfwlRHa4+o6S8oTguN8Y7VD AayXvI5xw+0R8b1t7XwfZrOC0Ixd+The3+eq1hxRrUIp5CDGJiWGvQueXck08pF6F7WtTIUSd/HH FfH2WLLTE6oOn7Nsj2yUvK4kAyXIi4caeeI8eGI+/zYrtALJcRQBzHigxDlP476szaB3u2YHz95N rZB0NsA0VF3nqR7ZzbZ10KPdO9/05hrPzjiJvXGy8q3hWNHMEnJh655Zq8tZ9JewBYgBB7Vy5A7j IGMZnVCGigrY5BWso5i4TEY/EXYHG0kxKchBNyofblToPSimPacJJMahjjj6wr2TbOojXB5jIVJG 98rTLg0KRJLPUjNcWfFw2rJQNJiieBwfp5EPS39d6aURTVtJ94KDM1QqIXrAUHU+ngX+m7lLBwaF VE0A7xoaF0r3q+fn7nrcOmQvf4qqXPpI2BKyG0nmNjmkmeCfGb5r9pTQMD65wV5zHInzllQdDHZj P0K6zlNvG6u6xNVcZTwSGv5zEhJKq992S6IpESo3/DlcU2nm2TWo5uDsL7dG72aOUESBYi7tCEX6 20a0g3nig+HxNpcoPbpoL79y5/B5C88pkVblW1QfL7s9d85zT6b9ETXE8mcokRROhbgyYz/TKW1F vnPt2bfXhjOxaomHAt1WmI+RWAgYecsdTlKd3/BO/3ar1Pdf9Dig3zCHtbdNyyQoFMxffLTZUzKP J3p5JV+jQWLcx191mLieGD9Gllmqk7COiscKYjl9bfbQEhrhlvNwB+WpUVZCVqBKV2hQsIPYdH6k l4dJMWkk0Fvnzh5sw43Tsw33TOn8qYD3dX9CB8UUNb4At/IFMKYhCohzuZ7nMzyDgHtXtkRPm2mP GZ9uoxhAXMcQutvCJkRUWqt5eBc20U+KA6HrhW+aOpExHqtRAlOvXiCiMl2cunWUqnn/BdsjxDxc phgIvdKSAyACmiuobUbR8LF69QpI/lprSXbRGVxXPxCwq7xT20lEKXGrzMisWM3zk8GwcBr4Ms7L q0thvKQcdgyAKwxZmfUr08+1Ub+589wlyZ7j95Xm6325hMEvYmHmfFKCJPHeuGVXqme2KyUexSmI gV3c/DJd31c3zAJVCTdEh4Juf/KKbw+QaygLSlDCDUS4s356LxpFu9NK9PdcMepmOCkA3Cfv9HSP uNUDY9ycyUMAhEQ0YC+EAkzBU+xNiFVTffLRrDr6zEME6WYKl4oHv0V6PN6nEdLdn60iouhG2GQC vMQJqeGfUSzp4F/QtUIyC6iIFngw0ZBxrmzk1KX+H4rk3dqCB4KoIes7iUBAEahKg989LueA4lPK gnDun1KDr/cLZODK8WJMpsaHtBnxtvKjJa8EPEu303Qhu3RQQHjpeMSqHY8j333EbMaWF4fF/LCN 3OKo36Vrd0OxzQRRRuN4zJfNtrwIGOJIqewBwMvbszSr3gvEl2pddETMNFKlysh+qnNRVkEUKwrf OyGvY7oZMQ5YXG7T2qpYNPYLvgSQO176BodiIYptLuZLZVC/kCcJn5xoX7U1EEeXTmZOgYNTnkjy LxmmfAghuwt0671J6VtFH2eXp3Tfk/+SchD0tq9UoudIPa9m/3jae42WTrOs4Vo4Eqzj+2w72+xX Lmb6epgpx7HQ42TYaocto4EvJMAl20Yij1HafY6aI2jGfx6Pic1f4ZmWS4woP/z+vPciBsrh/fJ7 Qhd8/5TMmXcfZPZahZoTk2fjsEzoUN2bE35qJWHi07JyhZCsIkhOxQOO50XeT9p0Nfkiq4uF9nSu N4dSGA4tTwdE+9zPcPFlzJVFMg7GXdjsEtM1gXtMBKnthA3cbtVfQ1Xge+GkOHIwvV+sfFbXJw2L yId3Osa0xyfeZs7hJGQIceyiBaa6/wDci57IkbYGU90vsoq2pq/Nefaatqj6e2fdxevq/SYejpuW CvlbjeKrBpn+a4R1duc4723GhYmUMoE0Q6UCpY2uMvJDiSABa7QlpapMg1HTzgbWPD437aDSoFHl /umOXQAG0UgoJxNKJJERhhjRrIgTswNCpTRiG9ahJvB62NPjzjdEthPmAcu89s8EHGBvJkUg1A4T 4ym7VyOWDwzu+hS9dRPsz7ivIBlnsuVh2EMtOlJxD0GkIzzEGrjIG2jTgXpMsFetTVdxy8oXFa8c eLyIMGMg0/tv0Jhg0onkKN5GIhkFcQAnjB5t6Ya6DK3sL3ghfOwFGl7Cbjn1KFVgT20Fk/gnMh+5 C6kVeJSPNCbEPpl8laEOc7b+8rBaagYrEawwI6fsun2BjUXYNqXohVXHUJGcPw6dUdWAe96PzhoY zQx92t9c0P63S08hQx2+p+uFB4m+VDsY12BNdYL4khd+ye4arh2QJdj3YLnBFsEr8URCGhCZwGzT 4GICxnTLxI94Bszru9xFwrXztf5wDVF8A53cAG7K47u0iDtzb7htLo7H5YT3p9y5RKgooDutXem6 g8WmiQdvksHIZYy3ZF+vOFWV537jM6SLgdgGykXvmK6hvscviZsfuYxljhW0J0n1qKsVVyfwxApO sR9/AVmd3tModg9UQfYk32MMO2GpT3/zgO3pxQricMr5m+1IbnEEuTl939RoxG0vTtomHL2IkK/f UgfIaHviRqawN+E9xzgQyEq8GsphGv0SS3uZtEGac47Nx7BubsBPF+x/KdnXieREYH24QxQVWrGq jR50W+AlQV2jlPw+iyiKylrVCy6WlOJi04Vapy0/8151t8e7PNb7Zx2Kq+IZZnORgepO0dzaR2bl WKrPrVJAX4wCSVHW4L387h5ihlwUKA/c1Phdhg1GXYozRWTP2QbI1bz4e6fgKegETR4bpBGIEXs+ WzLHfyPo1fKL04lrvAp2m7wCrxuLffs9rdIyEPMk84iB+GTgVGCCIb4Ysjlzzgt11g2c7nZyA4Ai Da6eTdxRTr2oRMjTHFy7D9/SJNAhqoS4e1TV+FRV1m1MKDJk37ritcuvu+Sdoxo2iIhzwKM0E98R xxBvYrXV504wQwTj5fzSnt6uyh3EbiV3ZUUp8aHYiu43mdcXMnBDyuZVfKxbAklImTFNWOzF7RrS Kqq88zeBJZj9Sm53+LDKXHW/6807QPDLK9MfuWjHu35B5o2dRV7E2mrSImOdifX0laT/bxB6cOke AI9wvwXRRDY552qIy4XkcnFZ9qaMeKAwGM5Vbn6pecypyMmdYn631nVoffq4HMO3+2rt8+vRa7SV wjvGvhvHRPhh7QGLzGgtZ81rkYYZ47ouZ5sVMI4Byso4m+3+2JgwRCVAGxtoZ9Ct8yDFpkXqwyTy Necpvm03jbatcgII5A60t4XuihtydJZ62cDdgNYmBCNEmHKS+HZn2fpYECK/5Mhb0XdTH6PHTasa Invm24FMnHBZEjBcm+gikJotcnlskRp+jafIrb6D5NVaqvqJXXXNKHiRh9mkw0xs67am7VbeBfzS mnlu0zjSUIOxbqjndOFQfzXlZVWg7p3z+6KlHeQEvBy6sqS4MA5iU3cOIm247oE+0JvUGwyFfOPl s41ik9MCKcYW3fi5S5FR5Tlh+CResoTtH6lnWzaIY4dPGH1bjj+p/ZSjnh08p7Y2kLHiceQgMCzC kGGD9aAa6OC+xZVwbdwTX1nSrHrsJvzSDa4xEksTpJ/pY/qbM2bk8vxvq2PWLycM7E4G0n+V1jMg b1Zf08e0GbTCHcnxZkCjr4jGHqTq3Jfbr2AK2cVIRsecHA54HR6dQdlD2WWu05kob/LD5MDbswhN ra0WssNZmPuofzG59fN0+SyKr9IEaLXI8pm4wb0zyydh/TDthH/LaFH+MkWhpkQ6XBpYqG3L4n6R ASO8NFe/f2RjZqVyacFagdjNGUrWDxcqQki91dOswlZVgHJP+ex8+Whnl9Jn1giB+DcUTEEeSuFs gwGxeQan/LAzmhXlXH3HPkdqbsS6r4bvKJthqm/Q7Va/m+/Bg+O8Valn1NL6uPfmbyLYit4xZHtv vMgs3TUbyKFm85kp0WhhihpDymlGQcGeY6BEoIRKCukFa4fMxaYSjxVhEe8cEijWf/xnzNfTGblR EDDNh9CqikH6nI/CWv9qbMqDdl1FtyUXawJHLDoavLfbZZYUDrdzPhdyE5Y81dOsxu4tcerSdZzC OL/NY4B03yIDe7h4TkqV/9jNKVSl8388GLTNSrooBuh/7135szBJOHF0HjQL37eLBveqB+uTvD/A jxbpZQfUAq1tdCFnOgptOBzg0qX7FHXT6jeUxF/5Q3YbGyeOG8+OEXxgmOQja4EjeGgtT+8qOcPS jHpMHhU7nkz/svNVCR1lfB/y7lBROP1i0r/iUum9R0z7zpM0jhr1/RvtewzRPhH0+UbKIMJvJpGr fN8FaqFaOlmyNpN8ZFfNwoRaFfpp3AxJqK4+XyEX/uznrbF6ADwO0Mgivu9ObWBVQGazh7/BI1Qt RMoQqWplu7vEsj7Z/jSfXjnaxgzJG9Za5F0H+NOupeMuTIIL3aoR204TVNOiEcqLS6nFUBU9hxKu 6IJV7vmmWnsPtW0LoGZK7D8T/oqRUlsf8q8UjACK0RlE5taFxPD/+2ORAGsu1HeXngLwgf6lEHCO da3OTscCBx8fuo22rAzGDylHr/b+3t+/bL/0Ln4dfw6zcWyumCf0rc/+5K/SAe2sQZHbKffVvOv6 O80+CfV7RhVfrh4hy3+0o63Q7jmg2dEAPXd7g/6L0wCWDeW7cxI0EaoRP1s6JpVd44MAUNA5OwWn Zjgz+H587Qp/3qX6hi07QlDK8o/Wfap5F4+QifxJi/EG4FHVPlfBRHhoYTldkOaLgIDzU0yooG3t aBODsQgwYgGcqriCi6HB/lPFLcS57mV3ZfOV2F44Gnm4x/onWee6xP0BdUjZ1e1946M3SAS9R3Vn iI6vjiDDiF16olLi0c5g0Iv8jH+RALXMgKHGgJwe1gU0E6VrGCcyISkozZPspCMDJBSHiEwA9H3h BO6gS2n2Om1RuD9ePO/0w5NCsnJBC7tAhzXa41nllTQtm+qQM0R5QfAKgkYTmZO2T2+V9RoKiWn/ qExFI74SIvmYLrRCax1d19UAHF5DozLkrSykj9Ns3XwtiTodIL5QLIlhzWOo9TVEeXEM43Q5SUH1 Qv85x1aPQle1sLU6N3b2Eh+DVN9xL3O5v70l+K9X8eRkNgtut1XDQe9yrWKe7hX70pYlFg9iIEVD lERoOW4KcTVaEN5/HxxPQWBWr9jkgC5/uvqjN1HLz7iwo102iy011rPZv3UvjZvbZMXPnaiS4yFR bOBNIpo6XzGMz7EuPTAcdEGbiaaGmJDVQmua28JdWe9Z6svA2A99n0OrARMhXsfb5If5PQ+3btWa c3U8W8kop97wu8WL6k1qSQqYCaMmbjebqXTSLwE2vSkWQdeMNF9+lKYacoDrz8fu1xxp2pApBz9T sNXELgp1sZWLbKxAkrQfwmdBvHzHLnEpaoBrrzTnJr/LoUlcscX7GgD85TT+ECST6pqceUwBP15G 2kuZCQ+O11m/8lhlKNAmUHz5CNAEww8XDavZo6hdS1cPBTaajTAVQbonluG5Cz2mb/s6F231N9nY k7gRsxNfrXQXhn6rDcllPuDSYnPWYST3wu0cFGMrklzFfZ2qfWOq/eCDwdXb5RX3F83C3H1KSxOm wV7r6aD5r1eR8fNAmCLBb3MIvwT0ob8nH69rkItnyxeNhcfTu0HvNa88XlFE4rzcb3Sjs9ZVMU63 SQdbiPZvqEcmVHBjgDT4Sw4jNpYjRtK0xcG43mRO0FzbgN5vPrxYK4YUK3UtkTdsCN/jMXy+5BZC BxScR8fd6XQJ/cOKejFc1J+dtg344FYEog3ZjZ+iAz/Tc7oZwryCsMnogDad4PkUPpuGgeIaFuvB fEIIQBGUNhvEpMu76GENLV1WmISOkv7M2hl2ij35r2WYMoHFpsJ/pBIhQqNQv4r/DSeYM0AyhmTH 5ujnI8psI03hBYSQE+Ny2Pd6hiPL1pN5ZfWz8cpYRatZWvLPSRM/xLMs5Q2M+K7OIpoeqE6G45IG rpvhGTYDtSHxbdaEKlbHWIkvlV73EenPu6hvbImtxLCHrcYeupGU9o2CUTQ4cSqEMIg97qbvVGmM d1MnjY63QzbjzrruEm8veHKWVc0rSt4P1QUKUzuvUnsuQuRHBi0hYuU43Oe9Hmozf4JCpAgumfLG DwOoHP332291iDTVSUay+UYUdvuZnnK8xc9+RcBuBirlC2o/b2naNb2R2cidSdmhDxJ4rEdLGS5T Qffo5WExztGmKm0qr4nXwgVbbMl3MkbkCagKihP7hedXo8/m43h/QeQBJe9lWWfB3ZTLt3sTy6xE IX7hw7QeanP+fT7HwW2sUXUfzhI0ILMl+1P0OZc7xogPeNGMi4OlULcOcr/8w88vyMagqY6sALGx QgcGoFW2VFV3itwEENkxo2CbPfUqWUUPk3c0lq73iWmqkumbDm0NrQx6ReqRCyran4xOmfvzAOS6 zyP2bKqZh7p6pLZHXvcFg37MlswSJJmKgMAz0IpTzE62yx5YmTT4EVJ1Bu8I3o22Eufa1DG14bXT ehQy80iv8NVXykvEMvdikedV0PFacCitDpbMG32bKIXfDlRSAloLhh7owhd3Dvcb1yqi9h5ZAXbA FpBVutvzkMTUZxlZFrO5HTW01FFLt94b3riOkLQ0+E82ihmi0GwqFFb5Yj+qX6HoN8LZnjrIrEp0 yCJANIWPv09hLQX1kgwAEUCRJ5WNx4v8qJtxyu+DdTWE5XRi8ZcEyNxqTDfRlIwBc5YXQAjogddn PtQ1Haq/K0bjAS6jDMvBKicXDJBgp/GaCz8Pd1PsRYNKO+mm5I1uT9pQNCVxc0gEhXuiD3bzZ+30 OtN3aSnVezuAXnLvJOvhugLZDSDuZ6DXmycrH7hK5jCaLJksXlYkfJrZDixhlJfZQcl9O1ggTiY8 Vc+1BHjAoLhO8/WEF2NVWzAbPtRjGLY5obrpgZ8VDBHUyBA+8K6lq/KXq0zv2u0MQAtlJZesv5No jigmDlKl5jQbW9Qe3B0X4ePT5sGiqYxBq+GaMtNf39XS7ZVbLALkOMQ63MY1CQYxBmVxqSSEUJJ3 jIIKDvrJCvgAHqvHBR10AB0cXpj/5ovY6kKALruH/w/Z8QcSJhU968cc5ZUzzHVq8zqmGWWE5BPM mDK0k2xHcdDB57ElqudmeitzenAHpJVyoggq4Tdckad5DeEJTHN/mrK2Q7ge+ZuG4SYr7Ngf1YCZ 1ZycwxQilQlqCLhDlx7lrUTUcv93lQmMEzYeUf61r99ib4Fwo/wg6mj560PFqK+uP2MEHoXFnOVH jWTIXBkwRIwI/E+eEen+uppHJ2JK3tNGapaoFHkHXJwbJiUBoGR1ncnB2mdJ49sqkKtpE7bupSFU 5oKTR+oD7xnJoO3pLe2N+/iOBF60r0LoM/u7oQ1wBGpxx86T+ipGWIYdwcUMu+z9r+jzMcfWILoT H7MagFpJtn8DHzWawIEUwuB2XJoPwJpF1XvVKFUTbN3o61kQxXgbzO1UzDkND37LtA0ljxafbrcY dyYiB2aR0/2t8gY6tU/u+wsWY0dEH62LeGJ4ODmHcSbfTgUKEqAdXBy1bUvcLc2qPYgkm3hWn5kE Dk+jNOz4ijySiWSAoCl/uNzwj3FzWpO0F3ZJ0dGg4Uxf2ITcGTlzpe9KNnp/TkRYjZML5r/jYZkt PEBna7rIdJ3Wv/PABP6QGwopYLn4NeP14GE7BwCG3KpKp4mHtFQ2CgX4wcOLMEFyUTDU5Q5FgdO6 5/VecEyQ4jySHfJk7c5XN7g47FSLpNNbYjFSlADZZcIQsb06hbFMtSMCwHnRw4WcR722llEt1gGh GzeRaOPUoU5clJVXI94MQBraT9UrKTSRWdJwYriAfW6A9N5+fm1TxHbSpn/o/lPVxCTSKejKwG4k VqwMbU28sqmnsoYw3A8MLW0+VXN5CWq2fauW7i19GxCrlASu9wsXm9bXf6b3CKxwsn/KTTESJte3 BmGdjdlf8fhkqMPbYw8s4WUG3avrw3Szt5hWO+oqCqQ8rbI5qpOgiQKq2HTQGvU4O59VC3Hyf2uG EHqy3L27oeC6CG+SoGYisjFqG5ZLpmgHLvUTdxrGIar3KXOh4xQvkbGa1uOBOIjmARKbdcGPxnLg WcQa3zuvV9IB0PI8j3oSYwpAKDY17/G0mvJVrUZigPFK2aMfKgFkyLpfWIu2P/J3uVQkQlyXshbB BLI6PQwzPW3817EEc+9RdXftCHerP6gTKJtG30aXjdqxwOsDiKIeMJw2UO7Ht+1f5938kQH+TU0K I+g9wZhAg3vXcPnAf5mfzBC8FWBR5VmZ41jgSrM6Zx+hIdp/D1z/BXedXFVEElEnTjvAt5sh0O4o q7HYcyej5eyNA+UDRhgzBoEA6teVTBTNoGpzbvYAOPugBEpZrHEiOa0PoALPgWi04k36yq5cabRP RNJJOy1WKHktGb6uzm66dLkuzIbevgZyWV9usi9UGOqduwwbF8xxiCsR8/bSlmAmlI+oXRdQ6iEi 2LG7MT9rdGZp+JtC3fYPPebkUKwIPuN4bDVB8r+UZ3L7F6y5a9+cto6upCoBAh/72Oq21QkVxaHC WUoDctKBn4mf8EYy8Ypn3v/h0Im5cycU17UokwurGqCKzLZXqCywx1GOi3AsSWA9YU9TIgAyEoU9 Ey7u9qf88v23zeanJo2CbURB4tshhmjPjfdLfclczhKPhvVlxpmGUKlHCm96EWVlnaSjMgrwuywT YHHOMLxn0ytwzV3GJomnzHYtYGQzelLVXJFeK649NMpmukuAndiNyMaVQesIvUOuNEnoCeN6bf5x jWyppwsQIwP394F4Gc06UXNAX1bt5MX0n7DejLoUJ567HFZoIPYGkxrGZyDt83vwzgvo83y+4D6/ +DkbM2YVm5SFne9+QrpAerwI8en5NwEuW5KCakmPKgt/k5/pu3INUDgGl4Bms7qxLc2UhJOoyOFk tqxX0A9Y4l0eyfr3iJaKvJrdD8UITYDZFOGfJe//wbvJrw7mDlIMd9O8ETc40RI9mg+NVAqoaU6/ i7v/bGHlaqSBU8Jp/aLJdZzvnGxTzeXkdjDSi9OX4pP7HHILw4de4A460VuqBEQuGy6o1Rjc/VuG BP6fKEdHNMKUfEuknqxayiSquLzjrx6aS4jAgovalq1g+G4ZpeZB3aiqtUHVmDXOFNWh4PS9a4BX IBORuwBGL3O9/HNhzYn8dcNkfBXnkFfpTHB154UqCtXz/4x62zmkDkm6iyQCpZlaMzYS74Gs6q2S TlD4AvOU74NUleoxfAFw5oTS4Qeq6k+Go03FGcKPdOIYLTfkFpDvhEsI2ybwd5TMxhSskU5cmRhh 5ZpFr4U/L3in3Zn2Si/XChuWQVsVVOYV52TvDLJXJZBs9oAuC6S4Mfz8ymqJDHXL9BeUQoDAsrMm RWcj/37h2n8AFj27p/e7+4TqZlt37+dCEJ2n6kPGEiys2500xdNc8bWTRuQC1boMTP4Xjo5tnWCc 1y5keY0s3rmm7sWC4O7qknBVx31spQrPu5W/crVHutYUEssXCsCLfgI9EM7u/q4IIV2j/LAo16o8 iK2BVhds1V7ijApjIx/HYCNJeGBl3qZt16qs6qhRJRlWaB6TxL/KpAguFCpj0ZH9W/qWlVuJ8Ljo 8BvUmsa5XtDp5fRQhFfRE2WVtRvHh1GJo6kMR0XowCeqmWZWDJSWEztBEaI0eTNY2b7y58WJo7ot 5+LWGGepoJ3k2tdGQRAWMaCYbQUXC18dOMkI8123qHfzcc7VaixBjDQcdgsKQjNsy7xbVN0Vh9DY 5Liv5/HY1l3MCFFAFhxtYO+gQeLnQSXb9BBYo4P7TdhzG2Ocgu8jwne5e+qJPJMK45bSH1Xi3aJK KgrSN/ggMRQYkBqc+ckeWaG9B+pMVZFwKfE0S6idhqkljrBN4Lajalwzjlbsv/mfI7LV0lZxlQ87 y2au8npDkZZVzU9tdLm8CqWyI67JuPXfdnYBO5VUm8FN1+oTEQFJJ2TSgq5DviMK8/B+WI1dKdXw iXgvYPU9fnMZln2v9ycLZ9dyl6cFBCGp6KkhK4NpRxiYvFfgp/wHqv8PHpset/hFCtJ3GXllXrmk fbz/7eiKDo2cFaxxDNceMI6eyqqyrvLT0QI7jmpHmbD9oOsW0W0rY6sXzDrZ59sDyw+sxj7QVn18 n0MabaSIcL0iXzE0bUZ2rr1WksvPJCjH2p1ydBEOLabmwiY1kCEwhgIF+NZzgbVEe7BOTmTP7SY1 MSSk+b4SVDakb7nTLY9KZDOub1HRPH+JGb/xJNm8Gbxj17c2vsZZl0YgIeKDpxRlRMDTs5J9oOWT bWYk6qC8pOPmwF6r/uZ93pMgMsSQzzNz/eH6D4vWp/LMi7B8ceXclHlonvaBKSyZ8NCJ5RdLScbX v/ZvqvUNIg68DNRXYTxiwCbzKJqYgfSn9HOYhL2wTpJd6/v8Wd/aX9c7uFPCVMh/ORIaeybfjz9n 7Hq/+VAqonFb7G8SE+s0JSGWUMecy2sj5r+2VVu2QDtKx95pUf2wUA2vZWN1FC9AiFCAwnT/TRJ3 9aOuGOeXRvhForhVEtH0xerxowt7qwuPJh1Z5FfogSKf/YhSVRcB923R1qHKmRRvksZKZ35vgj1X DI+QrYDpkix2sFKbIqZV0u4I7rS4PCOyxdsAz9g4cVsjwk2Eq3qxcKc6d9dpSBy9VZ9kxHrRNXxW FRYJBEKG0voGlaNe2AwxcKVBEJYCCZktUNwcGilaZFOi9Y7LQn7wMaw7h6CZgAw9eL8UVFMGoKzZ fV3svfhmoqBHcziN0nMkOK2cRhtWH1gamUZ5cFoN6wgMUUc0bpMTi12UVqW7c5U5Ml2HZ7HkcInZ O4poWE6BE+U9C7JPtFh2bc2kyCb0wTlOfmHJrtl0SK7K1SAOIaOQINbAFPnWAsVaWMtIX8zx17yy 82EBJl38UVHr8705pA6/NEqKmCPmg/Y3UxJ/g0Aos/WI6lImCPmSuEhfuPA17NG2cdBl8D2PxSMq 1LSMMj4DbQz/dGVns87LjMb90Q0JoakTzwRQi/nqRde4mYoB9Ug+tZAZWuaANcKehbEq0iU/eSM6 q1vGFSn8qUrlgedYpm25ZpKa/n/mPt1YKn0B59D9O1sHAXR8p/50Jjqrr+qfRfKgMhQXKeGrTxzs sp9rTKQ2cjU9G3+4hsQG++MIwo010+3FZc8pYRM36ztmawF3XTHPDYCL5wrUFiw+r6usut9RujoS pYzlUcLmTuZ9drORnW+WZjS3du0Xd4rUdDaqY0Jyer03clcqUt+DfxwAdEZwxSo2wg7U0yrl4Pcq jnGMUdjeP1hq36fLK4s/kXZRUa4Rb+cE38AQtvYnxEDcB7Kcieb6YM31j0AYgzIEd2r4FpnKD5d+ ouOtApajsRJZiWc4/LDbDk1J0OYUzAxCbc9X87wEXRh8Vm6NLNsn4bLVkEcIeqYGpcj6XtkrhTM6 Bqoqt28oYuHeA37NMaULkKhG0deQcsqTOHVUadrvVLLbfGenzaKrkO0toIOu4KgU6YcIt8J0+aiO RiaxT6fhPsuMM0UMUTQX9rBaKs+QmVJlaGGwFFXbNleOJNCXfoCGZYZzwBq25+607Xudm3IFCIP7 q/VNT8jt4K+YEs233EVEE0w0ClTE56l4tsR0i+scqF49Jz3KWLNEcLhl527Uz9fio/yU7JfBwmOb BAGlsWrgMk8NxnXXIq5UsfER9/TCokGn/HnKRe8549lHb4hifk5TyNBFby25hMCtAvEexd/pOec+ wTYj5FEbYZ93uDRg6aVUTGX5agwEtmVvkvORXlazkrSz9p5+ux1tY+BGUbRnCZ6lKC/q82hC0W+X bZnnwqCsyHfr1GIH7zFr00zRHDQAKRAvdO7MT58uo6nfL0K9VBZYdXsoGjib4u/k0+/AdJ97CLHw hquMnrkqoZjbPRg/QAEL7F7vFz0obz1BVMFukMqsSr+//4cyGoA8zebihWNa7Z/3IKkcFwKQkj/x gmx63jdKvlZEs4gYURwQBCFZLbTWavQ/BWuSyfBgdFnwqlT1xjaS92kLKyOY3pm4EbnEUJAapyHg XeF+dP5Buu8feG5kHqkI89LpI85hQ72WaW4X+orvclrh7C/dlvlj0EvULrYSqxY7GkuIP8ijinHp rA/fMYybdjLqWyJgk5Be2g6qFe2SdXqCcigsM8WzkAGY6wUi7PXIlWnlgL3rDayKaBOnT390eQoP Vtj+/s+quczWTx2FZH6nurEkN39nEPPkjBSOo4fvlHjgM32cqAA0A53hJ3dDL/HVjnGnJT4u0wEH qng+vINXADPyT9k5hAWnUN1fSZvzY7X1OACr/sB63wleW9Z/7djky+nUvIfArYyY1JsXugOZDfHe FZbQMI7qdG1hpfbvslRm1ecy+bNixCSFsfD0q6FzJcseFGMZbA1x3+ywuWZFA5iMfALcprHXpZAS HJQXYczteucMZp8D/erdMWSJeetdkD94HYzdE3gNFMzKXmenKFRCciDEDyRglvPhXM/vicKR5jWX 9apKrk/wCH+SxY6X1AJI/ObKAS4IUG0QVsaZumsijnIMxFI1zecwEaKE4phOhs0FF1lSpv1Wem34 Bfj43AVtp1E8tdFKpZh8MHXn7Wdvsj3B4u6+ZUjYsp3tXMgu5LxCMaUDeVv4GqPNDxml62anrmIY oulS0/7HSFUniAMzc+/lqjyg9aixxd2M72/YIdBV55BOevGRJtpiMEHu8hmoYb7wM0KvTkKmbcSO FRnpRKdZAEX+VLZFS1HvriRwfEAjLRqJkK9JqEb3cSadSuBYb5haxcIQp5iI+462FB4IEazNAhTf 6B8JZYtvKiUwiR3Wa98cK6GtQocAb2EagKZVRqKkRKu0BqG1UOU2J0MX0JWFK53tg2G0fR2DCKB+ 3outIQP740E4ypKOBE3UJvIW8EH5DtkMrOGOqqGfRv1oV1wAPWBIMLqDHQTIvJTpYSEeL6ikGs7Z AgMHJ6qlxfKFHAMWip6cYE8/gEDP9EKwL2pLfziVJYwUuxGaPGSNxH+25zofDJq+rxVu9lcDNRXV FSgP/qkR4cMTAf40UjDUS4emiH5iQWeQn027Wf78CPazWhLj4wDMMfplLuRk1tZQyft36YIazNkL glk4FJiXPvq3RBCRhPyAy832p9YIvuuBibLBaFyrvuU+E4HuQbAvkXH3TOldZSNPO1i0ZPOBWaP5 4qHSx/Un+o7LRkjA8gPHd7LnuGyQypoqhK0f/heNpD9tF3HQ6hdErJ5+QXYAn3iHI+0LVamlOe2q YYqiqAw0VVV9rPbNMutpAEeoX+FwcFhlGbMRTo2Ll8p4THo4bS1fCbp/Chd8OOtvvllTI8zMP/4R S8zQXim7cOh20Etufiu0uekQcsCCp5QxJNXlV2o2ApF2USP5zjt2azjtUL8bkSkFNzikz10mlQz9 bYYrpmJ9JB9kyCsa/VG2rgRMpc9nVmVMO6t+LKKHjh+rCWh138y3e3h56nsy8GfIGLO9DG0BOLIA xpumPeLTlc1rKn0x1T9kVA/Ijw3w4mUYNqfALx9L27lStAxlQeISReE+jQ0VTs/1m7SeQzJGU5O/ Fxj2+LtrAaZEfFtnj9z4b7FEhDH0mBzJmy0X0b4328/uEFavv+WUPfD3HbI+riMr8Yguasr+TLXQ PW2j5bVWwkx4TUq02P/sEmrI/STuXqFrmTosgrPVOMrHdZxsa48UyXEbzkYEJtUqZovDdXn9iJeS GihZ6NweLNXGZRpw2zF6dmdUsN6T1S6Pa899gIM8Vl7LhsIAL2r/akufKL+ykYBMV3WcYY8uAR2U GWLDXt+djayLHDH3dmWQJ11CgkEMNM67aPluoG/M7jxWnUu47n+fn1Q+9Fd7dtKew/f19M4txjLg ycKhs2NEsyVn3Pw6lDsv2CxkON/CQ5k3mfQn6lUqLDqNA4/RZs9667lSJv3UpIJ1QtUYKxYhSSbl jR3kl04uL7zt/qqOXbfhg+y5/QkGCU/nuDqnp3HWJ/AQo9rPuEQdJ7kFERZFpcvUzrfgiku7Vtv0 3wUni3ae/nOH6UU1k+n80nPPTlZlz13Y/X4DxGBWsMLKP52jXqLnoTEYCprNfiGzy+ORXEhYYPhL WiqIAhViKzqIb2hDQifi7lmwRlCrwUKciDqQC7b6YV5GBADO9m8udv1Sg+5pgYM56pRg6L587TYe SiKV9wZDiMAwXZ4OKl1YCTl6gaiIOmOiWFgVpkKaYWkS/vl9GXHTpLVhh4A6cpGhfnBRDDfwqf4O MpD4nohzJ/6Y7g0GVnkIwBdLeuIJgwTf6xCFulBLQ9KOfnup4OGppLs+8hBT5pJcNtIFDIEiU21x 1bbQGe0d5cL9FS4nXG5OzHEYWicl+iB007zPyqI/SurmRjJrU83Im0pEqjl5S6TA6h+M/Wqk1rJR B2xsni1ITTW9SYS5M/sondskpU8+fhql5V+umbBCFJiaTCiURvlg+oz3+S5sb/ZiGrOu1YYzpKNB CZeFLijuvUbpN2R2umv+ZAqkIYd/eucXwF+hwob+0qLLIMGmGFkHwpX9BUXUUb29u4+5Y7pNMokC lhfLwv343TURSx8X/19Kc+gF206GLxGIcqlw7Wn6HK42zKN98mRZTMiZh4ISIWEUum6FYLIFOvEG W/PAwqVHidqDBQuTMWFw2nWqGFNHzrxgyRbNLYjJIQiHxxlZG1xGgfmr1zyt3p9mItp/zvzXuxiC 1qNqJGzYwa4JlyymT23zIe/JcBo4ESmYHsAR4Y4ZC1aPmoR7D0OYsDKunviNrMI8tL5E6W+3yAfX KlCAZCHxIStx6yIakbjE4qTxLuJdQS3iC1EPpIkh+ZQwLcbOiBuPrUc5NoYlFpvuZrmhBaulTAFD Kzn/6IlXKtF73n+G/1t7YaLXWvC0qQjruNbZRoq5fyh0IyGnTzxuiZj43pJCWNoro0xjLLqCG2lR 9BWOVzb6XVVlw3JJQ+ob615UizsfIyqlCJQiXC9viKjHGcpsSWvGCzEVoYB+J0JTjbbxvQEG6jwZ VIO9Yc505g1qn/Nn2o9e3J1lTgJgmq7p5VvfooonP7KTNQSGeVOVE7wxeXMxwn3BCXRHVTmU0MnP ZuchhMlpG3NUIIQC8o35YKXo3wHuIn3xYCOnMKqXh/UBkKItJ46lOaK91x7Fnms4RepFOxopQEOE JLAVVevPHs3oz5ltpCMsIvtQI7vE1nf2mPWL8sdG2sAy99ihSt3dTpvUIZAgbwih91qmnqsRUDxV I0y9fWTIWjZ2SCCuUsdDD1SoPl4s6eTGxncIGQLQHdBYCJ8yh2C8e2cuUaTbAVPmlW3UTqLwzMtZ MtlR3KS4++tn/AUlOhDQ+0WRqYzn7aUp/tyXv4zXo2B9UN657wvl0DGpaZOmRKF1JmeR2NR5+ztV XTSsyw9HfM/SG/qEEawIfT0wK4eI1YflPmrT4aKcUH2Z0Oax1i6tLyUQ0Fu653znSADF0p5B6x5m GkG9KmBJv14kGbCbk0fioa+6+0EZ/ulTSIcI7GFlWGD9ifMNXzv8wOBTsrlZGQZblkDNYi5fKau4 BP/L2xsW3hMc36+SJ8UdoiDuPH/bntUO0ex+q05lgo/lgUTXhgG7vNM6nCW89DTGGNZM6Yt/eIoi KgRnuzyB4S/foCaQfeXFBaLIKBWZcQTGtoxZuKJwkmaVlUf0CbXAOafByOnlv0jNJAskEokfZb4v 6igFXVFZpB/cEZsa2kNzz90qjKH+4prk4eEJckpMuBU6eMaR1Dg658/JuLf2g3Q5OE/iO7HEJPYn Oaf0nXqTR/81qjnHDFdkWzrVK5XHhNAhWLoPFWsEqkneWIMyhm7791sXqoA+lKhEeszRcabYxVZX o7qVupM9J6VtA+0chQLq1BqliJn+lE7yk0akXTrf+s+KhxAcipAQEnDQgSLBtQWKzb6wT55ZyzhN O5m2yJefk9lb6lq/6WHh2oTu65pkI5qMLwPc30+UOeysuMjjcTyVqqm/lgsq1UhoyhA1SKgsIoUr J6q+sBiVAolIcl7a/xD81cayYgZkdAG2MMzsWoVnqK7HLQ7nix+g0ILw1d/UeUPmGBQqNnry2nF3 7GiIS3u5mtXoY1leeGU8VI7Lp+XLZXj4Zn8q/dFDrFBlwAQnGK7UCGVYzf9qeWSBZDx3JrAt9m7H 9k0U0G8ObSSP6bDOXd7rGFopvmQAMlGntXFtLbd3XBe+QJPwyvrngb6MRUFHFX5O0MG7XjcZMEuL 2ljIBcwkBRGYZ3c6fyGFmNl9zYkEdNgfZNLvcEQnN2mJ1G9fT9+50MEIpBMq/8J6+6MfrlsTixaH lPGe49r4zjsuqDD96BRPkNV6gPmDq2b36cnC0Ndkjx3B/R36iAnRCvXekF+NetxkSzGE5LmtV5Au NuEw/T9Mht/VfXvL6hzW1ZzPX8JL2/7GL7YnNhY6GyhkhUzg21YZCwP+Mp+vD+PDcBcJ6WVEi4iX cuWU3ApSHctiQAJPNAs9eDmQG64G2wcxx/hwoqwm8lnsEnXtESgFaToRc/4ahRxowMxrVUJOtSZX 8tzxP34UV5aRR6OHiTIKRv8+nnHkWo6SeNtGhC8QCvsVyZSIGs7dMYdKUYnTWR+tn2WPYfcI39go IHega+O2fn1sROrAQ1OYc4Djz9SJKAsATTH0wRWHY5ejuJbFefe7W/3/82pGSdCyFxSkNjQaXgHP ZHeyRp3Pxj2wWaPU6fk5JAEgWXufE2N3hgxlF5fSSXaSFlqyX8XMDih3Ze5l7CynD00Ma1Fxbzid vJL2FaAVIdATRi/wBvjb7eT/IEIBJUl5+AhVYArBaZHIDMczsZej8lZBPN5DxpZN3IqLJPTcBg0j J7PBhpiPWr/Df2sMQjthgaXfuMDf1LWnjHoRRJ+HsUjAxpyR6+wahen7cpBbKTm30woaLqpHhoko quWs/sBg4Hpa/9bTPufsDRw27zr7f3OOIIAdcyAgH8x191Ux9+1qhu3StPyqlXiDDgN08m5edDz2 REZA03beZDYAtGAecx9M4yx9zZWQ7zU6qchLt/pkgBp3rQryFBPfQ5Y1elBQt1IvlQLyT4XLf0+5 tRzxoEMkvr9pgqHgSu9+dTYyQ3zDbS9ASCAfTbPtAzN//z7XabhS243YNpSC2n43JV9A+Nik0YPB GNYXw78kCnIBuqDscdGYhhHbB4mTRYvtWjErLzzGGYSlNcCxnS+OcygNUga1Q4x108htejfb8AoT 7ADaxI8NH83QA8HaxO9lFSHhViFDSzHr+FD5Uo+jTlyQRrCo4o7/H49IhK+D+jdaZaIFE2NutVcT yJjvxFIQIET6+6rjqhhviuW2LPpIaVCipzEl8aaIvbKZZ+UUKH5QUIM4gF2bxpxeWxOdpWGjCoPQ atZCmb9a0ayGk/M44PdFG5giRn2rA5zcovSGHGAAt5kaJu1D6IDDlq7yz5i1b+q7djLO/7cHIV7r My44GkllyKwUme/asD8pXyKDj2uFTY9ruCDaKz/qjU1NEZfAsJsMP7kJfHALmZPQvm1eTLTWWiMR vHKUQwhvvmTNPYatysrx2njRYc/fFXg5btiB1Te2LJHr93OoQRtWtJSlbtqoNxO//ux4Y7xhp35L waZPasntoHEHlxLqqZug5pooCOY1F5cqltL947F0llr4PqnDyaTeuwW0KnLm6U9J1UVPT/WanwVK /UpyapjPbDtkYHdBCeFSrgRZMWd7CGkwf4Odon2/flxGdLn9d2q+edkb2exQd6aTok3Omhx8/QND QcLxjHEq+dGbI/ffCJhet/5CUzrjW3sIvvR9y8pR5pSzvW/suxoPQLw1SC1ae98YeZSCWqurvbPR 3p5PP4gjmzv4mxnvPO8RG6YzonhJduAleO7HVJTG8odXqG1GkW/4BGYFjWlbOuHIEmBaZRHiBGAc ovGcICGibbrc3FNKPf0nnp4UgRFTqKcN8IHs+65L8MbyQQxKWlRziQuLb6eOjC+zqhZ7W8yVcDR3 UN0YvkEpzDrz5gUpspXh+pUlW7L0XUF8Trr/8jlo7Q+zZYC1W/YhqN5nD6oxZd2m6Vb7jbzdoWek sdNodzECR5mRN2kTDksZC6Tf0BVjsVdZ0qiIl2qsxm0yjUPrHAsLEkH1v8BKWsA745L5PNjcSJJA Asv/piYMPCQlOp/epAdAMdTOy0454xknTFho+AwfpAoLNq6R4oFlGJ3r1Ypv4Lde2KtOknmYFhte +Fz71NKGbwu95xQw4KybF1ylN6v7ECFPxBmyF3BUoZC73OMolSyql5M6QI5De7aVGDLcdqDlirUi n0CugRAl+gdxcy9eOVZRKuYCcJSNrA7+dAV4MOCJ49VMu7dawQyHXuGob04FHEXRSp81iw4LNE37 fVrXv8GEZkpw6CZYfPrzAtV1qo6evy/qqpaiZA7SNnrO30kgCI9wrCd31B+aLRX9VeyxN+b8WoTD MhmgzjxyNF1namDE3bUhOVg7cMEDBNic672kGOv2mYp/j1pzmofTWYP+wS7rmO2Ipv+TEN1l6yuw nmOyR9UwIdlMFbTfMNKsxz3y2/gCwP+lrwmM4/q2r51d/8u9uAHhqa8q6N49SNpRdbtUYrB2UCfN 7hHDgKnwGrcWhn8BXj84uz3iXvu55pVDI079AXBfGISZchYCpCJHw7V2NywpRLRc81T2eMQfIgni pUBmgxKE26VOJydvMSDc3rLfmQTQ0ZZRcxqjrjLQM8jqZ9MVoUg3YwFXY9KaZaDLgeGCFxzN30dt ZLpo+144oeX0K4MOHTR9eHroikCECkFqWyRrqKB9JTVVd/NF0eNRJJBvEh7GxSiaCaEesIgVW2C8 PtC6vW/VRDKuNW9mtwSaXy2fuFkzD88lC8aQ4YNo107DQUvvvasp48FbJlvmT55QFOiZRRVySJnQ vJkdyeeIyMNMA2mYoa9YsH00n0v1EVq7pn1KKUfUCZP5/hOy4Zq+jtz57PBfIQfZUKBqx6dVyBBP g4h/pzpGeNRA/5McV6wr7D7tDfpBiKPZRtHlq4oO7Nzepr6BsgQ2u950D3Rv3YseByfFXp7xYAou uAVQDWQlrjzrN/JAuZJM9cnaL3njPRHWQIpHG2DQUcMbxD5kA/Bejg6FgBgKY9uGozKxX5owS2zX EEYR2J5H+mRnGWk3GVx50s2qqIIWJOKujA1yUNe6XSB4VoAsPC9EM7PAOEBcCT39uCl52XKxEz3y 3N+dCCHZfHCE2kFgcY1ooKU2uSNynU78feDGezvP8epXAqzzNVRck9j8OX/kjCZdqvYb/0PG/xC4 xnYtaYax8TSj5NrJROtxlRNf/O+RpbpkE7iX0kGPW+7dTZc9jhlhnI27XWpvn9AVK/fMsMUvVnyy 5r6YlWiDiK8zM2+uZ27a28OLZRtFKZl1dAnjKqKGMOt5x2ZrCIgE+PIH8QvwMpHdBMloSG8LIQih /i4HIZm94VGoABqh0SVJY9jq2bDzr7M2M2JZDTnPVpEL1Xj2X+YgnxkGCHuxAOi7I/q4/jJW+mn1 FnOsYRoabcA1H2RvwZF0DCbTRk8CAtnYuhhFy3ILpbNMhCSuHIqN7kiCKmb2d3cMHuZ2ptdgpFC9 4diQRuP64evwxFOVtCfiO1i8hk8XmtQogKfLpZmywdl8FlPRJEZlYqhfgLPqZ7Ssy4AQKMEFHsFa VqkRxdCW3a027We67RdGBn6vp6aUYZNrTQxKPnc7MFqk9X9steUAXDiTyI4A6CTgbESvjd1mI4vG UXn2EDOTsH+oCfScowEsT5WpXZ9nCrsKpdUJWh6+qiEC264HIGwjs2tP+R1kmAwCyV7Tk2LwJgz8 w394X4SwMISM8nJiby2gc3MtFBTcsp1aEwwFib1l1poyhLQpj5ckDESZI/Rx+uWjdv7PrXD+D/wx kBhOaiiabn6yc++ZDv5/gaFDWYjgnZWszlJdsm8lIaqkaOFhRyrZzkD5fDEgcnbyIQEjibCxFCut j0DDTiuqvQPFVXC85X5qFmwrA33oFr9djJPziXQFV6c5d6d/GjJJYvErefNFdTlVOrS0jjEWDldu fKOXVV5lGp2c09xcg42ojts4v4/KXNCRLW/6ulgZMloYpxKfm9ATIMuIRf9xdeDnp2c6t2AMFafe cBqNGi3La6l3uOZJlCxWGxcjkGRNEuqljQns5n4j/6qso3qqO/pZ5NLUxielMX9QVmXIDmAp1EdO T9/11t4XJidhua4X3JYtA9Oe6lhFFCb7QCtdqODvXMToLB4+zNcD8jEDWwT7N0/Feem79T5qCWiR wGRm2C1kXsp5N8oXHwVdyyEGdK3+8odm90sLYPFQqSQ7xD2NvWAW8EueKCWf95tfAhGrFN0oO8aZ jlN9CDy/ercGmrwJEmXmGbC3NKcXVAoghFJxn6NqsshB+IiyebSPtcd/sg/TOiZcintwhLXuOC7K kDWPg2549FrwfiMsl1fSXy2+8Fm6je8gmNvIXJPxSLaxY8ec8O4TjmpXwCobpfGzEZozAsYDV/zE Y7QZ6PZBB6dKNJtTZkagb35axawLsvzXx2AQvO1OH704MJMLJ7m53qhuE1o/u9IwtTjyPTOszKvi yKTl2vZ7/XONwL+5izFM7GksRyrKV6hcfub50wO7CkKMeup4Y9vSHzbAE+uPjx+GWkOYVR+6afD9 lEMR6qAVw5RQDEHqjRzvOQbRjuoMfe26grBkUIgVv7NnPHxoeGl/hLUC7CEQAx8ktZHf2/rVOnhd To2srovmRkyE2VcKCY2BdNwOFc27xvhYzTIhKboKO2YI0hIiVz55A4PpwE94tIXrhyRb+YCGriZb jjefKsu1XMJ13oFCB6hjBXiun7RYP03Ny7RnUE6HtIVX9wGM2apSiCiEHT5D5XfoG/k+UrHgipvX eIZHyeNGP3eNc/z2U84V4RB6RQ76QW/B6/Z9C/lcizqIMQ7XnIoirGHsaCLcPlhnPNYeHGsLDDUP rjcsC6skEv/BDoEoNtR0qJg1HkgzTmqTRHd+aQuLwjDr63ubMR02XBjcPKou5rksmi7imC11fR7W 1ym39ObYJFDo0t9GncIPYtXvGiYyeHnNnS4/srYGOrC5PTRhOkUGbC+qon766L2WbGgOlisZuyDe tpQ6Z1Xx8ayXFHj1Onl5fx/h0LEU864yLNCva0T/7403lO1xMjCW/gwFNnQoL2O6kl6a6eAoB85K mB6ocU9cW2rbidwDTHP2zYxmFNIIh4p4FiB8Jf9I5dxkwkqtEiIKkgXd10XjrEoImBnCmRtPDRJL P8TQcZB9G0eKEXV+sMVd3vwhwbBav2uG6yyUOBZT3lhXvHfbLQapzK0jItw+v6qLmIFxHTOEMzBn HqeTD/QrCKDxGDtdUeVjxaMxJN3/PPxzfcA/V9gJ3lUIczLYbOV+ITDsyyxdUsTmzIpTWaCjsx5A eg8/bBk+LlUtAgL5lxB79kqFlHUoKXnfNYmtxMnUxdLAnLoOdmp1puwQBTXTknbWlCKojbp/qB53 vMG8zOXhmA7hHqU+hsiEEu8tgy0AG4ea2z7pToF4p688s4FfQqjfwttZj/ak/0J9yPmL3eoNS0bs YZyjNw/GBDxYKhMUe6f3Ymsphw68xzQCGcZlAklkbJ4jBGPMG2i+19R2DXaa0QEOCLo/XPcQQqux DtCWCvX5p6+61EK9fTm+qjwq9OMV9pwNuFGH9Yh0RNDiGuTt2f0BeATLJeu56NkgkKlmJhrMOg0f redwp2Ji1WwQCvhApyAesDzTwqUJk/XE0BN0ciNSCTG+ns12+SMkKA1bpvW+gYh8s+sA7ihGbjps 2ytgMGYhAxsPYxfW2YjOX0sS+is/6H6T1babEVNl7PSlBbyi39AR6oERbOl6Kjlvef50bp1Vb/k0 CRGIuKeIS5sEoEW5EBosH9aai0MW3xyci/TY3EPRMPCCHzdcltALyHEDhkZLzrhRtEV8jIkQ5pbZ fRv8eBoF83j7l1L1S4UBMb1qhClxM9cPi8tHfdXxTiCnBzzgZx1ailEfyp7ONaHMUdvgKS1lqDMo TECJoe9m30bAAxJoqjX63Bk+t8K4/lQAmpBbBMkBJzl14ixWiDsa+vbjEQM4KqzwVjEge/dHpVOx AbpJ7fAwOY80klv4pO0CJtkoHjqFeLI1dNaPdJ6WRBEcn1Z26OkXozMjtsU8KI2Oq0Ph4zJj9S9u Fwa9pDwnhKj/O7cTRT1wx44umqw+9nk7Wl7bLJEsvXSod5I9zwUz39wjK4dCipNgIIEghd9/YrBJ 1zLuewTlXNEyG3knQ7SHAryi4R3SmGUKR1W/+MiY0BhisUf6X4MW7sYW6WaIP4d+cnKFSsqBaXKW 9Czx54yhRdpoQSpBQCpoejjP3Sqgg4KGuZknP2s4+QTgbU7V+PFQQvnRBQDehB5vo0ML/7kdIeTA YrG8N/RSxIkA88wvtmaIKwYTVZJhiXm0AKIl+uuTTQ9digSB1PAKA9DQ3Ja9dCsLnnXazsChRVDM 5A36e4oHaHHudBywIbjwhIW1jApvaHGcw6ZossJHll/SRJOsVK392siACo7XdR4lZRKUmBqMpArL 1epQIIa+PG9hqz8FUldgCdNagOOknIdeYNrKx4puFV0aUyvxCV3FfhBhwyeCaZVWax10tj3iaw62 V9wN512Sc90oVX+q37dcORsM3Dj28xQjkBPzw/rsKl/ZFQg43o5NX7pZyBIpQlX+sbjIvWlcPG54 +I/qH1eZMlLh6of6XIDVwDOuruybmLSJbGSAGUIsvvB0iKW4vLwzruIkNrgU+kqAoyRBCM839YRq p6ozNjzmeQMYvKKgrfYbybyUwTb6jb3Jk61W/Jyh0l/iRKVf++datNfkPU5O7f0Z56TvDUbOa9g8 525lwxKbtKIrxNmwKLinLdrmymKSSi0n3uRCQobRm0TlLKjiGbf/V/yr2DJb1X94xTiMFx1ATL5H pvu2pUkfssGcgDOM7BdpErNkuX4e7dJWzqe6LflO9DgX3TqTZmbFw2VE4EJkR7c2lwcDFjV2BnyJ xqH9q/6Samg1qvJpXWhu/XjOaHc6WpnKIPaSk64Sgu+fqGGmKiV9JMLNvTykANY6xQ1nF/CC80pk F3aOiE/oCgSOJ7c3gfuTUQ2Thw/xpz7ZlzpG+rhZSs7OFXHfVLk9wt9M+9cuxuudiyTU+7aZw7V/ yrKq47FpQ0WlsFmFNCu/acafket8IdySOC76701vKIOsaoTLmDRABWPu5jHmykSk1Dms/eIM2vOa HTA2ZHOYAD3pDhuUCCwe0R3PzUIRCMRx9Wojvjig+diXg6/1wSA7N+DSkGnxPmn1oyFfbk9HmT4T oQvRu2Elsz5fDQMj5sD8KRIbebeWPYQznuoX3scA1aY9twCY54K0rjVjAgC0AB9zwKkqWmenFzG7 5nIpVSdJnxa02CsyHMU3PGI9mBYnEc7gnLxv2Y+QEbyPWhcynTGibKxSVJKX1eMbDS6ChE6nALxs 0+eWHl12m9FgWCvIal8vqaDsyERb83mJlwTnfuKRZrVfCg4ziEtf5nyZb83KrkvqbgiEr/x7b1bm noHrzc9nX/vP56U/Xiui8fMZFsh0rckg0iFcK178WFbrYZiGPLJnYigVYjk0Qm9P5uDZCJOgAmWZ e/KBwGmISDtKbgL6FikQ8CGpSiH3XOVC2Rx5E0CE4+drYWqlQwbSnAMJyk8ITWvbEfOPDATIscyx UMWI/AO92HTD4GKXMkNXD1wnGcYFOKiWduIw/v6nTl6eehMDkxiSyfwcvYfOhgYSi2R0cvTmVTVt wepo61DIf3UoUdLf8XFOPiiKHH0eRRF0JxmBe0ozFaMJsnICYei9g8mKM+pg11ILoJCUM2o3ZduA ReCLIt2J+gNKKbZELvRURHLk/+wyHGRwMXBLnJTEJqZn/vHPqMr+ccRaMkAHFBK872iWyTJ6plMb DXppF3ppFR8n7EsOID5Mkyv5N9AsJnPfPQ0TIWPo9ncq1MKqAsLMTfJHSVeGFDSdBK7oZlDxIWm7 7O7Q/booR7rFEfd9Pr5nHz/oXREB1gEKZmqViU7aI8Nhh3OV9/ede3hS37e/H4d8pbCqINw/bmjd C2q+4FYjaNLpLj+qtK1d0lIZp4nvvjwGp8VLvKq7iuDgbv5m6FzLi09qCpYyQy1OKZ480E3zKoZL Vf0wnlaIQLj40uIgozp776/372DOX6+knxd6XAk3x1kosHeFXHtBivjvEMYUX6J+Ql6r9UNEWhY6 Jdwjy7+4IylH1DbRLrrM9xbPZg8eQBeOWOkdmknn0QlkByXpFtaSaovgat2BP2r6kBd/2+qrpnFF 9rljpi/A+tRotomF+OkM5goY2AKvXjj/SNKgKnBjS40R85B0OEmTE+YjzjzUUMBy+ckwy1qdniTf GQNKCuCqHbyzoVg2y0H3KRdR3Adt2SigkOw3WyY8UpWsGynnWb3jqVB5OGedpredLxlr/InoCDOO Ev5w+ccQe7DntI+iO07m24p1qxPhGv0ZlO3AsOYMk+QUfVgmY297HDVeNQDhBfp/PWsxsW3ignPE sI+ZTf4/SWxqp6lmkT9ioGx/rBsidoXVwRPPxKEoE/cgqb28gxnckMQOMLgjL5OgGZtLNUWX8Q7C mKHOJRQ0uErcWXfKcrIOG4occAmcZvlTNETt3gKvcCt3LwQQyxgwGN7JBhkdJmAo2G9oAMkbYkjW 8opMPe5kLvkqa7Zj6Z27oElG2d7d4J8UGytapYc0v3nm4WmejtaAv07x3juRZoxxbvdFiAxVMm7H h4+UdgSM8T7p8+hUH6tjDbi47AJDQvBus+N0CbV3/ZciDDtNca8D7x+PgqMdTWc+QSrvh4xx+itM AxFQ9Tz2OWepgmPEJjGBfHLYEfp057KDiB1yMYo1zwCPysY0PMKufXqNUjtHLKVM1KPvbCiUuwJ5 CecJyZ6ti+bsjD9LFcFh0nLz5BF3UVtuKHR0sivHkD6GK1sICUP4MraEZJCbGQlG/0QjkkrgnFiI NIEIqQWbDmWQ12qkacUMiZxMBdpuonMe+8iUpz4whyRePBK7wvJettX1K80UsPUKr1+8No9TPP1W F+DoNaqmNh3cx2EgErtnbwqX/U6VqZNRPKWZiEWxc2y1K1E1NNohEoFSDrXB6yudaGqzh5dXO9TK jrXMAfhXrAiUf8WH0LuBG60JMZw4OwSur1VBuf3gNwy1KLyakNWMrdgZ9xTG9GrHk1kdvJ864LNu FdGz97B0IyBVgvqwnfPKgXhGLOU6nhgCIHFDZihzZkWWoL1TSkHqT/hU3lgeQq1iQE/wKnK1m+d1 htIjZiNKB80vkf571XkfUret2z76SQKs4QfJTfuiA+UzJETwZmsZkE0Ri1lgWiTAWWWcOndp/OBu s1SUgib2yDRAX6Cw1CDtyhcJWXgfzCHGs/N6qa1cAjkj0bjqkVfpFERYjXT+gBI9b5DayOmMGe1L 2IrAbQeHuLexW3A6XWN5drpAcp3joFegJ5EMbRJxGkgcN/BuLtfK4cHzJFBK0xPGKA303DHxxlHS t1jZizyM/TswdNAb33Lb34NaSI/+C38TYqvg7mYAYNWDQ2oU5VsrzjuTKSx/iwllWOjJ35apf2fx mD5zjQXm6H2vC/sSh1zcTIujx3FOTG/dl3MQMr3PZJKroBbmPRN/zOFvg44mqqYaO+IZ18yxFcNO X/reU17VaLNelJDYVDP6/gNwqruGgWToPj6t0rXQ0jBtnbiCgeI+MMwGbwFXY6C62N1zs8RLhdSU X6P4DUV7pObaEQkgPn+2twaFb90hepbKH1/RkrB7rPhU4XXt0IZTMDXQmrA3tUnU1Szll9HzdwcQ MwcaBYN17GftctkCmug3jfbIi1VRpoPvCeuDWE4yDfsmmpb5HaXUHt6u4qGFfdCO6BBLETZTYGgu O8IWGR9YZb8wn3SMOp1en5kJHukKry39MLrG4J8QDuKTpa0lmRGL71Iwsfc4pfT+ydwsA88O1242 qcN042YkH58fFSkC/NY422npvNoFASk0xH1URf+JMbyWI7OmZAE1VxYw0AggKPD+cSI+o56dYmQW tlThrdNTQ4ovLqmWKmVOkBK62PhZOXODaSIgIrpUkrxvJHlZJyfDgF+hkrFzRQ+sL7jStiKVWHLW rBeGOysPejRVdtjRVlSZL7pNB1RfUiubyNyStGMdRrT/MoN+Jq4ra5HGgb8msyB3NhY8cCJDKCIu pmcITkNGL+tnUOfUwXhjLQkUPTx5S06xcs3GX/Xqwko2JbPdZSQt2S7Hey/goTUze0nbEoWmN61y +ZqKSIvJddzAXPXlmfPhkK12AFWsyRFzH+etL8r86UXfNXab8+mwfuT87zAbCWZnDMZtwi/sKIha RsCKKFunqII97a65j4t1AD25fCYeMOGfJe3FWD03fGee0t9otNbX3GSG9SPET622PpA/AKdWCDNV T6gTAwpNSjBxZTQuAQgPhTUuwynFgCSfrv6H8/jsg4+YMQyPHEijF19XpsUA948PWxPsmxNlScRV S7GFYEkD32JMDjjZMSff5Kn+7EjhvsCRz3SoV7sxo62eRPfoU52vqY1FLON0kTME7qL2t6kI/lK7 3bUcXthFpChi4L5/OvoMbdK1L+3C2z43mflS51a+4yA3ZWLuL1whpoOzamMZU6czavo1xDgsVXep v+h/9qAXnJSvMPI16y7CySUEwENv87pIBCzCS6onUO7ecK7rdssLG9jba6aucGHYEjVOi2AjUDH/ rKyoW0eWlsEVTshx5VTCVcyxHlQkVcsGaT4CYehStgXGKK2jG1RXvSZ2jPlITxAu9tL84IMnLMhE iqE5x+ARJDp4slM4JV/gsD3EV5DVCHR/k/HrnAkx3tWJ3qpZb5b1Ot4/O53juMfnu+tXqawoDY/f u0LJxcT+voo/UfrieIjDRPcdkap5toiSZ2v6mDs02GErRBYP4uXkVyMDXtzykwWUvlgNhqwkIDTc 500iHdz3r6oE/3f84Na2ZYNNku0Ods3LaedtpIcvqFynPED/HMYeIaZ+zDydK0F3BTl0x8mhmrVc qyhb+GBzY2stiJccYcwOYzG9qrESpqbY4HFre6H5Uc7nf02tnjwAsw3zCpCA+6swt/h5zqxm4IDL M+bnMPVPrwbNWjldCyYgHHsCs/11WyPm32wTXqazrObILgLCT2GEyquWj8CtUqfzzZDBk9pXp9IO uGvD6wUgPkZeIZje6G/SD0aNeNbOI4iZHtn3Wr0zmlgPWwCkdVyDLPF+VWlTp77IK94Bu64KRnrK xZdnJYG7sRo7yBSdTmsd3Nu0JuPXo+W6Vijf8pfCThZtkIYXvxlFoj/U0sYp+3DlXC/DCffRFtHy HMFVvdycWeQQG2u5pryrT6f1xTMs8HruJu9IaHegh740WS2QNBDxyxilYh4Se3Dl4lOsykuC5wBy CZDwWssJx+ehYnI+xDjfegmA5uZ+9RU8iEVbZP5u8KN4BzQBj3+xZpSvdAGWbkmStkoWD/8jKXYV IQhypGGxmZx/A/OZm/nO/vWjtLDAc6OdQ+sPHfIXu5uTqcZk+G/eB4ST8M6nJhX3utASKJm2JbN6 dTx6yFiD11MYh1G2wQTKfB1MTTVEtum6uWY/2L9cckN6ovEANHjSSSCd054x9a9cvSejm/nGpbka dfUDZ8bNgtKxhfCTqGncmABZUE8bU4E9FJMV1rfVE/BDjnevK4hQVfZETvl+7dcn/P9n6YfH5mWi /vA04CkGTOFT1QFh+hlW93xOBDBbDD0gs5tEc0S+0SDRc2YTcatf9Mli3ZcLgABq+o4sF2kpq6DU rQ1HNUNZUWU9m3Eg2wy1RG8lv9tyLo5Ky+VDi1UgvMICj3AM15ntSf4kqIjCIxuP57cuyq//9OlJ p32aY0a0YH8uFpzTgKCDQb/18xMrYJdUTmtjFZBed7EnUswtEU/dO2rHfpeFbV0EDpMHuTB4d46y N5ado+WtnJdL1KAoUZbJIVBWkqhK8/Krc8/rCitumyue5PCylapXV8IRUdM0f0vebnwJbow+TfPi WlQ/X8TGfNbBSZGa1acHzZ5NRA2bxlcidFgIJZ50x38h92m7WuztGZxz7sr4KCUWxgmqUrUXx/vg XDcBN00TQdDYuPGIw6Awxy0nXmIkmAeZ4T2c7v0JuUo9zZ9nCIYWTiPsYgB4wFU0WSbpmskS5lhL N61XYYpYhx8Yyu52GVOkphLcy4J+NE3Anz+WZOXQGXdTlPr1FTF7ftK/rS/2aL4xo0liV/ldUSi+ iXpDo9T/c8viY3oMkZ8zd0Kco1EKS4tDbVPcD/Q2ZVAUz6tt2rxv1pRWo6m3wgRnh0wBkLONUrEZ rNfZzRsCBXtX0eTfrlSWDU5i7vt3Ivvwb39ABYY5LJIv9q29bzsn2jyMGDIG1dI5Nl8z0mz255lb M9ge2tFC1u8pIqj5tM0GCLBzMTWVo/kN3C/pRkDkmf/eXaXy8+ccL7D1h9Iz7F6PMZo2S0L4rXoO RMOfBkA9/M3k1eZvOieMIBnkyoZO0W36gwmLLbWRt9wwl8rAKjjN2vPrrxNv5NDJoY3FYBppswuK +9/u02x8ATH0MrvbA40D+goUKA5+bQv8+kLPRIHR5t4fCEHMCNFawuRa/gTMr9Ogl1K+a4s+1K4u +RnN9TrDgtiypYJbPaN8gCvT7TLIZw4V7MrLpTKp6dcC8jziQNtAEkpK5T7YI8yZbLXVd+tltepw DuOeeflnt5LpJb5dzR2372827b4lsLJEirVsront02O5ZwjLDxMwDjTCFPH3dmNvx8q5LCDhPH8O ZRitGIpiJcqty0mRndRKdmWb0Jws7oTFlsMheONfBhIBTAa/sgMOAdLXNn2zeiJYs1qPP7tJ+6Yi /zM0bbo3TfAyHSgjIBiba6dsfQdQDWEfm1eqaLMPr7aAVYci9ygbcLhMskLiDgmlVNbWpQXKROOg E7ENQwaCk91ZDJhEQEqW8HQO8EiunoSx8leuhDEcdq/6HUR+2mLwMPdHa1g1lUWp7xdhLaSWULSg lkNtq74JLhbrmPhpSc4fhXpK1B6354gF7AaISnVRF9MOnzvyNph4OZE/jlgktb04UnTT7ylAPFhF zVnh1kqeND5IrIyZ1JuAyYMU0m8zVm/1ogH7/Kd86bScMGsKHFLgy0Uk05/mHbrFoNEP6T3rdGyn Z3TyuXLTZ3j2fPlZljulV3kRngGqEdfb/anEqmt+8UlqxG5O+XI3N3jaQZvlK4p0TWHNBhCN5PT2 BQ1M5z4bkttZgfcN4eMQy5lOkefNLDL5G4WSkU3dykwIQM8GSo0qeoNwWQRmYCAa0jpzF4OEKEg/ VMSImE4XJ3firX0wpkzQ8L8cQV3aFL6e5IpMkKD/t+cxSMOCbD7f0kdHd39NWPAfFLgpTnN/QOzT fPzpdrLA7N6vdsSf99MpjSBOztKsbdYukj0DhXji8+vK02Yema4W2eLS5KRkxM0y11irJX5FRkFR b9kuS0Cp/WDdO5LmtdCrqvQGfBLij1N1+nBHR0wjmzKDOllOMYKvZX/z+vQA+SVwnIii/THCDgyU h+os/I8X0svvxZxbMhlX9NWG5T2ZzA6aE4pX2TBfGNwCcmEg2Hde3PeKaSeukzRhqGUrfV/1P4ZS yvbB8HXhyT+kRInQU0KH+ekyB7bFFg4ULYtbFp9gT4a7T+q7l2oehVuBj4NpPdqnrdhORtyjTcon v6c+jOlAyGfpjqRn9xzls4dZIZSfq/b4LQy7hBQ2Dc2WVs6VwwRS+cXbmwA8aY8NHVJvS5XZbrly fSFngIhAYtncTDA6kIuOqq5H8h6GVL/MF5yTN1XaGR/aAs1mdtncK2AZcZPH7arMSOm/mD5bMOaJ +evbAjQEExHoqlULrugN95CpN5EDhp5CsTrKDOkjFBxjbISxgj3aLfvueAqzXsZcqRTPFPNeTbkE PvFBh2AJ1rTVh/5UjXhviKSvz3RifzjZItp6Lg3icdFMCYQjgF9fXI25QH5srkPOJ6L1f5jW2uwU rR2wiui4cW7evb4YRqIkfPXqMdddk0VictT1EK8jLp/tBsNm0AEMT2UFS0/vQ9mJaFNzJtfYESRH wwRhYjzTwpBUNy7j+LWlevRg26oHM2D9eLBtXq3bYsqvlEnhYkChWihPJtsvp5QPIJfgEPSPjask 9RN5Eayz0KEYWHg1wvnigEyEahJivqNLD0O3PsqSELvLuZPuKAl4ZY5vSxlrmVURVZJ++kBkQjwa TJsXVxGbRWq6LESKF9k3ad35+y+moXzgUXc0EdE8ATqfztg4i88S/AT0G5coiHjgGTsTqF8wvK/M TgR21M/EoPL4QB5rXLrigcMxSwSZYAh0P6Bt73bOz29Jio4LLYsOkrOTk8aI3oz2tDu7Jag9Rr4x 37ahuoIzt51xk4RRBVbNSUhTd91BlNKfDPWW+eHmOoFyzNuSrde4rhuRlMIZ392LOIvYlXq97Fy1 +ahxd+AiZgZKQTTagppDdoJ+aTgTPRJSx/UIXi1NA5SPIw6ZPnsum1XThh/63hVXSu7WrmSP7MKd ftmiKjjYQ2YDOz1g9a8wrCc5C2DvvIMemd4vZqVF6vjihyxCs08ClO7h+xy4R/hW/jW/dl+hCFQN Sh2zW1CdsTvgeVSVjRX1rJHomqRojfom8j89l5kVIg/5O+Yha8Nyg4Tg5bHxADIcHL4z3w/9Lr81 u22GZ2n9CThIt97AkCMOWoEZjioLg5QFey22Iajj3Q0YFj03Q8gJ1HKgcvb+404v9qa79QLqpqdV L8B+5YyA/uSD81pOpWyf4MX3KHanyZRGyuTdzd99WuDI7TjO0hhYtj023kkYX6IvSVJMjZzw4AV7 F4KgXMJHGJi2iMk8vTvU5hU32l4Yj+LeHY2Mk0ovtLEGUb3h9FyAh6uRh4vrNr+gJWBniXfDqQDe 3aXqxaNF2oaqBRyduXv+VJU6PPqXvLIvY/wXQ0gNdWnYp28814F8cuCsbWoflBmuz8G18AUGL4s9 BBOKiMPJbHF+RFeVYJbT4HcaHViR8ZVUj4DUP+kfl4KYJL0q5MYQrSyLy0tHIKnRSMpW7kJbr9xy GllmaEUqAlkst3+178X8be4jyqSozHPm09Gsu8R1nu6T7daeNtMSnTGWnnVj2uMPF/STcXatwziF FGkwGO8aa4+BQpwagvKJA/n3aM0TE5DvlE3E9x8No+fAZrq3OK5g88rVnBye7nww0RUmISGpxk70 t9th8JxUfsB21gU3elyP1KyMW3gu87tH/pAEjsqedSNPu4gC2z/QqU45aDuaUfPYjUunw5ouHOTC Et5+GinI5WkK+8mfFNJFBpiTbTbNBYYpCFsimmvVf1jyvVEZbCcwvNfnsiAaO/aH7opMQoHCWYYe cC40ip10UqW37Kw+54LnAxHZiFZN3OgtYPA+TGR3+d0szK14YI4CZaccadO94UaRzoUCeP2JUFJ5 9SkdxR4jZTsglD1Ls5kcfa5rkb+UybM9r59mJYafhpGZJ0GQTOmHmwHSr5MMqyQ3GdT0udku1rvk 1dlzp/yK7Be91VbOxONOhK6NLp2T9hIhqjtEAHMLGO/kgnEn2ICL51C2S0KnCKUqW5IVfFu+Aq0P /HIL34srOHcwQIZ81zyADXIIBzPz9CdUTDl/KTd/ByewH1MiP2trG4bqQQ4EmQchYyGs1A4shOul 1XR10/tkpEXMWf2PKRCBfndL/kGbeMLHrvRH7in9WWtfDRMLEcEWlOWUBMiVvt6HqLthIwNTFdM6 0EjB/raQm44AFpoGKnX5DqZTTMqX7tqaAmySxjxzhNaeHBSCvSvhtaYDb5/3burG2dl8ZilH+NB5 meDWbe6g6NgG5kBK16pLZGlxfDdnpm2isoH9TqXIjudDtNVQsspAgZh3A1hgasTzzxcRajSdWWMT 3MggwK3/jeJsUFaoJVQXfraDmq8tCmfi1bQdj8sJafa0QlhGnEYKIvPeDKGK6rwCt6hbdjKiACJK CbAFtqQtUWaj23voBw/fzkq3nu1C9RcHe1FHUrDmcZqLb7t259bWg9V94SQ1ID/Hsnwv64ihdNbj qHWsKUuYMpcZEPhJt8jIOYfX83MrKr5UiNgb3u5ZV8k8fEw0Am+MsDX+K1KogaetBwkmCZDunKmx n7Dk+fcPtH+FhZKQTpz1lQCOJAv+pI7kT8Vw2LqIbD8jdZF589i7aUh8UQSY1BPGm+9LJLcIgi1c H3VQAAjopldmN3o/sO/mlvcVPQ10ibd+VZyCjfsF9pNU+f8709PcIYpNRAuBxC4tsmcWAchoFRdg 6sHugGclIiE/Gptt3xs5JVciaXT3YQNRcgOx0WtGjKl7AhDBW1yHtdceoosrjPtYfuSt9kcS7Pbo jfFFe/BwUeWiPxO8GjTEQ66ep8KWBy/QCIxNLboAfYopxpegQAfBRVsm4qJMtSmfZCMEi1wL5iHX MnNjPR+9HKE6g6ccKSvB7knnu5YIN0Zz5ju30DMTQhPTW3fF9gJkh+0wfG5mC1MYSVjzAuICtN8A C3DUJmcp0rxJu+fcM+sxTNzobQnftQyjc2wlwiOXicc2FWYFlB4as5z7WdCsiWQAinGuIxVYcOai nLh9fCabveFgkJAqAJS2IFmxg0QJmBm4Hw/V+55kC456do0PueFvkkQwptX6KbGLKLi2JWhYDSN+ aqlceA3xfop9N8O+P3Xc1rFKePdisl5l5XHQ0r/IHE5m2rHVRi5M0KEnRHE4K0gWcqkNFamip0ID ohGGsNOFwXs0cs4ZgEGMsU3EngCbVYHOh7MfgstyRzrnuq1vHT/ZnGetu/6JlJn9pdFYyqnMTVO7 HrCWnwcyXVSIV6guGHiKngVl8IOgucPQUwlqiqw0fMirmelIGyO0nQgWGCGerXC7EjMd9Iq9mf0y W8bL89QDE5AWj+wzwpd4LC2wayT33P5/EDBTRggCMlMJvrJFiJObfZ1VgMWQCdgp5WkxZuzpIN9Z qAe5FjAZK2dzXfhHCaospzVzCZkn6oIgGiTOtecW6PFHEpYQ/t5fdWUsJwDm0+xNdzyGqNToS35h 1DdRy9YCUybitmIRkLS2jcjh3UbE9s1ESp9yJLPkbFUXFyPdNkgQBGhXMq5LA+vYC8ywb1Gata9g 1Esdf2pETQtAq8J1Ube8Ea0gAo/0F4P+XHYitssxa5wZBNjYt83w+hNrBNGqWiDEEDDElM5P9RNM Nqs4PLDI7SigvdDFrcfUUo7n0rKifPNREg9dWM6ALnWznWzZq0IWScHm62bds01YIrC5hhMosuf+ StWPrnaXSrkWDBxnyQXxgK8sAr8cB/euv8DOm++S7+DCAYK7tMm+CgM/WICwfbjZVMccJAispSZM nnJD9tYqw4fpLahRKeQmJ1zUad3l+onWlg6E1JxyNaFGqbNv1jeJXfpiq3fzo+/H3ws9PF/stt4K td8eY1x0cukLIM1lDqrLrGnwE0sIbVTdNEVo2X6uGoEGR9xvp7FoHpWAo9r3pGKuytPGwFVuIv9a e2EMI97s7PnqS4ubhU/LipvU6Tmv+ylzp9STP1iBSb93FEKJ3xMW45X1+3+HrC3cGpYuiklq/xb/ Nf9CzFh+B5s0pRSunVNrcwIsOxmV6bah+532LycEV6+nNkjHoyvST5i4Iyr2bDMUPPmZT/m+Bs5K 2dh8lPhx3X/jVlW6TzgEx/mwdAyvQ95L0hu9kqj2MEFYn83k0aDubcMRQXRJnAK3hwb3fc2+7L0Y GB3yV5tOkTmCaKvm0mgD9b+t7ZsLm4AfP9L2kEcyWp97HKHrFpbvTS4UzfEHAjWggSl0/5japsWs 3rn4PpQ5SANeDgBTZqaXdbVjsVMq8XtaSztI2Tlpc6UFyeQyLmiIi/MAxXBoYY4ZLWef7bFfpKIT d/8yhrC22xrRaG4smMc81z/khkgkWlxmWZFNmdafOROnWoZd0aXiWWzrLEq1OJxTAKRKsrAQ4ZdZ GzdeJgqOlYHGlOE3XOfR8D3ky3HvH/tBNbQakqDUCvO5RJLG+JAdjInsZ5Z7BDiNwnhPsHzqbk5B nks+h5pBxiVviDGxAW5WVtH2hnHKUspTS61LyloxzLxLJgOfdU6YdpKwkyDUq7psgHP4cRjIkES2 WTsQLcufJZJQ8IdlInqTmiHzcIDw1LhHzcq1sA6bDwFquIjh3HlCDy6kAmbRWJAOzOutdOalnFpZ qd3PJMsNn7AVN6DN4xRoOGg0AnaY6JxnNA4/Gs/rHIM+bXhDivzOmjXPSxiR1iS/BxQx2Kp0W2LH qRQybytqN6W9CHp8BQEsZRggFasH4blKMa88X4VWZchjMHh12KBDYRouLBP+92McnwqqWM7Hap3s EyxHYqlav+CISA6hWrmCWU5s+Xi3s1ZUxmBVKVuNQMoIqe8SV1+dmtkb+Sm7LDZV6zz+jzez/idT JqApAuIB1Rm308o3cmKjfOaLXLURYum2GDoEAJoWJgeNt8bQyqAVDXZ5WYfxCQg09ZndKLCOtxRo HqY6Cib4xfJ+wNNUgHSlymF1mch8RismgLKyidHZGypICjx1+9/R1R/pPUc3XI9A2a/d56gq8W1o +aqCVejI8TN8oIcW9WJmti29cXO/Jp6msDCEeHhKzgAl++3/sufUaK+ANcSseajKcn2i/67vFdF0 xrC1hJnEy7r8QynRM4boln4ziKR7p4kRxNokLetaeATSB/g6HfEvLptDq+5igXqzydQasxZ15TjK fGniXKRcxl84NU7w0JHoYcETJffzI2QC5u1JAegs3VjtUZWYQXSnGr0p9w0y6I0eOlY5TvD2N0RB +9/gwmrceWbTCaOORzkzFEzid72nIZXCokFE1J7OXlZio3uemw8KjOOn/gf+02RQ/Sio09+BL1mm hwhfoFL5rJGRZuQ+b1l4jaXhACp4ZKZzC7j9Zs1a6x87EP4fwBYGYu4q/urOoPGjz+7jRlMEIXbM E4HB6ewzhApmzo9L71t+XTpKhKs4IT69Usy45ZfjSykdsnHrZaVWgLSAenbwtCJec0V4DNZTUgrU UH6B37bBp/b5/lR+yirUpq8gq3rDhXnzsJzhl7ImWf9mFb3HfD3yquHgZI8RzmPDyw0cd5QIgar0 LxiCqf5TSpuXR4wzZTz4/CPyW0f0lgul3LaYwYKgIMt0bOhCyxLfbu3r+Aunghyp6VKQHomIYkH8 Gnp0tphSDaEz22ou5qvzJ/o2Z3Fu3Dar/vmfmhpNQvOTw715WTjUOr0Vvze0Ows57cMJ2GXOi/ni ztRXgaLo6vRLz/I680pHGNrqQUGwf2faSYJrI+Is7DyhHGqfYKn+1DEl+GOAZ4y/10HKLrQ6GUG3 xrYmTBTw5FTQ7tGoWzyanDM8Hc7O+O0dKCNeWanp9/WHl/cxVdrSIOG0wZ8ohE31coTsWefTG6rx tqs/XwHSoRjw8M2XPIu0fOBGgl/uKxavvNaTyH7KGpnhbgq2smvOWKP+JEc3gEWGxaUNYaXFL88N ojsmkgrS8Bnph6VssD5znJZxgItwwHqzjLBQ6HslE2bB0bNoXWX12qAyT/pGvMs6mk6a7WFsUtx9 Jr1lJXX8Cw3cIlfHIjQBctt9PFjU+caJz1uNN2iAc7WwokBb73P7cQGgEE6BZh5ltJtAPUWfChtZ z9co5c8USRzlKh0649klmKxOykFF762iYuvafKWpKNdWQ/UBDtYl4GtIv7v/xVmUZrcNxV6+DHGH fUC+75O+MSkkwJD1M6jGGdM18gxqKFR7YaQRVA6cK+kBLc7lXAJcu0CehR55b7tgdxPbpZMGt06F H/aypsSAFonn2MRbn9kNueQgw3dVo35FtPH1xJbc5JB1Ldig/a4d+yXj6kHliHSLlhtl+I5SyIV6 9NNXHOC3B1CoVbZ2xkgykRA42qX3dSwVdVUotrgDZNb/p3vCsKaQ+h1Gqx0DhflvlRhjIoAa5gea YfBy8J84xNAfSnVbpAQKE/9k5BPDQrXx65e8InKUj2b3rAS8o0rZ64cq1eVyi7aOI7s5yjTPd9k5 sbsxmJqShf0RSlJcFyr7HL4fz7B3fPXip7mBmGwbDqAXcfZTNBtwZtfOw5Lxlvz207bGBfis74zq sQnGoONkY6w7IygvENV71UyJxSLQ2Dgm5GE8uhFM11gW+zYbUfe5LauSPQsOcfxahIJySLJ4k2zP Br87WnizJ8yGbgJlgxn33ikVc9r8lSyRa90rYjExr4BhAlsxtw29vVDXdcKjai0IXJ2D+EF9AWNY Cq9aKTySrwTkkv+D8cUrdm6wFLoS6Pi61WmeChMY5DEOYO3pCkkBMwEMTDIodlL2W6piTFYtsCIx j/eI/s7tJeJvPNqkiMXk598Oe8Py3akihVm7Smc7VJM8uCvx6jBKX6YqHrka7rm2bJsmqlsMp502 a0Z1CWyCP8/PAv7ynABEHDeP390gWU3OOvCWsK1pRWVxpBod3VXh4kE2Z/HPpzx5EGJJOIv2rf4D hJwwuCLQpzl0Csipzkg4Fh+RNBT0UzJJMmKcDEe5rpWAxY/n6Otmu6vTY/JJ3zmtS3oDTXzqrRnj VtoZZx6ZBauLdpRS+dFHf2YeDyy2AAj+0pPQkviKI0zgdAJxExXYWno/egivgBQm0qCtwMDlICpy u3iEwnE9/Ad7/1s0NTOeSz0fc6wp+Gq9fb0Y0B4W2bind2BuBNQJHL8cspj32IAfWImUODLftXw0 GhMF+iog3YAdSQrFWT4B2n+0gH3dcVNKDpfEFbLLXMAqSm8ud/sLJZz2+3PJlhAgFvGDZuJ5YzBZ QfeGzdefAYYIbNUtqqwLxU3pPxt3Db0LOKQfj1nVeX7H1afii+bM7PeERBErXEnJnOHFtX//GT70 TNLcpHJbKXQGxdVXbzon/S7FyHsDxiaXvil2veZiIoTtVir2ib3pzjX2X4/Df9zP9Zcq+brt+aFw ma8TZkg/e7CQj/rVY8Mj3uolmU81u4LGS3hsluY8/lilXPShypkcb9RUVmAfVc86e/2rwEFCR57X RB/kwHzy2QTSdAIEz2tBBMCcyGpz2Q7ceCPA/RFE7sfJdxMJYEL9nneggmEFq9tsIRPeQJvhPKcj 2GOrg24KMywZqRMD50z9MPIT8wKA9HCy3FSPNzLTKLVLOKOTIuY9PX0eOEVTgQG6YlSQGtH3wRA9 0xEVtE6Zu0Runao2q0wrArJszXbcePo7ULfG1ksoa32/grSENZfFQZR+NV52V+kj0QAKYlFql/tf 480X26uYC3tXenAjc3JZ+g0kz9GaVDspFqQRhZgHLrH1dJbo2Tq1yZfFIMWaFNOfnJy0Qs1QRkUg tjcI1xYXAoCgJrhBbTmZ0cPh82JwQ52v060CuHeiV4ngI6vM6WT3nVpdaujw6skYtT5HcrE12mvC Ft9HEn+dLrIQCEcrP2Qp/7DdwnPrwBgAt4CmvFaR5r4Ucbtw0PN43Wgs7fL6vRgCrwXZscjcdwhl dMx5tKGS6EWMMrZzRpmkR6u8ku5BriDXcCCpgNOOkm8WBzsPnlGS31LiAwp8qCqZa/YbHVmhf6lR GaWFjqm8hreRMDQj90p9SS+gtzi65+y9rgdsBvzPlfF4AyFwAsR7QKBDTzGplMoANbLaI4LBQUzf tISIYvUUDYgEdBmF/GoC7zydyH8y+ye1AB2yTdNFEZbIRP7QnUNwZbeA8ZwWELXReEpDhvj8SHJo VwHIIQ7id8wKzF6RN8//lf+KUbdKvS5yw+nRY9MGuljm83FytmfD1D2bk85qyeJQrRLwQu7E2qWT GmStaOWQqT7FOpz0EomUAIRkSvrB64FplyDAjy/hM+gdoX5D7iJv/lvfhwlhusEYYMBcc1B6+x49 mSpUCbDrW/T5IoUVnJMbghhAs8GhuDBzje3VOnXB6r2MWlw/buWzhNBoWA91PSfxMqYtgLpyu6oo CUYxRUhQTNd1fOLEl1kn1Bn3C1RDRM2y/YgtrOuAnxxAOU+8FIqmUl8hoPGDq34es8qKCeDfnX6k FW5cjrmAAVXN6AgFwD5Nsfr9mv3vz616r9v5YjdprucG5iFzL/xDD1oa6ZUKslWpdhuaVWXMrA0F NEAsqhOKfZ+v2BewK8KOOntMKGDfrKkTxvAJ6kyVFmZXPGfjHt0n3xDZAngObBWSktTnIwwpVWsr K/fIUFz2lOginUmj04XVlKKSFwIi1RngvTNjPqUna6ERPmzZVYCq4luYuBS4VazuenjCEmOIMzqr PGEjWdqItieAIH9TW+Qez6/93GNIq/wEAgiwWNgRnI1OlnXt4U14XLN+mey/P/+0tWSTJ4G25OZb BT13DuF07mtyDAaENBiImbv3oPsZ+CQlP08rKZv6Fst6GcimmY+zuTlgzVrX3YPMjnMXjp4FMJS9 c4XI97xeykCXiDSYfC5+O3pyWvocp/M2ZxRxniawH4DLWogL/VYaHlv8bPKS3ftloF6j/rup/JKc UdY38jRB0ET9IEhq0ItUYP9K1yGm8A7dhRLSOWcb1uv4FD4a1vB4JxdLm3NpXqdL2BByxHDDQt8u sbrqnHJn3V/U15ETg4ufJzag1S4VStOuS1D2Ey913jqgiTzXejN+KQFgQyn8ftUhYBQmrCJP0zct Dqh3Ga6UD6HWik2lIKrwmDhepRYOL6GQSbOXrOaq5/lSxbYDmxhK6jxjsiC1h3FB7vHtHizvLdoV qKI97P8BnFzgnLJaXiBee/Cwp9GI5ymbbZNqzQwrOILqpu9pmyRL/HRcHp3gm3ELnx8knHW9CWwF PrdaGZer7iVqpgjy/TM+TrCNJfEmzUGRx3zgzPx5rPGjkwghXGuDjPYKrwKlkC2GYIqxpTt03eB9 bV6JS37/nOHhJUmPLB0oCFBmM7ZqXpt1Tc8NS64UAGHcQebSzS6Ympm3pOGksdWpU7katPNanKue zT6NFSkadigg3TusZ/XYXM0O7B5c2x1aLQHUQps0Kg8vihxbcnXeztnsO8AA8Vr1cxYMmjO/3bWq gdx+P9CcR5XgbuxL7LScjzFWBcb0Y+8BDLyps43nPCGSR+OtWZ0QR/b05F6W+zsgjBgb8PZLw84J mOhnSIjyQDuylz0ZAiQFJKqeOv8Yo/HKFbqqBz6gU5aBjwu0CJ9h0nnUiJzDPdAk0yfrDucPhsEI C7bYBoX6hTvrzAS849pHiHlMP1tbIHjNXUyBFXA82VzYmV0awxN+YZGNxecnY/bqSFkXdBtqcTYi XRYvepmeEvngMG8inaboWjV0yA7chnWIRjl4xT6mjJOne3tmcEOoq3wQhQPf/945s26xaqOvEkcp Zemg7cV2oGDYJZZ0Bk4vB8dsUTi8bWgrdDL2arKvQNOd6BddemCPrcbtKB2wby3eC3+3/H+UOI97 W2+B1zMUpVOGOMY1SGq8oNpSD1ckJ/4QZp+/6OEr0nB2/JuOOkm+qhU3OKHrcnEupI3/ux6aVaki OQ67Iwg4GTAJ0XhRYUbKbnc/qCnx55P54f++5weXc6BwmDI5n7I6lSb9eu6Ta6FXoL61s+me+hPe d3+DVL+DOcAo5E9MrBzi+P9AQvjzHNb45W5fXmmb64nJyszIvnhcCwmTXE6sguYdKAGDeLGp9bA7 NZQyjVjt4wk6OBK92+RbB01FPDGJSDZQJcr9OXsnH4/w+VgEonCHy0lHKHia5gdWETNfa35Ygmip cu1Lu26ExgJEqvwvrzEsaxM64s92nP31fu41HgBsInaKeBAcmx95WPe9zFuTNzDfKRHi/bkvyi9F 5UlO8wh8lBJNQqQp51+oWlisY7hMYU9vdWLXJ5KPIPfrnK9zeRaqOoss9UfttBGcPUdom+sKGa9x rElgsxE1qdiBMasI+ooCriUe0KiCaUVJNVmMSaYc0SXSUuGW1iXiSYjlr4GridLNGGXpS5SXokKI i8lu6u1Ay87D7jvX83r4lWs06FxP5XEOeXVA7f+cY5SGmmK4G5jh3aBeuHpx3VsnW6qj8100cqHI 1HcCmOZURjHRaylCnOUb1r7VfYxMTOlt5Ryd1ulDrPWQQAenV0Lf0tNMeidJrvW3JivJuqyd+SuA l+4WEbt5JKirMW5G3vR+z+NIaLaQVM43t7OCm3UiE8pDRPUid4SuQL9TlUsQl2Tx46aUTcE9sznL VAZn0+P/04gGxvbf/i250+TGMkcCtmH40C4vQ0YEw9oDmUuRp4te5BMsoy9ssV/hlsGtffNdJkdM lZvFZD87Eqh2MfYXRuh0Ys8mxR1NFM8UoQ6Ae9BYicBnrl3GfVlYQGU0yZek5oh0OIO8Veu7yZNT yMX1CkCxPffgw0jP8toKIibqTszuxrYbzjwFIgcW5Te5r2PbqPFkCh303T3QBfXw5FAGJikBcKsS DWPmhEoJDEBNw/Ysd4ItEIBogeNKq4C7LR5t6tw60LnX6KyhPJcxhP6U6Aagw5b5fPkeEX1seUob GJdx6bDQlBahYYiJZ/GRS6kg1CxhHB+Bw8F6ZqRRZ7aDhB0wWdljHshx67zoXVFNRXs/nZ7GYh29 9RwxOweIfCQxiLw0byTIAPEXtEv/AEgqjQps+UuF0IoHlI01m2ITpXTTX+i4dx2jIq6GPjtRMI5W DCVGN6yVHJpged5B1YkuIAswi5QYxogf+6yMvw47jWxbIrvoptNi7IhVl/+7EOcQrrM4Lrsd6Yg2 aYYqAHJF8QiT7Y56m2eLQ8ivRUypy+zJtqGEvSXT3FU4ryW+kLVpWJxoxkpkTXSZbrTaT/rrJ/CQ xt5HLq0QikjLdy3jRQzfqmXQdXOVLfp/528L4M3ahy4zYyLlycqZNgzt25RhEsWRwP5asOsRSio0 Le+1KtPDSThXUvlcKT9zIdaj9mTR0MqTCopUaaUnifS/a/f986SFQ/586SAa7FMVDZIAQ0pRAJBm ZO8DqZdiyh9cQErJgBmKki9PHUmgRjoeFqGOftTujhkjBnxzb15k4PJ8QyUUcA2oWwzppRoYm3Nz 43YvrOXIlk3dd9SEBqeLG/buEk/fKR9zPzLosnKr2ezmjP/Oco0ZzzSGk4n2rO62S2k1QHbfiPta 9yktQ+54oGCJf7DoRlYHdUefoqw/xCI+YjrLdiOVzWK8kbQQ+J24k2PqBkhb31rrzya0LDqH/NMt R/YtDtSO6qEHg/W92Rza1fnlFBqy2rdV0tXmapbuXZPYAXF3dLIlZMwpBaOvxfs0f1ZXZAleksTY 5LlwuZnPZznsGA9HuDKU0KWZzHA+cjabuwpu1XRq1SEs5xOiCleL9K95pdsyl+T/dSlFvTQ+0J3K y4sf3l843cHoam4PJpTO8DHx7nYhf7PttlB9H5hS4cB+AxCQe47u4tEqrUPYklszC03Dh5lgqkI/ kQ+uFqbJqpzEPM+LH/LjTggbtQWtAkbMq4SVy6ccrQ+d0zcwScorRQuE+4WUoPqZl/7/QQdi/XRY 4Df2SP+ncKrMOyppWrh9snviL6H0Oqjmf+fIb/vvwmRqR7TuAiPNzKeVGeYvXauLCcXjltd1GAc9 X5GQp9BxRKz0HgFxyNJxC7NFCsGKc4uazrzb/iv1jt8nszgTHk4GXC3mK8ejPf5VBWYm50VI/xz4 pRGFoHhgWL/4veiVnpba9KvqGo8+GIwfgDG2Nltg0EEJj9etMmHxm5mkVP7lrddL1AzbRpU9Sbsh 0qQU2EMGYkV2L028MM3h99RzDgTyOXETD7hmAbnZaFLRmudQTWKTn1tXE674B/MV864rSnl2qtL1 nt3o30X5QQ35Q64p8NqXVr2OhX40sfOXwTq8ymCdsjNF3+ooxM3rrq4TN3lqp9cqEtH7TOs2Vr9I EriVEy5sIxB6M+pdhZAUr6O265RkJnOrNnXmMC58pC8PRG2S8WnCNtlB45hqAJAxwrppp/QiiZ/t 23L+dDB/sP9S2iZKF1n8YV9v3ASkdRZGpng1x3I+DonHltwffWjuzZHTwJ0BP5eVS0m/gi+Kr0QT 00ZvYe9E+vbqtXJ8bzFbasGDUPyvHGfgwXyvCARXsX02vhk16rlhRH8hxA47ZcSb2bY6zVffy5GQ jteZcAub151Ay/60xyE1Bx0bTYO57jPAcPh+HXbhp4vHXf2H9GjXfRAwkfMwJkw8GcgKusNloFH9 68O4uC3RkT6iZX/FL9rizbmO7Qu8YeZm0Fq6FbDS1q3U8PDnQY7omeX85eTXd/VBYO0YjrHP1zwJ gI3547P5SQbI66EUdvI6uYqtNre+bVXxbXd0vTp3mHjTkXrVS3XrEEZd/xcFvxEy4wxGNTv7S2dh Hr2GBvTbG//M4e0ldN8PA+KtJQ2v4tqPu0ylgWu0dLFDjwfl4kKbtuUwxg0jKeVuxHJ4nv8Xti2w Lbu8MCqps8XYN9+8RxUxrusz1cB0l+iLo+1fJehshMnQBR41zTRIPEU7CJYNyVQrAWl0a05Fh63r RwXG5YyHXQBupi6oFSrAq3VMzCDclwLAYuUDjFuKFdwQW3Mtyx+wa8nQdisxhozA9g/SNobD2Hfp xgclhuX4dJ1k2Wo5BHVXBQgeWTikS4ZntKigsRgKQA2Ck7XFHu9a1vcDO9Ii6spUx+ar+YtmfZ2k FJSNDLXir6a2wUegy1yyyYJgW8HEuqnyo9TInRSrxk6hvF+D3vZ+plvVwmR8GpHNCxvaVvEIITT6 1REWfUko8RlwS+GIIgCEHxyMtq7ENGhaqbFqvbN0eKbtwn+AIaytUQ000+ncrk6AWWqc0ERXFrxl 1MvgR3LFsJBn6qmb1bXq/Q9HMN6UIpT2CZ65vnii3Og949XH0YCXYcE27jIUJsOjYRnpee5rvfLs jO6TGBegb7Efb4oloEzLLnIWUQTtGBiTPkYdbJo7OM+hRRFKB+c0Z6E3brv3Vsc6g6inb5pGbyt+ eBJxyAHrjatCY+WYG2yn89hGPGQIKOS249c1uexbJqbjwWzoBvrTnCIOzMMwZLcIqlbNkYEKMyyH SUQ5+JulFW+ugKvyoXfkqSnoUyWthH4cMtqduJ88wmyMtLzEpAzXkYESkfdXmoJmXwghSvP/ypMM S+iBD8A5Rt7vCQNrsHC9+68tKGEp4yn6pWc8/JFY5099s4wQOq4pxNitOWO2CWaVWzX3OA8yKx9q KEJ0XBeUI/YFb8VYRdsuT+pq+QDtr/uax9LtMCTYnwn/bltuNFyIFz2JjQ3M59YUgAPl61wVCTKz Q8z3tjmoKXyaPfuLSBQC3eC/i5yvu261/KSEtPaeJATVdrARmuNbEV73nA2EIKAJF3Wz8Hdt3BMf ymjhY9G1j1kY9x3NcboLKpPatLzEzUuBHfHC5AY6AxJZGXBGM+SaEWmcgeG2qZK+5cm4WDoKatE2 +wQGKKqKpwZD5RRqRu6syWL8sRGjM5WVX27MuCYJs1e3kR6PuGLhjHvz8Ceia7chTOvH/4qsqMKU OBfTic1H2KjyDYlhBnIUoWleIBPJlORYFZUu6luZiCvUsOgXWCrmuJp7P3Vpb+ulwmIjGfkQlyWx bmCW3QxXVIIC8dfJ7aa1DK/MtIl+kOZ299rcdX+DB18NrLdC7Fbwz+dBbftPSlwdIR8SGXAGGN0F NcN5JnYYXzAA1/+19mTgW5IYaWld5Sdt72JgV4GuhyZPXYDBKsxB4c2PPMWiEAVEhbGV+23hSOTp /YlmaMUOl0l/yruGXyEm0UVPAV0r+KGVh17dP61dEBorPKHXJXmv6ILNySKiawnvtY2W2w24sNx1 rPOLq6ZOdAOn2z16bXjzU2eHZmWYetTBya0XyINAw89l/J9t1WuLLkiiqOfKQAIVzX7syseAP6OZ dMRcEND1NvflcxKIMYRDv/H0Y1CrV5JPlkobD5hVKSFgK3Oh351q+qxCIdXny5ATu4K7nbwJlPX6 D9kcq/gLjTr+d6VBAvy998WN4iyO48mpz01mYrZGtM73qQT63VNW2ViKwN05Tea84r2/yZVN8BBq GGAAzUOaMhk8lOSd6qx+D4O8xk4dXUMJnnZpclYnDLDfe/2aLBrAl/8juxCbsWlFSlZZik8+VXGT yHV0d8GrXKcxwKGWmS+HKHPoxvpDGGS8W6tl+6cso3vaHdDoPkh6Lf6TzZ1180NPOs6YF4QsMmy/ Zj1hUA3e2j8y0UkujiTIrHXIHxjUKoDsijEICmV+awLlg/z5Qo+mZBUCPRnOBwlViGRKu/4wGHyt nbG3XxK6mO5UMYe579mvRuKva9qh96GAeUJE8C6ANq5ruibzTrXBiXGF3O9VcxcFswNsvqMJNS5u ERpbdJWg+KQauB08yiU/jxWD2jcz3RAHzRDNbPpkAHURzX9ljnPHFWmJieDM7mLI6N2MPAVgu0mb nmDS0e6YWjxnqwo8WUDCpY7SYbImtr0zSdMgFufFi6QC/WdkLt0CCkRryVRk5WL6AKT5nTWRDjW2 NhcvBenq+GgSlbEHiJwX+e8pBcPJIO/FV6xBVF+qSCnMgyCawEvYEW3D492PLu44gA4aWh0xcmd5 mORXYKmNgBzrMptaWpD/PLQ8eOQ23oq5/ncac9bJaOUqN6g+TdsXwyr5dDN51d0mJNsOj6auvVLz +dJR9X+hGYg2bWdpthLXUZ+yDe0TX7m6nQpwNl+9EBGnZeFgZiuOCpb2 `protect end_protected
mit
a8f5fbbe4b4719a6cdc7260cedf89546
0.953604
1.811633
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/lib/gaisler/jtag/jtagcom2.vhd
1
9,301
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: jtagcom -- File: jtagcom.vhd -- Author: Magnus Hjorth - Aeroflex Gaisler -- Description: JTAG Debug Interface with AHB master interface -- Redesigned to work for TCK both slower and faster than AHB ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library gaisler; use gaisler.libjtagcom.all; use gaisler.misc.all; entity jtagcom2 is generic ( gatetech: integer := 0; isel : integer range 0 to 1 := 0; ainst : integer range 0 to 255 := 2; dinst : integer range 0 to 255 := 3); port ( rst : in std_ulogic; clk : in std_ulogic; tapo : in tap_out_type; tapi : out tap_in_type; dmao : in ahb_dma_out_type; dmai : out ahb_dma_in_type; tckp : in std_ulogic; tckn : in std_ulogic; trst : in std_ulogic ); attribute sync_set_reset of rst : signal is "true"; end; architecture rtl of jtagcom2 is constant ADDBITS : integer := 10; constant NOCMP : boolean := (isel /= 0); type tckpreg_type is record addr : std_logic_vector(34 downto 0); datashft : std_logic_vector(32 downto 0); done_sync : std_ulogic; prun : std_ulogic; inshift : std_ulogic; holdn : std_ulogic; end record; type tcknreg_type is record run: std_ulogic; done_sync1: std_ulogic; qual_rdata: std_ulogic; addrlo : std_logic_vector(ADDBITS-1 downto 2); data : std_logic_vector(32 downto 0); end record; type ahbreg_type is record run_sync: std_logic_vector(1 downto 0); qual_dreg: std_ulogic; qual_areg: std_ulogic; areg: std_logic_vector(34 downto 0); dreg: std_logic_vector(31 downto 0); done: std_ulogic; dmastart: std_ulogic; wdone: std_ulogic; end record; signal ar, arin : ahbreg_type; signal tpr, tprin: tckpreg_type; signal tnr, tnrin: tcknreg_type; signal qual_rdata, rdataq: std_logic_vector(31 downto 0); signal qual_dreg, dregq: std_logic_vector(31 downto 0); signal qual_areg, aregqin, aregq: std_logic_vector(34 downto 0); attribute syn_keep: boolean; attribute syn_keep of rdataq : signal is true; attribute syn_keep of dregq : signal is true; attribute syn_keep of aregq : signal is true; begin rdqgen: for x in 31 downto 0 generate rdq: grnand2 generic map (tech => gatetech) port map (ar.dreg(x), qual_rdata(x), rdataq(x)); end generate; dqgen: for x in 31 downto 0 generate dq: grnand2 generic map (tech => gatetech) port map (tnr.data(x), qual_dreg(x), dregq(x)); end generate; aregqin <= tpr.addr(34 downto ADDBITS) & tnr.addrlo(ADDBITS-1 downto 2) & tpr.addr(1 downto 0); aqgen: for x in 34 downto 0 generate aq: grnand2 generic map (tech => gatetech) port map (aregqin(x), qual_areg(x), aregq(x)); end generate; comb : process (rst, ar, tapo, dmao, tpr, tnr, aregq, dregq, rdataq) variable av : ahbreg_type; variable tpv : tckpreg_type; variable tnv : tcknreg_type; variable vdmai : ahb_dma_in_type; variable asel, dsel : std_ulogic; variable vtapi : tap_in_type; variable write, seq : std_ulogic; begin av := ar; tpv := tpr; tnv := tnr; --------------------------------------------------------------------------- -- TCK side logic --------------------------------------------------------------------------- if NOCMP then asel := tapo.asel; dsel := tapo.dsel; else if tapo.inst = conv_std_logic_vector(ainst, 8) then asel := '1'; else asel := '0'; end if; if tapo.inst = conv_std_logic_vector(dinst, 8) then dsel := '1'; else dsel := '0'; end if; end if; vtapi.en := asel or dsel; vtapi.tdo:=tpr.addr(0); if dsel='1' then vtapi.tdo:=tpr.datashft(0) and tpr.holdn; end if; write := tpr.addr(34); seq := tpr.datashft(32); -- Sync regs using alternating phases tnv.done_sync1 := ar.done; tpv.done_sync := tnr.done_sync1; -- Data CDC qual_rdata <= (others => tnr.qual_rdata); if tnr.qual_rdata='1' then tpv.datashft(32 downto 0) := '1' & (not rdataq); end if; if tapo.capt='1' then tpv.addr(ADDBITS-1 downto 2) := tnr.addrlo; end if; -- Track whether we're in the middle of shifting if tapo.shift='1' then tpv.inshift:='1'; end if; if tapo.upd='1' then tpv.inshift:='0'; end if; if tapo.shift='1' then if asel = '1' and tpr.prun='0' then tpv.addr(34 downto 0) := tapo.tdi & tpr.addr(34 downto 1); end if; if dsel = '1' and tpr.holdn='1' then tpv.datashft(32 downto 0) := tapo.tdi & tpr.datashft(32 downto 1); end if; end if; if tnr.run='0' then tpv.holdn:='1'; end if; tpv.prun := tnr.run; if tpr.prun='0' then tnv.qual_rdata := '0'; if tapo.shift='0' and tapo.upd = '1' then if asel='1' then tnv.addrlo := tpr.addr(ADDBITS-1 downto 2); end if; if dsel='1' then tnv.data := tpr.datashft; end if; if (asel and not write) = '1' then tpv.holdn := '0'; tnv.run := '1'; end if; if (dsel and (write or (not write and seq))) = '1' then tnv.run := '1'; if (seq and not write) = '1' then tnv.addrlo := tnr.addrlo + 1; tpv.holdn := '0'; end if; end if; end if; else if tpr.done_sync='1' and (tpv.inshift='0' or write='1') then tnv.run := '0'; if write='0' then tnv.qual_rdata := '1'; end if; if (write and tnr.data(32)) = '1' then tnv.addrlo := tnr.addrlo + 1; end if; end if; end if; if tapo.reset='1' then tpv.inshift := '0'; tnv.run := '0'; end if; --------------------------------------------------------------------------- -- AHB side logic --------------------------------------------------------------------------- -- Sync regs and CDC transfer av.run_sync := tnr.run & ar.run_sync(1); qual_dreg <= (others => ar.qual_dreg); if ar.qual_dreg='1' then av.dreg:=not dregq; end if; qual_areg <= (others => ar.qual_areg); if ar.qual_areg='1' then av.areg:=not aregq; end if; vdmai.address := ar.areg(31 downto 0); vdmai.wdata := ahbdrivedata(ar.dreg(31 downto 0)); vdmai.start := '0'; vdmai.burst := '0'; vdmai.write := ar.areg(34); vdmai.busy := '0'; vdmai.irq := '0'; vdmai.size := '0' & ar.areg(33 downto 32); av.qual_dreg := '0'; av.qual_areg := '0'; vdmai.start := '0'; if ar.dmastart='1' then if dmao.active='1' then if dmao.ready='1' then av.dreg := ahbreadword(dmao.rdata); if ar.areg(34)='0' then av.done := '1'; end if; av.dmastart := '0'; end if; else vdmai.start := '1'; if ar.areg(34)='1' and ar.wdone='0' then av.done := '1'; av.wdone := '1'; end if; end if; end if; if ar.qual_areg='1' then av.dmastart := '1'; av.wdone := '0'; end if; if ar.run_sync(0)='1' and ar.qual_areg='0' and ar.dmastart='0' and ar.done='0' then av.qual_dreg := '1'; av.qual_areg := '1'; end if; if ar.run_sync(0)='0' and ar.done='1' then av.done := '0'; end if; if (rst = '0') then av.qual_dreg := '0'; av.qual_areg := '0'; av.done := '0'; av.areg := (others => '0'); av.dreg := (others => '0'); av.dmastart := '0'; end if; tprin <= tpv; tnrin <= tnv; arin <= av; dmai <= vdmai; tapi <= vtapi; end process; ahbreg : process(clk) begin if rising_edge(clk) then ar <= arin; end if; end process; tckpreg: process(tckp,trst) begin if rising_edge(tckp) then tpr <= tprin; end if; if trst='0' then tpr.done_sync <= '0'; tpr.prun <= '0'; tpr.inshift <= '0'; tpr.holdn <= '1'; end if; end process; tcknreg: process(tckn,trst) begin if rising_edge(tckn) then tnr <= tnrin; end if; if trst='0' then tnr.run <= '0'; tnr.done_sync1 <= '0'; tnr.qual_rdata <= '0'; end if; end process; end;
gpl-2.0
93b7dc76a6f1ed0f3cb9b9f8c0cf6eb2
0.555639
3.380952
false
false
false
false
schmr/grlib
grlib-gpl-1.3.7-b4144/designs/leon3-xilinx-ml510/testbench.vhd
1
17,763
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench -- Copyright (C) 2008 Jiri Gaisler, Jan Andersson, Aeroflex Gaisler ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; library techmap; use techmap.gencomp.all; library cypress; use cypress.components.all; use work.debug.all; use work.config.all; -- configuration entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; ncpu : integer := CFG_NCPU; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; clkperiod : integer := 10; -- system clock period romwidth : integer := 32; -- rom data width (8/32) romdepth : integer := 16 -- rom address depth ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sdramfile : string := "ram.srec"; -- sdram contents signal sys_clk : std_logic := '0'; signal sys_rst_in : std_logic := '0'; -- Reset constant ct : integer := clkperiod/2; signal sysace_fpga_clk : std_ulogic := '0'; signal flash_we_b : std_ulogic; signal flash_wait : std_ulogic; signal flash_reset_b : std_ulogic; signal flash_oe_b : std_ulogic; signal flash_d : std_logic_vector(15 downto 0); signal flash_clk : std_ulogic; signal flash_ce_b : std_ulogic; signal flash_adv_b : std_logic; signal flash_a : std_logic_vector(21 downto 0); signal sram_bw : std_ulogic; signal sim_d : std_logic_vector(15 downto 0); signal iosn : std_ulogic; signal dimm1_ddr2_we_b : std_ulogic; signal dimm1_ddr2_s_b : std_logic_vector(1 downto 0); signal dimm1_ddr2_ras_b : std_ulogic; signal dimm1_ddr2_pll_clkin_p : std_ulogic; signal dimm1_ddr2_pll_clkin_n : std_ulogic; signal dimm1_ddr2_odt : std_logic_vector(1 downto 0); signal dimm1_ddr2_dqs_p : std_logic_vector(8 downto 0); signal dimm1_ddr2_dqs_n : std_logic_vector(8 downto 0); signal dimm1_ddr2_dqm : std_logic_vector(8 downto 0); signal dimm1_ddr2_dq : std_logic_vector(71 downto 0); signal dimm1_ddr2_dq2 : std_logic_vector(71 downto 0); signal dimm1_ddr2_cke : std_logic_vector(1 downto 0); signal dimm1_ddr2_cas_b : std_ulogic; signal dimm1_ddr2_ba : std_logic_vector(2 downto 0); signal dimm1_ddr2_a : std_logic_vector(13 downto 0); signal dimm0_ddr2_we_b : std_ulogic; signal dimm0_ddr2_s_b : std_logic_vector(1 downto 0); signal dimm0_ddr2_ras_b : std_ulogic; signal dimm0_ddr2_pll_clkin_p : std_ulogic; signal dimm0_ddr2_pll_clkin_n : std_ulogic; signal dimm0_ddr2_odt : std_logic_vector(1 downto 0); signal dimm0_ddr2_dqs_p : std_logic_vector(8 downto 0); signal dimm0_ddr2_dqs_n : std_logic_vector(8 downto 0); signal dimm0_ddr2_dqm : std_logic_vector(8 downto 0); signal dimm0_ddr2_dq : std_logic_vector(71 downto 0); signal dimm0_ddr2_dq2 : std_logic_vector(71 downto 0); signal dimm0_ddr2_cke : std_logic_vector(1 downto 0); signal dimm0_ddr2_cas_b : std_ulogic; signal dimm0_ddr2_ba : std_logic_vector(2 downto 0); signal dimm0_ddr2_a : std_logic_vector(13 downto 0); signal phy0_txer : std_ulogic; signal phy0_txd : std_logic_vector(3 downto 0); signal phy0_txctl_txen : std_ulogic; signal phy0_txclk : std_ulogic; signal phy0_rxer : std_ulogic; signal phy0_rxd : std_logic_vector(3 downto 0); signal phy0_rxctl_rxdv : std_ulogic; signal phy0_rxclk : std_ulogic; signal phy0_reset : std_ulogic; signal phy0_mdio : std_logic; signal phy0_mdc : std_ulogic; signal sysace_mpa : std_logic_vector(6 downto 0); signal sysace_mpce : std_ulogic; signal sysace_mpirq : std_ulogic; signal sysace_mpoe : std_ulogic; signal sysace_mpwe : std_ulogic; signal sysace_mpd : std_logic_vector(15 downto 0); signal dbg_led : std_logic_vector(3 downto 0); signal opb_bus_error : std_ulogic; signal plb_bus_error : std_ulogic; signal dvi_xclk_p : std_ulogic; signal dvi_xclk_n : std_ulogic; signal dvi_v : std_ulogic; signal dvi_reset_b : std_ulogic; signal dvi_h : std_ulogic; signal dvi_gpio1 : std_logic; signal dvi_de : std_ulogic; signal dvi_d : std_logic_vector(11 downto 0); signal pci_p_trdy_b : std_logic; signal pci_p_stop_b : std_logic; signal pci_p_serr_b : std_logic; signal pci_p_rst_b : std_logic; signal pci_p_req_b : std_logic_vector(0 to 4); signal pci_p_perr_b : std_logic; signal pci_p_par : std_logic; signal pci_p_lock_b : std_logic; signal pci_p_irdy_b : std_logic; signal pci_p_intd_b : std_logic; signal pci_p_intc_b : std_logic; signal pci_p_intb_b : std_logic; signal pci_p_inta_b : std_logic; signal pci_p_gnt_b : std_logic_vector(0 to 4); signal pci_p_frame_b : std_logic; signal pci_p_devsel_b : std_logic; signal pci_p_clk5_r : std_ulogic; signal pci_p_clk5 : std_ulogic; signal pci_p_clk4_r : std_ulogic; signal pci_p_clk3_r : std_ulogic; signal pci_p_clk1_r : std_ulogic; signal pci_p_clk0_r : std_ulogic; signal pci_p_cbe_b : std_logic_vector(3 downto 0); signal pci_p_ad : std_logic_vector(31 downto 0); --signal pci_fpga_idsel : std_ulogic; signal sbr_pwg_rsm_rstj : std_logic; signal sbr_nmi_r : std_ulogic; signal sbr_intr_r : std_ulogic; signal sbr_ide_rst_b : std_logic; signal iic_sda_dvi : std_logic; signal iic_scl_dvi : std_logic; signal fpga_sda : std_logic; signal fpga_scl : std_logic; signal iic_therm_b : std_ulogic; signal iic_reset_b : std_ulogic; signal iic_irq_b : std_ulogic; signal iic_alert_b : std_ulogic; signal spi_data_out : std_logic; signal spi_data_in : std_logic; signal spi_data_cs_b : std_ulogic; signal spi_clk : std_ulogic; signal uart1_txd : std_ulogic; signal uart1_rxd : std_ulogic; signal uart1_rts_b : std_ulogic; signal uart1_cts_b : std_ulogic; signal uart0_txd : std_ulogic; signal uart0_rxd : std_ulogic; signal uart0_rts_b : std_ulogic; --signal uart0_cts_b : std_ulogic; --signal test_mon_vrefp : std_ulogic; signal test_mon_vp0_p : std_ulogic; signal test_mon_vn0_n : std_ulogic; --signal test_mon_avdd : std_ulogic; signal data : std_logic_vector(31 downto 0); signal phy0_rxdl : std_logic_vector(7 downto 0); signal phy0_txdl : std_logic_vector(7 downto 0); signal GND : std_ulogic := '0'; signal VCC : std_ulogic := '1'; signal NC : std_ulogic := 'Z'; constant lresp : boolean := false; begin -- clock and reset sys_clk <= not sys_clk after ct * 1 ns; sys_rst_in <= '0', '1' after 200 ns; sysace_fpga_clk <= not sysace_fpga_clk after 15 ns; pci_p_clk5 <= pci_p_clk5_r; flash_wait <= 'L'; phy0_txdl <= "0000" & phy0_txd; phy0_rxd <= phy0_rxdl(3 downto 0); sysace_mpd <= (others => 'H'); sysace_mpirq <= 'L'; dbg_led <= (others => 'H'); dvi_gpio1 <= 'H'; pci_p_trdy_b <= 'H'; pci_p_stop_b <= 'H'; pci_p_serr_b <= 'H'; pci_p_rst_b <= 'H'; pci_p_req_b <= (others => 'H'); pci_p_perr_b <= 'H'; pci_p_par <= 'H'; pci_p_lock_b <= 'H'; pci_p_irdy_b <= 'H'; pci_p_intd_b <= 'H'; pci_p_intc_b <= 'H'; pci_p_intb_b <= 'H'; pci_p_inta_b <= 'H'; pci_p_gnt_b <= (others => 'H'); pci_p_frame_b <= 'H'; pci_p_devsel_b <= 'H'; pci_p_cbe_b <= (others => 'H'); pci_p_ad <= (others => 'H'); -- pci_fpga_idsel <= 'H'; sbr_pwg_rsm_rstj <= 'H'; sbr_nmi_r <= 'H'; sbr_intr_r <= 'L'; sbr_ide_rst_b <= 'H'; iic_sda_dvi <= 'H'; iic_scl_dvi <= 'H'; fpga_sda <= 'H'; fpga_scl <= 'H'; iic_therm_b <= 'L'; iic_irq_b <= 'L'; iic_alert_b <= 'L'; spi_data_out <= 'H'; uart1_rxd <= 'H'; uart1_cts_b <= uart1_rts_b; uart0_rxd <= 'H'; --uart0_cts_b <= uart0_rts_b; test_mon_vp0_p <= 'H'; test_mon_vn0_n <= 'H'; cpu : entity work.leon3mp generic map ( fabtech, memtech, padtech, ncpu, disas, dbguart, pclow ) port map (sys_rst_in, sys_clk, sysace_fpga_clk, -- Flash flash_we_b, flash_wait, flash_reset_b, flash_oe_b, flash_d, flash_clk, flash_ce_b, flash_adv_b, flash_a, sram_bw, sim_d, iosn, -- DDR2 slot 1 dimm1_ddr2_we_b, dimm1_ddr2_s_b, dimm1_ddr2_ras_b, dimm1_ddr2_pll_clkin_p, dimm1_ddr2_pll_clkin_n, dimm1_ddr2_odt, dimm1_ddr2_dqs_p, dimm1_ddr2_dqs_n, dimm1_ddr2_dqm, dimm1_ddr2_dq, dimm1_ddr2_cke, dimm1_ddr2_cas_b, dimm1_ddr2_ba, dimm1_ddr2_a, -- DDR2 slot 0 dimm0_ddr2_we_b, dimm0_ddr2_s_b, dimm0_ddr2_ras_b, dimm0_ddr2_pll_clkin_p, dimm0_ddr2_pll_clkin_n, dimm0_ddr2_odt, dimm0_ddr2_dqs_p, dimm0_ddr2_dqs_n, dimm0_ddr2_dqm, dimm0_ddr2_dq, dimm0_ddr2_cke, dimm0_ddr2_cas_b, dimm0_ddr2_ba, dimm0_ddr2_a, open, -- Ethernet PHY phy0_txer, phy0_txd, phy0_txctl_txen, phy0_txclk, phy0_rxer, phy0_rxd, phy0_rxctl_rxdv, phy0_rxclk, phy0_reset, phy0_mdio, phy0_mdc, -- System ACE MPU sysace_mpa, sysace_mpce, sysace_mpirq, sysace_mpoe, sysace_mpwe, sysace_mpd, -- GPIO/Green LEDs dbg_led, -- Red/Green LEDs opb_bus_error, plb_bus_error, -- LCD -- fpga_lcd_rw, fpga_lcd_rs, fpga_lcd_e, fpga_lcd_db, -- DVI dvi_xclk_p, dvi_xclk_n, dvi_v, dvi_reset_b, dvi_h, dvi_gpio1, dvi_de, dvi_d, -- PCI pci_p_trdy_b, pci_p_stop_b, pci_p_serr_b, pci_p_rst_b, pci_p_req_b, pci_p_perr_b, pci_p_par, pci_p_lock_b, pci_p_irdy_b, pci_p_intd_b, pci_p_intc_b, pci_p_intb_b, pci_p_inta_b, pci_p_gnt_b, pci_p_frame_b, pci_p_devsel_b, pci_p_clk5_r, pci_p_clk5, pci_p_clk4_r, pci_p_clk3_r, pci_p_clk1_r, pci_p_clk0_r, pci_p_cbe_b, pci_p_ad, -- pci_fpga_idsel, sbr_pwg_rsm_rstj, sbr_nmi_r, sbr_intr_r, sbr_ide_rst_b, -- IIC/SMBus and sideband signals iic_sda_dvi, iic_scl_dvi, fpga_sda, fpga_scl, iic_therm_b, iic_reset_b, iic_irq_b, iic_alert_b, -- SPI spi_data_out, spi_data_in, spi_data_cs_b, spi_clk, -- UARTs uart1_txd, uart1_rxd, uart1_rts_b, uart1_cts_b, uart0_txd, uart0_rxd, uart0_rts_b--, --uart0_cts_b -- System monitor -- test_mon_vp0_p, test_mon_vn0_n ); -- ddr2mem0: for i in 0 to (1 + 2*(CFG_DDR2SP_DATAWIDTH/64)) generate -- u1 : HY5PS121621F -- generic map (TimingCheckFlag => true, PUSCheckFlag => false, -- index => (1 + 2*(CFG_DDR2SP_DATAWIDTH/64))-i, bbits => CFG_DDR2SP_DATAWIDTH, -- fname => sdramfile, fdelay => 0) -- port map (DQ => dimm0_ddr2_dq2(i*16+15+32*(32/CFG_DDR2SP_DATAWIDTH) downto i*16+32*(32/CFG_DDR2SP_DATAWIDTH)), -- LDQS => dimm0_ddr2_dqs_p(i*2+4*(32/CFG_DDR2SP_DATAWIDTH)), -- LDQSB => dimm0_ddr2_dqs_n(i*2+4*(32/CFG_DDR2SP_DATAWIDTH)), -- UDQS => dimm0_ddr2_dqs_p(i*2+1+4*(32/CFG_DDR2SP_DATAWIDTH)), -- UDQSB => dimm0_ddr2_dqs_n(i*2+1+4*(32/CFG_DDR2SP_DATAWIDTH)), -- LDM => dimm0_ddr2_dqm(i*2+4*(32/CFG_DDR2SP_DATAWIDTH)), -- WEB => dimm0_ddr2_we_b, CASB => dimm0_ddr2_cas_b, -- RASB => dimm0_ddr2_ras_b, CSB => dimm0_ddr2_s_b(0), -- BA => dimm0_ddr2_ba(1 downto 0), ADDR => dimm0_ddr2_a(12 downto 0), -- CKE => dimm0_ddr2_cke(0), CLK => dimm0_ddr2_pll_clkin_p, -- CLKB => dimm0_ddr2_pll_clkin_n, -- UDM => dimm0_ddr2_dqm(i*2+1+4*(32/CFG_DDR2SP_DATAWIDTH))); -- end generate; ddr2mem0 : ddr2ram generic map(width => CFG_DDR2SP_DATAWIDTH, abits => 14, babits => 3, colbits => 10, rowbits => 13, implbanks => 1, fname => sdramfile, speedbin=>1, density => 2) port map (ck => dimm0_ddr2_pll_clkin_p, ckn => dimm0_ddr2_pll_clkin_n, cke => dimm0_ddr2_cke(0), csn => dimm0_ddr2_s_b(0), odt => gnd, rasn => dimm0_ddr2_ras_b, casn => dimm0_ddr2_cas_b, wen => dimm0_ddr2_we_b, dm => dimm0_ddr2_dqm(7 downto 8-CFG_DDR2SP_DATAWIDTH/8), ba => dimm0_ddr2_ba, a => dimm0_ddr2_a, dq => dimm0_ddr2_dq2(63 downto 64-CFG_DDR2SP_DATAWIDTH), dqs => dimm0_ddr2_dqs_p(7 downto 8-CFG_DDR2SP_DATAWIDTH/8), dqsn =>dimm0_ddr2_dqs_n(7 downto 8-CFG_DDR2SP_DATAWIDTH/8)); -- ddr2mem1: for i in 0 to (1 + 2*(CFG_DDR2SP_DATAWIDTH/64)) generate -- u1 : HY5PS121621F -- generic map (TimingCheckFlag => true, PUSCheckFlag => false, -- index => (1 + 2*(CFG_DDR2SP_DATAWIDTH/64))-i, bbits => CFG_DDR2SP_DATAWIDTH, -- fname => sdramfile, fdelay => 0) -- port map (DQ => dimm1_ddr2_dq2(i*16+15+32*(32/CFG_DDR2SP_DATAWIDTH) downto i*16+32*(32/CFG_DDR2SP_DATAWIDTH)), -- LDQS => dimm1_ddr2_dqs_p(i*2+4*(32/CFG_DDR2SP_DATAWIDTH)), -- LDQSB => dimm1_ddr2_dqs_n(i*2+4*(32/CFG_DDR2SP_DATAWIDTH)), -- UDQS => dimm1_ddr2_dqs_p(i*2+1+4*(32/CFG_DDR2SP_DATAWIDTH)), -- UDQSB => dimm1_ddr2_dqs_n(i*2+1+4*(32/CFG_DDR2SP_DATAWIDTH)), -- LDM => dimm1_ddr2_dqm(i*2+4*(32/CFG_DDR2SP_DATAWIDTH)), -- WEB => dimm1_ddr2_we_b, CASB => dimm1_ddr2_cas_b, -- RASB => dimm1_ddr2_ras_b, CSB => dimm1_ddr2_s_b(0), -- BA => dimm1_ddr2_ba(1 downto 0), ADDR => dimm1_ddr2_a(12 downto 0), -- CKE => dimm1_ddr2_cke(0), CLK => dimm1_ddr2_pll_clkin_p, -- CLKB => dimm1_ddr2_pll_clkin_n, -- UDM => dimm1_ddr2_dqm(i*2+1+4*(32/CFG_DDR2SP_DATAWIDTH))); -- end generate; ddr2mem1 : ddr2ram generic map(width => CFG_DDR2SP_DATAWIDTH, abits => 13, babits =>2, colbits => 10, rowbits => 13, implbanks => 1, fname => sdramfile, speedbin=>1, density => 2) port map (ck => dimm1_ddr2_pll_clkin_p, ckn => dimm1_ddr2_pll_clkin_n, cke => dimm1_ddr2_cke(0), csn => dimm1_ddr2_s_b(0), odt => gnd, rasn => dimm1_ddr2_ras_b, casn => dimm1_ddr2_cas_b, wen => dimm1_ddr2_we_b, dm => dimm1_ddr2_dqm(CFG_DDR2SP_DATAWIDTH/8-1 downto 0), ba => dimm1_ddr2_ba(1 downto 0), a => dimm1_ddr2_a(12 downto 0), dq => dimm1_ddr2_dq2(CFG_DDR2SP_DATAWIDTH-1 downto 0), dqs => dimm1_ddr2_dqs_p(CFG_DDR2SP_DATAWIDTH/8-1 downto 0), dqsn =>dimm1_ddr2_dqs_n(CFG_DDR2SP_DATAWIDTH/8-1 downto 0)); ddr2delay0 : delay_wire generic map(data_width => dimm0_ddr2_dq'length, delay_atob => 0.0, delay_btoa => 5.5) port map(a => dimm0_ddr2_dq, b => dimm0_ddr2_dq2); ddr2delay1 : delay_wire generic map(data_width => dimm1_ddr2_dq'length, delay_atob => 0.0, delay_btoa => 5.5) port map(a => dimm1_ddr2_dq, b => dimm1_ddr2_dq2); prom0 : sram16 generic map (index => 4, abits => romdepth, fname => promfile) port map (flash_a(romdepth-1 downto 0), flash_d(15 downto 0), gnd, gnd, flash_ce_b, flash_we_b, flash_oe_b); phy0_mdio <= 'H'; p0: phy generic map (address => 7) port map(phy0_reset, phy0_mdio, phy0_txclk, phy0_rxclk, phy0_rxdl, phy0_rxctl_rxdv, phy0_rxer, open, open, phy0_txdl, phy0_txctl_txen, phy0_txer, phy0_mdc, '0'); i0: i2c_slave_model port map (iic_scl_dvi, iic_sda_dvi); i1: i2c_slave_model port map (fpga_scl, fpga_sda); iuerr : process begin wait for 5000 ns; if to_x01(opb_bus_error) = '0' then wait on opb_bus_error; end if; assert (to_x01(opb_bus_error) = '0') report "*** IU in error mode, simulation halted ***" severity failure ; end process; data <= flash_d & sim_d; test0 : grtestmod port map ( sys_rst_in, sys_clk, opb_bus_error, flash_a(20 downto 1), data, iosn, flash_oe_b, sram_bw, open); flash_d <= buskeep(flash_d), (others => 'H') after 250 ns; data <= buskeep(data), (others => 'H') after 250 ns; end ;
gpl-2.0
7317c8a22e6ba092989a0c7d261b7478
0.579857
2.855329
false
false
false
false
hamsternz/Full_Stack_GPS_Receiver
misc/vhdl/epp_interface.vhd
1
3,310
-------------------------------------------- -- Author: Mike Field <[email protected]> -------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity epp_interface is port ( clk : in std_logic; fifo_rd : out STD_LOGIC := '0'; fifo_data : in STD_LOGIC_VECTOR(7 downto 0); fifo_empty : in STD_LOGIC; epp_astb : in STD_LOGIC; epp_dstb : in STD_LOGIC; epp_wait : out STD_LOGIC := '0'; epp_wr : in STD_LOGIC; epp_data : inout STD_LOGIC_VECTOR (7 downto 0)); end epp_interface; architecture Behavioral of epp_interface is type t_state is (s_idle, s_astb_read, s_astb_write, s_dstb_read, s_dstb_write); signal state : t_state := s_idle; signal sync_astb : std_logic_vector(1 downto 0) := "00"; signal sync_dstb : std_logic_vector(1 downto 0) := "00"; begin with state select epp_data <= fifo_data when s_dstb_read, x"AA" when s_astb_read, (others => 'Z') when others; process(clk) begin if rising_edge(clk) then fifo_rd <= '0'; case state is when s_idle => if sync_astb(0) = '0' then -------------- -- address strobe -------------- if epp_wr = '0' then state <= s_astb_write; else state <= s_astb_read; end if; epp_wait <= '1'; elsif sync_dstb(0) = '0' then -------------- -- data strobe -------------- if epp_wr = '0' then state <= s_dstb_write; epp_wait <= '1'; else if fifo_empty = '0' then state <= s_dstb_read; epp_wait <= '1'; end if; end if; end if; when s_astb_read => if sync_astb(0) = '1' then epp_wait <= '0'; state <= s_idle; end if; when s_astb_write => if sync_astb(0) = '1' then epp_wait <= '0'; state <= s_idle; end if; when s_dstb_read => if sync_dstb(0) = '1' then epp_wait <= '0'; state <= s_idle; fifo_rd <= '1'; end if; when s_dstb_write => if sync_dstb(0) = '1' then epp_wait <= '0'; state <= s_idle; end if; when others => state <= s_idle; end case; --------------------------- -- Sync the strobe signals --------------------------- sync_astb <= epp_astb & sync_astb(sync_astb'high downto 1); sync_dstb <= epp_dstb & sync_dstb(sync_dstb'high downto 1); end if; end process; end Behavioral;
mit
ba8237dd55a9653a8ef3fba8d1e6dc12
0.369789
4.338139
false
false
false
false
dawsonjon/FPGA-TX
synthesis/nexys_4/tx/serial_in.vhd
3
3,303
-------------------------------------------------------------------------------- --- --- SERIAL INPUT --- --- :Author: Jonathan P Dawson --- :Date: 17/10/2013 --- :email: [email protected] --- :license: MIT --- :Copyright: Copyright (C) Jonathan P Dawson 2013 --- --- A Serial Input Component --- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; entity serial_input is generic( clock_frequency : integer; baud_rate : integer ); port( clk : in std_logic; rst : in std_logic; rx : in std_logic; out1 : out std_logic_vector(7 downto 0); out1_stb : out std_logic; out1_ack : in std_logic ); end entity serial_input; architecture rtl of serial_input is component fifo is generic( width : integer; depth : integer ); port( clk : in std_logic; rst : in std_logic; input : in std_logic_vector(width-1 downto 0); input_stb : in std_logic; input_ack : out std_logic; output : out std_logic_vector(width-1 downto 0); output_stb : out std_logic; output_ack : in std_logic ); end component fifo; constant bit_clocks : integer := integer(round(real(clock_frequency)/real(baud_rate)))-1; constant bit_clocks_1_5 : integer := integer(round(real(clock_frequency)/real(baud_rate) * 1.5))-1; signal bit_count : integer range 0 to 8; signal bit_spacing : integer range 0 to bit_clocks_1_5; type serial_in_state_type is (idle, get_byte, output_data, wait_done); signal state : serial_in_state_type; signal rx_d, rx_d2 : std_logic; signal data : std_logic_vector(8 downto 0); signal int_out1 : std_logic_vector(7 downto 0); signal int_out1_stb : std_logic; signal int_out1_ack : std_logic; begin fifo_1 : fifo generic map( width => 8, depth => 16384 ) port map( clk => clk, rst => rst, input => int_out1, input_stb => int_out1_stb, input_ack => int_out1_ack, output => out1, output_stb => out1_stb, output_ack => out1_ack ); process begin wait until rising_edge(clk); rx_d <= rx; rx_d2 <= rx_d; case state is when idle => if rx_d2 = '0' then state <= get_byte; bit_spacing <= bit_clocks_1_5; bit_count <= 8; end if; when get_byte => if bit_spacing = 0 then data <= rx_d2 & data(8 downto 1); if bit_count = 0 then int_out1_stb <= '1'; state <= output_data; else bit_spacing <= bit_clocks; bit_count <= bit_count - 1; end if; else bit_spacing <= bit_spacing - 1; end if; when output_data => if int_out1_ack = '1' then int_out1_stb <= '0'; state <= wait_done; end if; when wait_done => if rx_d2 = '1' then state <= idle; end if; when others => state <= idle; end case; if rst = '1' then state <= idle; int_out1_stb <= '0'; end if; end process; int_out1 <= data(7 downto 0); end architecture rtl;
mit
4979f432be70a184c71a69ad6b68e240
0.524069
3.487856
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/lab3_project.xpr/project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_axi_bram_ctrl_0_0/synth/design_1_axi_bram_ctrl_0_0.vhd
1
17,300
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_bram_ctrl:4.0 -- IP Revision: 12 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_bram_ctrl_v4_0_12; USE axi_bram_ctrl_v4_0_12.axi_bram_ctrl; ENTITY design_1_axi_bram_ctrl_0_0 IS PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC; s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC; s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; bram_rst_a : OUT STD_LOGIC; bram_clk_a : OUT STD_LOGIC; bram_en_a : OUT STD_LOGIC; bram_we_a : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); bram_addr_a : OUT STD_LOGIC_VECTOR(12 DOWNTO 0); bram_wrdata_a : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bram_rddata_a : IN STD_LOGIC_VECTOR(31 DOWNTO 0) ); END design_1_axi_bram_ctrl_0_0; ARCHITECTURE design_1_axi_bram_ctrl_0_0_arch OF design_1_axi_bram_ctrl_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_axi_bram_ctrl_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_bram_ctrl IS GENERIC ( C_BRAM_INST_MODE : STRING; C_MEMORY_DEPTH : INTEGER; C_BRAM_ADDR_WIDTH : INTEGER; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_S_AXI_ID_WIDTH : INTEGER; C_S_AXI_PROTOCOL : STRING; C_S_AXI_SUPPORTS_NARROW_BURST : INTEGER; C_SINGLE_PORT_BRAM : INTEGER; C_FAMILY : STRING; C_SELECT_XPM : INTEGER; C_S_AXI_CTRL_ADDR_WIDTH : INTEGER; C_S_AXI_CTRL_DATA_WIDTH : INTEGER; C_ECC : INTEGER; C_ECC_TYPE : INTEGER; C_FAULT_INJECT : INTEGER; C_ECC_ONOFF_RESET_VALUE : INTEGER ); PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; ecc_interrupt : OUT STD_LOGIC; ecc_ue : OUT STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC; s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC; s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi_ctrl_awvalid : IN STD_LOGIC; s_axi_ctrl_awready : OUT STD_LOGIC; s_axi_ctrl_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_ctrl_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_ctrl_wvalid : IN STD_LOGIC; s_axi_ctrl_wready : OUT STD_LOGIC; s_axi_ctrl_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_ctrl_bvalid : OUT STD_LOGIC; s_axi_ctrl_bready : IN STD_LOGIC; s_axi_ctrl_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_ctrl_arvalid : IN STD_LOGIC; s_axi_ctrl_arready : OUT STD_LOGIC; s_axi_ctrl_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_ctrl_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_ctrl_rvalid : OUT STD_LOGIC; s_axi_ctrl_rready : IN STD_LOGIC; bram_rst_a : OUT STD_LOGIC; bram_clk_a : OUT STD_LOGIC; bram_en_a : OUT STD_LOGIC; bram_we_a : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); bram_addr_a : OUT STD_LOGIC_VECTOR(12 DOWNTO 0); bram_wrdata_a : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bram_rddata_a : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bram_rst_b : OUT STD_LOGIC; bram_clk_b : OUT STD_LOGIC; bram_en_b : OUT STD_LOGIC; bram_we_b : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); bram_addr_b : OUT STD_LOGIC_VECTOR(12 DOWNTO 0); bram_wrdata_b : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bram_rddata_b : IN STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT axi_bram_ctrl; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF design_1_axi_bram_ctrl_0_0_arch: ARCHITECTURE IS "axi_bram_ctrl,Vivado 2017.3"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_axi_bram_ctrl_0_0_arch : ARCHITECTURE IS "design_1_axi_bram_ctrl_0_0,axi_bram_ctrl,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF design_1_axi_bram_ctrl_0_0_arch: ARCHITECTURE IS "design_1_axi_bram_ctrl_0_0,axi_bram_ctrl,{x_ipProduct=Vivado 2017.3,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_bram_ctrl,x_ipVersion=4.0,x_ipCoreRevision=12,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_BRAM_INST_MODE=EXTERNAL,C_MEMORY_DEPTH=2048,C_BRAM_ADDR_WIDTH=11,C_S_AXI_ADDR_WIDTH=13,C_S_AXI_DATA_WIDTH=32,C_S_AXI_ID_WIDTH=1,C_S_AXI_PROTOCOL=AXI4,C_S_AXI_SUPPORTS_NARROW_BURST=0,C_SINGLE_PORT_BRAM=1,C_FAMILY=zynq,C_SELECT_XPM=0,C_S_AXI_CTRL_ADDR_WIDTH=32,C_S_AXI_CTRL_DATA_WIDTH=32,C_ECC" & "=0,C_ECC_TYPE=0,C_FAULT_INJECT=0,C_ECC_ONOFF_RESET_VALUE=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_PARAMETER : STRING; ATTRIBUTE X_INTERFACE_INFO OF bram_rddata_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT"; ATTRIBUTE X_INTERFACE_INFO OF bram_wrdata_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN"; ATTRIBUTE X_INTERFACE_INFO OF bram_addr_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; ATTRIBUTE X_INTERFACE_INFO OF bram_we_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE"; ATTRIBUTE X_INTERFACE_INFO OF bram_en_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN"; ATTRIBUTE X_INTERFACE_INFO OF bram_clk_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; ATTRIBUTE X_INTERFACE_PARAMETER OF bram_rst_a: SIGNAL IS "XIL_INTERFACENAME BRAM_PORTA, MASTER_TYPE BRAM_CTRL, MEM_SIZE 8192, MEM_WIDTH 32, MEM_ECC NONE, READ_WRITE_MODE READ_WRITE"; ATTRIBUTE X_INTERFACE_INFO OF bram_rst_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RLAST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARPROT"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arlock: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARBURST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARLEN"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WLAST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWPROT"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awlock: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWBURST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWLEN"; ATTRIBUTE X_INTERFACE_PARAMETER OF s_axi_awaddr: SIGNAL IS "XIL_INTERFACENAME S_AXI, DATA_WIDTH 32, PROTOCOL AXI4, FREQ_HZ 100000000, ID_WIDTH 0, ADDR_WIDTH 13, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 2, NUM_WRITE_OUTSTANDING 2, MAX_BURST_LENGTH 256, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_PARAMETER OF s_axi_aresetn: SIGNAL IS "XIL_INTERFACENAME RSTIF, POLARITY ACTIVE_LOW"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 RSTIF RST"; ATTRIBUTE X_INTERFACE_PARAMETER OF s_axi_aclk: SIGNAL IS "XIL_INTERFACENAME CLKIF, ASSOCIATED_BUSIF S_AXI:S_AXI_CTRL, ASSOCIATED_RESET s_axi_aresetn, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_0_FCLK_CLK0"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 CLKIF CLK"; BEGIN U0 : axi_bram_ctrl GENERIC MAP ( C_BRAM_INST_MODE => "EXTERNAL", C_MEMORY_DEPTH => 2048, C_BRAM_ADDR_WIDTH => 11, C_S_AXI_ADDR_WIDTH => 13, C_S_AXI_DATA_WIDTH => 32, C_S_AXI_ID_WIDTH => 1, C_S_AXI_PROTOCOL => "AXI4", C_S_AXI_SUPPORTS_NARROW_BURST => 0, C_SINGLE_PORT_BRAM => 1, C_FAMILY => "zynq", C_SELECT_XPM => 0, C_S_AXI_CTRL_ADDR_WIDTH => 32, C_S_AXI_CTRL_DATA_WIDTH => 32, C_ECC => 0, C_ECC_TYPE => 0, C_FAULT_INJECT => 0, C_ECC_ONOFF_RESET_VALUE => 0 ) PORT MAP ( s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => s_axi_awaddr, s_axi_awlen => s_axi_awlen, s_axi_awsize => s_axi_awsize, s_axi_awburst => s_axi_awburst, s_axi_awlock => s_axi_awlock, s_axi_awcache => s_axi_awcache, s_axi_awprot => s_axi_awprot, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wlast => s_axi_wlast, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => s_axi_araddr, s_axi_arlen => s_axi_arlen, s_axi_arsize => s_axi_arsize, s_axi_arburst => s_axi_arburst, s_axi_arlock => s_axi_arlock, s_axi_arcache => s_axi_arcache, s_axi_arprot => s_axi_arprot, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rlast => s_axi_rlast, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, s_axi_ctrl_awvalid => '0', s_axi_ctrl_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_ctrl_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_ctrl_wvalid => '0', s_axi_ctrl_bready => '0', s_axi_ctrl_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_ctrl_arvalid => '0', s_axi_ctrl_rready => '0', bram_rst_a => bram_rst_a, bram_clk_a => bram_clk_a, bram_en_a => bram_en_a, bram_we_a => bram_we_a, bram_addr_a => bram_addr_a, bram_wrdata_a => bram_wrdata_a, bram_rddata_a => bram_rddata_a, bram_rddata_b => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)) ); END design_1_axi_bram_ctrl_0_0_arch;
mit
e189dce036e0fc3ca1a7d36bb4ec8d43
0.68104
3.072278
false
false
false
false
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/lab3_project_default.xpr/project_1/project_1.ipdefs/ip_0/tmp.srcs/sources_1/ip/convolve_kernel_ap_fmul_2_max_dsp_32/synth/convolve_kernel_ap_fmul_2_max_dsp_32.vhd
3
12,828
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_4; USE floating_point_v7_1_4.floating_point_v7_1_4; ENTITY convolve_kernel_ap_fmul_2_max_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END convolve_kernel_ap_fmul_2_max_dsp_32; ARCHITECTURE convolve_kernel_ap_fmul_2_max_dsp_32_arch OF convolve_kernel_ap_fmul_2_max_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF convolve_kernel_ap_fmul_2_max_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_4 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_4; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF convolve_kernel_ap_fmul_2_max_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_4,Vivado 2017.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF convolve_kernel_ap_fmul_2_max_dsp_32_arch : ARCHITECTURE IS "convolve_kernel_ap_fmul_2_max_dsp_32,floating_point_v7_1_4,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF convolve_kernel_ap_fmul_2_max_dsp_32_arch: ARCHITECTURE IS "convolve_kernel_ap_fmul_2_max_dsp_32,floating_point_v7_1_4,{x_ipProduct=Vivado 2017.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=4,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=1,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_F" & "MS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=2,C_OPTIMIZATION=1,C_MULT_USAGE=3,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0" & ",C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_4 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 1, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 2, C_OPTIMIZATION => 1, C_MULT_USAGE => 3, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END convolve_kernel_ap_fmul_2_max_dsp_32_arch;
mit
6e6d5af307db18af85cc48f720907efc
0.651699
3.005623
false
false
false
false