Unnamed: 0
int64 0
350k
| ApplicationNumber
int64 9.75M
96.1M
| ArtUnit
int64 1.6k
3.99k
| Abstract
stringlengths 7
8.37k
| Claims
stringlengths 3
292k
| abstract-claims
stringlengths 68
293k
| TechCenter
int64 1.6k
3.9k
|
---|---|---|---|---|---|---|
348,300 | 16,643,787 | 3,629 | Device for coating containers by a coating method. The device includes a carrier frame having outer dimensions less than the inner dimensions of an ISO container. The carrier frame includes within its outer dimensions: a conveying region where a conveying device for the containers is arranged; a treatment region where a container treatment unit for coating the containers is arranged; a transfer region where a transfer device is arranged; which moves the containers between the conveying device and the container treatment unit; a maintenance region accessible from outside, in which no components are arranged; an electronics region, where a switchgear cabinet for the electronics of the components of the device is arranged; a process-gas processing region, where the components for handling the process gas for the coating method are arranged; and a vacuum pump region, where vacuum pumps for producing the vacuum needed for a coating method are arranged. | 1. A device for coating containers by means of a coating method, with a carrier frame, of which the outer dimensions are smaller than the inner dimensions of an ISO container, wherein the following regions are present within the carrier frame:
a conveying region, arranged in which is at least one conveying device for the containers, a treatment region is provided, arranged in which is at least one container treatment unit for coating the containers, a transfer region, arranged in which is a transfer device, which moves the containers between the conveying device and the container treatment unit, a maintenance region, easily accessible from the outside, which is arranged on the rear side of the at least one container treatment unit, an electronics region, arranged in which is a switchgear cabinet for the electronics of the components of the device, a process-gas processing region, arranged in which are the components for the handling of the process gas for the coating method and process, a vacuum pump region, arranged in which are vacuum pumps for producing the vacuum required for the coating method and process. 2. The device according to claim 1, wherein the carrier frame is configured in such a way that it encloses the components in the individual regions on at least four sides, and preferably on all six sides, in the form of a cage or grid. 3. The device according to claim 1, wherein the sequence of the regions in the longitudinal direction is as follows: Conveying region, transfer region, treatment region, maintenance region; and connecting to them a process segment is arranged, which encloses in any desired arrangement to one another the electronics region, the process-gas processing region, and the vacuum pump region. 4. The device according to claim 3, wherein connected to the process segment in the longitudinal direction are further regions, in the following sequence: A further maintenance region, a further treatment region, a further transfer region, and a further conveying region, wherein the components in the process segment supply both the regions already present as well as the regions which are added. 5. The device-Device according to claim 3, wherein further regions are connected between the maintenance region (6) and process segment (12) in the following sequence: A further treatment region (5′), a further transfer region (4′), and a further conveying region (3′). 6. The device according to claim 1, wherein the components arranged in the said regions do not project over the region determined by the outer dimensions of the carrier frame. 7. The device according to claim 1, wherein the maintenance region, easily accessible from the outside, is arranged on the rear side of the treatment unit and no components are arranged therein 8. The device according to claim 1, wherein the transfer device in the transfer region and/or further transfer region comprises in each case at least one gripper carriage, and the treatment unit in the treatment region and/or further treatment region comprises in each case a vacuum chamber with a predetermined number of places, preferably four places. 9. The device according to claim 8, wherein a first gripper carriage is arranged between a first conveying region and a first treatment region and a second gripper carriage is arranged between a further conveying region and a further treatment region, wherein the first conveying region is arranged along a face surface of the carrier frame and the further conveying region is arranged between the treatment regions and the process segment, wherein a further maintenance region for the further treatment region (5′) is arranged at the same face surface of the carrier frame as the first conveying region, and the maintenance region for the first treatment region, like the second conveying region, is arranged between the treatment regions and the process segment. 10. The device according to claim 1, wherein at least one of the conveying devices comprises an adjustment device for the width of its channels. 11. The device according to claim 1, wherein the conveying region and/or the further conveying region in each case comprise two part conveying devices uncoupled from one another, a delivery conveying device (3 b), and an output conveying device. 12. The device according to claim 1, wherein the process-gas processing region comprises an unheated region, arranged in which are a carrier gas mass flow regulator and an absolute pressure detector. 13. The device according to claim 1, wherein the vacuum pump region comprises two spatially separated part regions: A pre-vacuum part region for producing a pre-vacuum and a process vacuum part region for producing a process vacuum. | Device for coating containers by a coating method. The device includes a carrier frame having outer dimensions less than the inner dimensions of an ISO container. The carrier frame includes within its outer dimensions: a conveying region where a conveying device for the containers is arranged; a treatment region where a container treatment unit for coating the containers is arranged; a transfer region where a transfer device is arranged; which moves the containers between the conveying device and the container treatment unit; a maintenance region accessible from outside, in which no components are arranged; an electronics region, where a switchgear cabinet for the electronics of the components of the device is arranged; a process-gas processing region, where the components for handling the process gas for the coating method are arranged; and a vacuum pump region, where vacuum pumps for producing the vacuum needed for a coating method are arranged.1. A device for coating containers by means of a coating method, with a carrier frame, of which the outer dimensions are smaller than the inner dimensions of an ISO container, wherein the following regions are present within the carrier frame:
a conveying region, arranged in which is at least one conveying device for the containers, a treatment region is provided, arranged in which is at least one container treatment unit for coating the containers, a transfer region, arranged in which is a transfer device, which moves the containers between the conveying device and the container treatment unit, a maintenance region, easily accessible from the outside, which is arranged on the rear side of the at least one container treatment unit, an electronics region, arranged in which is a switchgear cabinet for the electronics of the components of the device, a process-gas processing region, arranged in which are the components for the handling of the process gas for the coating method and process, a vacuum pump region, arranged in which are vacuum pumps for producing the vacuum required for the coating method and process. 2. The device according to claim 1, wherein the carrier frame is configured in such a way that it encloses the components in the individual regions on at least four sides, and preferably on all six sides, in the form of a cage or grid. 3. The device according to claim 1, wherein the sequence of the regions in the longitudinal direction is as follows: Conveying region, transfer region, treatment region, maintenance region; and connecting to them a process segment is arranged, which encloses in any desired arrangement to one another the electronics region, the process-gas processing region, and the vacuum pump region. 4. The device according to claim 3, wherein connected to the process segment in the longitudinal direction are further regions, in the following sequence: A further maintenance region, a further treatment region, a further transfer region, and a further conveying region, wherein the components in the process segment supply both the regions already present as well as the regions which are added. 5. The device-Device according to claim 3, wherein further regions are connected between the maintenance region (6) and process segment (12) in the following sequence: A further treatment region (5′), a further transfer region (4′), and a further conveying region (3′). 6. The device according to claim 1, wherein the components arranged in the said regions do not project over the region determined by the outer dimensions of the carrier frame. 7. The device according to claim 1, wherein the maintenance region, easily accessible from the outside, is arranged on the rear side of the treatment unit and no components are arranged therein 8. The device according to claim 1, wherein the transfer device in the transfer region and/or further transfer region comprises in each case at least one gripper carriage, and the treatment unit in the treatment region and/or further treatment region comprises in each case a vacuum chamber with a predetermined number of places, preferably four places. 9. The device according to claim 8, wherein a first gripper carriage is arranged between a first conveying region and a first treatment region and a second gripper carriage is arranged between a further conveying region and a further treatment region, wherein the first conveying region is arranged along a face surface of the carrier frame and the further conveying region is arranged between the treatment regions and the process segment, wherein a further maintenance region for the further treatment region (5′) is arranged at the same face surface of the carrier frame as the first conveying region, and the maintenance region for the first treatment region, like the second conveying region, is arranged between the treatment regions and the process segment. 10. The device according to claim 1, wherein at least one of the conveying devices comprises an adjustment device for the width of its channels. 11. The device according to claim 1, wherein the conveying region and/or the further conveying region in each case comprise two part conveying devices uncoupled from one another, a delivery conveying device (3 b), and an output conveying device. 12. The device according to claim 1, wherein the process-gas processing region comprises an unheated region, arranged in which are a carrier gas mass flow regulator and an absolute pressure detector. 13. The device according to claim 1, wherein the vacuum pump region comprises two spatially separated part regions: A pre-vacuum part region for producing a pre-vacuum and a process vacuum part region for producing a process vacuum. | 3,600 |
348,301 | 16,643,780 | 3,629 | A pedestrian terminal transmits a message including pedestrian information to a roadside machine. In a case where the message transmitted from the pedestrian terminal is received, the roadside machine accumulates the pedestrian information included in the message as passage history information, detects occurrence of an abnormal event for a person possessing the pedestrian terminal based on the passage history information, and transmits the message including information indicating that the abnormal event occurs for the person possessing the pedestrian terminal to a nearby pedestrian terminal. | 1. A roadside device that is installed on a road and performs road-pedestrian communication with a pedestrian device which performs a danger determination by exchanging a message including positional information with an on-vehicle device, the roadside device comprising:
a communicator that performs the road-pedestrian communication with the pedestrian device; a storage in which in a case where the message transmitted from the pedestrian device is received by the communicator, pedestrian information included in the received message is accumulated as passage history information; and a controller that detects occurrence of an abnormal event for a person possessing the pedestrian device based on the passage history information. 2. The roadside device of claim 1,
wherein the controller transmits the message including information indicating that the abnormal event occurs for the person possessing the pedestrian device to a nearby pedestrian device from the communicator. 3. The roadside device of claim 1, further comprising:
a network communicator that communicates with a protector device possessed by a protector, wherein the controller transmits a notification that the abnormal event occurs for the person possessing the pedestrian device to the protector device from the network communicator. 4. The roadside device of claim 1,
wherein the communicator receives the message including detection information related to at least one of an acceleration, an azimuth, an impact, and a voice detected by a detector disposed in the pedestrian device, and the controller detects the occurrence of the abnormal event for the person possessing the pedestrian device based on the detection information. 5. The roadside device of claim 1,
wherein in a case where a person who does not have a passage history within a predetermined period in the past is in a state of accompanying another person, the controller determines that kidnapping occurs as the abnormal event based on the passage history information. 6. The roadside device of claim 1,
wherein in a case where a change is made from an accompanying state of a plurality of persons having an accompanying history within a predetermined period in the past to a non-accompanying state, the controller determines that abandonment occurs as the abnormal event based on the passage history information. 7. The roadside device of claim 1,
wherein in a case where a person who does not have a change in positional information within a predetermined period in the past is present, the controller determines that confinement occurs as the abnormal event based on the passage history information. 8. The roadside device of claim 1,
wherein in a case where a person who does not have a passage history within a predetermined period in the past is in a state of moving like following another person, or in a case where the person is in a state of standing still and not moving on a movement path of another person, the controller determines that stalking occurs as the abnormal event based on the passage history information. 9. The roadside device of claim 1,
wherein in a case where physical abuse and verbal abuse are performed on the person possessing the pedestrian device, the communicator receives the message including physical abuse and verbal abuse detection information from the pedestrian device, and in a case where the message including the physical abuse and verbal abuse detection information is received in an accompanying state of a plurality of persons having an accompanying history within a predetermined period in the past, the controller determines that bullying occurs as the abnormal event based on the passage history information. 10. The roadside device of claim 1,
wherein in a case where an impact is detected after it is determined that there is a high danger of collision between a vehicle and a pedestrian by a danger determination in the pedestrian device, the communicator receives the message including collision detection information from the pedestrian device and receives the message transmitted from the on-vehicle device, and in a case where the vehicle running away from a collision location is detected based on positional information of the vehicle included in the message transmitted from the on-vehicle device after the message including the collision detection information is received, the controller determines that hit-and-run occurs as the abnormal event. 11. The roadside device of claim 1,
wherein in a case where a person does not pass through in an expected passage time range, the controller determines that the abnormal event occurs based on the passage history information. 12. A pedestrian device that performs a danger determination by exchanging a message including positional information with an on-vehicle device, the pedestrian device comprising:
a communicator that performs road-pedestrian communication with a roadside device installed on a road; a detector that detects a predetermined impact or a predetermined voice with respect to the pedestrian device; and a controller that transmits the message including detection information detected by the detector to the roadside device from the communicator. 13. A pedestrian device that performs a danger determination by exchanging a message including positional information with an on-vehicle device, the pedestrian device comprising:
a communicator that performs road-pedestrian communication with a roadside device installed on a road and receives the message transmitted from another pedestrian device; a storage in which pedestrian information included in the message received from the other pedestrian device by the communicator is accumulated as passage history information; and a controller that detects a person as a target of abnormality detection performed by the roadside device based on the passage history information and transmits the message including the detection result to the roadside device from the communicator. 14. A communication system comprising:
a pedestrian device that performs a danger determination by exchanging a message including positional information with an on-vehicle device; and a roadside device installed on a road, wherein the pedestrian device and the roadside device perform road-pedestrian communication, and the roadside device includes
a communicator that performs the road-pedestrian communication with the pedestrian device,
a storage in which in a case where the message transmitted from the pedestrian device is received by the communicator, pedestrian information included in the received message is accumulated as passage history information, and
a controller that detects occurrence of an abnormal event for a person possessing the pedestrian device based on the passage history information. 15. The communication system of claim 14, further comprising:
a management device that manages the abnormal event detected by the roadside device, wherein the management device includes
a communicator that communicates with the roadside device,
a storage in which in a case where the passage history information transmitted from the roadside device is received by the communicator, the received passage history information is accumulated, and
a controller that displays a screen of reconstruction of a situation at a time of occurrence of an abnormality in the past on a display device based on the passage history information. 16. The communication system of claim 14, further comprising:
a management device that manages the abnormal event detected by the roadside device, wherein the management device includes
a communicator that communicates with the roadside device,
a storage in which in a case where the passage history information transmitted from the roadside device is received by the communicator, the received passage history information is accumulated, and
a controller that generates behavior pattern information related to characteristics of a behavior of an involved person until the occurrence of the abnormal event based on the passage history information, predicts the occurrence of the abnormal event based on the behavior pattern information and the most recent pedestrian information acquired from the pedestrian device, and transmits an abnormality prediction notification to the roadside device. 17. The communication system of claim 14,
wherein the controller of the pedestrian device transmits service selection information related to information provision in an abnormality notification service to the communicator of the roadside device, and the controller of the roadside device generates information related to payment of an information provision fee to the person possessing the pedestrian device based on the service selection information received by the communicator. 18. The communication system of claim 14,
wherein the controller of the pedestrian device transmits service selection information related to use of an abnormality notification service to the communicator of the roadside device, and the controller of the roadside device generates information related to collection of a service usage fee from a user of the abnormality notification service based on the service selection information received by the communicator. 19. The communication system of claim 14,
wherein the roadside device includes a network communicator, and the controller of the roadside device acquires a frequency of occurrence of the abnormal event for each person based on the passage history information, generates information for reducing an insurance fee of an insurance subscribed by the person depending on the frequency, and transmits the information to an external unit from the network communicator. 20. An abnormality detection method for a roadside device that is installed on a road and performs road-pedestrian communication with a pedestrian device which performs a danger determination by exchanging a message including positional information with an on-vehicle device, the abnormality detection method comprising:
receiving the message transmitted from the pedestrian device by the road-pedestrian communication; accumulating pedestrian information included in the received message as passage history information; and detecting occurrence of an abnormal event for a person possessing the pedestrian device based on the passage history information. 21. An abnormality notification method of giving a notification of occurrence of an abnormal event for a person possessing a pedestrian device to a nearby pedestrian device from a roadside device, the abnormality notification method comprising:
by the pedestrian device, transmitting a message including pedestrian information to the roadside device; and by the roadside device, in a case where the message transmitted from the pedestrian device is received, accumulating the pedestrian information included in the message as passage history information; detecting the occurrence of the abnormal event for the person possessing the pedestrian device based on the passage history information; and transmitting the message including information indicating that the abnormal event occurs for the person possessing the pedestrian device to the nearby pedestrian device. 22. An abnormality notification method of giving a notification of occurrence of an abnormal event for a person possessing a pedestrian device to a protector device from a roadside device, the abnormality notification method comprising:
by the pedestrian device, transmitting a message including pedestrian information to the roadside device; and by the roadside device, in a case where the message transmitted from the pedestrian device is received, accumulating the pedestrian information included in the message as passage history information; detecting the occurrence of the abnormal event for the person possessing the pedestrian device based on the passage history information; and transmitting a notification that the abnormal event occurs for the person possessing the pedestrian device to the protector device. | A pedestrian terminal transmits a message including pedestrian information to a roadside machine. In a case where the message transmitted from the pedestrian terminal is received, the roadside machine accumulates the pedestrian information included in the message as passage history information, detects occurrence of an abnormal event for a person possessing the pedestrian terminal based on the passage history information, and transmits the message including information indicating that the abnormal event occurs for the person possessing the pedestrian terminal to a nearby pedestrian terminal.1. A roadside device that is installed on a road and performs road-pedestrian communication with a pedestrian device which performs a danger determination by exchanging a message including positional information with an on-vehicle device, the roadside device comprising:
a communicator that performs the road-pedestrian communication with the pedestrian device; a storage in which in a case where the message transmitted from the pedestrian device is received by the communicator, pedestrian information included in the received message is accumulated as passage history information; and a controller that detects occurrence of an abnormal event for a person possessing the pedestrian device based on the passage history information. 2. The roadside device of claim 1,
wherein the controller transmits the message including information indicating that the abnormal event occurs for the person possessing the pedestrian device to a nearby pedestrian device from the communicator. 3. The roadside device of claim 1, further comprising:
a network communicator that communicates with a protector device possessed by a protector, wherein the controller transmits a notification that the abnormal event occurs for the person possessing the pedestrian device to the protector device from the network communicator. 4. The roadside device of claim 1,
wherein the communicator receives the message including detection information related to at least one of an acceleration, an azimuth, an impact, and a voice detected by a detector disposed in the pedestrian device, and the controller detects the occurrence of the abnormal event for the person possessing the pedestrian device based on the detection information. 5. The roadside device of claim 1,
wherein in a case where a person who does not have a passage history within a predetermined period in the past is in a state of accompanying another person, the controller determines that kidnapping occurs as the abnormal event based on the passage history information. 6. The roadside device of claim 1,
wherein in a case where a change is made from an accompanying state of a plurality of persons having an accompanying history within a predetermined period in the past to a non-accompanying state, the controller determines that abandonment occurs as the abnormal event based on the passage history information. 7. The roadside device of claim 1,
wherein in a case where a person who does not have a change in positional information within a predetermined period in the past is present, the controller determines that confinement occurs as the abnormal event based on the passage history information. 8. The roadside device of claim 1,
wherein in a case where a person who does not have a passage history within a predetermined period in the past is in a state of moving like following another person, or in a case where the person is in a state of standing still and not moving on a movement path of another person, the controller determines that stalking occurs as the abnormal event based on the passage history information. 9. The roadside device of claim 1,
wherein in a case where physical abuse and verbal abuse are performed on the person possessing the pedestrian device, the communicator receives the message including physical abuse and verbal abuse detection information from the pedestrian device, and in a case where the message including the physical abuse and verbal abuse detection information is received in an accompanying state of a plurality of persons having an accompanying history within a predetermined period in the past, the controller determines that bullying occurs as the abnormal event based on the passage history information. 10. The roadside device of claim 1,
wherein in a case where an impact is detected after it is determined that there is a high danger of collision between a vehicle and a pedestrian by a danger determination in the pedestrian device, the communicator receives the message including collision detection information from the pedestrian device and receives the message transmitted from the on-vehicle device, and in a case where the vehicle running away from a collision location is detected based on positional information of the vehicle included in the message transmitted from the on-vehicle device after the message including the collision detection information is received, the controller determines that hit-and-run occurs as the abnormal event. 11. The roadside device of claim 1,
wherein in a case where a person does not pass through in an expected passage time range, the controller determines that the abnormal event occurs based on the passage history information. 12. A pedestrian device that performs a danger determination by exchanging a message including positional information with an on-vehicle device, the pedestrian device comprising:
a communicator that performs road-pedestrian communication with a roadside device installed on a road; a detector that detects a predetermined impact or a predetermined voice with respect to the pedestrian device; and a controller that transmits the message including detection information detected by the detector to the roadside device from the communicator. 13. A pedestrian device that performs a danger determination by exchanging a message including positional information with an on-vehicle device, the pedestrian device comprising:
a communicator that performs road-pedestrian communication with a roadside device installed on a road and receives the message transmitted from another pedestrian device; a storage in which pedestrian information included in the message received from the other pedestrian device by the communicator is accumulated as passage history information; and a controller that detects a person as a target of abnormality detection performed by the roadside device based on the passage history information and transmits the message including the detection result to the roadside device from the communicator. 14. A communication system comprising:
a pedestrian device that performs a danger determination by exchanging a message including positional information with an on-vehicle device; and a roadside device installed on a road, wherein the pedestrian device and the roadside device perform road-pedestrian communication, and the roadside device includes
a communicator that performs the road-pedestrian communication with the pedestrian device,
a storage in which in a case where the message transmitted from the pedestrian device is received by the communicator, pedestrian information included in the received message is accumulated as passage history information, and
a controller that detects occurrence of an abnormal event for a person possessing the pedestrian device based on the passage history information. 15. The communication system of claim 14, further comprising:
a management device that manages the abnormal event detected by the roadside device, wherein the management device includes
a communicator that communicates with the roadside device,
a storage in which in a case where the passage history information transmitted from the roadside device is received by the communicator, the received passage history information is accumulated, and
a controller that displays a screen of reconstruction of a situation at a time of occurrence of an abnormality in the past on a display device based on the passage history information. 16. The communication system of claim 14, further comprising:
a management device that manages the abnormal event detected by the roadside device, wherein the management device includes
a communicator that communicates with the roadside device,
a storage in which in a case where the passage history information transmitted from the roadside device is received by the communicator, the received passage history information is accumulated, and
a controller that generates behavior pattern information related to characteristics of a behavior of an involved person until the occurrence of the abnormal event based on the passage history information, predicts the occurrence of the abnormal event based on the behavior pattern information and the most recent pedestrian information acquired from the pedestrian device, and transmits an abnormality prediction notification to the roadside device. 17. The communication system of claim 14,
wherein the controller of the pedestrian device transmits service selection information related to information provision in an abnormality notification service to the communicator of the roadside device, and the controller of the roadside device generates information related to payment of an information provision fee to the person possessing the pedestrian device based on the service selection information received by the communicator. 18. The communication system of claim 14,
wherein the controller of the pedestrian device transmits service selection information related to use of an abnormality notification service to the communicator of the roadside device, and the controller of the roadside device generates information related to collection of a service usage fee from a user of the abnormality notification service based on the service selection information received by the communicator. 19. The communication system of claim 14,
wherein the roadside device includes a network communicator, and the controller of the roadside device acquires a frequency of occurrence of the abnormal event for each person based on the passage history information, generates information for reducing an insurance fee of an insurance subscribed by the person depending on the frequency, and transmits the information to an external unit from the network communicator. 20. An abnormality detection method for a roadside device that is installed on a road and performs road-pedestrian communication with a pedestrian device which performs a danger determination by exchanging a message including positional information with an on-vehicle device, the abnormality detection method comprising:
receiving the message transmitted from the pedestrian device by the road-pedestrian communication; accumulating pedestrian information included in the received message as passage history information; and detecting occurrence of an abnormal event for a person possessing the pedestrian device based on the passage history information. 21. An abnormality notification method of giving a notification of occurrence of an abnormal event for a person possessing a pedestrian device to a nearby pedestrian device from a roadside device, the abnormality notification method comprising:
by the pedestrian device, transmitting a message including pedestrian information to the roadside device; and by the roadside device, in a case where the message transmitted from the pedestrian device is received, accumulating the pedestrian information included in the message as passage history information; detecting the occurrence of the abnormal event for the person possessing the pedestrian device based on the passage history information; and transmitting the message including information indicating that the abnormal event occurs for the person possessing the pedestrian device to the nearby pedestrian device. 22. An abnormality notification method of giving a notification of occurrence of an abnormal event for a person possessing a pedestrian device to a protector device from a roadside device, the abnormality notification method comprising:
by the pedestrian device, transmitting a message including pedestrian information to the roadside device; and by the roadside device, in a case where the message transmitted from the pedestrian device is received, accumulating the pedestrian information included in the message as passage history information; detecting the occurrence of the abnormal event for the person possessing the pedestrian device based on the passage history information; and transmitting a notification that the abnormal event occurs for the person possessing the pedestrian device to the protector device. | 3,600 |
348,302 | 16,643,789 | 3,629 | Provided is a refrigerator, and more specifically, a refrigerator that provides an optimized screen for health care of a user on a front display thereof. The refrigerator includes: a storage compartment; a display configured to provide a screen for health care of a user; and a controller configured to control display of the display, wherein the screen for health care of the user includes at least one of health score graphics representing a score quantified from information regarding a health of the user, activity score graphics representing a score quantified from an activity of the user, meal planning graphics representing a meal planning of the user, or nutrition information graphics representing nutrition information of foods stored in the storage compartment, and further includes at least one icon of a health score management function icon, a step count management function icon, a meal planning management function icon, and a nutrition balance management function icon, of the use. | 1. A refrigerator comprising:
a storage compartment; a display configured to provide a screen for health care of a user; and a controller configured to control display of the display, wherein the screen for health care of the user includes at least one of health score graphics representing a score quantified from information regarding a health of the user, activity score graphics representing a score quantified from an activity of the user, meal planning graphics representing a meal planning of the user, or nutrition information graphics representing nutrition information of foods stored in the storage compartment. 2. The refrigerator of claim 1, wherein the screen for health care of the user is provided in a form of a widget in one area of a home screen provided in the display. 3. The refrigerator of claim 1, wherein the screen for health care of the user provides at least one of the health score graphics, the activity information graphics, the meal planning image, or the nutrition information graphics according to a user's setting. 4. The refrigerator of claim 1, wherein when a user's touch is input to the health score graphics, the display displays a health score management main screen including an area for providing an average health score of a plurality of users who are registered in advance and an area for providing respective individual scores of the plurality of users. 5. The refrigerator of claim 4, wherein the area for providing the average health score of the plurality of users includes average health score information calculated on the basis of the respective individual scores of the plurality of users. 6. The refrigerator of claim 4, wherein the area for providing the respective individual scores of the plurality of users is provided with a user selection tab including at least one piece of information among an image, a name, a heart rate, sleeping hours, and a step count, of each of the plurality of users. 7. The refrigerator of claim 6, wherein when a user's touch is input to the user selection tab, the display displays a health score management auxiliary screen including the at least one piece of information among the image, the name, the heart rate, the sleeping hours, or the step count, of a selected user. 8. The refrigerator of claim 1, wherein when a user's touch is input to the activity score graphics, the display displays an activity score management main screen for visually providing step counts of a plurality of users who are registered in advance. 9. The refrigerator of claim 1, wherein when a user's touch is input to the meal planning graphics, the display displays a meal planning management main screen including at least one of a day-of-week management area, a member management area, a recommended recipe providing area, or a recipe management area. 10. The refrigerator of claim 9, wherein the day-of-week management area is provided with a plurality of day icons, and when a user's touch is input to at least one day icon among the plurality of day icons, the display displays the recommended recipe providing area and the recipe management area of a day corresponding to the at least one day icon. 11. The refrigerator of claim 9, wherein the member management area is provided with respective images of a plurality of users who are registered in advance, and when a user's touch is input to at least one image among the respective images of the plurality of users, the display displays the recommended recipe providing area and the recipe management area of remaining users except for a user corresponding to the at least one image, to which the user's touch is input, among the plurality of users. 12. The refrigerator of claim 9, further comprising an imager configured to collect food information of the storage compartment,
wherein the controller displays a recommended recipe identified on the basis of the food information collected by the imager in the recommended recipe providing area. 13. The refrigerator of claim 12, wherein the controller determines the recommended recipe provided to the recommended recipe providing area on the basis of at least one of the food information collected by the image, a user's preference, and shelf life information of a food. 14. The refrigerator of claim 11, wherein the recommended recipe providing area is provided in a plurality of pages according to a number of recommended recipes determined by the controller. 15. The refrigerator of claim 11, wherein the recipe management area displays a recipe selected by a user among recipes provided in the recommended recipe providing area, and includes a shopping list button provided to enter a page for checking a shopping list on ingredients required for cooking recipes contained in the recipe management area. | Provided is a refrigerator, and more specifically, a refrigerator that provides an optimized screen for health care of a user on a front display thereof. The refrigerator includes: a storage compartment; a display configured to provide a screen for health care of a user; and a controller configured to control display of the display, wherein the screen for health care of the user includes at least one of health score graphics representing a score quantified from information regarding a health of the user, activity score graphics representing a score quantified from an activity of the user, meal planning graphics representing a meal planning of the user, or nutrition information graphics representing nutrition information of foods stored in the storage compartment, and further includes at least one icon of a health score management function icon, a step count management function icon, a meal planning management function icon, and a nutrition balance management function icon, of the use.1. A refrigerator comprising:
a storage compartment; a display configured to provide a screen for health care of a user; and a controller configured to control display of the display, wherein the screen for health care of the user includes at least one of health score graphics representing a score quantified from information regarding a health of the user, activity score graphics representing a score quantified from an activity of the user, meal planning graphics representing a meal planning of the user, or nutrition information graphics representing nutrition information of foods stored in the storage compartment. 2. The refrigerator of claim 1, wherein the screen for health care of the user is provided in a form of a widget in one area of a home screen provided in the display. 3. The refrigerator of claim 1, wherein the screen for health care of the user provides at least one of the health score graphics, the activity information graphics, the meal planning image, or the nutrition information graphics according to a user's setting. 4. The refrigerator of claim 1, wherein when a user's touch is input to the health score graphics, the display displays a health score management main screen including an area for providing an average health score of a plurality of users who are registered in advance and an area for providing respective individual scores of the plurality of users. 5. The refrigerator of claim 4, wherein the area for providing the average health score of the plurality of users includes average health score information calculated on the basis of the respective individual scores of the plurality of users. 6. The refrigerator of claim 4, wherein the area for providing the respective individual scores of the plurality of users is provided with a user selection tab including at least one piece of information among an image, a name, a heart rate, sleeping hours, and a step count, of each of the plurality of users. 7. The refrigerator of claim 6, wherein when a user's touch is input to the user selection tab, the display displays a health score management auxiliary screen including the at least one piece of information among the image, the name, the heart rate, the sleeping hours, or the step count, of a selected user. 8. The refrigerator of claim 1, wherein when a user's touch is input to the activity score graphics, the display displays an activity score management main screen for visually providing step counts of a plurality of users who are registered in advance. 9. The refrigerator of claim 1, wherein when a user's touch is input to the meal planning graphics, the display displays a meal planning management main screen including at least one of a day-of-week management area, a member management area, a recommended recipe providing area, or a recipe management area. 10. The refrigerator of claim 9, wherein the day-of-week management area is provided with a plurality of day icons, and when a user's touch is input to at least one day icon among the plurality of day icons, the display displays the recommended recipe providing area and the recipe management area of a day corresponding to the at least one day icon. 11. The refrigerator of claim 9, wherein the member management area is provided with respective images of a plurality of users who are registered in advance, and when a user's touch is input to at least one image among the respective images of the plurality of users, the display displays the recommended recipe providing area and the recipe management area of remaining users except for a user corresponding to the at least one image, to which the user's touch is input, among the plurality of users. 12. The refrigerator of claim 9, further comprising an imager configured to collect food information of the storage compartment,
wherein the controller displays a recommended recipe identified on the basis of the food information collected by the imager in the recommended recipe providing area. 13. The refrigerator of claim 12, wherein the controller determines the recommended recipe provided to the recommended recipe providing area on the basis of at least one of the food information collected by the image, a user's preference, and shelf life information of a food. 14. The refrigerator of claim 11, wherein the recommended recipe providing area is provided in a plurality of pages according to a number of recommended recipes determined by the controller. 15. The refrigerator of claim 11, wherein the recipe management area displays a recipe selected by a user among recipes provided in the recommended recipe providing area, and includes a shopping list button provided to enter a page for checking a shopping list on ingredients required for cooking recipes contained in the recipe management area. | 3,600 |
348,303 | 16,643,777 | 3,629 | A container production management system including: an object identification code adding means (1) for adding an object identification code (D) indicating an object identifier (ID); a processing step reading means (2 a, 2 b) for reading the object identification code (D) added to the object to be processed; an inspection step reading means (3) for reading the object identification code (D) added to the object to be inspected in an inspection step; and a computing means (4) for creating a database (5) in which the object identifier (ID), information on the processing step, and inspection results in the inspection step are linked with each other, wherein the object identification code adding means (1) adds the object identification code (D) to the material member for each container, or to a portion to be the material member among raw materials (S) before the individual material member is separated therefrom. | 1. A container production management system for managing a container production process, comprising:
an object identification code adding means for adding an object identification code indicating an object identifier, which is information for identifying an object ranging from a material member for each container to the container, to each of the objects; a processing step reading means for reading the object identifier indicated by the object identification code added to each of the objects to be processed in at least one processing step for processing the object added with the object identification code; an inspection step reading means for reading the object identifier indicated by the object identification code added to each of the objects to be inspected in at least one inspection step for inspecting the object added with the object identification code; and a computing means for creating a database in which the object identifier indicated by the object identification code, information on at least one processing step, and inspection results in at least one inspection step are linked with each other, wherein the object identification code adding means adds the object identification code to the material member for each container, or to a portion to be the material member among raw materials before the material member is separated therefrom. 2. The container production management system according to claim 1, wherein
each of the objects is processed in any of a plurality of processing lanes in at least one processing step, the processing step reading means reads the object identification code in each of the plurality of processing lanes, information on at least one processing step includes a lane identifier added to each of the plurality of processing lanes, and the computing means links, in the database, the object identifier of each of the objects with the lane identifier added to a lane in which each of the objects is processed. 3. The container production management system according to claim 1, wherein
each of the objects is processed in any of a plurality of processing means, or is conveyed by any of a plurality of conveyance means in at least one processing step, the processing step reading means reads the object identification code in each of the plurality of processing means or in each of the plurality of conveyance means, information on at least one processing step includes a means identifier added to each of the plurality of processing means or each of the plurality of conveyance means, and the computing means links, in the database, the object identifier of each of the objects with the means identifier added to the processing means in which each of the objects is processed, or the conveyance means. 4. The container production management system according to claim 1, wherein
the container production process is a can body production process for producing a can body as the container, and the object identification code adding means adds the object identification code to the material member blanked from a sheet metal as the raw material, or to each of portions to be blanked as the material member among the sheet metals. 5. The container production management system according to claim 4, wherein
the can body is a two piece can, and the object identification code adding means adds the object identification code to a portion to be a bottom part of the two piece can among the material members, or to each of portions to be blanked to be the bottom part of the two piece can among the sheet metals. 6. The container production management system according to claim 4, wherein
each of the material members is blanked from the sheet metal by any of a plurality of blanking means in a process for blanking the material member from the sheet metal, a blanking means identifier is added to each of the plurality of blanking means, and the computing means further links, in the database, the object identifier of each of the objects with the blanking means identifier for the blanking means with which the material member of each of the objects is blanked. 7. The container production management system according to claim 1, wherein
the container production process is a resin container production process for producing a resin container as the container, and the object identification code adding means adds the object identification code to part of a region from an opening of a preform as the material member to a preform-shape remaining portion which is not deformed in a post-step and immediately below a neck ring. 8. The container production management system according to claim 7, wherein
each of the preforms is molded by any of a plurality of preform molds in a preform molding step, a mold identifier is added to each of the plurality of preform molds, and the computing means further links, in the database, the object identifier of each of the preforms with the mold identifier for the preform mold with which each of the preforms is molded. 9. The container production management system according to claim 1, wherein
the container production process is a pouch production process for producing a pouch container as the container, and the object identification code adding means adds the object identification code to a sheet piece as the material member to be separated from a raw material sheet as the raw material, or to a portion to be separated as the sheet piece among the raw material sheets. 10. The container production management system according to claim 9, wherein
the raw material sheet is wound up into a roll-shaped original roll after processing in at least one processing step for processing the raw material sheet, the computing means sets an original roll identifier for identifying the individual original roll, and the computing means further links, in the database, the object identifier for the object with the original roll identifier for the original roll from which the raw material sheet is wound, the object being separated from the raw material sheet. 11. A container production management method, which is a container production management system for managing a container production process, comprising:
a step of adding an object identification code indicating an object identifier, which is information for identifying an object ranging from a material member for each container to the container; a step of reading the object identifier indicated by the object identification code added to each of the objects to be processed in at least one processing step of the object added with the object identification code; a step of reading the object identifier indicated by the object identification code added to each of the objects to be inspected in at least one inspection step of the object; and a step of creating a database in which the object identifier indicated by the object identification code, information on at least one processing step, and inspection results in at least one inspection step are linked with each other, wherein, in the step of adding the object identification code thereto, the object identification code is added to the material member for each container, or to a portion to be the material member among raw materials before the individual material member is separated therefrom. 12. The container production management method according to claim 11, wherein
each of the objects is processed in any of a plurality of processing lanes in at least one processing step, the object identification code is read in each of the plurality of processing lanes, information on at least one processing step includes a lane identifier added to each of the plurality of processing lanes, and the object identifier of each of the objects is linked, in the database, with the lane identifier for a lane in which each of the objects is processed. 13. The container production management method according to claim 11, wherein
each of the objects is processed in any of a plurality of processing means, or is conveyed by any of a plurality of conveyance means in at least one processing step, the object identification code is read in each of the plurality of processing means, or in each of the plurality of conveyance means, information on at least one processing step includes a means identifier added to each of the plurality of processing means or each of the plurality of conveyance means, and the object identifier of each of the objects is linked, in the database, with the means identifier for the processing means in which each of the objects is processed, or the conveyance means. 14. The container production management method according to claim 11, wherein
the container production process is a can body production process for producing a can body as the container, and the object identification code is added to the material member blanked from a sheet metal as the raw material, or to each of portions to be blanked as the material member among the sheet metals. 15. The container production management method according to claim 14, wherein
the can body is a two piece can, and the object identification code is added to a portion to be a bottom part of the two piece can among the material members, or to each of portions to be blanked to be the bottom part of the two piece can among the sheet metals. 16. The container production management method according to claim 14, wherein
each of the material members is blanked from the sheet metal by any of a plurality of blanking means in a process for blanking the material member from the sheet metal, a blanking means identifier is assigned to each of the plurality of blanking means, and the object identifier of each of the objects is further linked, in the database, with the blanking means identifier for the blanking means with which the material member of each of the objects is blanked. 17. The container production management method according to claim 11, wherein
the container production process is a resin container production process for producing a resin container as the container, and the object identification code is added to part of a region from an opening of a preform as the material member to a preform-shape remaining portion which is not deformed in a post-step and immediately below a neck ring. 18. The container production management method according to claim 17, wherein
each of the preforms is molded by any of a plurality of preform molds in a preform molding step, a mold identifier is assigned to each of the plurality of preform molds, and the object identifier of each of the preforms is further linked, in the database, with the mold identifier for the preform mold with which each of the preforms is molded. 19. The container production management method according to claim 11, wherein
the container production process is a pouch production process for producing a pouch container as the container, and the object identification code is added to a sheet piece as the material member to be separated from a raw material sheet as the raw material, or to a portion to be separated as the sheet piece among the raw material sheets. 20. The container production management method according to claim 19, wherein
the raw material sheet is wound up into a roll-shaped original roll after processing in at least one processing step for processing the raw material sheet, an original roll identifier for identifying each of the original rolls is set, and the object identifier for the object is further linked, in the database, with the original roll identifier for the original roll from which the raw material sheet is unwound, the object being separated from the raw material sheet. | A container production management system including: an object identification code adding means (1) for adding an object identification code (D) indicating an object identifier (ID); a processing step reading means (2 a, 2 b) for reading the object identification code (D) added to the object to be processed; an inspection step reading means (3) for reading the object identification code (D) added to the object to be inspected in an inspection step; and a computing means (4) for creating a database (5) in which the object identifier (ID), information on the processing step, and inspection results in the inspection step are linked with each other, wherein the object identification code adding means (1) adds the object identification code (D) to the material member for each container, or to a portion to be the material member among raw materials (S) before the individual material member is separated therefrom.1. A container production management system for managing a container production process, comprising:
an object identification code adding means for adding an object identification code indicating an object identifier, which is information for identifying an object ranging from a material member for each container to the container, to each of the objects; a processing step reading means for reading the object identifier indicated by the object identification code added to each of the objects to be processed in at least one processing step for processing the object added with the object identification code; an inspection step reading means for reading the object identifier indicated by the object identification code added to each of the objects to be inspected in at least one inspection step for inspecting the object added with the object identification code; and a computing means for creating a database in which the object identifier indicated by the object identification code, information on at least one processing step, and inspection results in at least one inspection step are linked with each other, wherein the object identification code adding means adds the object identification code to the material member for each container, or to a portion to be the material member among raw materials before the material member is separated therefrom. 2. The container production management system according to claim 1, wherein
each of the objects is processed in any of a plurality of processing lanes in at least one processing step, the processing step reading means reads the object identification code in each of the plurality of processing lanes, information on at least one processing step includes a lane identifier added to each of the plurality of processing lanes, and the computing means links, in the database, the object identifier of each of the objects with the lane identifier added to a lane in which each of the objects is processed. 3. The container production management system according to claim 1, wherein
each of the objects is processed in any of a plurality of processing means, or is conveyed by any of a plurality of conveyance means in at least one processing step, the processing step reading means reads the object identification code in each of the plurality of processing means or in each of the plurality of conveyance means, information on at least one processing step includes a means identifier added to each of the plurality of processing means or each of the plurality of conveyance means, and the computing means links, in the database, the object identifier of each of the objects with the means identifier added to the processing means in which each of the objects is processed, or the conveyance means. 4. The container production management system according to claim 1, wherein
the container production process is a can body production process for producing a can body as the container, and the object identification code adding means adds the object identification code to the material member blanked from a sheet metal as the raw material, or to each of portions to be blanked as the material member among the sheet metals. 5. The container production management system according to claim 4, wherein
the can body is a two piece can, and the object identification code adding means adds the object identification code to a portion to be a bottom part of the two piece can among the material members, or to each of portions to be blanked to be the bottom part of the two piece can among the sheet metals. 6. The container production management system according to claim 4, wherein
each of the material members is blanked from the sheet metal by any of a plurality of blanking means in a process for blanking the material member from the sheet metal, a blanking means identifier is added to each of the plurality of blanking means, and the computing means further links, in the database, the object identifier of each of the objects with the blanking means identifier for the blanking means with which the material member of each of the objects is blanked. 7. The container production management system according to claim 1, wherein
the container production process is a resin container production process for producing a resin container as the container, and the object identification code adding means adds the object identification code to part of a region from an opening of a preform as the material member to a preform-shape remaining portion which is not deformed in a post-step and immediately below a neck ring. 8. The container production management system according to claim 7, wherein
each of the preforms is molded by any of a plurality of preform molds in a preform molding step, a mold identifier is added to each of the plurality of preform molds, and the computing means further links, in the database, the object identifier of each of the preforms with the mold identifier for the preform mold with which each of the preforms is molded. 9. The container production management system according to claim 1, wherein
the container production process is a pouch production process for producing a pouch container as the container, and the object identification code adding means adds the object identification code to a sheet piece as the material member to be separated from a raw material sheet as the raw material, or to a portion to be separated as the sheet piece among the raw material sheets. 10. The container production management system according to claim 9, wherein
the raw material sheet is wound up into a roll-shaped original roll after processing in at least one processing step for processing the raw material sheet, the computing means sets an original roll identifier for identifying the individual original roll, and the computing means further links, in the database, the object identifier for the object with the original roll identifier for the original roll from which the raw material sheet is wound, the object being separated from the raw material sheet. 11. A container production management method, which is a container production management system for managing a container production process, comprising:
a step of adding an object identification code indicating an object identifier, which is information for identifying an object ranging from a material member for each container to the container; a step of reading the object identifier indicated by the object identification code added to each of the objects to be processed in at least one processing step of the object added with the object identification code; a step of reading the object identifier indicated by the object identification code added to each of the objects to be inspected in at least one inspection step of the object; and a step of creating a database in which the object identifier indicated by the object identification code, information on at least one processing step, and inspection results in at least one inspection step are linked with each other, wherein, in the step of adding the object identification code thereto, the object identification code is added to the material member for each container, or to a portion to be the material member among raw materials before the individual material member is separated therefrom. 12. The container production management method according to claim 11, wherein
each of the objects is processed in any of a plurality of processing lanes in at least one processing step, the object identification code is read in each of the plurality of processing lanes, information on at least one processing step includes a lane identifier added to each of the plurality of processing lanes, and the object identifier of each of the objects is linked, in the database, with the lane identifier for a lane in which each of the objects is processed. 13. The container production management method according to claim 11, wherein
each of the objects is processed in any of a plurality of processing means, or is conveyed by any of a plurality of conveyance means in at least one processing step, the object identification code is read in each of the plurality of processing means, or in each of the plurality of conveyance means, information on at least one processing step includes a means identifier added to each of the plurality of processing means or each of the plurality of conveyance means, and the object identifier of each of the objects is linked, in the database, with the means identifier for the processing means in which each of the objects is processed, or the conveyance means. 14. The container production management method according to claim 11, wherein
the container production process is a can body production process for producing a can body as the container, and the object identification code is added to the material member blanked from a sheet metal as the raw material, or to each of portions to be blanked as the material member among the sheet metals. 15. The container production management method according to claim 14, wherein
the can body is a two piece can, and the object identification code is added to a portion to be a bottom part of the two piece can among the material members, or to each of portions to be blanked to be the bottom part of the two piece can among the sheet metals. 16. The container production management method according to claim 14, wherein
each of the material members is blanked from the sheet metal by any of a plurality of blanking means in a process for blanking the material member from the sheet metal, a blanking means identifier is assigned to each of the plurality of blanking means, and the object identifier of each of the objects is further linked, in the database, with the blanking means identifier for the blanking means with which the material member of each of the objects is blanked. 17. The container production management method according to claim 11, wherein
the container production process is a resin container production process for producing a resin container as the container, and the object identification code is added to part of a region from an opening of a preform as the material member to a preform-shape remaining portion which is not deformed in a post-step and immediately below a neck ring. 18. The container production management method according to claim 17, wherein
each of the preforms is molded by any of a plurality of preform molds in a preform molding step, a mold identifier is assigned to each of the plurality of preform molds, and the object identifier of each of the preforms is further linked, in the database, with the mold identifier for the preform mold with which each of the preforms is molded. 19. The container production management method according to claim 11, wherein
the container production process is a pouch production process for producing a pouch container as the container, and the object identification code is added to a sheet piece as the material member to be separated from a raw material sheet as the raw material, or to a portion to be separated as the sheet piece among the raw material sheets. 20. The container production management method according to claim 19, wherein
the raw material sheet is wound up into a roll-shaped original roll after processing in at least one processing step for processing the raw material sheet, an original roll identifier for identifying each of the original rolls is set, and the object identifier for the object is further linked, in the database, with the original roll identifier for the original roll from which the raw material sheet is unwound, the object being separated from the raw material sheet. | 3,600 |
348,304 | 16,643,812 | 3,629 | Presented herein are methods of producing “personalized” secretome compositions suitable for secretome based therapy to be administered to a specific individual and/or specific group of individuals. The iPSCs and/or iPSC-derived cells, and any iPSC-derived compositions derived therefrom, are identified as compatible with a specific individual or specific group of individuals using an identification of a cell type indicative of compatibility such as an HLA match and/or ABO blood match and/or RHD blood group match. The identified compatible cells are then retrieved from a managed HLA-indexed (and/or otherwise indexed) repository or are derived from a biological sample of a suitable donor. The retrieved compatible cells are then used to derive the “personalized” iPSC-derived secretome compositions, that comprise the complete secretome or a subset of the secretome suitable for treatment of a specific individual and/or specific group of individuals. | 1. A method of manufacturing an induced pluripotent stem cell (iPSC)-derived secretome composition tailored for treatment of a particular subject or particular group of subjects, said method comprising the steps of:
(a) identifying, as compatible with the particular subject or particular group of subjects, one or more iPSCs and/or one or more iPSC-derived cells; (b) retrieving compatible cells corresponding to the one or more iPSCs and/or one or more iPSC-derived cells identified as compatible with the particular subject or particular group of subjects; and (c) producing the iPSC-derived secretome composition using the retrieved compatible cells. 2. The method of claim 1, wherein the one or more iPSCs and/or the one or more iPSC-derived cells are human cells. 3. The method of claim 1 or claim 2, wherein the iPSC-derived secretome composition comprises one or more desired compatible-cell-secreted species. 4. The method of claim 3, wherein the one or more desired compatible-cell-secreted species comprise one or more desired compatible-cell-secreted molecules and/or one or more desired compatible-cell-secreted biological elements. 5. The method of claim 3, wherein the one or more desired compatible-cell-secreted species comprise one or more cytokines. 6. The method of claim 3, wherein the one or more desired compatible-cell-secreted species comprise one or more exosomes and/or one or more microvesicles. 7. The method of any one of the preceding claims, wherein step (c) comprises extracting one or more desired compatible-cell-secreted molecules and/or one or more desired biological elements from the retrieved compatible cells. 8. The method of any one of the preceding claims, wherein step (b) comprises deriving the compatible cells from a biological sample of the particular subject. 9. The method of any one of the preceding claims, wherein step (c) comprises producing a lyophilized iPSC-derived secretome composition. 10. The method of any one of the preceding claims, wherein the retrieved compatible cells comprise one or more members selected from the group consisting of induced pluripotent stem cells (iPSCs), mesenchymal stem cells (MSCs), Retinal Pigment Epithelium (RPEs), chondrocytes, hematopoietic stem cells (HSCs), blood progenitor cells, and embryoid bodies. 11. The method of any one of the preceding claims, wherein the particular subject or the particular group of subjects is/are human. 12. The method of any one of the preceding claims, wherein the one or more iPSCs and/or one or more iPSC-derived cells are stored in a physical repository. 13. The method of any one of the preceding claims, wherein step (b) comprises obtaining the compatible cells from a physical repository. 14. The method of any one of the preceding claims, wherein step (b) comprises retrieving, by a processor of a computing device, one or more data entries corresponding to the compatible cells using a processor-based query from a user, wherein the query comprises an identification of a cell type indicative of compatibility with the particular subject or particular group of subjects. 15. The method of claim 14, wherein the identification of cell type indicative of compatibility with the particular subject or particular group of subjects comprises one or more of (i) to (iii): (i) an HLA match, (ii) an ABO blood type match, and (iii) an RHD blood group match. 16. The method of any one of the preceding claims, wherein the iPSC-derived secretome composition comprises the retrieved compatible cells. 17. The method of any one of the preceding claims, wherein step (c) comprises forming the retrieved compatible cells into a macroscopic structure suitable for topical application to the subject. 18. The method of claim 16, wherein the macroscopic structure is a sheet. 19. The method of any one of the preceding claims, wherein producing the iPSC-derived secretome composition in step (c) comprises exposing the compatible cells to culture media. 20. The method of claim 19, wherein the iPSC-derived secretome composition comprises the compatible cells, the culture media, and the one or more desired compatible-cell-secreted species. 21. The method of any one of the preceding claims, wherein step (c) comprises producing blood progenitor cells and/or HSCs and/or MSCs and/or embryoid bodies and/or RPEs and/or chondrocytes from the one or more iPSCs identified as compatible with the particular subject or particular group of subjects. 22. The method of claim 21, comprising producing the iPSC-derived secretome composition from the produced blood progenitor cells, and/or produced HSCs, and/or produced MSCs, and/or produced embryoid bodies, and/or produced RPEs, and/or produced chondrocytes. 23. The method of any one of the preceding claims, wherein the iPSC-derived secretome composition is a treatment spray, or a treatment cream, or a lotion. 24. The method of any one of claims 1 to 22, wherein the iPSC-derived secretome composition is a treatment injection. 25. A method of manufacturing an induced pluripotent stem cell (iPSC)-derived secretome composition tailored for treatment of a particular subject or particular group of subjects, said method comprising the steps of:
(a) storing, by a processor of a computing device, a database comprising a data entry corresponding to each of a plurality of characterized cells in a physical repository, wherein the characterized cells comprise iPSCs and/or iPSC-derived cells; (b) receiving, by the processor, a query from a user comprising an identification of a cell type of the particular subject or particular group of subjects; (c) matching, by the processor, the query to one or more data entries of the database, each of the matching data entries corresponding to each of the plurality of characterized cells having a cell type compatible with the particular subject or particular group of subjects, thereby identifying as compatible with the subject the one or more characterized cells; (d) retrieving, from a physical repository, compatible cells corresponding to the one or more characterized cells identified as compatible with the particular subject or particular group of subjects; and (e) producing the iPSC-derived secretome composition using the retrieved compatible cells. 26. The method of claim 25, wherein:
the data entry corresponding to each of the plurality of characterized cells comprises a set of characterized HLA loci corresponding to the cell, the query comprises a set of queried HLA loci for the particular subject or the particular group of subjects, and the one or more matched data entries of the database are each representative of one or more characterized compatible cells matching the queried HLA loci. 27. The method of claim 26, wherein the plurality of characterized cells in the physical repository are immortalized. 28. The method of claim 26 or claim 27, wherein the set of characterized HLA loci corresponding to each of the plurality of characterized cells comprises a set of at least 3 HLA loci, wherein the at least 3 HLA loci are HLA-A, HLA-B, and HLA-DRB. 29. The method of claim 26 or claim 27, wherein the set of characterized HLA loci corresponding to each of the plurality of characterized cells comprises a set of at least 9 given loci, wherein the at least 9 given loci are HLA-A, HLA-B, HLA-C, HLA-DRB1, HLA-DRB3, HLA-DRB4, HLA-DRB5, HLA-DQB1, HLA-DPB1. 30. The method of claim 28, wherein the set of characterized HLA loci corresponding to each of the plurality of characterized cells comprises at least 3 given loci selected from the group consisting of HLA-A, HLA-B, HLA-C, HLA-DRB1, HLA-DRB3, HLA-DRB4, HLA-DRB5, HLA-DQB1, and HLA-DPB1. 31. The method of any one of claims 26 to 30, wherein each of the one or more matching data entries of the database exactly match or partially match the set of queried HLA loci for the particular subject or the particular group of subjects. 32. The method of any one of claims 25 to 31, wherein the data entry for each of the plurality of characterized cells further comprises ABO blood type and the query further comprises ABO blood type, and wherein the one or more matching data entries of the database representative of the one or more characterized compatible cells match the queried HLA loci and the queried ABO blood type. 33. The method of any one of claims 25 to 32, wherein the data entry for each of the plurality of characterized cells further comprises RHD blood group and the query further comprises RHD blood group, and wherein the one or more matching data entries of the database representative of the one or more characterized compatible cells match the queried RHD blood group and the queried HLA loci. 34. The method of any one of claims 25 to 33, wherein the queried HLA loci correspond to the particular subject or particular group of subjects in need of an HLA matched iPSC-derived secretome composition. 35. The method of claim 34, wherein the HLA matched iPSC-derived secretome composition is selected from one or more iPSC-derived secretome compositions, each derived from the one or more characterized compatible cells corresponding to each of the one or more data entries of the database that exactly match or partially match the queried HLA loci of the particular subject. 36. The method of any one of claims 25 to 35, wherein one or more of the queried HLA loci is determined by processing and analyzing a biological sample from the particular subject in need of the HLA match. 37. The method of claim 32, wherein the queried ABO blood type, is determined by processing and analyzing a biological sample from the particular subject in need of an ABO match. 38. The method of claim 33, wherein the queried RHD blood group is determined by processing and analyzing a biological sample from the particular subject in need of a RHD blood group match. 39. The method of any one of claims 25 to 38, wherein the physical repository comprises one or more liquid nitrogen storage tanks. 40. The method of any one of claims 25 to 39, comprising producing blood progenitor cells and/or HSCs and/or MSCs and/or RPEs and/or chondrocytes from each of the one or more characterized compatible cells corresponding to the one or more data entries matching the queried HLA loci. 41. The method of any one of claims 25 to 40, further comprising administering the iPSC-derived secretome composition to the particular subject or particular group of subjects. 42. The method of claim 41, wherein the administering step comprises administering the iPSC-derived secretome composition to the particular subject or particular group of subjects for treatment of a known disease, injury, or condition in the particular subject or particular group of subjects, wherein the known disease, injury, or condition is a member selected from the group consisting of lung disease, rheumatic diseases, cardiovascular disease, cancer, arthritis, traumatic brain injury, central nervous system (CNS) injury, and inflammation. 43. The method of any one of claims 25 to 42, wherein the database comprises a data entry corresponding to each of a plurality of iPSC super donor cell lines, wherein the data entry for each super donor cell line comprises a set of characterized HLA loci corresponding to the super donor cell line. 44. The method of claim 43, wherein each of the plurality of iPSC super donor cell lines can be used for treatment of a particular subject or particular group of subjects having matching HLA loci with lower risk of immune rejection by the particular subject or particular group of subjects. 45. The method of claim 43 or claim 44, further comprising determining the set of characterized HLA loci corresponding to each of the plurality of super donor cell lines by processing and analyzing one or more biological samples collected from each of one or more super donor individuals. 46. The method of claim 45, wherein the step of determining the set of characterized HLA loci corresponding to each of the plurality of super donor cell lines comprises identifying a set of at least 3 HLA loci, wherein the at least 3 HLA loci are HLA-A, HLA-B, and HLA-DRB. 47. The method of claim 45, wherein the step of determining the set of characterized HLA loci corresponding to each of the plurality of the super donor cell lines comprises identifying a set of at least 9 HLA loci, wherein the at least 9 HLA loci are HLA-A, HLA-B, HLA-C, HLA-DRB1, HLA-DRB3, HLA-DRB4, HLA-DRB5, HLA-DQB1, and HLA-DPB1. 48. The method of claim 47, wherein the set of characterized HLA loci corresponding to each of the plurality of the super donor cell lines comprises at least 3 HLA loci selected from the group consisting of HLA-A, HLA-B, HLA-C, HLA-DRB1, HLA-DRB3, HLA-DRB4, HLA-DRB5, HLA-DQB1, and HLA-DPB1. 49. The method of any one of claims 43 to 48, wherein the set of characterized HLA loci corresponding to each of the plurality of the super donor cell lines are homozygous for HLA-A, HLA-B, and DRB-1. 50. The method of claim 49, wherein the homozygous set of characterized HLA loci belong to a set of most-common HLA loci for a given population that matches a majority of the given population. 51. The method of any one of claims 43 to 50, wherein the homozygous set of characterized HLA loci comprise homozygous HLA loci in at least 3 major sites wherein the major sites are members selected from the group consisting of HLA-A, HLA-B, HLA-C, HLA-DRB1, HLA-DRB3, HLA-DRB4, HLA-DRB5, HLA-DQB1, and HLA-DPB1. 52. The method of any one of claims 43 to 51, wherein the plurality of iPSC super donor cell lines match at least 70% of the population from which the particular subject originates. 53. The method of any one of claims 43 to 52, wherein the iPSC-derived secretome composition is produced using one of the plurality of iPSC super donor cell lines. 54. The method of claim 53, comprising exposing the iPSC super donor cell line used to produce the iPSC-derived secretome composition to culture media. 55. The method of claim 54, wherein the iPSC-derived secretome composition comprises cells from the iPSC super donor cell line, the culture media, and one or more desired compatible-cell-secreted species. 56. The method of claim 55, wherein the one or more desired compatible-cell-secreted species comprise one or more desired compatible-cell-secreted molecules and/or one or more desired compatible-cell-secreted biological elements. 57. The method of claim 55, wherein the one or more desired compatible-cell-secreted species comprise one or more exosomes and/or one or more microvesicles. 58. The method of any one of claims 43 to 57, comprising producing blood progenitor cells and/or HSCs and/or MSCs and/or RPEs and/or chondrocytes from each of one or more iPSC super donor cell lines identified as compatible with the particular subject or particular group of subjects. 59. The method of any one of claims 25 to 58, wherein the iPSC-derived secretome composition is a treatment spray. 60. The method of any one of claims 25 to 58, wherein the iPSC-derived secretome composition is a treatment lotion or a treatment cream. 61. The method of any one of claims 25 to 60, wherein the iPSC-derived secretome composition comprises one or more proteins listed in Table 1, and/or Table 2, and/or Table 3, and/or Table 4. 62. The method of any one of claims 25 to 61, wherein the iPSC-derived secretome composition is for internal use. 63. The method of claim 62, wherein the iPSC-derived secretome composition is an injection. 64. The method of any one of claims 25 to 61, wherein the iPSC-derived secretome composition is lyophilized. 65. The method of any one of claims 25 to 61, comprising engineering the compatible cells to upregulate production of one or more desired proteins in the iPSC-derived secretome composition. 66. The method of claim 65, wherein the compatible cells are engineered using CRISPR/Cas9 technology. 67. The method of claim 65 or 66, comprising removing and/or replacing and/or editing one or more genes of the compatible cells so as to increase the likelihood of the upregulation of one or more desired proteins in the iPSC-derived secretome composition. 68. A composition of matter comprising an iPSC-derived secretome composition comprising one or more desired compatible-cell-secreted species, wherein the composition is produced by the method of any one of the preceding claims. 69. The composition of claim 68, wherein the iPSC-derived secretome composition is a member selected from the group consisting of a treatment spray, a treatment cream, a treatment lotion, and a treatment injection. 70. The composition of claim 68 or 69, wherein the iPSC-derived secretome composition comprises compatible cells, conditioned culture media, and one or more of the desired compatible-cell-secreted species. 71. The composition of claim 70, wherein the iPSC-derived secretome composition comprises one or more additives. 72. The composition of claim 71, wherein the one or more additives comprises one or more nutrients and/or one or more supplements. 73. The composition of any one of claims 68 to 72, wherein the iPSC-derived secretome composition comprises iPS cells that are derived from a biological sample of a particular subject. 74. The composition of any one of claims 68 to 73, wherein the iPSC-derived secretome composition comprises compatible cells retrieved from a physical repository, wherein the compatible cells are identified as compatible with the particular subject or a particular group of subjects. 75. The composition of claim 74, wherein the compatible cells are identified as compatible with the particular subject or the particular group of subjects using an identification of cell type indicative of compatibility with the particular subject or particular group of subjects, wherein the identification of cell type indicative of compatibility comprises one or more of (i) to (iii): (i) an HLA match, (ii) an ABO blood type match, and (iii) an RHD blood group match having the same HLA loci, and/or ABO blood type, and/or RHD blood group as the. 76. The composition of any one of claims 68 to 75, wherein the iPSC-derived secretome composition comprises one or more compatible-cell-secreted species. 77. The composition of claim 76, wherein the one or more compatible-cell-secreted species are one or more members selected from the group consisting of cytokines, miRNA, siRNA, proteins, organic molecules, inorganic molecules, and biological elements. 78. The composition of any one of claims 68 to 77, wherein the iPSC-derived secretome composition comprises one or more proteins listed in Table 1, and/or Table 2, and/or Table 3, and/or Table 4. 79. The composition of any one of claims 68 to 78, wherein the iPSC-derived secretome composition is formulated internal use. 80. The composition of any one of claim 79, wherein the iPSC derived secretome composition is formulated for use in an injection. 81. The composition of any one of claims 68 to 78, wherein the iPSC-derived secretome composition is lyophilized. 82. The composition of any one of claims 68 to 81, wherein the iPSC-derived secretome composition comprises engineered compatible cells. 83. The composition of claim 82, wherein the engineered compatible cells are modified to upregulate and/or downregulate production of one or more desired proteins in the iPSC-derived secretome composition. 84. The composition of claim 82 or claim 83, wherein the engineered compatible cells are modified using CRISPR/Cas9 technology. 85. A method of storing an induced pluripotent stem cell (iPSC)-derived secretome composition tailored for treatment of a particular subject or particular group of subjects, said method comprising the steps of:
(a) identifying, by a processor of a computing device, as compatible with the particular subject or particular group of subjects, one or more iPSC-derived secretome compositions derived using compatible cells corresponding to the one or more iPSCs and/or iPSC-derived cells identified as compatible with the particular subject or particular group of subjects; (b) labelling, by a processor of a computing device, the one or more iPSC-derived secretome compositions with a label, wherein the label comprises information relating to the iPSCs and/or iPSC-derived cells, and a classification of the iPSC and/or IPSC-derived cells the iPSC-derived secretome composition is derived from; and (c) storing, by a processor of a computing device, a database comprising a data entry corresponding to each label in a physical repository. 86. The method of claim 85, wherein the label is a physical label and/or a digital label. 87. The method of claim 85 or claim 86, wherein the label comprises information relating to one or more of (i) to (iii) as follows:
(i) the iPSCs and/or iPSC-derived cells the iPSC-derived secretome composition is derived from;
(ii) one or more HLA loci, and/or ABO blood type, and/or RHD blood group compatible with the labeled iPSC-derived secretome composition; and
(iii) one or more other iPSC-derived secretome compositions stored in the physical repository that are compatible with the particular subject or particular group of subjects, wherein the HLA loci, and/or ABO blood type, and/or RHD blood group of the one or more other iPSC-derived secretome compositions are identical to or match the HLA loci, and/or ABO blood type, and/or RHD blood group of the iPSCs and/or iPSC-derived cells of (i). 88. A method of retrieving one or more produced, labeled and stored iPSC-derived secretome compositions derived using iPSCs and/or iPSC-derived cells, said method comprising the steps of:
(a) identifying, by a processor of a computing device, as compatible with a particular subject or particular group of subjects, one or more iPSC-derived secretome compositions derived using one or more iPSCs and/or iPSC-derived cells identified as compatible with the particular subject or particular group of subjects; (b) retrieving from a physical repository the one or more compatible iPSC-derived secretome compositions corresponding to the one or more iPSCs and/or iPSC-derived cells identified as compatible with the particular subject or particular group of subjects; and (c) updating, by a processor of a computing device, a database comprising data entries corresponding to the particular subject or particular group of subjects. 89. The method of claim 88, wherein the retrieved one or more iPSC-derived secretome compositions is administered as treatment to the subject. 90. The method of claim 89, wherein the treatment is a spray. 91. The method of claim 89, wherein the treatment is a cream and/or lotion. 92. The method of claim 89, wherein the treatment is an injection. 93. A method of administering an iPSC-derived secretome composition tailored for treatment of a particular subject or particular group of subjects, said method comprising the steps of:
(a) identifying the particular subject or particular group of subjects as having a deficiency in one or more substances; (b) identifying, as compatible with the particular subject or particular group of subjects, one or more iPSCs and/or one or more iPSC-derived cells; (c) retrieving compatible cells corresponding to the one or more iPSCs and/or one or more iPSC-derived cells identified as compatible with the particular subject or particular group of subjects; (d) producing the iPSC-derived secretome composition using the retrieved compatible cells, wherein the iPSC-derived secretome composition comprises the one or more substances deficient in the particular subject or the particular group of subjects; and (e) administering to the particular subject or particular group of subjects the iPSC-derived secretome composition. 94. The method of claim 93, wherein the one or more substances comprise one or more cell-secreted molecules and/or cell-secreted biological elements. 95. The method of claim 93 or claim 94, wherein the iPSC-derived secretome composition comprises the one or more cell-secreted substances identified to be deficient in the particular subject or the particular group of subjects. 96. The method of any one of claims 93 to 95, wherein step (d) comprises extracting the secretomes of the retrieved compatible cells. 97. The method of any one of claims 93 to 96, wherein step (c) comprises obtaining the compatible cells from a physical repository. 98. The method of any one of claims 93 to 97, wherein the compatible cells are one or more members selected from the group consisting of iPSCs, MSCs, RPEs, chondrocytes, embryoid bodies, HSCs, and blood progenitor cells. 99. The method of any one of claims 93 to 98, wherein step (c) comprises retrieving the compatible cells using a processor-based query from a user, wherein the query comprises an identification of a cell type indicative of compatibility with the particular subject or particular group of subjects. 100. The method of claim 99, wherein the identification of cell type indicative of compatibility with the particular subject or particular group of subjects comprises one or more of (i) to (iii): (i) an HLA match, (ii) an ABO blood type match, and (iii) an RHD blood group match. 101. The method of any one of claims 93 to 100, wherein step (b) comprises identifying, one or more stored and labeled iPSC-derived secretome compositions within the physical repository derived using one or more iPSCs and/or one or more iPSC-derived cells identified as compatible with the particular subject or group of subjects. 102. The method of any one of claims 93 to 101, wherein step (c) comprises retrieving, the one or more identified iPSC-derived secretome compositions corresponding to the one or more iPSCs and/or one or more iPSC-derived cells identified as compatible with the particular subject or particular group of subjects. 103. The method of any one of claims 93 to 102, wherein step (d) comprises producing a lyophilized iPSC-derived secretome composition. 104. The method of any one of claims 93 to 103, wherein the iPSC-derived secretome composition is administered as treatment to the particular subject or particular group of subjects. 105. The method of claim 104, wherein the treatment is a spray. 106. The method of claim 104, wherein the treatment is a cream and/or lotion. 107. The method any one of claims 93 to 106, wherein the iPSC-derived secretome composition comprises one or more proteins listed in Table 1, and/or Table 2, and/or Table 3, and/or Table 4. 108. The method any one of claims 93 to 107, wherein the iPSC-derived secretome composition is for internal use. 109. The method of claim 108, wherein the iPSC derived secretome composition is an injection. 110. The method of any one of claims 93 to 109, wherein the iPSC-derived secretome composition is lyophilized. 111. The method of any one of claims 93 to 110, comprising engineering the compatible cells to upregulate and/or downregulate production of one or more desired proteins in the iPSC-derived secretome composition. 112. The method of claim 111, wherein the compatible cells are engineered using CRISPR/Cas9 technology. 113. The method of claim 111 or claim 112, comprising removing and/or replacing and/or editing one or more genes of the compatible cells so as to increase the likelihood of the upregulation and/or downregulation of one or more desired proteins in the iPSC-derived secretome composition. 114. The method of any one of claims 93 to 113, wherein the iPSC-derived secretome composition comprises exosomes. 115. The method of any one of claims 93 to 114, wherein the iPSC-derived secretome composition comprises microvesicles. 116. The method of claim 114, wherein the exosomes comprise proteins, and/or siRNAs, and/or miRNAs. 117. The method of claim 115, wherein the microvesicles comprise proteins, and/or siRNAs, and/or miRNAs. 118. The method of any one of claims 93 to 117, wherein the iPSC-derived secretome composition comprises one or more compatible cell types. 119. A method of treating a condition in a subject, the method comprising:
identifying, as compatible with the subject, an iPSC-derived secretome composition; and administering the iPSC-derived secretome composition to the subject. 120. The method of claim 119, wherein the iPSC-derived secretome composition comprises one or more proteins listed in Table 1, and/or Table 2, and/or Table 3, and/or Table 4. 121. The method of claim 119 or claim 120, wherein the step of identifying the compatible iPSC-derived secretome composition comprises the steps of:
determining HLA loci, and/or ABO blood type, and/or RHD blood group associated with one or more iPSCs and/or one or more iPSC-derived cells from which the iPSC-derived secretome composition is derived; and
matching, by a processor of a computing device, the determined HLA loci, and/or ABO blood type, and/or RHD blood group of the iPSC-derived secretome composition with the HLA loci, and/or ABO blood type, and/or RHD blood group of the subject, wherein a match is an exact match or a partial match. | Presented herein are methods of producing “personalized” secretome compositions suitable for secretome based therapy to be administered to a specific individual and/or specific group of individuals. The iPSCs and/or iPSC-derived cells, and any iPSC-derived compositions derived therefrom, are identified as compatible with a specific individual or specific group of individuals using an identification of a cell type indicative of compatibility such as an HLA match and/or ABO blood match and/or RHD blood group match. The identified compatible cells are then retrieved from a managed HLA-indexed (and/or otherwise indexed) repository or are derived from a biological sample of a suitable donor. The retrieved compatible cells are then used to derive the “personalized” iPSC-derived secretome compositions, that comprise the complete secretome or a subset of the secretome suitable for treatment of a specific individual and/or specific group of individuals.1. A method of manufacturing an induced pluripotent stem cell (iPSC)-derived secretome composition tailored for treatment of a particular subject or particular group of subjects, said method comprising the steps of:
(a) identifying, as compatible with the particular subject or particular group of subjects, one or more iPSCs and/or one or more iPSC-derived cells; (b) retrieving compatible cells corresponding to the one or more iPSCs and/or one or more iPSC-derived cells identified as compatible with the particular subject or particular group of subjects; and (c) producing the iPSC-derived secretome composition using the retrieved compatible cells. 2. The method of claim 1, wherein the one or more iPSCs and/or the one or more iPSC-derived cells are human cells. 3. The method of claim 1 or claim 2, wherein the iPSC-derived secretome composition comprises one or more desired compatible-cell-secreted species. 4. The method of claim 3, wherein the one or more desired compatible-cell-secreted species comprise one or more desired compatible-cell-secreted molecules and/or one or more desired compatible-cell-secreted biological elements. 5. The method of claim 3, wherein the one or more desired compatible-cell-secreted species comprise one or more cytokines. 6. The method of claim 3, wherein the one or more desired compatible-cell-secreted species comprise one or more exosomes and/or one or more microvesicles. 7. The method of any one of the preceding claims, wherein step (c) comprises extracting one or more desired compatible-cell-secreted molecules and/or one or more desired biological elements from the retrieved compatible cells. 8. The method of any one of the preceding claims, wherein step (b) comprises deriving the compatible cells from a biological sample of the particular subject. 9. The method of any one of the preceding claims, wherein step (c) comprises producing a lyophilized iPSC-derived secretome composition. 10. The method of any one of the preceding claims, wherein the retrieved compatible cells comprise one or more members selected from the group consisting of induced pluripotent stem cells (iPSCs), mesenchymal stem cells (MSCs), Retinal Pigment Epithelium (RPEs), chondrocytes, hematopoietic stem cells (HSCs), blood progenitor cells, and embryoid bodies. 11. The method of any one of the preceding claims, wherein the particular subject or the particular group of subjects is/are human. 12. The method of any one of the preceding claims, wherein the one or more iPSCs and/or one or more iPSC-derived cells are stored in a physical repository. 13. The method of any one of the preceding claims, wherein step (b) comprises obtaining the compatible cells from a physical repository. 14. The method of any one of the preceding claims, wherein step (b) comprises retrieving, by a processor of a computing device, one or more data entries corresponding to the compatible cells using a processor-based query from a user, wherein the query comprises an identification of a cell type indicative of compatibility with the particular subject or particular group of subjects. 15. The method of claim 14, wherein the identification of cell type indicative of compatibility with the particular subject or particular group of subjects comprises one or more of (i) to (iii): (i) an HLA match, (ii) an ABO blood type match, and (iii) an RHD blood group match. 16. The method of any one of the preceding claims, wherein the iPSC-derived secretome composition comprises the retrieved compatible cells. 17. The method of any one of the preceding claims, wherein step (c) comprises forming the retrieved compatible cells into a macroscopic structure suitable for topical application to the subject. 18. The method of claim 16, wherein the macroscopic structure is a sheet. 19. The method of any one of the preceding claims, wherein producing the iPSC-derived secretome composition in step (c) comprises exposing the compatible cells to culture media. 20. The method of claim 19, wherein the iPSC-derived secretome composition comprises the compatible cells, the culture media, and the one or more desired compatible-cell-secreted species. 21. The method of any one of the preceding claims, wherein step (c) comprises producing blood progenitor cells and/or HSCs and/or MSCs and/or embryoid bodies and/or RPEs and/or chondrocytes from the one or more iPSCs identified as compatible with the particular subject or particular group of subjects. 22. The method of claim 21, comprising producing the iPSC-derived secretome composition from the produced blood progenitor cells, and/or produced HSCs, and/or produced MSCs, and/or produced embryoid bodies, and/or produced RPEs, and/or produced chondrocytes. 23. The method of any one of the preceding claims, wherein the iPSC-derived secretome composition is a treatment spray, or a treatment cream, or a lotion. 24. The method of any one of claims 1 to 22, wherein the iPSC-derived secretome composition is a treatment injection. 25. A method of manufacturing an induced pluripotent stem cell (iPSC)-derived secretome composition tailored for treatment of a particular subject or particular group of subjects, said method comprising the steps of:
(a) storing, by a processor of a computing device, a database comprising a data entry corresponding to each of a plurality of characterized cells in a physical repository, wherein the characterized cells comprise iPSCs and/or iPSC-derived cells; (b) receiving, by the processor, a query from a user comprising an identification of a cell type of the particular subject or particular group of subjects; (c) matching, by the processor, the query to one or more data entries of the database, each of the matching data entries corresponding to each of the plurality of characterized cells having a cell type compatible with the particular subject or particular group of subjects, thereby identifying as compatible with the subject the one or more characterized cells; (d) retrieving, from a physical repository, compatible cells corresponding to the one or more characterized cells identified as compatible with the particular subject or particular group of subjects; and (e) producing the iPSC-derived secretome composition using the retrieved compatible cells. 26. The method of claim 25, wherein:
the data entry corresponding to each of the plurality of characterized cells comprises a set of characterized HLA loci corresponding to the cell, the query comprises a set of queried HLA loci for the particular subject or the particular group of subjects, and the one or more matched data entries of the database are each representative of one or more characterized compatible cells matching the queried HLA loci. 27. The method of claim 26, wherein the plurality of characterized cells in the physical repository are immortalized. 28. The method of claim 26 or claim 27, wherein the set of characterized HLA loci corresponding to each of the plurality of characterized cells comprises a set of at least 3 HLA loci, wherein the at least 3 HLA loci are HLA-A, HLA-B, and HLA-DRB. 29. The method of claim 26 or claim 27, wherein the set of characterized HLA loci corresponding to each of the plurality of characterized cells comprises a set of at least 9 given loci, wherein the at least 9 given loci are HLA-A, HLA-B, HLA-C, HLA-DRB1, HLA-DRB3, HLA-DRB4, HLA-DRB5, HLA-DQB1, HLA-DPB1. 30. The method of claim 28, wherein the set of characterized HLA loci corresponding to each of the plurality of characterized cells comprises at least 3 given loci selected from the group consisting of HLA-A, HLA-B, HLA-C, HLA-DRB1, HLA-DRB3, HLA-DRB4, HLA-DRB5, HLA-DQB1, and HLA-DPB1. 31. The method of any one of claims 26 to 30, wherein each of the one or more matching data entries of the database exactly match or partially match the set of queried HLA loci for the particular subject or the particular group of subjects. 32. The method of any one of claims 25 to 31, wherein the data entry for each of the plurality of characterized cells further comprises ABO blood type and the query further comprises ABO blood type, and wherein the one or more matching data entries of the database representative of the one or more characterized compatible cells match the queried HLA loci and the queried ABO blood type. 33. The method of any one of claims 25 to 32, wherein the data entry for each of the plurality of characterized cells further comprises RHD blood group and the query further comprises RHD blood group, and wherein the one or more matching data entries of the database representative of the one or more characterized compatible cells match the queried RHD blood group and the queried HLA loci. 34. The method of any one of claims 25 to 33, wherein the queried HLA loci correspond to the particular subject or particular group of subjects in need of an HLA matched iPSC-derived secretome composition. 35. The method of claim 34, wherein the HLA matched iPSC-derived secretome composition is selected from one or more iPSC-derived secretome compositions, each derived from the one or more characterized compatible cells corresponding to each of the one or more data entries of the database that exactly match or partially match the queried HLA loci of the particular subject. 36. The method of any one of claims 25 to 35, wherein one or more of the queried HLA loci is determined by processing and analyzing a biological sample from the particular subject in need of the HLA match. 37. The method of claim 32, wherein the queried ABO blood type, is determined by processing and analyzing a biological sample from the particular subject in need of an ABO match. 38. The method of claim 33, wherein the queried RHD blood group is determined by processing and analyzing a biological sample from the particular subject in need of a RHD blood group match. 39. The method of any one of claims 25 to 38, wherein the physical repository comprises one or more liquid nitrogen storage tanks. 40. The method of any one of claims 25 to 39, comprising producing blood progenitor cells and/or HSCs and/or MSCs and/or RPEs and/or chondrocytes from each of the one or more characterized compatible cells corresponding to the one or more data entries matching the queried HLA loci. 41. The method of any one of claims 25 to 40, further comprising administering the iPSC-derived secretome composition to the particular subject or particular group of subjects. 42. The method of claim 41, wherein the administering step comprises administering the iPSC-derived secretome composition to the particular subject or particular group of subjects for treatment of a known disease, injury, or condition in the particular subject or particular group of subjects, wherein the known disease, injury, or condition is a member selected from the group consisting of lung disease, rheumatic diseases, cardiovascular disease, cancer, arthritis, traumatic brain injury, central nervous system (CNS) injury, and inflammation. 43. The method of any one of claims 25 to 42, wherein the database comprises a data entry corresponding to each of a plurality of iPSC super donor cell lines, wherein the data entry for each super donor cell line comprises a set of characterized HLA loci corresponding to the super donor cell line. 44. The method of claim 43, wherein each of the plurality of iPSC super donor cell lines can be used for treatment of a particular subject or particular group of subjects having matching HLA loci with lower risk of immune rejection by the particular subject or particular group of subjects. 45. The method of claim 43 or claim 44, further comprising determining the set of characterized HLA loci corresponding to each of the plurality of super donor cell lines by processing and analyzing one or more biological samples collected from each of one or more super donor individuals. 46. The method of claim 45, wherein the step of determining the set of characterized HLA loci corresponding to each of the plurality of super donor cell lines comprises identifying a set of at least 3 HLA loci, wherein the at least 3 HLA loci are HLA-A, HLA-B, and HLA-DRB. 47. The method of claim 45, wherein the step of determining the set of characterized HLA loci corresponding to each of the plurality of the super donor cell lines comprises identifying a set of at least 9 HLA loci, wherein the at least 9 HLA loci are HLA-A, HLA-B, HLA-C, HLA-DRB1, HLA-DRB3, HLA-DRB4, HLA-DRB5, HLA-DQB1, and HLA-DPB1. 48. The method of claim 47, wherein the set of characterized HLA loci corresponding to each of the plurality of the super donor cell lines comprises at least 3 HLA loci selected from the group consisting of HLA-A, HLA-B, HLA-C, HLA-DRB1, HLA-DRB3, HLA-DRB4, HLA-DRB5, HLA-DQB1, and HLA-DPB1. 49. The method of any one of claims 43 to 48, wherein the set of characterized HLA loci corresponding to each of the plurality of the super donor cell lines are homozygous for HLA-A, HLA-B, and DRB-1. 50. The method of claim 49, wherein the homozygous set of characterized HLA loci belong to a set of most-common HLA loci for a given population that matches a majority of the given population. 51. The method of any one of claims 43 to 50, wherein the homozygous set of characterized HLA loci comprise homozygous HLA loci in at least 3 major sites wherein the major sites are members selected from the group consisting of HLA-A, HLA-B, HLA-C, HLA-DRB1, HLA-DRB3, HLA-DRB4, HLA-DRB5, HLA-DQB1, and HLA-DPB1. 52. The method of any one of claims 43 to 51, wherein the plurality of iPSC super donor cell lines match at least 70% of the population from which the particular subject originates. 53. The method of any one of claims 43 to 52, wherein the iPSC-derived secretome composition is produced using one of the plurality of iPSC super donor cell lines. 54. The method of claim 53, comprising exposing the iPSC super donor cell line used to produce the iPSC-derived secretome composition to culture media. 55. The method of claim 54, wherein the iPSC-derived secretome composition comprises cells from the iPSC super donor cell line, the culture media, and one or more desired compatible-cell-secreted species. 56. The method of claim 55, wherein the one or more desired compatible-cell-secreted species comprise one or more desired compatible-cell-secreted molecules and/or one or more desired compatible-cell-secreted biological elements. 57. The method of claim 55, wherein the one or more desired compatible-cell-secreted species comprise one or more exosomes and/or one or more microvesicles. 58. The method of any one of claims 43 to 57, comprising producing blood progenitor cells and/or HSCs and/or MSCs and/or RPEs and/or chondrocytes from each of one or more iPSC super donor cell lines identified as compatible with the particular subject or particular group of subjects. 59. The method of any one of claims 25 to 58, wherein the iPSC-derived secretome composition is a treatment spray. 60. The method of any one of claims 25 to 58, wherein the iPSC-derived secretome composition is a treatment lotion or a treatment cream. 61. The method of any one of claims 25 to 60, wherein the iPSC-derived secretome composition comprises one or more proteins listed in Table 1, and/or Table 2, and/or Table 3, and/or Table 4. 62. The method of any one of claims 25 to 61, wherein the iPSC-derived secretome composition is for internal use. 63. The method of claim 62, wherein the iPSC-derived secretome composition is an injection. 64. The method of any one of claims 25 to 61, wherein the iPSC-derived secretome composition is lyophilized. 65. The method of any one of claims 25 to 61, comprising engineering the compatible cells to upregulate production of one or more desired proteins in the iPSC-derived secretome composition. 66. The method of claim 65, wherein the compatible cells are engineered using CRISPR/Cas9 technology. 67. The method of claim 65 or 66, comprising removing and/or replacing and/or editing one or more genes of the compatible cells so as to increase the likelihood of the upregulation of one or more desired proteins in the iPSC-derived secretome composition. 68. A composition of matter comprising an iPSC-derived secretome composition comprising one or more desired compatible-cell-secreted species, wherein the composition is produced by the method of any one of the preceding claims. 69. The composition of claim 68, wherein the iPSC-derived secretome composition is a member selected from the group consisting of a treatment spray, a treatment cream, a treatment lotion, and a treatment injection. 70. The composition of claim 68 or 69, wherein the iPSC-derived secretome composition comprises compatible cells, conditioned culture media, and one or more of the desired compatible-cell-secreted species. 71. The composition of claim 70, wherein the iPSC-derived secretome composition comprises one or more additives. 72. The composition of claim 71, wherein the one or more additives comprises one or more nutrients and/or one or more supplements. 73. The composition of any one of claims 68 to 72, wherein the iPSC-derived secretome composition comprises iPS cells that are derived from a biological sample of a particular subject. 74. The composition of any one of claims 68 to 73, wherein the iPSC-derived secretome composition comprises compatible cells retrieved from a physical repository, wherein the compatible cells are identified as compatible with the particular subject or a particular group of subjects. 75. The composition of claim 74, wherein the compatible cells are identified as compatible with the particular subject or the particular group of subjects using an identification of cell type indicative of compatibility with the particular subject or particular group of subjects, wherein the identification of cell type indicative of compatibility comprises one or more of (i) to (iii): (i) an HLA match, (ii) an ABO blood type match, and (iii) an RHD blood group match having the same HLA loci, and/or ABO blood type, and/or RHD blood group as the. 76. The composition of any one of claims 68 to 75, wherein the iPSC-derived secretome composition comprises one or more compatible-cell-secreted species. 77. The composition of claim 76, wherein the one or more compatible-cell-secreted species are one or more members selected from the group consisting of cytokines, miRNA, siRNA, proteins, organic molecules, inorganic molecules, and biological elements. 78. The composition of any one of claims 68 to 77, wherein the iPSC-derived secretome composition comprises one or more proteins listed in Table 1, and/or Table 2, and/or Table 3, and/or Table 4. 79. The composition of any one of claims 68 to 78, wherein the iPSC-derived secretome composition is formulated internal use. 80. The composition of any one of claim 79, wherein the iPSC derived secretome composition is formulated for use in an injection. 81. The composition of any one of claims 68 to 78, wherein the iPSC-derived secretome composition is lyophilized. 82. The composition of any one of claims 68 to 81, wherein the iPSC-derived secretome composition comprises engineered compatible cells. 83. The composition of claim 82, wherein the engineered compatible cells are modified to upregulate and/or downregulate production of one or more desired proteins in the iPSC-derived secretome composition. 84. The composition of claim 82 or claim 83, wherein the engineered compatible cells are modified using CRISPR/Cas9 technology. 85. A method of storing an induced pluripotent stem cell (iPSC)-derived secretome composition tailored for treatment of a particular subject or particular group of subjects, said method comprising the steps of:
(a) identifying, by a processor of a computing device, as compatible with the particular subject or particular group of subjects, one or more iPSC-derived secretome compositions derived using compatible cells corresponding to the one or more iPSCs and/or iPSC-derived cells identified as compatible with the particular subject or particular group of subjects; (b) labelling, by a processor of a computing device, the one or more iPSC-derived secretome compositions with a label, wherein the label comprises information relating to the iPSCs and/or iPSC-derived cells, and a classification of the iPSC and/or IPSC-derived cells the iPSC-derived secretome composition is derived from; and (c) storing, by a processor of a computing device, a database comprising a data entry corresponding to each label in a physical repository. 86. The method of claim 85, wherein the label is a physical label and/or a digital label. 87. The method of claim 85 or claim 86, wherein the label comprises information relating to one or more of (i) to (iii) as follows:
(i) the iPSCs and/or iPSC-derived cells the iPSC-derived secretome composition is derived from;
(ii) one or more HLA loci, and/or ABO blood type, and/or RHD blood group compatible with the labeled iPSC-derived secretome composition; and
(iii) one or more other iPSC-derived secretome compositions stored in the physical repository that are compatible with the particular subject or particular group of subjects, wherein the HLA loci, and/or ABO blood type, and/or RHD blood group of the one or more other iPSC-derived secretome compositions are identical to or match the HLA loci, and/or ABO blood type, and/or RHD blood group of the iPSCs and/or iPSC-derived cells of (i). 88. A method of retrieving one or more produced, labeled and stored iPSC-derived secretome compositions derived using iPSCs and/or iPSC-derived cells, said method comprising the steps of:
(a) identifying, by a processor of a computing device, as compatible with a particular subject or particular group of subjects, one or more iPSC-derived secretome compositions derived using one or more iPSCs and/or iPSC-derived cells identified as compatible with the particular subject or particular group of subjects; (b) retrieving from a physical repository the one or more compatible iPSC-derived secretome compositions corresponding to the one or more iPSCs and/or iPSC-derived cells identified as compatible with the particular subject or particular group of subjects; and (c) updating, by a processor of a computing device, a database comprising data entries corresponding to the particular subject or particular group of subjects. 89. The method of claim 88, wherein the retrieved one or more iPSC-derived secretome compositions is administered as treatment to the subject. 90. The method of claim 89, wherein the treatment is a spray. 91. The method of claim 89, wherein the treatment is a cream and/or lotion. 92. The method of claim 89, wherein the treatment is an injection. 93. A method of administering an iPSC-derived secretome composition tailored for treatment of a particular subject or particular group of subjects, said method comprising the steps of:
(a) identifying the particular subject or particular group of subjects as having a deficiency in one or more substances; (b) identifying, as compatible with the particular subject or particular group of subjects, one or more iPSCs and/or one or more iPSC-derived cells; (c) retrieving compatible cells corresponding to the one or more iPSCs and/or one or more iPSC-derived cells identified as compatible with the particular subject or particular group of subjects; (d) producing the iPSC-derived secretome composition using the retrieved compatible cells, wherein the iPSC-derived secretome composition comprises the one or more substances deficient in the particular subject or the particular group of subjects; and (e) administering to the particular subject or particular group of subjects the iPSC-derived secretome composition. 94. The method of claim 93, wherein the one or more substances comprise one or more cell-secreted molecules and/or cell-secreted biological elements. 95. The method of claim 93 or claim 94, wherein the iPSC-derived secretome composition comprises the one or more cell-secreted substances identified to be deficient in the particular subject or the particular group of subjects. 96. The method of any one of claims 93 to 95, wherein step (d) comprises extracting the secretomes of the retrieved compatible cells. 97. The method of any one of claims 93 to 96, wherein step (c) comprises obtaining the compatible cells from a physical repository. 98. The method of any one of claims 93 to 97, wherein the compatible cells are one or more members selected from the group consisting of iPSCs, MSCs, RPEs, chondrocytes, embryoid bodies, HSCs, and blood progenitor cells. 99. The method of any one of claims 93 to 98, wherein step (c) comprises retrieving the compatible cells using a processor-based query from a user, wherein the query comprises an identification of a cell type indicative of compatibility with the particular subject or particular group of subjects. 100. The method of claim 99, wherein the identification of cell type indicative of compatibility with the particular subject or particular group of subjects comprises one or more of (i) to (iii): (i) an HLA match, (ii) an ABO blood type match, and (iii) an RHD blood group match. 101. The method of any one of claims 93 to 100, wherein step (b) comprises identifying, one or more stored and labeled iPSC-derived secretome compositions within the physical repository derived using one or more iPSCs and/or one or more iPSC-derived cells identified as compatible with the particular subject or group of subjects. 102. The method of any one of claims 93 to 101, wherein step (c) comprises retrieving, the one or more identified iPSC-derived secretome compositions corresponding to the one or more iPSCs and/or one or more iPSC-derived cells identified as compatible with the particular subject or particular group of subjects. 103. The method of any one of claims 93 to 102, wherein step (d) comprises producing a lyophilized iPSC-derived secretome composition. 104. The method of any one of claims 93 to 103, wherein the iPSC-derived secretome composition is administered as treatment to the particular subject or particular group of subjects. 105. The method of claim 104, wherein the treatment is a spray. 106. The method of claim 104, wherein the treatment is a cream and/or lotion. 107. The method any one of claims 93 to 106, wherein the iPSC-derived secretome composition comprises one or more proteins listed in Table 1, and/or Table 2, and/or Table 3, and/or Table 4. 108. The method any one of claims 93 to 107, wherein the iPSC-derived secretome composition is for internal use. 109. The method of claim 108, wherein the iPSC derived secretome composition is an injection. 110. The method of any one of claims 93 to 109, wherein the iPSC-derived secretome composition is lyophilized. 111. The method of any one of claims 93 to 110, comprising engineering the compatible cells to upregulate and/or downregulate production of one or more desired proteins in the iPSC-derived secretome composition. 112. The method of claim 111, wherein the compatible cells are engineered using CRISPR/Cas9 technology. 113. The method of claim 111 or claim 112, comprising removing and/or replacing and/or editing one or more genes of the compatible cells so as to increase the likelihood of the upregulation and/or downregulation of one or more desired proteins in the iPSC-derived secretome composition. 114. The method of any one of claims 93 to 113, wherein the iPSC-derived secretome composition comprises exosomes. 115. The method of any one of claims 93 to 114, wherein the iPSC-derived secretome composition comprises microvesicles. 116. The method of claim 114, wherein the exosomes comprise proteins, and/or siRNAs, and/or miRNAs. 117. The method of claim 115, wherein the microvesicles comprise proteins, and/or siRNAs, and/or miRNAs. 118. The method of any one of claims 93 to 117, wherein the iPSC-derived secretome composition comprises one or more compatible cell types. 119. A method of treating a condition in a subject, the method comprising:
identifying, as compatible with the subject, an iPSC-derived secretome composition; and administering the iPSC-derived secretome composition to the subject. 120. The method of claim 119, wherein the iPSC-derived secretome composition comprises one or more proteins listed in Table 1, and/or Table 2, and/or Table 3, and/or Table 4. 121. The method of claim 119 or claim 120, wherein the step of identifying the compatible iPSC-derived secretome composition comprises the steps of:
determining HLA loci, and/or ABO blood type, and/or RHD blood group associated with one or more iPSCs and/or one or more iPSC-derived cells from which the iPSC-derived secretome composition is derived; and
matching, by a processor of a computing device, the determined HLA loci, and/or ABO blood type, and/or RHD blood group of the iPSC-derived secretome composition with the HLA loci, and/or ABO blood type, and/or RHD blood group of the subject, wherein a match is an exact match or a partial match. | 3,600 |
348,305 | 16,643,802 | 3,629 | A machine for forming filter bags for infusion products includes a first carousel including a plurality of first stations for operating on pieces of filtering material. A transfer device transfers the pieces to a second carousel. The transfer device includes a first wheel, rotatable parallel to the carousels, and including units for receiving from the first stations and releasing to the second carousel, the pieces. Each receiving unit includes 1) first gripping and stabilising devices for retaining the pieces upright and positioned with a free head end directed towards the axis of the first wheel and 2) second gripping devices for positioning and retaining a tag on the piece, fed from a corresponding feeding station, to release to the second carousel the piece with a corresponding tag alongside the free head end of the piece. | 1. A machine for making filter bags for infusion products starting from pieces of filtering material each having at least two free ends, forming a head and a base of the piece of filtering material with, with interposed at least one chamber containing a dose of product; the pieces, feeding along a feed line of the machine comprising at least:
a first carousel for continuous rotary movement about a first axis of rotation and having at least a plurality of first operating stations positioned along, and continuously movable with, the first movement carousel, each first operating station being configured to retain and operate on a corresponding piece of filtering material fed along at least one predetermined angular stretch of rotation of the first movement carousel, to obtain at least a lifting of the chamber to an upright position, that is to say, positioned radially relative to the first axis of rotation; transfer means which are designed to transfer the pieces of filtering material from the first movement carousel to a second movement carousel, rotatable continuously about a second axis, parallel to the first axis of rotation; the transfer means comprising a first wheel, rotatable continuously about a third axis of rotation parallel to the first and to the second axis of rotation, and which is actuated in a synchronised fashion with the first movement carousel and the second movement carousel; the first wheel being equipped with a plurality of receiving units positioned along, and able to move continuously with, the first wheel for receiving from the corresponding first operating stations of the first movement carousel, and releasing on the second movement carousel, a corresponding piece of filtering material being formed after a predetermined arc of rotation; each receiving unit being equipped with corresponding first gripping and stabilising means for retaining the piece of filtering material upright and positioned with the free head end directed towards the third axis of rotation; the gripping and stabilising means comprising means for stabilising the piece of filtering material in an upright position at the receiving of the piece of filtering material, wherein the first stabilising means of each receiving unit comprises a first gripper consisting of two fork-shaped claws for retaining the piece of filtering material and articulated on the receiving unit; each first gripper being connected to a first control device configured for rotating the two claws between an open operating position for gripping or releasing the piece of filtering material, wherein the two claws are spaced apart from each other, and a closed operating position for retaining the piece of filtering material, wherein the two claws are moved towards each other. 2. The machine according to claim 1, wherein the first gripping and stabilising means further comprise means for gripping and retaining in position the free head end of the piece of filtering material. 3. The machine according to claim 2, wherein the gripping means comprise a third gripper articulated on the receiving unit positioned close to the first gripper; the third gripper being connected to a kinematic unit of levers articulated on the receiving unit and connected to a fifth control device configured for rotating the third gripper between a first non-operating position, wherein the third gripper is away from the first gripper, and a second operating position, wherein the third gripper is moved close to the first gripper and in contact with the free head end of the piece of filtering material. 4. The machine according to claim 1, wherein the fork-shaped claws of the first gripper have corresponding pairs of end heads each having a transversal tab for retaining the lateral edges of the piece of filtering material and configured for defining a free central zone from the base end to the head end of the piece of filtering material. 5. The machine according to claim 1, wherein each receiving unit comprises a base for supporting the first gripper connected to a second control device configured for moving the supporting base tangentially in both directions relative to the third axis of rotation between a first advanced position, where the supporting base is away from the third axis of rotation, at least at the passage of the piece of filtering material from the first movement carousel to the receiving unit and a withdrawn position, in which the supporting base is moved close to the third axis of rotation at the intermediate transits of the receiving unit between the above-mentioned pick-up and release positions. 6. The machine according to claim 1, wherein each receiving unit has second gripping means, for positioning and retaining a tag on the piece of filtering material, fed by a corresponding feeding station, in such a way as to release to the second carousel the piece of filtering material with a corresponding tag placed alongside the free head end of the piece of filtering material. 7. The machine according to claim 6, wherein the second means for gripping an individual tag are independent of the first gripping and stabilising means and are configured for picking up and positioning the individual tag in contact with the free head end of the piece of filtering material at least prior to the passage of the piece of filtering material with the tag to the second movement carousel. 8. The machine according to claim 6, wherein the second gripping means comprise a second gripper for gripping the tag consisting of two claws articulated on the receiving unit; the second gripper being positioned close to the first gripper; the second gripper being connected to a third control device configured for moving at least one claw relative to the other claw between an open operating position for the gripping or releasing of the tag, wherein the two claws are spaced far apart, and a closed operating position for retaining the tag, wherein the two claws are close together; the second gripper being further connected to a fourth control device, in a synchronised fashion with the third control device, configured at least for rotating the two claws between a first standby position, wherein the two claws are spaced apart from the first gripper, and a second operating position, wherein the two claws are moved towards the first gripper for bringing the tag side by side with the piece of filtering material. 9. The machine according to claim 1, wherein the first carousel and the second carousel have corresponding directions of rotation concordant with each other and the first transfer wheel having a direction of rotation opposite to the directions of rotation of the two carousels. 10. The machine according to claim 6, wherein the station for feeding the tags has means of unwinding and separating the tags and a device for picking up the individual tags and for feeding the individual tags to the receiving units in transit. 11. The machine according to claim 10, wherein the device for picking up the individual tags and for feeding the individual tags to the receiving units in transit is configured for feeding the tags according to a direction radial to the first transfer wheel; the device for picking up the individual tags and for feeding the individual tags being positioned alongside the first wheel and in a position in the path of the first wheel between a pick-up zone of the piece of filtering material from the first movement carousel and a release zone of the piece of filtering material with the tag to the second movement carousel. 12. The machine according to claim 10, wherein the pick-up device comprises two independent drums side by side and rotating about a fourth axis of rotation shared and parallel to the third axis of rotation of the first transfer wheel; each drum having a plurality of grippers for picking up and transporting a corresponding individual tag from the unwinding and separating means to the first transfer wheel; each drum being moved, in a discontinuous manner, by movement means configured to allow an alternating rotation of the drums in such a way as to position the corresponding grippers of each drum with a reciprocal angular displacement between preceding and successive grippers so as to constantly maintain a gripper with the to of one of the drums in the proximity of the corresponding receiving units in transit with continuous movement. 13. A machine for making filter bags for infusion products starting from pieces of filtering material each having at least two free ends forming a head and a base of the piece of filtering material with interposed at least one chamber containing a dose of product; the pieces feeding along a feed line of the machine comprising at least:
a first carousel rotating about a first axis of rotation and having at least a plurality of first operating stations arranged circumferentially on the first carousel; transfer means configured for transferring the pieces of filtering material from the first carousel to a second carousel rotatable about a second axis of rotation; the transfer means comprising a transfer wheel, rotatable continuously about a third axis of rotation, and actuated in a synchronised fashion with the first and the second carousel; the transfer wheel being equipped with a plurality of receiving unit positioned circumferentially on the transfer wheel for transferring a piece of filtering material from the first carousel to the second carousel; a station for feeding tags having means for unwinding and separating the tags, and a device for picking up the individual tags and for feeding the individual tags to the receiving unit in transit, wherein each receiving unit has gripping means for positioning and retaining a tag on the piece of filtering material, in such a way as to release to the second carousel the piece of filtering material with a corresponding tag placed alongside the free head end of the piece of filtering material, wherein the pick-up device comprises two independent drums side by side and rotating about a fourth axis of shared rotation; each drum having a plurality of grippers for picking up and transporting a corresponding individual tag from the unwinding and separating means to the transfer wheel; each drum being moved, in a discontinuous manner, by movement means configured to allow an alternating rotation of the drums in such a way as to position the corresponding grippers of each drum with a reciprocal angular displacement between preceding and successive grippers, so as to constantly maintain a gripper with the tag of one of the drums in the proximity of the corresponding receiving units in transit with continuous movement. 14. The machine according to claim 13, wherein each first operating station is configured to retain and operate on a corresponding piece of filtering material fed along at least one predetermined angular stretch of rotation of the first carousel, to obtain at least one lifting of the chamber in a an upright position, that is to say, positioned radially relative to the first axis of rotation. 15. The machine according to claim 13, wherein the first, second, third and fourth axis of rotation are parallel to each other. 16. The machine according to claim 13, wherein the device for picking up the individual tags and for feeding the individual tags to the receiving units in transit is configured for feeding the tags according to a direction radial to the first transfer wheel; the device for picking up the individual tags and for feeding the individual tags being positioned alongside the transfer wheel and in a position in the path of the transfer wheel between a pick-up zone of the piece, of filtering material from the first carousel and a release zone of the piece of filtering material with the tag to the second carousel. | A machine for forming filter bags for infusion products includes a first carousel including a plurality of first stations for operating on pieces of filtering material. A transfer device transfers the pieces to a second carousel. The transfer device includes a first wheel, rotatable parallel to the carousels, and including units for receiving from the first stations and releasing to the second carousel, the pieces. Each receiving unit includes 1) first gripping and stabilising devices for retaining the pieces upright and positioned with a free head end directed towards the axis of the first wheel and 2) second gripping devices for positioning and retaining a tag on the piece, fed from a corresponding feeding station, to release to the second carousel the piece with a corresponding tag alongside the free head end of the piece.1. A machine for making filter bags for infusion products starting from pieces of filtering material each having at least two free ends, forming a head and a base of the piece of filtering material with, with interposed at least one chamber containing a dose of product; the pieces, feeding along a feed line of the machine comprising at least:
a first carousel for continuous rotary movement about a first axis of rotation and having at least a plurality of first operating stations positioned along, and continuously movable with, the first movement carousel, each first operating station being configured to retain and operate on a corresponding piece of filtering material fed along at least one predetermined angular stretch of rotation of the first movement carousel, to obtain at least a lifting of the chamber to an upright position, that is to say, positioned radially relative to the first axis of rotation; transfer means which are designed to transfer the pieces of filtering material from the first movement carousel to a second movement carousel, rotatable continuously about a second axis, parallel to the first axis of rotation; the transfer means comprising a first wheel, rotatable continuously about a third axis of rotation parallel to the first and to the second axis of rotation, and which is actuated in a synchronised fashion with the first movement carousel and the second movement carousel; the first wheel being equipped with a plurality of receiving units positioned along, and able to move continuously with, the first wheel for receiving from the corresponding first operating stations of the first movement carousel, and releasing on the second movement carousel, a corresponding piece of filtering material being formed after a predetermined arc of rotation; each receiving unit being equipped with corresponding first gripping and stabilising means for retaining the piece of filtering material upright and positioned with the free head end directed towards the third axis of rotation; the gripping and stabilising means comprising means for stabilising the piece of filtering material in an upright position at the receiving of the piece of filtering material, wherein the first stabilising means of each receiving unit comprises a first gripper consisting of two fork-shaped claws for retaining the piece of filtering material and articulated on the receiving unit; each first gripper being connected to a first control device configured for rotating the two claws between an open operating position for gripping or releasing the piece of filtering material, wherein the two claws are spaced apart from each other, and a closed operating position for retaining the piece of filtering material, wherein the two claws are moved towards each other. 2. The machine according to claim 1, wherein the first gripping and stabilising means further comprise means for gripping and retaining in position the free head end of the piece of filtering material. 3. The machine according to claim 2, wherein the gripping means comprise a third gripper articulated on the receiving unit positioned close to the first gripper; the third gripper being connected to a kinematic unit of levers articulated on the receiving unit and connected to a fifth control device configured for rotating the third gripper between a first non-operating position, wherein the third gripper is away from the first gripper, and a second operating position, wherein the third gripper is moved close to the first gripper and in contact with the free head end of the piece of filtering material. 4. The machine according to claim 1, wherein the fork-shaped claws of the first gripper have corresponding pairs of end heads each having a transversal tab for retaining the lateral edges of the piece of filtering material and configured for defining a free central zone from the base end to the head end of the piece of filtering material. 5. The machine according to claim 1, wherein each receiving unit comprises a base for supporting the first gripper connected to a second control device configured for moving the supporting base tangentially in both directions relative to the third axis of rotation between a first advanced position, where the supporting base is away from the third axis of rotation, at least at the passage of the piece of filtering material from the first movement carousel to the receiving unit and a withdrawn position, in which the supporting base is moved close to the third axis of rotation at the intermediate transits of the receiving unit between the above-mentioned pick-up and release positions. 6. The machine according to claim 1, wherein each receiving unit has second gripping means, for positioning and retaining a tag on the piece of filtering material, fed by a corresponding feeding station, in such a way as to release to the second carousel the piece of filtering material with a corresponding tag placed alongside the free head end of the piece of filtering material. 7. The machine according to claim 6, wherein the second means for gripping an individual tag are independent of the first gripping and stabilising means and are configured for picking up and positioning the individual tag in contact with the free head end of the piece of filtering material at least prior to the passage of the piece of filtering material with the tag to the second movement carousel. 8. The machine according to claim 6, wherein the second gripping means comprise a second gripper for gripping the tag consisting of two claws articulated on the receiving unit; the second gripper being positioned close to the first gripper; the second gripper being connected to a third control device configured for moving at least one claw relative to the other claw between an open operating position for the gripping or releasing of the tag, wherein the two claws are spaced far apart, and a closed operating position for retaining the tag, wherein the two claws are close together; the second gripper being further connected to a fourth control device, in a synchronised fashion with the third control device, configured at least for rotating the two claws between a first standby position, wherein the two claws are spaced apart from the first gripper, and a second operating position, wherein the two claws are moved towards the first gripper for bringing the tag side by side with the piece of filtering material. 9. The machine according to claim 1, wherein the first carousel and the second carousel have corresponding directions of rotation concordant with each other and the first transfer wheel having a direction of rotation opposite to the directions of rotation of the two carousels. 10. The machine according to claim 6, wherein the station for feeding the tags has means of unwinding and separating the tags and a device for picking up the individual tags and for feeding the individual tags to the receiving units in transit. 11. The machine according to claim 10, wherein the device for picking up the individual tags and for feeding the individual tags to the receiving units in transit is configured for feeding the tags according to a direction radial to the first transfer wheel; the device for picking up the individual tags and for feeding the individual tags being positioned alongside the first wheel and in a position in the path of the first wheel between a pick-up zone of the piece of filtering material from the first movement carousel and a release zone of the piece of filtering material with the tag to the second movement carousel. 12. The machine according to claim 10, wherein the pick-up device comprises two independent drums side by side and rotating about a fourth axis of rotation shared and parallel to the third axis of rotation of the first transfer wheel; each drum having a plurality of grippers for picking up and transporting a corresponding individual tag from the unwinding and separating means to the first transfer wheel; each drum being moved, in a discontinuous manner, by movement means configured to allow an alternating rotation of the drums in such a way as to position the corresponding grippers of each drum with a reciprocal angular displacement between preceding and successive grippers so as to constantly maintain a gripper with the to of one of the drums in the proximity of the corresponding receiving units in transit with continuous movement. 13. A machine for making filter bags for infusion products starting from pieces of filtering material each having at least two free ends forming a head and a base of the piece of filtering material with interposed at least one chamber containing a dose of product; the pieces feeding along a feed line of the machine comprising at least:
a first carousel rotating about a first axis of rotation and having at least a plurality of first operating stations arranged circumferentially on the first carousel; transfer means configured for transferring the pieces of filtering material from the first carousel to a second carousel rotatable about a second axis of rotation; the transfer means comprising a transfer wheel, rotatable continuously about a third axis of rotation, and actuated in a synchronised fashion with the first and the second carousel; the transfer wheel being equipped with a plurality of receiving unit positioned circumferentially on the transfer wheel for transferring a piece of filtering material from the first carousel to the second carousel; a station for feeding tags having means for unwinding and separating the tags, and a device for picking up the individual tags and for feeding the individual tags to the receiving unit in transit, wherein each receiving unit has gripping means for positioning and retaining a tag on the piece of filtering material, in such a way as to release to the second carousel the piece of filtering material with a corresponding tag placed alongside the free head end of the piece of filtering material, wherein the pick-up device comprises two independent drums side by side and rotating about a fourth axis of shared rotation; each drum having a plurality of grippers for picking up and transporting a corresponding individual tag from the unwinding and separating means to the transfer wheel; each drum being moved, in a discontinuous manner, by movement means configured to allow an alternating rotation of the drums in such a way as to position the corresponding grippers of each drum with a reciprocal angular displacement between preceding and successive grippers, so as to constantly maintain a gripper with the tag of one of the drums in the proximity of the corresponding receiving units in transit with continuous movement. 14. The machine according to claim 13, wherein each first operating station is configured to retain and operate on a corresponding piece of filtering material fed along at least one predetermined angular stretch of rotation of the first carousel, to obtain at least one lifting of the chamber in a an upright position, that is to say, positioned radially relative to the first axis of rotation. 15. The machine according to claim 13, wherein the first, second, third and fourth axis of rotation are parallel to each other. 16. The machine according to claim 13, wherein the device for picking up the individual tags and for feeding the individual tags to the receiving units in transit is configured for feeding the tags according to a direction radial to the first transfer wheel; the device for picking up the individual tags and for feeding the individual tags being positioned alongside the transfer wheel and in a position in the path of the transfer wheel between a pick-up zone of the piece, of filtering material from the first carousel and a release zone of the piece of filtering material with the tag to the second carousel. | 3,600 |
348,306 | 16,643,820 | 1,635 | The present invention provides compositions and methods of making and using novel MYC mRNA antisense inhibitors. In a particular embodiment, the invention features compositions and methods useful for the treatment of a condition (e.g., cancer). | 1. An antisense 2′-O,4′-C-aminomethylene bridged nucleic acid-deoxyribonucleic acid-2′O,4′-C-aminomethylene bridged nucleic acid chimera (BNA) capable of knocking down MYC activity, wherein the BNA comprises SEQ ID NO: 2. 2. The BNA of claim 1, further comprising a conjugated peptide capable of directing endocytosis via the insulin-like growth factor 1 (IGF1) receptor. 3. The BNA of claim 2, wherein the peptide comprises SEQ ID NO: 3. 4. A method of knocking down MYC mRNA translation in a cell, the method comprising contacting the cell with a BNA comprising SEQ ID NO: 2. 5. The method of claim 4, wherein knocking down MYC mRNA translation further comprises knocking down PD-L1 protein expression. 6. The method of claim 4, wherein the BNA further comprises a conjugated peptide capable of directing endocytosis via the insulin-like growth factor 1 (IGF1) receptor. 7. The method of claim 6, wherein the peptide comprises SEQ ID NO: 3. 8. A method of treating a condition in a subject, the method comprising administering to the subject a therapeutically effective amount of a bridged nucleic acid (BNA) capable of knocking down MYC mRNA translation, wherein the BNA comprises SEQ ID NO: 2. 9. The method of claim 8, wherein knocking down MYC mRNA translation further comprises knocking down PD-L1 protein expression. 10. The method of claim 8, wherein the BNA further comprises a conjugated peptide capable of directing endocytosis via the insulin-like growth factor 1 (IGF1) receptor. 11. The method of claim 10, wherein the peptide comprises SEQ ID NO: 3. 12. The method of claim 8, wherein the condition is cancer. 13. The method of claim 12, wherein the cancer is selected from the group consisting of breast cancer and lung cancer. 14. The method of claim 8, wherein the condition is selected from the group consisting of inflammation, arthritis, neurodegeneration, cardiovascular disease, and autoimmune disorders. 15. A kit comprising a 2′-O,4′-C-aminomethylene bridged nucleic acid-deoxyribonucleic acid-2′-O,4′-C-aminomethylene bridged nucleic acid chimera (BNA) capable of knocking down MYC activity, wherein the BNA comprises SEQ ID NO: 2, and instructional material for use thereof. 16. A pharmaceutical composition comprising the BNA of claim 1 and a pharmaceutically acceptable carrier. 17. The method of claim 4, wherein knocking down MYC mRNA translation further comprises knocking down PD-L2, CD47, and Jak2 protein expression. 18. The method of claim 8, wherein knocking down MYC mRNA translation further comprises knocking down PD-L2, CD47, and Jak2 protein expression. | The present invention provides compositions and methods of making and using novel MYC mRNA antisense inhibitors. In a particular embodiment, the invention features compositions and methods useful for the treatment of a condition (e.g., cancer).1. An antisense 2′-O,4′-C-aminomethylene bridged nucleic acid-deoxyribonucleic acid-2′O,4′-C-aminomethylene bridged nucleic acid chimera (BNA) capable of knocking down MYC activity, wherein the BNA comprises SEQ ID NO: 2. 2. The BNA of claim 1, further comprising a conjugated peptide capable of directing endocytosis via the insulin-like growth factor 1 (IGF1) receptor. 3. The BNA of claim 2, wherein the peptide comprises SEQ ID NO: 3. 4. A method of knocking down MYC mRNA translation in a cell, the method comprising contacting the cell with a BNA comprising SEQ ID NO: 2. 5. The method of claim 4, wherein knocking down MYC mRNA translation further comprises knocking down PD-L1 protein expression. 6. The method of claim 4, wherein the BNA further comprises a conjugated peptide capable of directing endocytosis via the insulin-like growth factor 1 (IGF1) receptor. 7. The method of claim 6, wherein the peptide comprises SEQ ID NO: 3. 8. A method of treating a condition in a subject, the method comprising administering to the subject a therapeutically effective amount of a bridged nucleic acid (BNA) capable of knocking down MYC mRNA translation, wherein the BNA comprises SEQ ID NO: 2. 9. The method of claim 8, wherein knocking down MYC mRNA translation further comprises knocking down PD-L1 protein expression. 10. The method of claim 8, wherein the BNA further comprises a conjugated peptide capable of directing endocytosis via the insulin-like growth factor 1 (IGF1) receptor. 11. The method of claim 10, wherein the peptide comprises SEQ ID NO: 3. 12. The method of claim 8, wherein the condition is cancer. 13. The method of claim 12, wherein the cancer is selected from the group consisting of breast cancer and lung cancer. 14. The method of claim 8, wherein the condition is selected from the group consisting of inflammation, arthritis, neurodegeneration, cardiovascular disease, and autoimmune disorders. 15. A kit comprising a 2′-O,4′-C-aminomethylene bridged nucleic acid-deoxyribonucleic acid-2′-O,4′-C-aminomethylene bridged nucleic acid chimera (BNA) capable of knocking down MYC activity, wherein the BNA comprises SEQ ID NO: 2, and instructional material for use thereof. 16. A pharmaceutical composition comprising the BNA of claim 1 and a pharmaceutically acceptable carrier. 17. The method of claim 4, wherein knocking down MYC mRNA translation further comprises knocking down PD-L2, CD47, and Jak2 protein expression. 18. The method of claim 8, wherein knocking down MYC mRNA translation further comprises knocking down PD-L2, CD47, and Jak2 protein expression. | 1,600 |
348,307 | 16,643,803 | 1,635 | A method and device for voice recognition are provided. The method for voice recognition includes: receiving voice information collected by a plurality of voice collection devices configured on a plurality of devices connected together, wherein the plurality of devices comprises at least one mobile device; and conducting a voice separation and recognition process on the voice information collected by the plurality of voice collection devices. The device for voice recognition includes: a processor, configured to: obtain a clock difference among a plurality of devices connected together, where each of the plurality of devices is configured with a voice collection device; obtain voice information collected by the voice collection device configured on the each of the plurality of voice collection devices; and conduct a voice separation and recognition process on voice information collected by the voice collection device based on the clock difference among the among a plurality of devices. | 1. A method for voice recognition, comprising:
receiving voice information collected by a plurality of voice collection devices configured on a plurality of devices connected together, wherein the plurality of devices comprises at least one mobile device; and conducting a voice separation and recognition process on the voice information collected by the plurality of voice collection devices. 2. The method according to claim 1, further comprising:
determining a clock difference between one of the plurality of devices and one of the remaining of the plurality of devices. 3. The method according to claim 2, wherein determining the clock difference between one of the plurality of devices and the remaining of the plurality of devices comprises:
obtaining a clock of each of the plurality of devices; and obtaining a clock difference between one of the plurality of devices and one of the remaining of the plurality of devices. 4. The method according to claim 2, wherein determining the clock difference between one of the plurality of devices and one of the remaining of the plurality of devices comprises:
a first device sending a test message at a first time point to a second device; the first device receiving a feedback message at a third time point from the second device, wherein the feedback message comprises a second time point of receiving the test message and a fourth time point of sending the feedback message of the second device; and determining the clock difference between the clock of the first device and the clock of the second device based on the first time point, the second time point, the third time point and the fourth time point; wherein the first device is one of the plurality of devices, and the second device is one of the remaining of the plurality of devices. 5. The method according to claim 2, wherein conducting the voice separation and recognition process on the voice information collected by the plurality of voice collection devices comprises:
synchronizing the voice information collected by the plurality of voice collection devices based on the clock difference among the plurality of devices; separating the synchronized voice information; and recognizing the separated voice information. 6. The method according to claim 5, wherein synchronizing the voice information collected by the plurality of voice collection devices comprises:
synchronizing a first voice information based on a clock difference between a first device and a reference device; wherein the first voice information is collected by a first voice collection device configured on the first device; the reference device is one of the plurality of devices, and the first device is one of the remaining of the plurality of devices. 7. The method according to claim 1, further comprising:
transmitting a collection of information to start a collection of voice information by the plurality of voice collection devices. 8. A method for voice recognition, comprising:
obtaining a clock difference among a plurality of devices connected together, where each of the plurality of devices is configured with a voice collection device; obtaining voice information collected by the voice collection devices configured on the each of the plurality of devices; and conducting a voice separation and recognition process on the voice information collected by the plurality of voice collection devices based on the clock difference. 9. The method according to claim 8, wherein obtaining the clock difference comprises:
obtaining a first time point for sending a test message by a first device; obtaining a second time point for receiving the test message by a second device; obtaining a third time point for receiving a feedback message by the first device; obtaining a fourth time point for sending the feedback message by the second device; and determining the clock difference between a clock of the first device and a clock of the second device based on the first time point, the second time point, the third time point and the fourth time point; wherein the first device is one of the plurality of devices, and the second device is one of the remaining of the plurality of devices. 10. The method according to claim 8, wherein conducting the voice separation and recognition process on the voice information collected by the plurality of voice collection devices comprises:
synchronizing the voice information collected by the voice collection devices configured on each of the plurality of devices based on the clock difference among the plurality of devices; separating the synchronized voice information; and recognizing the separated voice information. 11. A voice recognizing device, comprising:
a processor, configured to: obtain a clock difference among a plurality of devices connected together, where each of the plurality of devices is configured with a voice collection device; obtain voice information collected by the voice collection device configured on the each of the plurality of voice collection devices; and conduct a voice separation and recognition process on voice information collected by the voice collection device based on the clock difference among the among a plurality of devices. 12. The device according to claim 11, wherein the processor determines the clock difference comprises:
obtaining a first time point at which a first device sends a test message to a second device; obtaining a second time point at which the second device receives the test message; obtaining a third time point at which the first voice collecting device receives a feedback message from the second device; obtaining a fourth time point at which the second device sends the feedback message; and determining the clock difference between a clock of the first device and a clock of the second device based on the first time point, the second time point, the third time point and the fourth time point; wherein the first device is one of the plurality of devices, and the second device is one of the remaining of the plurality of devices. 13. The device according to claim 11, wherein the processor conducts a voice separation and recognition process comprises:
synchronizing the voice information collected by the voice collection device configured on each of the plurality of devices based on the clock difference among the plurality of devices; separating the synchronized voice information; and recognizing the separated voice information. 14. The device according to claim 11, further comprises a voice collection circuitry configured to collect the voice information. 15. The device according to claim 11, further comprises a connection circuitry configured to connect with a device through WIFI, Bluetooth or Infrared light. | A method and device for voice recognition are provided. The method for voice recognition includes: receiving voice information collected by a plurality of voice collection devices configured on a plurality of devices connected together, wherein the plurality of devices comprises at least one mobile device; and conducting a voice separation and recognition process on the voice information collected by the plurality of voice collection devices. The device for voice recognition includes: a processor, configured to: obtain a clock difference among a plurality of devices connected together, where each of the plurality of devices is configured with a voice collection device; obtain voice information collected by the voice collection device configured on the each of the plurality of voice collection devices; and conduct a voice separation and recognition process on voice information collected by the voice collection device based on the clock difference among the among a plurality of devices.1. A method for voice recognition, comprising:
receiving voice information collected by a plurality of voice collection devices configured on a plurality of devices connected together, wherein the plurality of devices comprises at least one mobile device; and conducting a voice separation and recognition process on the voice information collected by the plurality of voice collection devices. 2. The method according to claim 1, further comprising:
determining a clock difference between one of the plurality of devices and one of the remaining of the plurality of devices. 3. The method according to claim 2, wherein determining the clock difference between one of the plurality of devices and the remaining of the plurality of devices comprises:
obtaining a clock of each of the plurality of devices; and obtaining a clock difference between one of the plurality of devices and one of the remaining of the plurality of devices. 4. The method according to claim 2, wherein determining the clock difference between one of the plurality of devices and one of the remaining of the plurality of devices comprises:
a first device sending a test message at a first time point to a second device; the first device receiving a feedback message at a third time point from the second device, wherein the feedback message comprises a second time point of receiving the test message and a fourth time point of sending the feedback message of the second device; and determining the clock difference between the clock of the first device and the clock of the second device based on the first time point, the second time point, the third time point and the fourth time point; wherein the first device is one of the plurality of devices, and the second device is one of the remaining of the plurality of devices. 5. The method according to claim 2, wherein conducting the voice separation and recognition process on the voice information collected by the plurality of voice collection devices comprises:
synchronizing the voice information collected by the plurality of voice collection devices based on the clock difference among the plurality of devices; separating the synchronized voice information; and recognizing the separated voice information. 6. The method according to claim 5, wherein synchronizing the voice information collected by the plurality of voice collection devices comprises:
synchronizing a first voice information based on a clock difference between a first device and a reference device; wherein the first voice information is collected by a first voice collection device configured on the first device; the reference device is one of the plurality of devices, and the first device is one of the remaining of the plurality of devices. 7. The method according to claim 1, further comprising:
transmitting a collection of information to start a collection of voice information by the plurality of voice collection devices. 8. A method for voice recognition, comprising:
obtaining a clock difference among a plurality of devices connected together, where each of the plurality of devices is configured with a voice collection device; obtaining voice information collected by the voice collection devices configured on the each of the plurality of devices; and conducting a voice separation and recognition process on the voice information collected by the plurality of voice collection devices based on the clock difference. 9. The method according to claim 8, wherein obtaining the clock difference comprises:
obtaining a first time point for sending a test message by a first device; obtaining a second time point for receiving the test message by a second device; obtaining a third time point for receiving a feedback message by the first device; obtaining a fourth time point for sending the feedback message by the second device; and determining the clock difference between a clock of the first device and a clock of the second device based on the first time point, the second time point, the third time point and the fourth time point; wherein the first device is one of the plurality of devices, and the second device is one of the remaining of the plurality of devices. 10. The method according to claim 8, wherein conducting the voice separation and recognition process on the voice information collected by the plurality of voice collection devices comprises:
synchronizing the voice information collected by the voice collection devices configured on each of the plurality of devices based on the clock difference among the plurality of devices; separating the synchronized voice information; and recognizing the separated voice information. 11. A voice recognizing device, comprising:
a processor, configured to: obtain a clock difference among a plurality of devices connected together, where each of the plurality of devices is configured with a voice collection device; obtain voice information collected by the voice collection device configured on the each of the plurality of voice collection devices; and conduct a voice separation and recognition process on voice information collected by the voice collection device based on the clock difference among the among a plurality of devices. 12. The device according to claim 11, wherein the processor determines the clock difference comprises:
obtaining a first time point at which a first device sends a test message to a second device; obtaining a second time point at which the second device receives the test message; obtaining a third time point at which the first voice collecting device receives a feedback message from the second device; obtaining a fourth time point at which the second device sends the feedback message; and determining the clock difference between a clock of the first device and a clock of the second device based on the first time point, the second time point, the third time point and the fourth time point; wherein the first device is one of the plurality of devices, and the second device is one of the remaining of the plurality of devices. 13. The device according to claim 11, wherein the processor conducts a voice separation and recognition process comprises:
synchronizing the voice information collected by the voice collection device configured on each of the plurality of devices based on the clock difference among the plurality of devices; separating the synchronized voice information; and recognizing the separated voice information. 14. The device according to claim 11, further comprises a voice collection circuitry configured to collect the voice information. 15. The device according to claim 11, further comprises a connection circuitry configured to connect with a device through WIFI, Bluetooth or Infrared light. | 1,600 |
348,308 | 16,643,798 | 1,635 | Provided is a Ni-based corrosion-resistant alloy powder preferable for additive manufacturing, and provided are an additive manufacturing product and a member for semiconductor production devices having excellent corrosion resistance with few defects by using this powder. A Ni-based corrosion-resistant alloy powder for additive manufacturing comprises in mass %: 14.5 to 24.0% of Cr; 12.0 to 23.0% of Mo; 0.01 to 7.00% of Fe; 0.001 to 2.500% of Co; 0.0001 to 0.0050% of Mg; 0.001 to 0.040% of N; 0.005 to 0.50% of Mn; 0.001 to 0.200% of Si; 0.01 to 0.50% of Al; 0.001 to 0.500% of Ti; 0.001 to 0.250% of Cu; 0.001 to 0.300% of V; 0.0001 to 0.0050% of B; 0.0001 to 0.0100% of Zr; and 0.0010 to 0.0300% of O, and the balance of Ni with inevitable impurities. The inevitable impurities comprise less than 0.05% of C; less than 0.01% of S; and less than 0.01% of P. A method for producing an additive manufacturing product or a member for semiconductor production devices comprises performing additive manufacturing by using the Ni-based corrosion-resistant alloy powder. | 1. A Ni-based corrosion-resistant alloy powder for additive manufacturing, consisting of a Ni-based alloy having a composition in mass % comprising:
14.5 to 24.0% of Cr; 12.0 to 23.0% of Mo; 0.01 to 7.00% of Fe; 0.001 to 2.500% of Co; 0.0001 to 0.0050% of Mg; 0.001 to 0.040% of N; 0.005 to 0.50% of Mn; 0.001 to 0.200% of Si; 0.01 to 0.50% of Al; 0.001 to 0.500% of Ti; 0.001 to 0.250% of Cu; 0.001 to 0.300% of V; 0.0001 to 0.0050% of B; 0.0001 to 0.0100% of Zr; and 0.0010 to 0.0300% of O, and the balance of Ni with inevitable impurities, wherein the inevitable impurities comprises: less than 0.05% of C, less than 0.01% of S, and less than 0.01% of P. 2. The Ni-based corrosion-resistant alloy powder for additive manufacturing according to claim 1, wherein the composition of the Ni-based alloy further comprises more than 1.0% to 2.5% of Ta. 3. The Ni-based corrosion-resistant alloy powder for additive manufacturing according to claim 1, wherein the composition of the Ni-based alloy further comprises 2.0 to 5.0% of W. 4. The Ni-based corrosion-resistant alloy powder for additive manufacturing according to claim 1, wherein the Ni-based corrosion-resistant alloy powder for additive manufacturing has a powder particle size of 5 to 100 μm. 5. A method for producing an additive manufacturing product, comprising performing additive manufacturing by using the Ni-based corrosion-resistant alloy powder for additive manufacturing according to claim 1 as a raw material powder. 6. A method for producing a member for semiconductor production devices, comprises performing additive manufacturing by using the Ni-based corrosion-resistant alloy powder for additive manufacturing according to claim 1 as a raw material powder. | Provided is a Ni-based corrosion-resistant alloy powder preferable for additive manufacturing, and provided are an additive manufacturing product and a member for semiconductor production devices having excellent corrosion resistance with few defects by using this powder. A Ni-based corrosion-resistant alloy powder for additive manufacturing comprises in mass %: 14.5 to 24.0% of Cr; 12.0 to 23.0% of Mo; 0.01 to 7.00% of Fe; 0.001 to 2.500% of Co; 0.0001 to 0.0050% of Mg; 0.001 to 0.040% of N; 0.005 to 0.50% of Mn; 0.001 to 0.200% of Si; 0.01 to 0.50% of Al; 0.001 to 0.500% of Ti; 0.001 to 0.250% of Cu; 0.001 to 0.300% of V; 0.0001 to 0.0050% of B; 0.0001 to 0.0100% of Zr; and 0.0010 to 0.0300% of O, and the balance of Ni with inevitable impurities. The inevitable impurities comprise less than 0.05% of C; less than 0.01% of S; and less than 0.01% of P. A method for producing an additive manufacturing product or a member for semiconductor production devices comprises performing additive manufacturing by using the Ni-based corrosion-resistant alloy powder.1. A Ni-based corrosion-resistant alloy powder for additive manufacturing, consisting of a Ni-based alloy having a composition in mass % comprising:
14.5 to 24.0% of Cr; 12.0 to 23.0% of Mo; 0.01 to 7.00% of Fe; 0.001 to 2.500% of Co; 0.0001 to 0.0050% of Mg; 0.001 to 0.040% of N; 0.005 to 0.50% of Mn; 0.001 to 0.200% of Si; 0.01 to 0.50% of Al; 0.001 to 0.500% of Ti; 0.001 to 0.250% of Cu; 0.001 to 0.300% of V; 0.0001 to 0.0050% of B; 0.0001 to 0.0100% of Zr; and 0.0010 to 0.0300% of O, and the balance of Ni with inevitable impurities, wherein the inevitable impurities comprises: less than 0.05% of C, less than 0.01% of S, and less than 0.01% of P. 2. The Ni-based corrosion-resistant alloy powder for additive manufacturing according to claim 1, wherein the composition of the Ni-based alloy further comprises more than 1.0% to 2.5% of Ta. 3. The Ni-based corrosion-resistant alloy powder for additive manufacturing according to claim 1, wherein the composition of the Ni-based alloy further comprises 2.0 to 5.0% of W. 4. The Ni-based corrosion-resistant alloy powder for additive manufacturing according to claim 1, wherein the Ni-based corrosion-resistant alloy powder for additive manufacturing has a powder particle size of 5 to 100 μm. 5. A method for producing an additive manufacturing product, comprising performing additive manufacturing by using the Ni-based corrosion-resistant alloy powder for additive manufacturing according to claim 1 as a raw material powder. 6. A method for producing a member for semiconductor production devices, comprises performing additive manufacturing by using the Ni-based corrosion-resistant alloy powder for additive manufacturing according to claim 1 as a raw material powder. | 1,600 |
348,309 | 16,643,810 | 1,635 | An adapter receives two separate fluids from a container having two separate fluid compartments and combines the fluids into a single fluid stream for delivery to a spray fluid dispenser. The adapter comprises a unitary housing having a first open end for fluid connection to the container and a second open end for fluid connection to the spray fluid dispenser. The housing defines a manifold forming a fluid passageway therein between the first end and the second end. The manifold includes two separate first conduits formed at the first end. Each of the first conduits is connected to a respective one of the fluid compartments. The manifold further includes a second conduit in fluid communication with the second end for delivery of the combined fluids to the spray fluid dispenser. The first conduits are each in fluid communication with the second conduit. | 1. An adapter for receiving two separate fluids from a container having two separate fluid compartments and for combining said fluids into a single mixed fluid stream for delivery to a spray fluid dispenser, said adapter comprising:
a unitary housing having a first end for fluid connection to the container and a second end for fluid connection to said spray fluid dispenser, the housing defining a manifold forming a fluid passageway therein between the first end and the second end, the manifold including two separate substantially straight first conduits formed at the first end, each of the substantially straight first conduits being connectable to a respective one of said fluid compartments for receiving fluid therefrom, the manifold further including a second conduit in fluid communication with the second end for delivery of the mixed fluids to the spray fluid dispenser, the first conduits each being in fluid communication with the second conduit. 2. The adapter according to claim 1 wherein the second conduit is substantially straight and wherein the first conduits are angled relative to the second conduit. 3. The adapter according to claim 2 wherein an angle between the two first conduits is in the range of about 15 degrees and about 35 degrees. 4. The adapter according to claim 3 wherein the angle between the two first conduits is about 21 degrees. 5. The adapter according to claim 1 wherein the housing is made of plastic and the passageways are formed in the housing through injection molding. 6. The adapter according to claim 1 wherein separate first and second dip tubes are received in each of the two first conduits for receiving fluid from each of the two separate fluid compartments. 7. The adapter according to claim 6 wherein a third tube is received in the second conduit for delivering the single mixed fluid stream to the spray head dispenser. 8. The adapter according to claim 1 wherein the container is a bottle forming a neck at a top end thereof and the first end of the housing forms a collar for attachment to the neck of the bottle. 9. The adapter according to claim 1 wherein the spray head dispenser is a spray head and nozzle assembly. 10. The adapter according to claim 9 wherein a gasket is located between the housing and the spray head and nozzle assembly. 11. The adapter according to claim 1 wherein the housing defines two spaced apart openings formed on said first end, each of the openings being in fluid communication with one of said first conduits, each of the openings being adapted to receive a dip tube for receiving fluid from one of said two separate fluid compartments. 12. The adapter according to claim 1 wherein a lip is formed around an outer circumference of said first end the housing. 13. An adapter for receiving two separate fluids from a container having two separate fluid compartments and for combining said fluids into a single mixed fluid stream for delivery to a spray fluid dispenser, said adapter comprising:
a unitary housing having a first end for fluid connection to the container and a second end for fluid connection to said spray fluid dispenser, the housing defining a manifold forming a fluid passageway therein between the first end and the second end, the manifold including two separate first conduits formed at the first end, each of the first conduits being connectable to a respective one of said fluid compartments for receiving fluid therefrom, the manifold further including a second conduit in fluid communication with the second end for delivery of the mixed fluids to the spray fluid dispenser, the first conduits each being in fluid communication with the second conduit. 14. The adapter according to claim 13 wherein the first and second conduits are curved in profile. 15. The adapter according to claim 13 wherein the first conduits are connected to the second conduit to form a U-shape. 16. An assembly for combining and dispensing two separate fluids comprising:
a container comprising a first compartment for storing a first fluid and a separate second compartment for storing a second fluid; a spray head dispenser; an adapter comprising a housing defining a manifold for receiving the first and second fluids from the container and for combining said fluids into a single mixed fluid stream for delivery to the spray head dispenser, said housing having a first end connected to the container and a second end connected to said spray head dispenser, the manifold forming a fluid passageway between the first end and the second end, the manifold including two separate substantially straight first conduits formed at the first end, each of the substantially straight first conduits being fluidly connected to a respective one of said fluid compartments of the container, the manifold further including a second conduit being connected to the second end for delivery of the mixed fluids to the spray head dispenser, the first conduits each being in fluid communication with the second conduit. 17. The assembly according to claim 16 wherein the housing defines two spaced apart openings formed on said first end, each of the openings being in fluid communication with one of said first conduits, each of the openings being adapted to receive a dip tube for receiving fluid from one of said two separate fluid compartments. 18. The assembly according to claim 17 wherein the second conduit is substantially straight and wherein the first conduits are angled relative to the second conduit. 19. The adapter according to claim 18 wherein an angle between the two first conduits is in the range of about 15 degrees and about 35 degrees. 20. The adapter according to claim 1 wherein the angle between the two first conduits is about 21 degrees. | An adapter receives two separate fluids from a container having two separate fluid compartments and combines the fluids into a single fluid stream for delivery to a spray fluid dispenser. The adapter comprises a unitary housing having a first open end for fluid connection to the container and a second open end for fluid connection to the spray fluid dispenser. The housing defines a manifold forming a fluid passageway therein between the first end and the second end. The manifold includes two separate first conduits formed at the first end. Each of the first conduits is connected to a respective one of the fluid compartments. The manifold further includes a second conduit in fluid communication with the second end for delivery of the combined fluids to the spray fluid dispenser. The first conduits are each in fluid communication with the second conduit.1. An adapter for receiving two separate fluids from a container having two separate fluid compartments and for combining said fluids into a single mixed fluid stream for delivery to a spray fluid dispenser, said adapter comprising:
a unitary housing having a first end for fluid connection to the container and a second end for fluid connection to said spray fluid dispenser, the housing defining a manifold forming a fluid passageway therein between the first end and the second end, the manifold including two separate substantially straight first conduits formed at the first end, each of the substantially straight first conduits being connectable to a respective one of said fluid compartments for receiving fluid therefrom, the manifold further including a second conduit in fluid communication with the second end for delivery of the mixed fluids to the spray fluid dispenser, the first conduits each being in fluid communication with the second conduit. 2. The adapter according to claim 1 wherein the second conduit is substantially straight and wherein the first conduits are angled relative to the second conduit. 3. The adapter according to claim 2 wherein an angle between the two first conduits is in the range of about 15 degrees and about 35 degrees. 4. The adapter according to claim 3 wherein the angle between the two first conduits is about 21 degrees. 5. The adapter according to claim 1 wherein the housing is made of plastic and the passageways are formed in the housing through injection molding. 6. The adapter according to claim 1 wherein separate first and second dip tubes are received in each of the two first conduits for receiving fluid from each of the two separate fluid compartments. 7. The adapter according to claim 6 wherein a third tube is received in the second conduit for delivering the single mixed fluid stream to the spray head dispenser. 8. The adapter according to claim 1 wherein the container is a bottle forming a neck at a top end thereof and the first end of the housing forms a collar for attachment to the neck of the bottle. 9. The adapter according to claim 1 wherein the spray head dispenser is a spray head and nozzle assembly. 10. The adapter according to claim 9 wherein a gasket is located between the housing and the spray head and nozzle assembly. 11. The adapter according to claim 1 wherein the housing defines two spaced apart openings formed on said first end, each of the openings being in fluid communication with one of said first conduits, each of the openings being adapted to receive a dip tube for receiving fluid from one of said two separate fluid compartments. 12. The adapter according to claim 1 wherein a lip is formed around an outer circumference of said first end the housing. 13. An adapter for receiving two separate fluids from a container having two separate fluid compartments and for combining said fluids into a single mixed fluid stream for delivery to a spray fluid dispenser, said adapter comprising:
a unitary housing having a first end for fluid connection to the container and a second end for fluid connection to said spray fluid dispenser, the housing defining a manifold forming a fluid passageway therein between the first end and the second end, the manifold including two separate first conduits formed at the first end, each of the first conduits being connectable to a respective one of said fluid compartments for receiving fluid therefrom, the manifold further including a second conduit in fluid communication with the second end for delivery of the mixed fluids to the spray fluid dispenser, the first conduits each being in fluid communication with the second conduit. 14. The adapter according to claim 13 wherein the first and second conduits are curved in profile. 15. The adapter according to claim 13 wherein the first conduits are connected to the second conduit to form a U-shape. 16. An assembly for combining and dispensing two separate fluids comprising:
a container comprising a first compartment for storing a first fluid and a separate second compartment for storing a second fluid; a spray head dispenser; an adapter comprising a housing defining a manifold for receiving the first and second fluids from the container and for combining said fluids into a single mixed fluid stream for delivery to the spray head dispenser, said housing having a first end connected to the container and a second end connected to said spray head dispenser, the manifold forming a fluid passageway between the first end and the second end, the manifold including two separate substantially straight first conduits formed at the first end, each of the substantially straight first conduits being fluidly connected to a respective one of said fluid compartments of the container, the manifold further including a second conduit being connected to the second end for delivery of the mixed fluids to the spray head dispenser, the first conduits each being in fluid communication with the second conduit. 17. The assembly according to claim 16 wherein the housing defines two spaced apart openings formed on said first end, each of the openings being in fluid communication with one of said first conduits, each of the openings being adapted to receive a dip tube for receiving fluid from one of said two separate fluid compartments. 18. The assembly according to claim 17 wherein the second conduit is substantially straight and wherein the first conduits are angled relative to the second conduit. 19. The adapter according to claim 18 wherein an angle between the two first conduits is in the range of about 15 degrees and about 35 degrees. 20. The adapter according to claim 1 wherein the angle between the two first conduits is about 21 degrees. | 1,600 |
348,310 | 16,643,804 | 1,635 | Swellable metal sealing elements and methods for forming a seal in a wellbore using said swellable metal sealing elements. An example method comprises providing a swellable metal sealing element selected from the group consisting of an O-ring, a gasket, or a seal stack; wherein the swellable metal sealing element is disposed in or around a downhole tool disposed in the wellbore. The method further comprises exposing the swellable metal sealing element to a brine and allowing or causing to allow the swellable metal sealing element to swell. | 1. A method for forming a seal in a wellbore comprising:
providing a swellable metal sealing element selected from the group consisting of an O-ring, a gasket, or a seal stack; wherein the swellable metal sealing element is disposed in or around a downhole tool disposed in the wellbore; exposing the swellable metal sealing element to a brine; and allowing or causing to allow the swellable metal sealing element to swell. 2. The method of claim 1, wherein the swellable metal sealing element comprises a metal selected from the group consisting of magnesium, calcium, aluminum, and any combination thereof. 3. The method of claim 1, wherein the swellable metal sealing element comprises a metal alloy comprising a metal selected from the group consisting of magnesium, calcium, aluminum, and any combination thereof. 4. The method of claim 1, wherein the downhole tool comprises a sealing surface adjacent to the swellable metal sealing element and wherein the sealing surface comprises an indentation or projection at the area of the sealing surface adjacent to the swellable metal sealing element. 5. The method of claim 1, wherein the downhole tool comprises a sealing surface adjacent to the swellable metal sealing element and wherein the sealing surface comprises segments, scarf joints, butt joints, splice joints, or a combination thereof. 6. The method of claim 1, wherein the downhole tool comprises a sealing surface adjacent to the swellable metal sealing element and wherein the sealing surface was produced by additive manufacturing. 7. The method of claim 1, wherein the swellable metal sealing element comprises a binder. 8. The method of claim 1, wherein the swellable metal sealing element comprises a metal oxide. 9. The method of claim 1, wherein the downhole tool is disposed in a wellbore zone having a temperature greater than 350° F. 10. The method of claim 1, wherein the brine comprises a salinity greater than 10%. 11. The method of claim 1, wherein the downhole tool is an inflow control device. 12. A swellable metal seal stack comprising:
a sealing element; wherein the sealing element is not a swellable metal sealing element, and a swellable metal sealing element adjacent to the sealing element. 13. The swellable metal seal stack of claim 12, wherein the swellable metal sealing element comprises a metal selected from the group consisting of magnesium, calcium, aluminum, and any combination thereof. 14. The swellable metal seal stack of claim 12, wherein the swellable metal sealing element comprises a metal alloy comprising a metal selected from the group consisting of magnesium, calcium, aluminum, and any combination thereof. 15. The swellable metal seal stack of claim 12, wherein the sealing element is an elastomeric chevron packing seal configured to be energized by the swellable metal sealing element. 16. The swellable metal seal stack of claim 12, wherein the sealing element is a metal sealing element configured to be energized by the swellable metal sealing element to make a metal-to-metal seal. 17. A system for reducing fluid communication into a tubular comprising:
an inflow control device comprising a flow path between an annulus and the interior of the tubular; the tubular coupled to the inflow control device; and a swellable metal sealing element at least partially disposed in the flow path. 18. The system of claim 17, wherein the swellable metal sealing element comprises a metal selected from the group consisting of magnesium, calcium, aluminum, and any combination thereof. 19. The system of claim 17, wherein the swellable metal sealing element comprises a metal alloy comprising a metal selected from the group consisting of magnesium, calcium, aluminum, and any combination thereof. 20. The system of claim 17, wherein the inflow control device is disposed in a wellbore zone having a temperature of greater than 350° F. | Swellable metal sealing elements and methods for forming a seal in a wellbore using said swellable metal sealing elements. An example method comprises providing a swellable metal sealing element selected from the group consisting of an O-ring, a gasket, or a seal stack; wherein the swellable metal sealing element is disposed in or around a downhole tool disposed in the wellbore. The method further comprises exposing the swellable metal sealing element to a brine and allowing or causing to allow the swellable metal sealing element to swell.1. A method for forming a seal in a wellbore comprising:
providing a swellable metal sealing element selected from the group consisting of an O-ring, a gasket, or a seal stack; wherein the swellable metal sealing element is disposed in or around a downhole tool disposed in the wellbore; exposing the swellable metal sealing element to a brine; and allowing or causing to allow the swellable metal sealing element to swell. 2. The method of claim 1, wherein the swellable metal sealing element comprises a metal selected from the group consisting of magnesium, calcium, aluminum, and any combination thereof. 3. The method of claim 1, wherein the swellable metal sealing element comprises a metal alloy comprising a metal selected from the group consisting of magnesium, calcium, aluminum, and any combination thereof. 4. The method of claim 1, wherein the downhole tool comprises a sealing surface adjacent to the swellable metal sealing element and wherein the sealing surface comprises an indentation or projection at the area of the sealing surface adjacent to the swellable metal sealing element. 5. The method of claim 1, wherein the downhole tool comprises a sealing surface adjacent to the swellable metal sealing element and wherein the sealing surface comprises segments, scarf joints, butt joints, splice joints, or a combination thereof. 6. The method of claim 1, wherein the downhole tool comprises a sealing surface adjacent to the swellable metal sealing element and wherein the sealing surface was produced by additive manufacturing. 7. The method of claim 1, wherein the swellable metal sealing element comprises a binder. 8. The method of claim 1, wherein the swellable metal sealing element comprises a metal oxide. 9. The method of claim 1, wherein the downhole tool is disposed in a wellbore zone having a temperature greater than 350° F. 10. The method of claim 1, wherein the brine comprises a salinity greater than 10%. 11. The method of claim 1, wherein the downhole tool is an inflow control device. 12. A swellable metal seal stack comprising:
a sealing element; wherein the sealing element is not a swellable metal sealing element, and a swellable metal sealing element adjacent to the sealing element. 13. The swellable metal seal stack of claim 12, wherein the swellable metal sealing element comprises a metal selected from the group consisting of magnesium, calcium, aluminum, and any combination thereof. 14. The swellable metal seal stack of claim 12, wherein the swellable metal sealing element comprises a metal alloy comprising a metal selected from the group consisting of magnesium, calcium, aluminum, and any combination thereof. 15. The swellable metal seal stack of claim 12, wherein the sealing element is an elastomeric chevron packing seal configured to be energized by the swellable metal sealing element. 16. The swellable metal seal stack of claim 12, wherein the sealing element is a metal sealing element configured to be energized by the swellable metal sealing element to make a metal-to-metal seal. 17. A system for reducing fluid communication into a tubular comprising:
an inflow control device comprising a flow path between an annulus and the interior of the tubular; the tubular coupled to the inflow control device; and a swellable metal sealing element at least partially disposed in the flow path. 18. The system of claim 17, wherein the swellable metal sealing element comprises a metal selected from the group consisting of magnesium, calcium, aluminum, and any combination thereof. 19. The system of claim 17, wherein the swellable metal sealing element comprises a metal alloy comprising a metal selected from the group consisting of magnesium, calcium, aluminum, and any combination thereof. 20. The system of claim 17, wherein the inflow control device is disposed in a wellbore zone having a temperature of greater than 350° F. | 1,600 |
348,311 | 16,643,831 | 3,685 | There is provided a technique for preventing unauthorized use of software downloaded to a controller. A controller includes: an obtaining unit that obtains, from an external device, software and first license information for the software; and a processor that executes the software. The software includes: a generation module that generates an execution right for the software from first unique information for uniquely identifying the controller or the external device; and a check module that generates second unique information from the execution right at a predetermined timing after the generation of the execution right, and that prohibits use of the software when the second unique information does not coincide with the first unique information. | 1. A controller for controlling a control target, the controller comprising:
an obtaining unit that obtains, from an external device, software to be used by the controller; and a processor that executes the software, wherein the software includes
a generation module that generates an execution right for the software from first unique information for uniquely identifying the controller or the external device, and
a check module that generates second unique information from the execution right at a predetermined timing after the generation of the execution right, and that prohibits use of the software when the second unique information does not coincide with the first unique information. 2. The controller according to claim 1, wherein
the external device includes a communication device that communicates with the controller, and the obtaining unit downloads the software from the communication device communicatively connected to the controller. 3. The controller according to claim 1, wherein
the external device includes a memory card that is electrically connectable to the controller, and the obtaining unit obtains the software from the memory card electrically connected to the controller. 4. The controller according to claim 1, wherein the processor deletes the execution right when transferring the obtained software from the controller to the external device. 5. The controller according to claim 1, wherein the processor deletes the generation module and the check module when transferring the obtained software from the controller to the external device. 6. The controller according to claim 1, wherein the software obtainable from the external device includes at least one of a program to be operated on firmware of the controller, a program to be added to the firmware, and data usable by the controller. 7. A method for managing a license of software used by a controller for controlling a control target, the method comprising:
obtaining, from an external device, software to be used by the controller, and first license information for the software; and executing the software, wherein the executing includes
generating an execution right for the software from first unique information for uniquely identifying the controller or the external device, and
generating second unique information from the execution right at a predetermined timing after the generation of the execution right, and prohibiting use of the software when the second unique information does not coincide with the first unique information. 8. Anon-transitory storage medium storing thereon a program for managing a license of software used by a controller for controlling a control target,
the program causing the controller to perform: obtaining, from an external device, software to be used by the controller for controlling the control target; and
executing the software, wherein
the executing includes
generating an execution right for the software from first unique information for uniquely identifying the controller or the external device, and
generating second unique information from the execution right at a predetermined timing after the generation of the execution right, and prohibiting use of the software when the second unique information does not coincide with the first unique information. | There is provided a technique for preventing unauthorized use of software downloaded to a controller. A controller includes: an obtaining unit that obtains, from an external device, software and first license information for the software; and a processor that executes the software. The software includes: a generation module that generates an execution right for the software from first unique information for uniquely identifying the controller or the external device; and a check module that generates second unique information from the execution right at a predetermined timing after the generation of the execution right, and that prohibits use of the software when the second unique information does not coincide with the first unique information.1. A controller for controlling a control target, the controller comprising:
an obtaining unit that obtains, from an external device, software to be used by the controller; and a processor that executes the software, wherein the software includes
a generation module that generates an execution right for the software from first unique information for uniquely identifying the controller or the external device, and
a check module that generates second unique information from the execution right at a predetermined timing after the generation of the execution right, and that prohibits use of the software when the second unique information does not coincide with the first unique information. 2. The controller according to claim 1, wherein
the external device includes a communication device that communicates with the controller, and the obtaining unit downloads the software from the communication device communicatively connected to the controller. 3. The controller according to claim 1, wherein
the external device includes a memory card that is electrically connectable to the controller, and the obtaining unit obtains the software from the memory card electrically connected to the controller. 4. The controller according to claim 1, wherein the processor deletes the execution right when transferring the obtained software from the controller to the external device. 5. The controller according to claim 1, wherein the processor deletes the generation module and the check module when transferring the obtained software from the controller to the external device. 6. The controller according to claim 1, wherein the software obtainable from the external device includes at least one of a program to be operated on firmware of the controller, a program to be added to the firmware, and data usable by the controller. 7. A method for managing a license of software used by a controller for controlling a control target, the method comprising:
obtaining, from an external device, software to be used by the controller, and first license information for the software; and executing the software, wherein the executing includes
generating an execution right for the software from first unique information for uniquely identifying the controller or the external device, and
generating second unique information from the execution right at a predetermined timing after the generation of the execution right, and prohibiting use of the software when the second unique information does not coincide with the first unique information. 8. Anon-transitory storage medium storing thereon a program for managing a license of software used by a controller for controlling a control target,
the program causing the controller to perform: obtaining, from an external device, software to be used by the controller for controlling the control target; and
executing the software, wherein
the executing includes
generating an execution right for the software from first unique information for uniquely identifying the controller or the external device, and
generating second unique information from the execution right at a predetermined timing after the generation of the execution right, and prohibiting use of the software when the second unique information does not coincide with the first unique information. | 3,600 |
348,312 | 16,643,808 | 3,685 | A space-time line coding system includes: in multiple antenna communication configured by at least one transmission antenna and at least two reception antennas, a transmitting end which encodes two information symbols using channel state information and sequentially transmits two encoded information symbols to a receiving end using the at least one transmission antenna; and a receiving end which receives two encoded information symbols using at least the two reception antennas and combines the received signals without channel state information. | 1. A space-time line coding method, in multiple antenna communication configured by at least one transmission antenna and at least two reception antennas, the method comprising:
encoding two information symbols using channel state information, by a transmitting end; sequentially transmitting two encoded information symbols using one transmission antenna of the at least one transmission antenna to a receiving end, by the transmitting end; receiving the two encoded information symbols using two reception antennas of the at least two reception antennas, by the receiving end; combining the received symbols without channel state information, by the receiving end. 2. The space-time line coding method of claim 1, when the number of transmit antennas is at least two and the number of reception antennas is two, wherein the encoding of two information symbols includes:
encoding the information symbols by any one of following Equations 12a to 12d:
S=C (1,2) *X [Equation 12a]
S=C (1,2) * *X [Equation 12b]
S=−C (1,2) *X [Equation 12c]
S=−C (1,2) * *X, [Equation 12d]
in which S is a 2*1 vector having the encoded information symbols as elements, C(1,2) is a 2*2 encoding matrix having the channel state information as elements, and X is a 2*1 matrix having the information symbol as elements. 3. The space-time line coding method of claim 2, wherein when the number of transmit antennas is at least two and the number of reception antennas is two, the encoding matrix C(1,2) is any one of 4. The space-time line coding method of claim 2, wherein a vector S having the encoded information symbols as elements is any one of 5. The space-time line coding method of claim 2, wherein the matrix X having the information symbol as elements is any one of 6. The space-time line coding method of claim 3, wherein the combining of received signals includes:
when the encoding matrix C(1,2) is 7. The space-time line coding method of claim 3, wherein the combining of received signals includes:
when the encoding matrix C(1,2) is 8. The space-time line coding method of claim 3, wherein the combining of received signals includes:
when the encoding matrix C(1,2) is 9. The space-time line coding method of claim 3, wherein the combining of received signals includes:
when the encoding matrix C(1,2) is 10. The space-time line coding method of claim 1, further comprising:
performing the encoding and the transmitting for each of transmitting antennas in parallel when at least two transmitting antennas are provided. 11. A space-time line coding system, in multiple antenna communication configured by at least one transmission antenna and at least two reception antennas, comprising:
a transmitting end which encodes two information symbols using channel state information and sequentially transmits two encoded information symbols to a receiving end using the at least one transmission antenna; and a receiving end which receives two encoded information symbols using the at least two reception antennas and combines the received signals without channel state information. 12. The space-time line coding method of claim 1, wherein the encoding of two information symbols is performed by using orthogonal encoding matrix C. | A space-time line coding system includes: in multiple antenna communication configured by at least one transmission antenna and at least two reception antennas, a transmitting end which encodes two information symbols using channel state information and sequentially transmits two encoded information symbols to a receiving end using the at least one transmission antenna; and a receiving end which receives two encoded information symbols using at least the two reception antennas and combines the received signals without channel state information.1. A space-time line coding method, in multiple antenna communication configured by at least one transmission antenna and at least two reception antennas, the method comprising:
encoding two information symbols using channel state information, by a transmitting end; sequentially transmitting two encoded information symbols using one transmission antenna of the at least one transmission antenna to a receiving end, by the transmitting end; receiving the two encoded information symbols using two reception antennas of the at least two reception antennas, by the receiving end; combining the received symbols without channel state information, by the receiving end. 2. The space-time line coding method of claim 1, when the number of transmit antennas is at least two and the number of reception antennas is two, wherein the encoding of two information symbols includes:
encoding the information symbols by any one of following Equations 12a to 12d:
S=C (1,2) *X [Equation 12a]
S=C (1,2) * *X [Equation 12b]
S=−C (1,2) *X [Equation 12c]
S=−C (1,2) * *X, [Equation 12d]
in which S is a 2*1 vector having the encoded information symbols as elements, C(1,2) is a 2*2 encoding matrix having the channel state information as elements, and X is a 2*1 matrix having the information symbol as elements. 3. The space-time line coding method of claim 2, wherein when the number of transmit antennas is at least two and the number of reception antennas is two, the encoding matrix C(1,2) is any one of 4. The space-time line coding method of claim 2, wherein a vector S having the encoded information symbols as elements is any one of 5. The space-time line coding method of claim 2, wherein the matrix X having the information symbol as elements is any one of 6. The space-time line coding method of claim 3, wherein the combining of received signals includes:
when the encoding matrix C(1,2) is 7. The space-time line coding method of claim 3, wherein the combining of received signals includes:
when the encoding matrix C(1,2) is 8. The space-time line coding method of claim 3, wherein the combining of received signals includes:
when the encoding matrix C(1,2) is 9. The space-time line coding method of claim 3, wherein the combining of received signals includes:
when the encoding matrix C(1,2) is 10. The space-time line coding method of claim 1, further comprising:
performing the encoding and the transmitting for each of transmitting antennas in parallel when at least two transmitting antennas are provided. 11. A space-time line coding system, in multiple antenna communication configured by at least one transmission antenna and at least two reception antennas, comprising:
a transmitting end which encodes two information symbols using channel state information and sequentially transmits two encoded information symbols to a receiving end using the at least one transmission antenna; and a receiving end which receives two encoded information symbols using the at least two reception antennas and combines the received signals without channel state information. 12. The space-time line coding method of claim 1, wherein the encoding of two information symbols is performed by using orthogonal encoding matrix C. | 3,600 |
348,313 | 16,643,731 | 3,685 | Methods, systems and devices for lattice reduction in decision feedback equalizers for orthogonal time frequency space (OTFS) modulation are described. An exemplary wireless communication method, implementable by a wireless communication receiver apparatus, includes receiving a signal comprising information bits modulated using OTFS modulation scheme. Each delay-Doppler bin in the signal is modulated using a quadrature amplitude modulation (QAM) mapping. The method also includes estimating the information bits based on an inverse of a single error covariance matrix of the signal, with the single error covariance matrix being representative of an estimation error for all delay-Doppler bins in the signal. | 1. A wireless communication method, implementable by a wireless communication receiver apparatus, comprising:
receiving a signal comprising information bits modulated using an orthogonal time frequency space (OTFS) modulation scheme, wherein each delay-Doppler bin in the signal is modulated using a quadrature amplitude modulation (QAM) mapping; and estimating the information bits based on an inverse of a single error covariance matrix of the signal, wherein the single error covariance matrix is representative of an estimation error for all delay-Doppler bins in the signal. 2. The method of claim 1, further including:
computing a unimodular matrix comprising integer entries and having a unity determinant; and decreasing a condition number of the inverse of the single covariance matrix based on multiplication with the unimodular matrix. 3. The method of claim 1, further including:
performing, upon determining that the inverse of the single error covariance matrix is numerically well-conditioned, a slicing operation on QAM symbols in all the delay-Doppler bins. 4. The method of claim 2, wherein the computing the unimodular matrix includes applying a lattice reduction algorithm to obtain the unimodular matrix. 5. The method of claim 4, wherein the applying the lattice reduction algorithm includes:
applying a Lenstra Lenstra Lovasz (LLL) lattice reduction algorithm. 6. The method of claim 4, further including, for each delay-Doppler bin, performing Babai detection on an output of the lattice reduction algorithm. 7. The method of claim 4, further including, for each delay-Doppler bin, performing sphere detection on an output of the lattice reduction algorithm. 8. The method of claim 4, further including, for each delay-Doppler bin, performing a closest lattice point (CLP) detection on an output of the lattice reduction algorithm. 9. The method of claim 4, wherein the lattice reduction algorithm includes a size reduction transformation followed by a flipping transformation. 10. The method of claim 9, wherein the size reduction transformation is based on the unimodular matrix, and wherein the flipping transformation is based on another unimodular matrix different from the unimodular matrix. 11. The method of claim 4, wherein the lattice reduction algorithm comprises a Lenstra Lenstra Lovasz (LLL) algorithm, a Block Korkine Zolotarev (BKZ) algorithm, a random sampling reduction (RSR) algorithm or a primal dual reduction (PDR) algorithm. 12. The method of claim 1, further including, for each delay Doppler bin, converting an output of detected symbols to a standard lattice. 13. The method of claim 1, wherein the estimating the information bits includes performing a symbol to bits de-mapping of the QAM symbols. 14-15. (canceled) 16. A wireless communication device comprising a processor and transceiver circuitry wherein the transceiver circuitry is configured for receiving a signal comprising information bits modulated using an orthogonal time frequency space (OTFS) modulation scheme, wherein each delay-Doppler bin in the signal is modulated using a quadrature amplitude modulation (QAM) mapping; and
wherein the processor is configured for estimating the information bits based on an inverse of a single error covariance matrix of the signal, wherein the single error covariance matrix is representative of an estimation error for all delay-Doppler bins in the signal. 17. The wireless communication device of claim 16, wherein the processor is further configured for:
computing a unimodular matrix comprising integer entries and having a unity determinant; and decreasing a condition number of the inverse of the single covariance matrix based on multiplication with the unimodular matrix. 18. The wireless communication device of claim 16, wherein the processor is further configured for:
performing, upon determining that the inverse of the single error covariance matrix is numerically well-conditioned, a slicing operation on QAM symbols in all the delay-Doppler bins. 19. The wireless communication device of claim 17, wherein the computing the unimodular matrix includes applying a lattice reduction algorithm to obtain the unimodular matrix. 20. The wireless communication device of claim 19, wherein the applying the lattice reduction algorithm includes:
applying a Lenstra Lenstra Lovasz (LLL) lattice reduction algorithm. 21. The wireless communication device of claim 19, wherein the processor is further configured for, for each delay-Doppler bin, performing Babai detection on an output of the lattice reduction algorithm. 22. The wireless communication device of claim 19, wherein the processor is further configure for, for each delay-Doppler bin, performing sphere detection on an output of the lattice reduction algorithm. | Methods, systems and devices for lattice reduction in decision feedback equalizers for orthogonal time frequency space (OTFS) modulation are described. An exemplary wireless communication method, implementable by a wireless communication receiver apparatus, includes receiving a signal comprising information bits modulated using OTFS modulation scheme. Each delay-Doppler bin in the signal is modulated using a quadrature amplitude modulation (QAM) mapping. The method also includes estimating the information bits based on an inverse of a single error covariance matrix of the signal, with the single error covariance matrix being representative of an estimation error for all delay-Doppler bins in the signal.1. A wireless communication method, implementable by a wireless communication receiver apparatus, comprising:
receiving a signal comprising information bits modulated using an orthogonal time frequency space (OTFS) modulation scheme, wherein each delay-Doppler bin in the signal is modulated using a quadrature amplitude modulation (QAM) mapping; and estimating the information bits based on an inverse of a single error covariance matrix of the signal, wherein the single error covariance matrix is representative of an estimation error for all delay-Doppler bins in the signal. 2. The method of claim 1, further including:
computing a unimodular matrix comprising integer entries and having a unity determinant; and decreasing a condition number of the inverse of the single covariance matrix based on multiplication with the unimodular matrix. 3. The method of claim 1, further including:
performing, upon determining that the inverse of the single error covariance matrix is numerically well-conditioned, a slicing operation on QAM symbols in all the delay-Doppler bins. 4. The method of claim 2, wherein the computing the unimodular matrix includes applying a lattice reduction algorithm to obtain the unimodular matrix. 5. The method of claim 4, wherein the applying the lattice reduction algorithm includes:
applying a Lenstra Lenstra Lovasz (LLL) lattice reduction algorithm. 6. The method of claim 4, further including, for each delay-Doppler bin, performing Babai detection on an output of the lattice reduction algorithm. 7. The method of claim 4, further including, for each delay-Doppler bin, performing sphere detection on an output of the lattice reduction algorithm. 8. The method of claim 4, further including, for each delay-Doppler bin, performing a closest lattice point (CLP) detection on an output of the lattice reduction algorithm. 9. The method of claim 4, wherein the lattice reduction algorithm includes a size reduction transformation followed by a flipping transformation. 10. The method of claim 9, wherein the size reduction transformation is based on the unimodular matrix, and wherein the flipping transformation is based on another unimodular matrix different from the unimodular matrix. 11. The method of claim 4, wherein the lattice reduction algorithm comprises a Lenstra Lenstra Lovasz (LLL) algorithm, a Block Korkine Zolotarev (BKZ) algorithm, a random sampling reduction (RSR) algorithm or a primal dual reduction (PDR) algorithm. 12. The method of claim 1, further including, for each delay Doppler bin, converting an output of detected symbols to a standard lattice. 13. The method of claim 1, wherein the estimating the information bits includes performing a symbol to bits de-mapping of the QAM symbols. 14-15. (canceled) 16. A wireless communication device comprising a processor and transceiver circuitry wherein the transceiver circuitry is configured for receiving a signal comprising information bits modulated using an orthogonal time frequency space (OTFS) modulation scheme, wherein each delay-Doppler bin in the signal is modulated using a quadrature amplitude modulation (QAM) mapping; and
wherein the processor is configured for estimating the information bits based on an inverse of a single error covariance matrix of the signal, wherein the single error covariance matrix is representative of an estimation error for all delay-Doppler bins in the signal. 17. The wireless communication device of claim 16, wherein the processor is further configured for:
computing a unimodular matrix comprising integer entries and having a unity determinant; and decreasing a condition number of the inverse of the single covariance matrix based on multiplication with the unimodular matrix. 18. The wireless communication device of claim 16, wherein the processor is further configured for:
performing, upon determining that the inverse of the single error covariance matrix is numerically well-conditioned, a slicing operation on QAM symbols in all the delay-Doppler bins. 19. The wireless communication device of claim 17, wherein the computing the unimodular matrix includes applying a lattice reduction algorithm to obtain the unimodular matrix. 20. The wireless communication device of claim 19, wherein the applying the lattice reduction algorithm includes:
applying a Lenstra Lenstra Lovasz (LLL) lattice reduction algorithm. 21. The wireless communication device of claim 19, wherein the processor is further configured for, for each delay-Doppler bin, performing Babai detection on an output of the lattice reduction algorithm. 22. The wireless communication device of claim 19, wherein the processor is further configure for, for each delay-Doppler bin, performing sphere detection on an output of the lattice reduction algorithm. | 3,600 |
348,314 | 16,643,807 | 3,685 | An electromagnetic valve identification device configured to realize individual identification of an electromagnetic valve while suppressing an increase in manufacturing cost. The electromagnetic valve identification device is mounted on an industrial machine, such as a construction machine or an industrial vehicle, configured to move a hydraulic actuator to perform work. The electromagnetic valve identification device includes: an inductance measuring circuit configured to supply an alternating current to a solenoid of an electromagnetic valve of a hydraulic device, the hydraulic device being configured to supply pressure oil to the hydraulic actuator to operate the hydraulic actuator; a calculating portion configured to calculate an inductance of the solenoid based on the alternating current supplied to the solenoid by the inductance measuring circuit; and a storage portion configured to store the calculated inductance of the solenoid as individual identification information of the electromagnetic valve. | 1. An electromagnetic valve identification device mounted on an industrial machine, such as a construction machine or an industrial vehicle, configured to move a hydraulic actuator to perform work,
the electromagnetic valve identification device comprising: an inductance measuring circuit configured to supply an alternating current to a solenoid of an electromagnetic valve of a hydraulic device, the hydraulic device being configured to supply pressure oil to the hydraulic actuator to operate the hydraulic actuator; a calculating portion configured to calculate an inductance of the solenoid based on the alternating current supplied to the solenoid by the inductance measuring circuit; and a storage portion configured to store the calculated inductance of the solenoid as individual identification information of the electromagnetic valve. 2. The electromagnetic valve identification device according to claim 1, further comprising a replacement determining portion configured to determine whether or not the electromagnetic valve has been replaced, based on a determination criterion in which whether or not the electromagnetic valve has been replaced is determined by using the inductance calculated by the calculating portion. 3. The electromagnetic valve identification device according to claim 2, wherein:
the determination criterion includes whether or not a reference inductance of the solenoid and an actually measured inductance of the solenoid are different from each other, the reference inductance being calculated by the calculating portion and stored in the storage portion in advance, the actually measured inductance being calculated by the calculating portion; and when the actually measured inductance is different from the reference inductance, the replacement determining portion determines that the electromagnetic valve has been replaced. 4. The electromagnetic valve identification device according to claim 3, wherein:
when a predetermined reference value setting condition is satisfied, the calculating portion calculates the reference inductance of the solenoid; and the storage portion stores the reference inductance calculated by the calculating portion. 5. The electromagnetic valve identification device according to claim 2, wherein:
the determination criterion includes whether or not the actually measured inductance calculated by the calculating portion falls within a predetermined allowable range; and when the actually measured inductance falls outside the allowable range, the replacement determining portion determines that the electromagnetic valve has been replaced. 6. The electromagnetic valve identification device according to claim 2, further comprising a resistance measuring portion configured to supply a direct current to the solenoid and measure a resistance value of the solenoid, wherein:
the determination criterion includes whether or not a reference resistance value of the solenoid and an actually measured resistance value of the solenoid are different from each other, the reference resistance value being measured by the resistance measuring portion in advance, the actually measured resistance value being measured by the resistance measuring portion; and the replacement determining portion compares the actually measured resistance value with the reference resistance value and determines whether or not the electromagnetic valve has been replaced. 7. An electromagnetic valve identification device mounted on an industrial machine, such as a construction machine or an industrial vehicle, configured to move a hydraulic actuator to perform work,
the electromagnetic valve identification device comprising: a resistance measuring portion configured to supply a direct current to a solenoid of an electromagnetic valve of a hydraulic device and measure a resistance value of the solenoid, the hydraulic device being configured to supply pressure oil to the hydraulic actuator to operate the hydraulic actuator; and a replacement determining portion configured to determine whether or not the electromagnetic valve has been replaced, based on a determination criterion in which whether or not the electromagnetic valve has been replaced is determined by using the resistance value measured by the resistance measuring portion. 8. The electromagnetic valve identification device according to claim 7, wherein the determination criterion includes whether or not a reference resistance value of the solenoid and an actually measured resistance value of the solenoid are different from each other, the reference resistance value being measured by the resistance measuring portion in advance, the actually measured resistance value being measured by the resistance measuring portion. 9. A control unit comprising:
the electromagnetic valve identification device according to claim 2; and a control device mounted on the industrial vehicle and configured to supply a current to the solenoid of the electromagnetic valve to control an operation of the electromagnetic valve, wherein the control device is configured to restrict the operation of the electromagnetic valve when the replacement determining portion determines that the electromagnetic valve has been replaced. | An electromagnetic valve identification device configured to realize individual identification of an electromagnetic valve while suppressing an increase in manufacturing cost. The electromagnetic valve identification device is mounted on an industrial machine, such as a construction machine or an industrial vehicle, configured to move a hydraulic actuator to perform work. The electromagnetic valve identification device includes: an inductance measuring circuit configured to supply an alternating current to a solenoid of an electromagnetic valve of a hydraulic device, the hydraulic device being configured to supply pressure oil to the hydraulic actuator to operate the hydraulic actuator; a calculating portion configured to calculate an inductance of the solenoid based on the alternating current supplied to the solenoid by the inductance measuring circuit; and a storage portion configured to store the calculated inductance of the solenoid as individual identification information of the electromagnetic valve.1. An electromagnetic valve identification device mounted on an industrial machine, such as a construction machine or an industrial vehicle, configured to move a hydraulic actuator to perform work,
the electromagnetic valve identification device comprising: an inductance measuring circuit configured to supply an alternating current to a solenoid of an electromagnetic valve of a hydraulic device, the hydraulic device being configured to supply pressure oil to the hydraulic actuator to operate the hydraulic actuator; a calculating portion configured to calculate an inductance of the solenoid based on the alternating current supplied to the solenoid by the inductance measuring circuit; and a storage portion configured to store the calculated inductance of the solenoid as individual identification information of the electromagnetic valve. 2. The electromagnetic valve identification device according to claim 1, further comprising a replacement determining portion configured to determine whether or not the electromagnetic valve has been replaced, based on a determination criterion in which whether or not the electromagnetic valve has been replaced is determined by using the inductance calculated by the calculating portion. 3. The electromagnetic valve identification device according to claim 2, wherein:
the determination criterion includes whether or not a reference inductance of the solenoid and an actually measured inductance of the solenoid are different from each other, the reference inductance being calculated by the calculating portion and stored in the storage portion in advance, the actually measured inductance being calculated by the calculating portion; and when the actually measured inductance is different from the reference inductance, the replacement determining portion determines that the electromagnetic valve has been replaced. 4. The electromagnetic valve identification device according to claim 3, wherein:
when a predetermined reference value setting condition is satisfied, the calculating portion calculates the reference inductance of the solenoid; and the storage portion stores the reference inductance calculated by the calculating portion. 5. The electromagnetic valve identification device according to claim 2, wherein:
the determination criterion includes whether or not the actually measured inductance calculated by the calculating portion falls within a predetermined allowable range; and when the actually measured inductance falls outside the allowable range, the replacement determining portion determines that the electromagnetic valve has been replaced. 6. The electromagnetic valve identification device according to claim 2, further comprising a resistance measuring portion configured to supply a direct current to the solenoid and measure a resistance value of the solenoid, wherein:
the determination criterion includes whether or not a reference resistance value of the solenoid and an actually measured resistance value of the solenoid are different from each other, the reference resistance value being measured by the resistance measuring portion in advance, the actually measured resistance value being measured by the resistance measuring portion; and the replacement determining portion compares the actually measured resistance value with the reference resistance value and determines whether or not the electromagnetic valve has been replaced. 7. An electromagnetic valve identification device mounted on an industrial machine, such as a construction machine or an industrial vehicle, configured to move a hydraulic actuator to perform work,
the electromagnetic valve identification device comprising: a resistance measuring portion configured to supply a direct current to a solenoid of an electromagnetic valve of a hydraulic device and measure a resistance value of the solenoid, the hydraulic device being configured to supply pressure oil to the hydraulic actuator to operate the hydraulic actuator; and a replacement determining portion configured to determine whether or not the electromagnetic valve has been replaced, based on a determination criterion in which whether or not the electromagnetic valve has been replaced is determined by using the resistance value measured by the resistance measuring portion. 8. The electromagnetic valve identification device according to claim 7, wherein the determination criterion includes whether or not a reference resistance value of the solenoid and an actually measured resistance value of the solenoid are different from each other, the reference resistance value being measured by the resistance measuring portion in advance, the actually measured resistance value being measured by the resistance measuring portion. 9. A control unit comprising:
the electromagnetic valve identification device according to claim 2; and a control device mounted on the industrial vehicle and configured to supply a current to the solenoid of the electromagnetic valve to control an operation of the electromagnetic valve, wherein the control device is configured to restrict the operation of the electromagnetic valve when the replacement determining portion determines that the electromagnetic valve has been replaced. | 3,600 |
348,315 | 16,643,829 | 3,685 | A method for producing a chiral amine may include performing a transamination reaction of a prochiral amino acceptor and an amino donor in a first solution in the presence of a transaminase, thereby forming a chiral amine and a co-product in the first solution. The amino donor is a high molecular weight (HMW) amino donor. In some examples, the molecular weight of the HMW amino donor is at least 150 g/mol. In some examples, the amino donor is affixed on a support, the total molecular weight of the amino donor and the support being at least 150 g/mol. | 1. A method for producing a chiral amine, the method comprising:
forming a chiral amine and a co-product in a first solution by performing a transamination reaction of a prochiral amino acceptor and an amino donor in the first solution in the presence of a transaminase; wherein the amino donor is a high molecular weight (HMW) amino donor, and wherein (a) a molecular weight of the high molecular weight amino donor being is at least 200 g/mol, or (b) the amino donor is affixed on a support, a total molecular weight of the amino donor and the support being at least 200 g/mol; and separating the chiral amine from the first solution by means using a porous membrane. 2. The method of claim 1, wherein the molecular weight of the high molecular weight amino donor, or the total molecular weight of the amino donor and the support, is at least 300 g/mol. 3. The method of claim 1, wherein the amino donor comprises at least one amino group. 4. The method of claim 1, wherein the amino donor is an amine having a general formula 5. The method of claim 1, wherein the HMW amino donor is selected from the group consisting of: poly(ethylene glycol) bis (3-aminopropyl); 1,4-bis(3-aminopropyl)piperazine, poly(propylene glycol) bis(2-aminopropyl ether), O-(2-Aminopropyl)-O′-(2-methoxyethyl)polypropylene glycol, O,O′-Bis(2-aminopropyl) polypropylene glycol-block-polyethylene glycol-block-polypropylene glycol, and 1,2-bis(3-aminopropylamino)ethane. 6. The method of claim 1, wherein the prochiral amino acceptor is a ketone substrate having a general formula 7. The method of claim 1, wherein the amino acceptor is a ketone substrate selected from the group consisting of: acetophenone, ortho-bromoacetophenone, benzylacetone, and 2-bromo-4-acetylacetanilide. 8. The method of claim 1, wherein the porous membrane is a nanofiltration membrane. 9. The method of claim 1, wherein the porous membrane has a molecular weight cut-off (MWCO) for each of the amino donor, the transaminase, and the co-product of at least 200 g/mol. 10. The method of claim 1, wherein the transaminase is 3HMU from Ruegeria pomeroyi, or 3FCR from Ruegeria sp. TM1040. 11. The method of claim 1, wherein the support is a polystyrene support. 12. The method of claim 1, wherein
the prochiral amino acceptor is a ketone substrate selected from the group consisting of: acetophenone, ortho-bromoacetophenone, benzylacetone, and 2-bromo-4-acetylacetanilide; the amino donor is selected from the group consisting of: (highly flexible) poly(ethylene glycol) bis (3-aminopropyl); 1,4-Bis(3-aminopropyl)piperazine, poly(propylene glycol) bis(2-aminopropyl ether), O-(2-Aminopropyl)-O′-(2-methoxyethyl)polypropylene glycol, O,O′-bis(2-aminopropyl) polypropylene glycol-block-polyethylene glycol-block-polypropylene glycol, and 1,2-bis(3-aminopropylamino)ethane; and the transaminase is 3HMU from Ruegeria pomeroyi or 3FCR from Ruegeria sp. TM1040. 13. The method of claim 1, wherein
the prochiral amino acceptor is a ketone substrate selected from the group consisting of: acetophenone, ortho-bromoacetophenone, benzylacetone, and 2-bromo-4-acetylacetanilide; the amino donor is poly(propylene glycol) bis(2-aminopropyl ether); and the transaminase is 3HMU from Ruegeria pomeroyi. 14. The method of claim 1, wherein
the prochiral amino acceptor is a ketone substrate selected from the group consisting of: acetophenone, ortho-bromoacetophenone, benzylacetone, and 2-bromo-4-acetylacetanilide; the amino donor is O,O′-bis(2-aminopropyl) polypropylene glycol-block-polyethylene glycol-block-polypropylene glycol; and the transaminase is 3HMU from Ruegeria pomeroyi. 15. A method for producing a chiral amine, the method comprising the steps of:
forming a chiral amine and a co-product in a first solution by performing a transamination reaction of a prochiral amino acceptor and an amino donor in the first solution in the presence of a transaminase; wherein the amino donor is a high molecular weight amino donor, and wherein the high molecular weight amino donor is poly(ethylene glycol) bis (3-aminopropyl), 1,4-bis(3-aminopropyl)piperazine, poly(propylene glycol) bis(2-aminopropyl ether), O-(2-Aminopropyl)-O′-(2-methoxyethyl)polypropylene glycol, O,O′-Bis(2-aminopropyl) polypropylene glycol-block-polyethylene glycol-block-polypropylene glycol, or 1,2-bis(3-aminopropylamino)ethane; and separating the chiral amine from the first solution using a porous membrane. 16. The method of claim 4, wherein substituents R3 and R4 are the same as each other. 17. The method of claim 4, wherein substituents R3 and R4 are the different from each other. 18. A method for producing a chiral amine, the method comprising:
forming a chiral amine and a co-product in a first solution by performing a transamination reaction of a prochiral amino acceptor and an amino donor in the first solution in the presence of a transaminase, wherein the amino donor has a molecular weight of at least 200 g/mol; and separating the chiral amine from the first solution using a porous membrane. | A method for producing a chiral amine may include performing a transamination reaction of a prochiral amino acceptor and an amino donor in a first solution in the presence of a transaminase, thereby forming a chiral amine and a co-product in the first solution. The amino donor is a high molecular weight (HMW) amino donor. In some examples, the molecular weight of the HMW amino donor is at least 150 g/mol. In some examples, the amino donor is affixed on a support, the total molecular weight of the amino donor and the support being at least 150 g/mol.1. A method for producing a chiral amine, the method comprising:
forming a chiral amine and a co-product in a first solution by performing a transamination reaction of a prochiral amino acceptor and an amino donor in the first solution in the presence of a transaminase; wherein the amino donor is a high molecular weight (HMW) amino donor, and wherein (a) a molecular weight of the high molecular weight amino donor being is at least 200 g/mol, or (b) the amino donor is affixed on a support, a total molecular weight of the amino donor and the support being at least 200 g/mol; and separating the chiral amine from the first solution by means using a porous membrane. 2. The method of claim 1, wherein the molecular weight of the high molecular weight amino donor, or the total molecular weight of the amino donor and the support, is at least 300 g/mol. 3. The method of claim 1, wherein the amino donor comprises at least one amino group. 4. The method of claim 1, wherein the amino donor is an amine having a general formula 5. The method of claim 1, wherein the HMW amino donor is selected from the group consisting of: poly(ethylene glycol) bis (3-aminopropyl); 1,4-bis(3-aminopropyl)piperazine, poly(propylene glycol) bis(2-aminopropyl ether), O-(2-Aminopropyl)-O′-(2-methoxyethyl)polypropylene glycol, O,O′-Bis(2-aminopropyl) polypropylene glycol-block-polyethylene glycol-block-polypropylene glycol, and 1,2-bis(3-aminopropylamino)ethane. 6. The method of claim 1, wherein the prochiral amino acceptor is a ketone substrate having a general formula 7. The method of claim 1, wherein the amino acceptor is a ketone substrate selected from the group consisting of: acetophenone, ortho-bromoacetophenone, benzylacetone, and 2-bromo-4-acetylacetanilide. 8. The method of claim 1, wherein the porous membrane is a nanofiltration membrane. 9. The method of claim 1, wherein the porous membrane has a molecular weight cut-off (MWCO) for each of the amino donor, the transaminase, and the co-product of at least 200 g/mol. 10. The method of claim 1, wherein the transaminase is 3HMU from Ruegeria pomeroyi, or 3FCR from Ruegeria sp. TM1040. 11. The method of claim 1, wherein the support is a polystyrene support. 12. The method of claim 1, wherein
the prochiral amino acceptor is a ketone substrate selected from the group consisting of: acetophenone, ortho-bromoacetophenone, benzylacetone, and 2-bromo-4-acetylacetanilide; the amino donor is selected from the group consisting of: (highly flexible) poly(ethylene glycol) bis (3-aminopropyl); 1,4-Bis(3-aminopropyl)piperazine, poly(propylene glycol) bis(2-aminopropyl ether), O-(2-Aminopropyl)-O′-(2-methoxyethyl)polypropylene glycol, O,O′-bis(2-aminopropyl) polypropylene glycol-block-polyethylene glycol-block-polypropylene glycol, and 1,2-bis(3-aminopropylamino)ethane; and the transaminase is 3HMU from Ruegeria pomeroyi or 3FCR from Ruegeria sp. TM1040. 13. The method of claim 1, wherein
the prochiral amino acceptor is a ketone substrate selected from the group consisting of: acetophenone, ortho-bromoacetophenone, benzylacetone, and 2-bromo-4-acetylacetanilide; the amino donor is poly(propylene glycol) bis(2-aminopropyl ether); and the transaminase is 3HMU from Ruegeria pomeroyi. 14. The method of claim 1, wherein
the prochiral amino acceptor is a ketone substrate selected from the group consisting of: acetophenone, ortho-bromoacetophenone, benzylacetone, and 2-bromo-4-acetylacetanilide; the amino donor is O,O′-bis(2-aminopropyl) polypropylene glycol-block-polyethylene glycol-block-polypropylene glycol; and the transaminase is 3HMU from Ruegeria pomeroyi. 15. A method for producing a chiral amine, the method comprising the steps of:
forming a chiral amine and a co-product in a first solution by performing a transamination reaction of a prochiral amino acceptor and an amino donor in the first solution in the presence of a transaminase; wherein the amino donor is a high molecular weight amino donor, and wherein the high molecular weight amino donor is poly(ethylene glycol) bis (3-aminopropyl), 1,4-bis(3-aminopropyl)piperazine, poly(propylene glycol) bis(2-aminopropyl ether), O-(2-Aminopropyl)-O′-(2-methoxyethyl)polypropylene glycol, O,O′-Bis(2-aminopropyl) polypropylene glycol-block-polyethylene glycol-block-polypropylene glycol, or 1,2-bis(3-aminopropylamino)ethane; and separating the chiral amine from the first solution using a porous membrane. 16. The method of claim 4, wherein substituents R3 and R4 are the same as each other. 17. The method of claim 4, wherein substituents R3 and R4 are the different from each other. 18. A method for producing a chiral amine, the method comprising:
forming a chiral amine and a co-product in a first solution by performing a transamination reaction of a prochiral amino acceptor and an amino donor in the first solution in the presence of a transaminase, wherein the amino donor has a molecular weight of at least 200 g/mol; and separating the chiral amine from the first solution using a porous membrane. | 3,600 |
348,316 | 16,643,806 | 3,685 | The invention relates to a system for managing (1) a communication network (W) of a vehicle, said management system (1) comprising a plurality of computers (10, 20) connected to said network (W), and a two-way wired link (L) connecting said plurality of computers (10, 20), said network (W) having a standby state and an awake state, said plurality of computers (10, 20) comprising a master computer (10) and at least one slave computer (20), said master computer (10) and said at least one slave computer (20) being configured to emit and receive a message relative to a requested state of the network (W) via said two-way wired link (L), the state of at least one of the plurality of computers (10, 20) being controlled from said message relative to the requested state, so as to monitor the state of the network (W), said communication network (W) being a fiber-optic Ethernet network. | 1. A management system for managing a multiplex communications network of a vehicle, said management system comprising a plurality of computers that are connected to said communications network, and a bidirectional wired link that interconnects said plurality of computers, said communications network and said plurality of computers having a standby state and an awake state, said plurality of computers comprising a master computer and at least one slave computer, said master computer and said at least one slave computer being configured for emitting and receiving a message relating to a requested state of the communications network via said bidirectional wired link, the state of at least one of the plurality of computers being controlled on the basis of said message relating to the requested state so as to control the state of the communications network, said communications network being of the fiber-optic Ethernet type. 2. The management system according to claim 1, wherein said message relating to said state of the communications network corresponds to a change in state of a signal emitted by the master computer and/or by said at least one slave computer via the bidirectional wired link. 3. The management system according to claim 2, wherein said emitted signal, has a high state and a low state, one of said high state or and said low state of the signal corresponding to the awake state of the communications network, and the other of said high state or and said low state of the signal corresponding to the standby state of the communications network. 4. The management system according to any of claim 1, wherein said master computer and said at least one slave computer are configured to emit and receive, respectively, via the communications network, a confirmation message relating to said requested state. 5. The management system according to claim 1, wherein said at least one slave computer comprises a first slave computer and at least one second slave computer, said at least one second slave computer being connected to the master computer and to said first slave computer by means of said bidirectional wired link, at least one of the master computer or and the first slave computer comprising a switch that is designed to connect said at least one second slave computer to the communications network. 6. A motor vehicle comprising a fiber-optic Ethernet communications network and the management system of claim 1. 7. A method for managing a multiplex communications network of a vehicle, said vehicle comprising a management system for managing said communications network, said communications network having a standby state and an awake state, said management system comprising a master computer and at least one first slave computer, said communications network being of the fiber-optic Ethernet type, said method comprising a phase of waking up said communications network, said phase comprising:
a step of activating said bidirectional wired link, a step of said master computer or said first slave computer sending a wakeup message for the communications network via the bidirectional wired link, a step of the other of said master computer or said first slave computer receiving said wakeup message, and a step of waking up said master computer and said first slave computer, resulting in the wakeup of the communications network. 8. The management method according to claim 7, wherein said step of sending a wakeup message for the communications network is performed by the first slave computer, the method comprising, after the step of the master computer receiving said wakeup message, a step of the master computer sending a confirmation message for the wakeup of the communications network, via the communications network to said at least one slave computer. 9. The management method according to the claim 8, comprising a step of the first slave computer receiving said confirmation message. 10. The management system according to claim 7, wherein said management system comprises at least one second slave computer that is connected to said first slave computer and to said master computer by the bidirectional wired link, the step of receiving said wakeup message being performed by said at least one second slave computer, and the wakeup step furthermore brings about the wakeup of awakens the second slave computer. | The invention relates to a system for managing (1) a communication network (W) of a vehicle, said management system (1) comprising a plurality of computers (10, 20) connected to said network (W), and a two-way wired link (L) connecting said plurality of computers (10, 20), said network (W) having a standby state and an awake state, said plurality of computers (10, 20) comprising a master computer (10) and at least one slave computer (20), said master computer (10) and said at least one slave computer (20) being configured to emit and receive a message relative to a requested state of the network (W) via said two-way wired link (L), the state of at least one of the plurality of computers (10, 20) being controlled from said message relative to the requested state, so as to monitor the state of the network (W), said communication network (W) being a fiber-optic Ethernet network.1. A management system for managing a multiplex communications network of a vehicle, said management system comprising a plurality of computers that are connected to said communications network, and a bidirectional wired link that interconnects said plurality of computers, said communications network and said plurality of computers having a standby state and an awake state, said plurality of computers comprising a master computer and at least one slave computer, said master computer and said at least one slave computer being configured for emitting and receiving a message relating to a requested state of the communications network via said bidirectional wired link, the state of at least one of the plurality of computers being controlled on the basis of said message relating to the requested state so as to control the state of the communications network, said communications network being of the fiber-optic Ethernet type. 2. The management system according to claim 1, wherein said message relating to said state of the communications network corresponds to a change in state of a signal emitted by the master computer and/or by said at least one slave computer via the bidirectional wired link. 3. The management system according to claim 2, wherein said emitted signal, has a high state and a low state, one of said high state or and said low state of the signal corresponding to the awake state of the communications network, and the other of said high state or and said low state of the signal corresponding to the standby state of the communications network. 4. The management system according to any of claim 1, wherein said master computer and said at least one slave computer are configured to emit and receive, respectively, via the communications network, a confirmation message relating to said requested state. 5. The management system according to claim 1, wherein said at least one slave computer comprises a first slave computer and at least one second slave computer, said at least one second slave computer being connected to the master computer and to said first slave computer by means of said bidirectional wired link, at least one of the master computer or and the first slave computer comprising a switch that is designed to connect said at least one second slave computer to the communications network. 6. A motor vehicle comprising a fiber-optic Ethernet communications network and the management system of claim 1. 7. A method for managing a multiplex communications network of a vehicle, said vehicle comprising a management system for managing said communications network, said communications network having a standby state and an awake state, said management system comprising a master computer and at least one first slave computer, said communications network being of the fiber-optic Ethernet type, said method comprising a phase of waking up said communications network, said phase comprising:
a step of activating said bidirectional wired link, a step of said master computer or said first slave computer sending a wakeup message for the communications network via the bidirectional wired link, a step of the other of said master computer or said first slave computer receiving said wakeup message, and a step of waking up said master computer and said first slave computer, resulting in the wakeup of the communications network. 8. The management method according to claim 7, wherein said step of sending a wakeup message for the communications network is performed by the first slave computer, the method comprising, after the step of the master computer receiving said wakeup message, a step of the master computer sending a confirmation message for the wakeup of the communications network, via the communications network to said at least one slave computer. 9. The management method according to the claim 8, comprising a step of the first slave computer receiving said confirmation message. 10. The management system according to claim 7, wherein said management system comprises at least one second slave computer that is connected to said first slave computer and to said master computer by the bidirectional wired link, the step of receiving said wakeup message being performed by said at least one second slave computer, and the wakeup step furthermore brings about the wakeup of awakens the second slave computer. | 3,600 |
348,317 | 16,643,778 | 3,685 | According to the present disclosure, an artificial intelligence (AI) system and a method of providing content according to an application of the AI system are provided. The method includes: obtaining one or more images included in the content; generating additional content for guiding user information, the additional content corresponding to the one or more images, based on feature information extracted from the one or more images; when receiving a request for reproducing the content, synchronizing the generated additional content with the one or more images; and reproducing the content and the additional content, according to a result of the synchronizing. | 1. A method of providing content, the method comprising:
obtaining one or more images included in the content; generating additional content for guiding user information, the additional content corresponding to the one or more images, based on feature information extracted from the one or more images; when receiving a request for reproducing the content, synchronizing the generated additional content with the one or more images; and reproducing the content and the additional content, according to a result of the synchronizing. 2. The method of claim 1, wherein the generating of the additional content comprises:
recognizing an object included in the one or more images, based on the extracted feature information; obtaining, from the one or more images, information about a location of the recognized object and information about a change of the recognized object between the one or more images; and determining reaction information corresponding to the one or more images, based on the obtained information about the location of the recognized object and the obtained information about the change of the recognized object between the one or more images. 3. The method of claim 1, wherein the generating of the additional content comprises:
determining a scene category of the one or more images, based on the extracted feature information, by using a pre-generated learning network model; and obtaining, from a reaction information database, reaction information corresponding to the determined scene category. 4. The method of claim 1, wherein the generating of the additional content comprises determining reaction information corresponding to the one or more images, based on the extracted feature information, by using a pre-generated learning network model. 5. The method of claim 1, further comprising:
obtaining user feedback information with respect to the additional content that is reproduced in synchronization with the content; determining a weight for each of a plurality of pieces of pre-stored reaction information, based on the obtained user feedback information; and modifying and refining, based on the determined weight, reaction information corresponding to the one or more images, from among the plurality of pieces of pre-stored reaction information. 6. The method of claim 1, further comprising:
obtaining history information of reaction information selected with respect to the one or more images; determining a weight for each of a plurality of pieces of pre-stored reaction information, based on the history information; and modifying and refining, based on the determined weight, the reaction information corresponding to the one or more images, from among the plurality of pieces of pre-stored reaction information, wherein the weights for the plurality of pieces of pre-stored reaction information are set to be lower as the number of times the plurality of pieces of pre-stored reaction information are previously selected is decreased. 7. The method of claim 1, further comprising, when reaction information is sound data, selecting a sound model corresponding to the reaction information from a sound database including a plurality of sound models,
wherein the reproducing of the content and the additional content comprises reproducing the additional content, to which the selected sound model is applied, in synchronization with the one or more images. 8. A terminal for providing content, the terminal comprising:
a memory storing one or more instructions; a display; and a processor configured to execute the one or more instructions stored in the memory to: obtain one or more images included in the content; generate additional content including reaction information corresponding to the one or more images, based on feature information extracted from the one or more images; when a request for reproducing the content is received, reproduce the generated additional content in synchronization with the one or more images; and control the display to display the one or more images. 9. The terminal of claim 8, wherein the processor is further configured to execute the one or more instructions to:
recognize an object included in the one or more images, based on the extracted feature information; obtain, from the one or more images, information about a location of the recognized object and information about a change of the recognized object between the one or more images; and determine reaction information corresponding to the one or more images, based on the obtained information about the location of the recognized object and the obtained information about the change of the recognized object between the one or more images. 10. The terminal of claim 8, wherein the processor is further configured to execute the one or more instructions to:
determine a scene category of the one or more images, based on the extracted feature information, by using a pre-generated learning network model; and obtain, from a reaction information database, reaction information corresponding to the determined scene category. 11. The terminal of claim 8, wherein the processor is further configured to execute the one or more instructions to determine reaction information corresponding to the one or more images, based on the extracted feature information, by using a pre-generated learning network model. 12. The terminal of claim 8, wherein the processor is further configured to execute the one or more instructions to:
obtain user feedback information with respect to the additional content that is reproduced in synchronization with the content; determine a weight for each of a plurality of pieces of pre-stored reaction information, based on the obtained user feedback information; and modify and refine, based on the determined weight, the reaction information corresponding to the one or more images, from among the plurality of pieces of pre-stored reaction information. 13. The terminal of claim 8, wherein the processor is further configured to execute the one or more instructions to:
obtain history information of the reaction information selected with respect to the one or more images; determine a weight for each of a plurality of pieces of pre-stored reaction information, based on the history information; and modify and refine, based on the determined weight, the reaction information corresponding to the one or more images, from among the plurality of pieces of pre-stored reaction information, and the weights for the plurality of pieces of pre-stored reaction information are set to be lower as the number of times the plurality of pieces of pre-stored reaction information are previously selected is decreased. 14. The terminal of claim 8, wherein the processor is further configured to execute the one or more instructions to:
select a sound model corresponding to the reaction information from a sound database including a plurality of sound models, when the reaction information is sound data; and reproduce the additional content, to which the selected sound model is applied, in synchronization with the one or more images. 15. A computer-readable recording medium having recorded thereon a program for executing the method of claim 1 on a computer. | According to the present disclosure, an artificial intelligence (AI) system and a method of providing content according to an application of the AI system are provided. The method includes: obtaining one or more images included in the content; generating additional content for guiding user information, the additional content corresponding to the one or more images, based on feature information extracted from the one or more images; when receiving a request for reproducing the content, synchronizing the generated additional content with the one or more images; and reproducing the content and the additional content, according to a result of the synchronizing.1. A method of providing content, the method comprising:
obtaining one or more images included in the content; generating additional content for guiding user information, the additional content corresponding to the one or more images, based on feature information extracted from the one or more images; when receiving a request for reproducing the content, synchronizing the generated additional content with the one or more images; and reproducing the content and the additional content, according to a result of the synchronizing. 2. The method of claim 1, wherein the generating of the additional content comprises:
recognizing an object included in the one or more images, based on the extracted feature information; obtaining, from the one or more images, information about a location of the recognized object and information about a change of the recognized object between the one or more images; and determining reaction information corresponding to the one or more images, based on the obtained information about the location of the recognized object and the obtained information about the change of the recognized object between the one or more images. 3. The method of claim 1, wherein the generating of the additional content comprises:
determining a scene category of the one or more images, based on the extracted feature information, by using a pre-generated learning network model; and obtaining, from a reaction information database, reaction information corresponding to the determined scene category. 4. The method of claim 1, wherein the generating of the additional content comprises determining reaction information corresponding to the one or more images, based on the extracted feature information, by using a pre-generated learning network model. 5. The method of claim 1, further comprising:
obtaining user feedback information with respect to the additional content that is reproduced in synchronization with the content; determining a weight for each of a plurality of pieces of pre-stored reaction information, based on the obtained user feedback information; and modifying and refining, based on the determined weight, reaction information corresponding to the one or more images, from among the plurality of pieces of pre-stored reaction information. 6. The method of claim 1, further comprising:
obtaining history information of reaction information selected with respect to the one or more images; determining a weight for each of a plurality of pieces of pre-stored reaction information, based on the history information; and modifying and refining, based on the determined weight, the reaction information corresponding to the one or more images, from among the plurality of pieces of pre-stored reaction information, wherein the weights for the plurality of pieces of pre-stored reaction information are set to be lower as the number of times the plurality of pieces of pre-stored reaction information are previously selected is decreased. 7. The method of claim 1, further comprising, when reaction information is sound data, selecting a sound model corresponding to the reaction information from a sound database including a plurality of sound models,
wherein the reproducing of the content and the additional content comprises reproducing the additional content, to which the selected sound model is applied, in synchronization with the one or more images. 8. A terminal for providing content, the terminal comprising:
a memory storing one or more instructions; a display; and a processor configured to execute the one or more instructions stored in the memory to: obtain one or more images included in the content; generate additional content including reaction information corresponding to the one or more images, based on feature information extracted from the one or more images; when a request for reproducing the content is received, reproduce the generated additional content in synchronization with the one or more images; and control the display to display the one or more images. 9. The terminal of claim 8, wherein the processor is further configured to execute the one or more instructions to:
recognize an object included in the one or more images, based on the extracted feature information; obtain, from the one or more images, information about a location of the recognized object and information about a change of the recognized object between the one or more images; and determine reaction information corresponding to the one or more images, based on the obtained information about the location of the recognized object and the obtained information about the change of the recognized object between the one or more images. 10. The terminal of claim 8, wherein the processor is further configured to execute the one or more instructions to:
determine a scene category of the one or more images, based on the extracted feature information, by using a pre-generated learning network model; and obtain, from a reaction information database, reaction information corresponding to the determined scene category. 11. The terminal of claim 8, wherein the processor is further configured to execute the one or more instructions to determine reaction information corresponding to the one or more images, based on the extracted feature information, by using a pre-generated learning network model. 12. The terminal of claim 8, wherein the processor is further configured to execute the one or more instructions to:
obtain user feedback information with respect to the additional content that is reproduced in synchronization with the content; determine a weight for each of a plurality of pieces of pre-stored reaction information, based on the obtained user feedback information; and modify and refine, based on the determined weight, the reaction information corresponding to the one or more images, from among the plurality of pieces of pre-stored reaction information. 13. The terminal of claim 8, wherein the processor is further configured to execute the one or more instructions to:
obtain history information of the reaction information selected with respect to the one or more images; determine a weight for each of a plurality of pieces of pre-stored reaction information, based on the history information; and modify and refine, based on the determined weight, the reaction information corresponding to the one or more images, from among the plurality of pieces of pre-stored reaction information, and the weights for the plurality of pieces of pre-stored reaction information are set to be lower as the number of times the plurality of pieces of pre-stored reaction information are previously selected is decreased. 14. The terminal of claim 8, wherein the processor is further configured to execute the one or more instructions to:
select a sound model corresponding to the reaction information from a sound database including a plurality of sound models, when the reaction information is sound data; and reproduce the additional content, to which the selected sound model is applied, in synchronization with the one or more images. 15. A computer-readable recording medium having recorded thereon a program for executing the method of claim 1 on a computer. | 3,600 |
348,318 | 16,643,799 | 3,685 | The disclosed embodiments include a perforating gun assembly. The perforating gun assembly includes a housing and at least one perforating charge disposed within the housing. Additionally, the perforating gun assembly includes a detonating cord disposed within the housing and ballistically coupled to the at least one perforating charge. Also included in the perforating gun assembly is a detonator assembly disposed in line or adjacent to the detonating cord. The detonator assembly includes a detonator, a ballistic interrupt, an actuator to remove the ballistic interrupt from a line of fire of the detonator, and a detonator control board to control the actuator and firing of the detonator. | 1. A perforating gun assembly, comprising:
a housing; at least one perforating charge disposed within the housing; a detonating cord disposed within the housing and ballistically coupled to the at least one perforating charge; and a detonator assembly disposed in line or adjacent to the detonating cord, the detonator assembly comprising:
a detonator;
a ballistic interrupt;
an actuator configured to remove the ballistic interrupt from a line of fire of the detonator; and
a detonator control board configured to control the actuator and firing of the detonator. 2. The assembly of claim 1, wherein the detonator control board is configured to receive a firing signal to control firing of the detonator. 3. The assembly of claim 1, wherein the actuator removes the ballistic interrupt from the line of fire of the detonator when the perforating gun assembly is beneath a surface of a well. 4. The assembly of claim 1, wherein the ballistic interrupt comprises a sheet of metal extending between the detonator and the detonating cord. 5. The assembly of claim 1, wherein the detonator control board is uniquely addressable by control signals. 6. The assembly of claim 1, wherein the perforating gun assembly is configured to couple to an additional perforating gun assembly. 7. The assembly of claim 1, wherein the at least one perforating charge is configured to punch holes in a casing of a wellbore. 8. The assembly of claim 1, wherein the ballistic interrupt comprises a distance barrier. 9. The assembly of claim 1, wherein the detonator assembly is controlled using analog control that provides control signals to the detonator control board automatically based on pressure sensing, temperature sensing, liquid sensing, time from deployment of the perforating gun assembly, or any combination thereof. 10. A method to fire a perforating gun, comprising:
running the perforating gun downhole within a wellbore to a desired perforating location; removing a first ballistic interrupt from a first line of fire of a first detonator of the perforating gun; and firing a first section of the perforating gun by detonating the first detonator. 11. The method of claim 10, comprising:
removing a second ballistic interrupt from a second line of fire of a second detonator of the perforating gun; and firing a second section of the perforating gun by detonating the second detonator. 12. The method of claim 11, comprising:
running the perforating gun within the wellbore to a second desired perforating location prior to firing the second section of the perforating gun. 13. The method of claim 10, wherein the first section of the perforating gun is located further downhole than a remainder of sections of the perforating gun. 14. The method of claim 10, wherein the first section of the perforating gun is located further uphole than a remainder of sections of the perforating gun. 15. The method of claim 10, wherein the first detonator is uniquely addressable by control signals. 16. The method of claim 10, wherein removing the first ballistic interrupt occurs when the perforating gun is below a surface of the wellbore. 17. A detonator assembly, comprising:
detonator ballistics; a ballistic barrier; an actuator configured to remove the ballistic barrier from a line of fire of the detonator ballistics; and a detonator control board configured to control the actuator and firing of the detonator ballistics. 18. The detonator assembly of claim 17, wherein the ballistic barrier comprises a ballistic interrupt. 19. The detonator assembly of claim 17, wherein the ballistic barrier comprises a distance barrier. 20. The detonator assembly of claim 19, wherein removing the ballistic barrier from the line of fire of the detonator ballistics comprises moving the detonator ballistics closer to a detonating cord. | The disclosed embodiments include a perforating gun assembly. The perforating gun assembly includes a housing and at least one perforating charge disposed within the housing. Additionally, the perforating gun assembly includes a detonating cord disposed within the housing and ballistically coupled to the at least one perforating charge. Also included in the perforating gun assembly is a detonator assembly disposed in line or adjacent to the detonating cord. The detonator assembly includes a detonator, a ballistic interrupt, an actuator to remove the ballistic interrupt from a line of fire of the detonator, and a detonator control board to control the actuator and firing of the detonator.1. A perforating gun assembly, comprising:
a housing; at least one perforating charge disposed within the housing; a detonating cord disposed within the housing and ballistically coupled to the at least one perforating charge; and a detonator assembly disposed in line or adjacent to the detonating cord, the detonator assembly comprising:
a detonator;
a ballistic interrupt;
an actuator configured to remove the ballistic interrupt from a line of fire of the detonator; and
a detonator control board configured to control the actuator and firing of the detonator. 2. The assembly of claim 1, wherein the detonator control board is configured to receive a firing signal to control firing of the detonator. 3. The assembly of claim 1, wherein the actuator removes the ballistic interrupt from the line of fire of the detonator when the perforating gun assembly is beneath a surface of a well. 4. The assembly of claim 1, wherein the ballistic interrupt comprises a sheet of metal extending between the detonator and the detonating cord. 5. The assembly of claim 1, wherein the detonator control board is uniquely addressable by control signals. 6. The assembly of claim 1, wherein the perforating gun assembly is configured to couple to an additional perforating gun assembly. 7. The assembly of claim 1, wherein the at least one perforating charge is configured to punch holes in a casing of a wellbore. 8. The assembly of claim 1, wherein the ballistic interrupt comprises a distance barrier. 9. The assembly of claim 1, wherein the detonator assembly is controlled using analog control that provides control signals to the detonator control board automatically based on pressure sensing, temperature sensing, liquid sensing, time from deployment of the perforating gun assembly, or any combination thereof. 10. A method to fire a perforating gun, comprising:
running the perforating gun downhole within a wellbore to a desired perforating location; removing a first ballistic interrupt from a first line of fire of a first detonator of the perforating gun; and firing a first section of the perforating gun by detonating the first detonator. 11. The method of claim 10, comprising:
removing a second ballistic interrupt from a second line of fire of a second detonator of the perforating gun; and firing a second section of the perforating gun by detonating the second detonator. 12. The method of claim 11, comprising:
running the perforating gun within the wellbore to a second desired perforating location prior to firing the second section of the perforating gun. 13. The method of claim 10, wherein the first section of the perforating gun is located further downhole than a remainder of sections of the perforating gun. 14. The method of claim 10, wherein the first section of the perforating gun is located further uphole than a remainder of sections of the perforating gun. 15. The method of claim 10, wherein the first detonator is uniquely addressable by control signals. 16. The method of claim 10, wherein removing the first ballistic interrupt occurs when the perforating gun is below a surface of the wellbore. 17. A detonator assembly, comprising:
detonator ballistics; a ballistic barrier; an actuator configured to remove the ballistic barrier from a line of fire of the detonator ballistics; and a detonator control board configured to control the actuator and firing of the detonator ballistics. 18. The detonator assembly of claim 17, wherein the ballistic barrier comprises a ballistic interrupt. 19. The detonator assembly of claim 17, wherein the ballistic barrier comprises a distance barrier. 20. The detonator assembly of claim 19, wherein removing the ballistic barrier from the line of fire of the detonator ballistics comprises moving the detonator ballistics closer to a detonating cord. | 3,600 |
348,319 | 16,643,742 | 3,685 | A stand-off breaching device (20) for breaching a barrier, comprising a housing (21), an explosive main charge (24) having a barrier-end (25) and a rear-end (26), a detonator (29), and means for initiating the detonator (27) when the explosive main charge (24) is at a preselected distance from a barrier. The detonator (29) is configured to detonate explosive main charge (24) at the rear-end (26) such that the resultant detonation wave propagates through the explosive main charge (24) towards the barrier-end (25) and the barrier being breached. This configuration provides more efficient transfer of explosively generated overpressure towards a barrier, thereby enabling the use of explosive main charges (24) with reduced mass, and the associated improvements in operator safety. The breaching device (20) is particularly suited to use in door breaching operations. | 1. A stand-off breaching device for breaching a barrier, comprising a housing, an explosive main charge having a barrier-end and a rear-end, a detonator, and means for initiating the detonator when the explosive main charge is at a preselected distance from a barrier, wherein the detonator is configured to detonate the explosive main charge at the rear-end, such that in-use a barrier can be breached by an explosively generated overpressure. 2. The stand-off breaching device according to claim 1 wherein the explosive main charge is a conically formed explosive main charge having a substantially circular end and a cone-apex, the conically formed explosive main charge being arranged such that the substantially circular end is the barrier-end and the cone-apex is the rear-end. 3. The stand-off breaching device according to claim 2 wherein the cone-apex is a truncated cone-apex. 4. The stand-off breaching device according to claim 2 wherein the conically formed explosive main charge has an apex-angle in the range of 50 to 70 degrees. 5. The stand-off breaching device according to claim 2 wherein the conically formed explosive main charge further comprises a charge extension formed at the substantially circular end. 6. The stand-off breaching device according to claim 5 wherein the charge extension comprises an inverted truncated cone. 7. The stand-off breaching device according to claim 1 wherein the explosive main charge comprises a multi-point initiated explosive main charge. 8. The stand-off breaching device according to claim 1 wherein the means for initiating the detonator comprises a proximity sensor, the proximity sensor being configured to receive radiation of a predetermined wavelength. 9. The stand-off breaching device according to claim 8 wherein the proximity sensor is configured to transmit radiation of the predetermined wavelength. 10. The stand-off breaching device according to claim 9 wherein the proximity sensor further comprises an electronics module, the electronics module being configured to measure a signal-difference between the transmitted radiation of pre-determined wavelength and the detected radiation of predetermined wavelength, the signal-difference corresponding to a range-to-go. 11. The stand-off breaching device according to claim 10 wherein the electronics module is configured to output a first detonation signal when the range-to-go is less than or equal to the preselected distance. 12. The stand-off breaching device according to claim 11 wherein the preselected distance is between 50 mm and 250 mm. 13. The stand-off breaching device according to claim 11 wherein the means for initiating the detonator further comprises a safe-to-arm unit, the safe-to-arm unit being configured to allow detonation of the explosive main charge upon detecting at least a first post-launch criterion and the generation of the first detonation signal. 14. The stand-off breaching device according to claim 8 wherein the radiation of predetermined wavelength is acoustic radiation with a wavelength, or range of wavelengths, between 20 kHz and 100 KHz. 15. The stand-off breaching device according to claim 8 wherein the radiation of predetermined wavelength is electromagnetic radiation with a wavelength, or range of wavelengths, between 800 nm and 1200 nm. 16. The stand-off breaching device according to claim 1 wherein the detonator comprises a firing pin, firing pin actuator and a stab detonator. 17. The stand-off breaching device according to claim 1 further comprising an eject cartridge attached to the housing. 18. The stand-off breaching device according to claim 1 wherein the housing is substantially cylindrical and has a maximum diameter of 40 mm. 19. The stand-off breaching device according to claim 1 wherein the housing is formed from low fragment hazard materials. 20. The stand-off breaching device according to claim 1 further comprising means for self-destruction. 21. The stand-off breaching device according to claim 1 wherein the explosive main charge has a mass of less than 50 g. 22. The stand-off breaching device according to claim 21 wherein the explosive main charge has a mass less than or equal to 20 g. 23. The stand-off breaching device according to claim 1 further comprising an on-board power supply. 24. The stand-off breaching device according to claim 1 wherein the explosive main charge further comprises a slapper plate attached at the barrier-end. 25. A barrier breaching system comprising the stand-off breaching device of claim 1 and a launcher, the launcher being suitable for firing the stand-off breaching device towards a barrier. 26. A method of breaching a barrier, comprising the steps of:
a) Providing a stand-off breaching device comprising a housing, an explosive main charge having a barrier-end and a rear-end, a detonator, and means for initiating the detonator when the explosive main charge is at a preselected distance from a barrier, wherein the detonator is configured to detonate the explosive main charge at the rear-end; b) Locating the stand-off breaching device proximal to the barrier, such that the barrier-end is in facing relations with the barrier and is at the preselected distance from the barrier; and then c) Initiating the detonator using the means for initiating, thereby generating an explosively generated overpressure; such that the barrier is breached by the explosively generated overpressure. 27. An explosive main charge for use in stand-off barrier breaching, comprising a conically formed explosive charge having a substantially circular end and a cone-apex, such that in-use the explosive main charge can be arranged to have the substantially circular end facing a barrier to be breached, such that the main charge can be detonated at the cone-apex to generate an overpressure directed towards the barrier, wherein the explosive main charge further comprises a charge extension extending from the substantially circular end, such that in-use the overpressure directed towards the barrier has a predetermined pressure profile. 28. The explosive main charge of claim 27, wherein the charge extension comprises an inverted truncated cone extending from the substantially circular end. 29. The explosive main charge of claim 28, wherein the inverted truncated cone has a maximum diameter equal to the diameter of the substantially circular end. 30. The explosive main charge of claim 29, wherein the cone apex of the conically formed explosive charge is a truncated cone apex. 31. The explosive main charge of claim 30, wherein the charge extension further comprises a cylindrical part located between the conically formed explosive charge and the inverted truncated cone. | A stand-off breaching device (20) for breaching a barrier, comprising a housing (21), an explosive main charge (24) having a barrier-end (25) and a rear-end (26), a detonator (29), and means for initiating the detonator (27) when the explosive main charge (24) is at a preselected distance from a barrier. The detonator (29) is configured to detonate explosive main charge (24) at the rear-end (26) such that the resultant detonation wave propagates through the explosive main charge (24) towards the barrier-end (25) and the barrier being breached. This configuration provides more efficient transfer of explosively generated overpressure towards a barrier, thereby enabling the use of explosive main charges (24) with reduced mass, and the associated improvements in operator safety. The breaching device (20) is particularly suited to use in door breaching operations.1. A stand-off breaching device for breaching a barrier, comprising a housing, an explosive main charge having a barrier-end and a rear-end, a detonator, and means for initiating the detonator when the explosive main charge is at a preselected distance from a barrier, wherein the detonator is configured to detonate the explosive main charge at the rear-end, such that in-use a barrier can be breached by an explosively generated overpressure. 2. The stand-off breaching device according to claim 1 wherein the explosive main charge is a conically formed explosive main charge having a substantially circular end and a cone-apex, the conically formed explosive main charge being arranged such that the substantially circular end is the barrier-end and the cone-apex is the rear-end. 3. The stand-off breaching device according to claim 2 wherein the cone-apex is a truncated cone-apex. 4. The stand-off breaching device according to claim 2 wherein the conically formed explosive main charge has an apex-angle in the range of 50 to 70 degrees. 5. The stand-off breaching device according to claim 2 wherein the conically formed explosive main charge further comprises a charge extension formed at the substantially circular end. 6. The stand-off breaching device according to claim 5 wherein the charge extension comprises an inverted truncated cone. 7. The stand-off breaching device according to claim 1 wherein the explosive main charge comprises a multi-point initiated explosive main charge. 8. The stand-off breaching device according to claim 1 wherein the means for initiating the detonator comprises a proximity sensor, the proximity sensor being configured to receive radiation of a predetermined wavelength. 9. The stand-off breaching device according to claim 8 wherein the proximity sensor is configured to transmit radiation of the predetermined wavelength. 10. The stand-off breaching device according to claim 9 wherein the proximity sensor further comprises an electronics module, the electronics module being configured to measure a signal-difference between the transmitted radiation of pre-determined wavelength and the detected radiation of predetermined wavelength, the signal-difference corresponding to a range-to-go. 11. The stand-off breaching device according to claim 10 wherein the electronics module is configured to output a first detonation signal when the range-to-go is less than or equal to the preselected distance. 12. The stand-off breaching device according to claim 11 wherein the preselected distance is between 50 mm and 250 mm. 13. The stand-off breaching device according to claim 11 wherein the means for initiating the detonator further comprises a safe-to-arm unit, the safe-to-arm unit being configured to allow detonation of the explosive main charge upon detecting at least a first post-launch criterion and the generation of the first detonation signal. 14. The stand-off breaching device according to claim 8 wherein the radiation of predetermined wavelength is acoustic radiation with a wavelength, or range of wavelengths, between 20 kHz and 100 KHz. 15. The stand-off breaching device according to claim 8 wherein the radiation of predetermined wavelength is electromagnetic radiation with a wavelength, or range of wavelengths, between 800 nm and 1200 nm. 16. The stand-off breaching device according to claim 1 wherein the detonator comprises a firing pin, firing pin actuator and a stab detonator. 17. The stand-off breaching device according to claim 1 further comprising an eject cartridge attached to the housing. 18. The stand-off breaching device according to claim 1 wherein the housing is substantially cylindrical and has a maximum diameter of 40 mm. 19. The stand-off breaching device according to claim 1 wherein the housing is formed from low fragment hazard materials. 20. The stand-off breaching device according to claim 1 further comprising means for self-destruction. 21. The stand-off breaching device according to claim 1 wherein the explosive main charge has a mass of less than 50 g. 22. The stand-off breaching device according to claim 21 wherein the explosive main charge has a mass less than or equal to 20 g. 23. The stand-off breaching device according to claim 1 further comprising an on-board power supply. 24. The stand-off breaching device according to claim 1 wherein the explosive main charge further comprises a slapper plate attached at the barrier-end. 25. A barrier breaching system comprising the stand-off breaching device of claim 1 and a launcher, the launcher being suitable for firing the stand-off breaching device towards a barrier. 26. A method of breaching a barrier, comprising the steps of:
a) Providing a stand-off breaching device comprising a housing, an explosive main charge having a barrier-end and a rear-end, a detonator, and means for initiating the detonator when the explosive main charge is at a preselected distance from a barrier, wherein the detonator is configured to detonate the explosive main charge at the rear-end; b) Locating the stand-off breaching device proximal to the barrier, such that the barrier-end is in facing relations with the barrier and is at the preselected distance from the barrier; and then c) Initiating the detonator using the means for initiating, thereby generating an explosively generated overpressure; such that the barrier is breached by the explosively generated overpressure. 27. An explosive main charge for use in stand-off barrier breaching, comprising a conically formed explosive charge having a substantially circular end and a cone-apex, such that in-use the explosive main charge can be arranged to have the substantially circular end facing a barrier to be breached, such that the main charge can be detonated at the cone-apex to generate an overpressure directed towards the barrier, wherein the explosive main charge further comprises a charge extension extending from the substantially circular end, such that in-use the overpressure directed towards the barrier has a predetermined pressure profile. 28. The explosive main charge of claim 27, wherein the charge extension comprises an inverted truncated cone extending from the substantially circular end. 29. The explosive main charge of claim 28, wherein the inverted truncated cone has a maximum diameter equal to the diameter of the substantially circular end. 30. The explosive main charge of claim 29, wherein the cone apex of the conically formed explosive charge is a truncated cone apex. 31. The explosive main charge of claim 30, wherein the charge extension further comprises a cylindrical part located between the conically formed explosive charge and the inverted truncated cone. | 3,600 |
348,320 | 16,643,826 | 2,896 | A power supply device includes: an input unit; a power supply unit that generates a supply voltage from a voltage input through the input unit (10); an output unit; an LED that generates a first output in response to input of a voltage to input terminal, the LED being disposed between the input terminal—and the fuse; an LED that generates a second output in response to input of a voltage to the power supply unit through the fuse, the LED being disposed between the fuse and the power supply unit; and an LED that generates a third output in response to output from power supply unit, the LED being connected to an output terminal. | 1. A power supply device, comprising:
an input unit which includes an input terminal and a protection circuit connected to the input terminal; a power supply unit that generates a supply voltage from a voltage input through the input unit; an output unit which includes an output terminal which outputs the supply voltage; a first output circuit that generates a first output in response to input of a voltage to the input terminal, the first output circuit being disposed between the input terminal and the protection circuit; a second output circuit that generates a second output in response to input of a voltage to the power supply unit through the protection circuit, the second output circuit being disposed between the protection circuit and the power supply unit; and a third output circuit that generates a third output in response to output from the power supply unit, the third output circuit being connected to the output terminal wherein the first output circuit, the second output circuit, and the third output circuit are light-emitting circuits, and the first output, the second output, and the third output are light outputs, and the first output circuit turns on in response to an input voltage exceeding a threshold voltage. 2. (canceled) 3. The power supply device according to claim 1, wherein
the power supply unit includes:
a transformer having a primary winding, an auxiliary winding, and a secondary winding;
a switching element connected to the primary winding of the transformer; and
a drive control circuit that receives a voltage across the auxiliary winding of the transformer and drives the switching element,
the power supply device further comprising a fourth output circuit that outputs a fourth signal indicating whether the voltage across the auxiliary winding is normal. 4. The power supply device according to claim 3, wherein
the fourth output circuit is a light-emitting circuit and the fourth output is a light output. 5. The power supply device according to claim 1, further comprising
a display circuit that displays information regarding a state of the power supply device, based at least on the first output, the second output, and the third output. | A power supply device includes: an input unit; a power supply unit that generates a supply voltage from a voltage input through the input unit (10); an output unit; an LED that generates a first output in response to input of a voltage to input terminal, the LED being disposed between the input terminal—and the fuse; an LED that generates a second output in response to input of a voltage to the power supply unit through the fuse, the LED being disposed between the fuse and the power supply unit; and an LED that generates a third output in response to output from power supply unit, the LED being connected to an output terminal.1. A power supply device, comprising:
an input unit which includes an input terminal and a protection circuit connected to the input terminal; a power supply unit that generates a supply voltage from a voltage input through the input unit; an output unit which includes an output terminal which outputs the supply voltage; a first output circuit that generates a first output in response to input of a voltage to the input terminal, the first output circuit being disposed between the input terminal and the protection circuit; a second output circuit that generates a second output in response to input of a voltage to the power supply unit through the protection circuit, the second output circuit being disposed between the protection circuit and the power supply unit; and a third output circuit that generates a third output in response to output from the power supply unit, the third output circuit being connected to the output terminal wherein the first output circuit, the second output circuit, and the third output circuit are light-emitting circuits, and the first output, the second output, and the third output are light outputs, and the first output circuit turns on in response to an input voltage exceeding a threshold voltage. 2. (canceled) 3. The power supply device according to claim 1, wherein
the power supply unit includes:
a transformer having a primary winding, an auxiliary winding, and a secondary winding;
a switching element connected to the primary winding of the transformer; and
a drive control circuit that receives a voltage across the auxiliary winding of the transformer and drives the switching element,
the power supply device further comprising a fourth output circuit that outputs a fourth signal indicating whether the voltage across the auxiliary winding is normal. 4. The power supply device according to claim 3, wherein
the fourth output circuit is a light-emitting circuit and the fourth output is a light output. 5. The power supply device according to claim 1, further comprising
a display circuit that displays information regarding a state of the power supply device, based at least on the first output, the second output, and the third output. | 2,800 |
348,321 | 16,643,793 | 2,896 | The present invention discloses an adhesive sheet which effectively blocks light in a ultraviolet region without impairing the transmittance of light in a visible light region and adhesion, an adhesive composition comprising a binder resin, and a light absorbing dye dispersed in the binder resin, and the present invention can effectively block light in a wavelength range of 410 nm or less, and can be applied to various kinds of display devices. | 1. An adhesive sheet has a structure in which a light-absorbing dye is dispersed in an adhesive binder resin;
a content of the light-absorbing dye is 80 parts by weight or less with reference to 100 parts by weight of the adhesive binder resin; it satisfies following conditions 1 and 2: [Condition 1] light transmittance for a wavelength of 405 nm is 5% or less; light transmittance for a wavelength of 410 nm is 10% or less; and light transmittance for a wavelength of 420 nm is less than 60%; and [Condition 2] light transmittance of more than 75% for 430 nm wavelength; and the adhesive sheet comprising a first light-absorbing dye which is a triazine ultraviolet absorber and a second light-absorbing dye represented by following Formula 2: 2. The adhesive sheet of claim 1, wherein;
the first light-absorbing dye of the adhesive sheet is represented by following Formula 1: 3. The adhesive sheet of claim 1, wherein;
the adhesive sheet which satisfies following condition 3: [Condition 3]
|T 20% −T 10%|<5 (nm),
T20% represents a wavelength at the point where light transmittance is 20% in a wavelength range of 405 to 430 nm, and T10% represents a wavelength at the point where the light transmittance is 10% in a wavelength range of 405 to 430 nm. 4. An adhesive composition comprising;
a binder resin; a light-absorbing dye dispersed in the binder resin, a first light-absorbing dye which is a triazine-based ultraviolet absorber and a second light-absorbing dye represented by following Formula 2: 5. The adhesive composition of claim 4, wherein;
the first light-absorbing dye of the adhesive composition is represented by following Formula 1: 6. The adhesive composition of claim 4, wherein;
the first light-absorbing dye of the adhesive composition is represented by following Formula 3: 7. The adhesive composition of claim 4 wherein;
the second light-absorbing dye of the adhesive composition represented by following Formula 2 is represented by following Formula 4: 8. The adhesive composition of claim 4, wherein;
a content of the light-absorbing dye is in 30 to 80 parts by a weight range with reference to 100 parts by weight of the binder resin. 9. The adhesive composition of claim 4, wherein;
a mixing ratio of the first light-absorbing dye and the second light-absorbing dye is in 1:3 to 5:1 weight ratio range. 10. The adhesive composition of claim 4, further comprising;
a particulate component having an average refractive index in a range of 1.3 to 2.1. 11. The adhesive composition of claim 10, further comprising;
the particulate component including one or more kinds of metal particles selected from a group consisting of metal oxides, metal nitrides and metal oxynitride; one or more kinds of organic particles selected from a group consisting of silicon and acrylic resin; and an organic-inorganic particle containing a component constituting the metal particle and a component constituting the organic particle. 12. The adhesive composition of claim 10, wherein;
an average particle diameter of the particulate component is in a range of 0.1 μm to 5 μm. 13. The adhesive composition of claim 10, wherein;
a difference in refractive index between the particulate component and the binder resin is 0.05 or more. 14. A display device comprising an adhesive layer formed by the adhesive composition according to claim 4. 15. The display device of claim 14, wherein;
the display device has a structure in which a display panel, a polarizing film, a touch film and a light transparent window layer are sequentially stacked; and the adhesive layer is formed at any one or more positions,
between the display panel and the polarizing film;
inside the polarizing film;
between the polarizing film and the touch film; and
between the touch film and the transparent window layer. 16. The display device of claim 14, wherein;
the display device has a structure in which a display panel, a touch film, a polarizing film and a light transparent window layer are sequentially stacked; and the adhesive layer is formed at any one or more positions,
between the display panel and the touch film;
between the touch film and the polarizing film; and
inside the polarizing film; and
between the polarizing film and the transparent window layer. 17. The display device of claim 14, comprising;
a display panel, a polarizing film, a touch film, and a light transparent window layer wherein; the polarizing film is a multilayer structure including a polarizer; and an adhesive layer formed by the adhesive composition according to claim 4; the adhesive layer is located between the display panel and the polarizer. | The present invention discloses an adhesive sheet which effectively blocks light in a ultraviolet region without impairing the transmittance of light in a visible light region and adhesion, an adhesive composition comprising a binder resin, and a light absorbing dye dispersed in the binder resin, and the present invention can effectively block light in a wavelength range of 410 nm or less, and can be applied to various kinds of display devices.1. An adhesive sheet has a structure in which a light-absorbing dye is dispersed in an adhesive binder resin;
a content of the light-absorbing dye is 80 parts by weight or less with reference to 100 parts by weight of the adhesive binder resin; it satisfies following conditions 1 and 2: [Condition 1] light transmittance for a wavelength of 405 nm is 5% or less; light transmittance for a wavelength of 410 nm is 10% or less; and light transmittance for a wavelength of 420 nm is less than 60%; and [Condition 2] light transmittance of more than 75% for 430 nm wavelength; and the adhesive sheet comprising a first light-absorbing dye which is a triazine ultraviolet absorber and a second light-absorbing dye represented by following Formula 2: 2. The adhesive sheet of claim 1, wherein;
the first light-absorbing dye of the adhesive sheet is represented by following Formula 1: 3. The adhesive sheet of claim 1, wherein;
the adhesive sheet which satisfies following condition 3: [Condition 3]
|T 20% −T 10%|<5 (nm),
T20% represents a wavelength at the point where light transmittance is 20% in a wavelength range of 405 to 430 nm, and T10% represents a wavelength at the point where the light transmittance is 10% in a wavelength range of 405 to 430 nm. 4. An adhesive composition comprising;
a binder resin; a light-absorbing dye dispersed in the binder resin, a first light-absorbing dye which is a triazine-based ultraviolet absorber and a second light-absorbing dye represented by following Formula 2: 5. The adhesive composition of claim 4, wherein;
the first light-absorbing dye of the adhesive composition is represented by following Formula 1: 6. The adhesive composition of claim 4, wherein;
the first light-absorbing dye of the adhesive composition is represented by following Formula 3: 7. The adhesive composition of claim 4 wherein;
the second light-absorbing dye of the adhesive composition represented by following Formula 2 is represented by following Formula 4: 8. The adhesive composition of claim 4, wherein;
a content of the light-absorbing dye is in 30 to 80 parts by a weight range with reference to 100 parts by weight of the binder resin. 9. The adhesive composition of claim 4, wherein;
a mixing ratio of the first light-absorbing dye and the second light-absorbing dye is in 1:3 to 5:1 weight ratio range. 10. The adhesive composition of claim 4, further comprising;
a particulate component having an average refractive index in a range of 1.3 to 2.1. 11. The adhesive composition of claim 10, further comprising;
the particulate component including one or more kinds of metal particles selected from a group consisting of metal oxides, metal nitrides and metal oxynitride; one or more kinds of organic particles selected from a group consisting of silicon and acrylic resin; and an organic-inorganic particle containing a component constituting the metal particle and a component constituting the organic particle. 12. The adhesive composition of claim 10, wherein;
an average particle diameter of the particulate component is in a range of 0.1 μm to 5 μm. 13. The adhesive composition of claim 10, wherein;
a difference in refractive index between the particulate component and the binder resin is 0.05 or more. 14. A display device comprising an adhesive layer formed by the adhesive composition according to claim 4. 15. The display device of claim 14, wherein;
the display device has a structure in which a display panel, a polarizing film, a touch film and a light transparent window layer are sequentially stacked; and the adhesive layer is formed at any one or more positions,
between the display panel and the polarizing film;
inside the polarizing film;
between the polarizing film and the touch film; and
between the touch film and the transparent window layer. 16. The display device of claim 14, wherein;
the display device has a structure in which a display panel, a touch film, a polarizing film and a light transparent window layer are sequentially stacked; and the adhesive layer is formed at any one or more positions,
between the display panel and the touch film;
between the touch film and the polarizing film; and
inside the polarizing film; and
between the polarizing film and the transparent window layer. 17. The display device of claim 14, comprising;
a display panel, a polarizing film, a touch film, and a light transparent window layer wherein; the polarizing film is a multilayer structure including a polarizer; and an adhesive layer formed by the adhesive composition according to claim 4; the adhesive layer is located between the display panel and the polarizer. | 2,800 |
348,322 | 16,643,832 | 2,175 | The embodiments of the disclosure provide an information display method, device and terminal, relating to the field of human-computer interaction technologies. The method includes: acquiring a target file; displaying a navigation bar, the navigation bar comprising an operation control of the target file; receiving a first touch signal triggered on the operation control; and displaying, according to the first touch signal, a program entry of at least one application, the at least one application being configured to process the target file. By adding the display of the operation control of the target file, where the operation control is configured to trigger the program entry of the application for processing the target file, so function entries for processing the obtained files are increased based on the original virtual buttons, and the functions of the navigation bar are enhanced. | 1. An information display method, comprising:
acquiring a target file; displaying a navigation bar, the navigation bar comprising an operation control of the target file; receiving a first touch signal triggered on the operation control; and displaying, according to the first touch signal, a program entry of at least one application, the at least one application being configured to process the target file. 2. The method as claimed in claim 1, wherein displaying a navigation bar, the navigation bar comprising an operation control of the target file comprises:
displaying the navigation bar, the navigation bar comprising the operation control of the target file, a thumbnail of the target file being displayed on the operation control. 3. The method as claimed in claim 1, wherein displaying, according to the first touch signal, a program entry of at least one application comprises:
moving, according to the first touch signal, the navigation bar upwardly from an original display position; displaying a virtual operation bar at the original display position of the navigation bar, and the virtual operation bar displaying the program entry of the at least one application. 4. The method as claimed in claim 3, the method further comprising:
displaying a close button on the virtual operation bar; receiving a third touch signal triggered on the close button; and closing the virtual operation bar according to the third touch signal, and moving the navigation bar down to the original display position. 5. The method as claimed in claim 1, after displaying a navigation bar, the navigation bar comprising an operation control of the target file, the method further comprising:
removing the display of the operation control from the navigation bar, when a displayed duration of the operation control reaches a preset threshold and it is failed to receive the first touch signal yet. 6. The method as claimed in claim 1, after displaying, according to the first touch signal, a program entry of at least one application, the method further comprising:
receiving a second touch signal triggered on the program entry of a target application, the target application being one of the at least one application; and invoking the target application to process the target file. 7. The method as claimed in claim 6, wherein the target file is an image;
acquiring a target file comprises: receiving a screenshot operation, and capturing the display content of a touch screen according to the screenshot operation thereby to obtain an image. 8. The method as claimed in claim 7, wherein invoking the target application to process the target file comprises at least one of:
invoking the target application to share the image to a contact in the target application; invoking the target application to send the image to an information space of the target application; invoking the target application to crop the image; invoking the target application to beautify the image; invoking the target application to print image elements of the image; and invoking the target application to save the image. 9. The method as claimed in claim 6, wherein the target file is an audio or a video;
invoking the target application to process the target file comprises at least one of: invoking the target application to play the target file; invoking the target application to share the target file to a contact in the target application; invoking the target application to send the target file to an information space of the target application; and invoking the target application to clip the target file. 10.-18. (canceled) 19. A terminal, comprising a processor and a memory, wherein the memory stores at least one instruction, and the at least one instruction is loaded and executed by the processor to implement an information display method, the method comprises:
acquiring a target file; displaying a navigation bar, the navigation bar comprising an operation control of the target file; receiving a first touch signal triggered by the operation control; and displaying, according to the first touch signal, a program entry of at least one application, the at least one application being configured to process the target file; receiving a second touch signal triggered by the program entry of a target application, the target application being one of the at least one application; and invoking the target application to process the target file. 20. A computer-readable storage medium, having at least one instruction stored therein, wherein the at least one instruction is loaded and executed by a processor to implement an information display method, the method comprises:
acquiring a target file; displaying a navigation bar, the navigation bar comprising an operation control of the target file; receiving a first touch signal triggered by the operation control; and moving, according to the first touch signal, the navigation bar upwardly from an original display position; displaying a virtual operation bar at the original display position of the navigation bar, the virtual operation bar displaying a program entry of the at least one application; displaying a close button on the virtual operation bar; receiving a third touch signal triggered by the close button; closing the virtual operation bar; and moving the navigation bar downwardly to the original display position. 21. The method as claimed in claim 3, wherein the virtual operation bar is stripe-shaped with a same length as the navigation bar. 22. The method as claimed in claim 6, wherein the target file is an image;
acquiring a target file comprises: receiving a shooting operation, and taking a photograph according to the shooting operation thereby to obtain an image. 23. The method as claimed in claim 6, wherein the target file is an image;
acquiring a target file comprises: receiving a download operation, and downloading according to the download operation thereby to obtain an image. 24. The method as claimed in claim 1, wherein displaying a navigation bar, the navigation bar comprising an operation control of the target file comprises:
displaying the navigation bar, the navigation bar comprising the operation control of the target file, a preset icon of the target file being displayed on the operation control. 25. The method as claimed in claim 1, wherein displaying a navigation bar, the navigation bar comprising an operation control of the target file comprises:
displaying the navigation bar, the navigation bar comprising the operation control of the target file, a file name of the target file being displayed on the operation control. 26. The method as claimed in claim 1, wherein the navigation bar comprises a Back button, a Home button and a Menu button sequentially arranged, the operation control of the target file is displayed at the right side of the Menu button. 27. The computer-readable storage medium as claimed in claim 20, wherein the close button is displayed at an upper right corner of the virtual operation bar. 28. The computer-readable storage medium as claimed in claim 20, wherein the virtual operation bar is parallel to the navigation bar, and the virtual operation bar and the navigation bar have the same length. 29. The computer-readable storage medium as claimed in claim 20, wherein the virtual operation bar is in contact with the navigation bar. | The embodiments of the disclosure provide an information display method, device and terminal, relating to the field of human-computer interaction technologies. The method includes: acquiring a target file; displaying a navigation bar, the navigation bar comprising an operation control of the target file; receiving a first touch signal triggered on the operation control; and displaying, according to the first touch signal, a program entry of at least one application, the at least one application being configured to process the target file. By adding the display of the operation control of the target file, where the operation control is configured to trigger the program entry of the application for processing the target file, so function entries for processing the obtained files are increased based on the original virtual buttons, and the functions of the navigation bar are enhanced.1. An information display method, comprising:
acquiring a target file; displaying a navigation bar, the navigation bar comprising an operation control of the target file; receiving a first touch signal triggered on the operation control; and displaying, according to the first touch signal, a program entry of at least one application, the at least one application being configured to process the target file. 2. The method as claimed in claim 1, wherein displaying a navigation bar, the navigation bar comprising an operation control of the target file comprises:
displaying the navigation bar, the navigation bar comprising the operation control of the target file, a thumbnail of the target file being displayed on the operation control. 3. The method as claimed in claim 1, wherein displaying, according to the first touch signal, a program entry of at least one application comprises:
moving, according to the first touch signal, the navigation bar upwardly from an original display position; displaying a virtual operation bar at the original display position of the navigation bar, and the virtual operation bar displaying the program entry of the at least one application. 4. The method as claimed in claim 3, the method further comprising:
displaying a close button on the virtual operation bar; receiving a third touch signal triggered on the close button; and closing the virtual operation bar according to the third touch signal, and moving the navigation bar down to the original display position. 5. The method as claimed in claim 1, after displaying a navigation bar, the navigation bar comprising an operation control of the target file, the method further comprising:
removing the display of the operation control from the navigation bar, when a displayed duration of the operation control reaches a preset threshold and it is failed to receive the first touch signal yet. 6. The method as claimed in claim 1, after displaying, according to the first touch signal, a program entry of at least one application, the method further comprising:
receiving a second touch signal triggered on the program entry of a target application, the target application being one of the at least one application; and invoking the target application to process the target file. 7. The method as claimed in claim 6, wherein the target file is an image;
acquiring a target file comprises: receiving a screenshot operation, and capturing the display content of a touch screen according to the screenshot operation thereby to obtain an image. 8. The method as claimed in claim 7, wherein invoking the target application to process the target file comprises at least one of:
invoking the target application to share the image to a contact in the target application; invoking the target application to send the image to an information space of the target application; invoking the target application to crop the image; invoking the target application to beautify the image; invoking the target application to print image elements of the image; and invoking the target application to save the image. 9. The method as claimed in claim 6, wherein the target file is an audio or a video;
invoking the target application to process the target file comprises at least one of: invoking the target application to play the target file; invoking the target application to share the target file to a contact in the target application; invoking the target application to send the target file to an information space of the target application; and invoking the target application to clip the target file. 10.-18. (canceled) 19. A terminal, comprising a processor and a memory, wherein the memory stores at least one instruction, and the at least one instruction is loaded and executed by the processor to implement an information display method, the method comprises:
acquiring a target file; displaying a navigation bar, the navigation bar comprising an operation control of the target file; receiving a first touch signal triggered by the operation control; and displaying, according to the first touch signal, a program entry of at least one application, the at least one application being configured to process the target file; receiving a second touch signal triggered by the program entry of a target application, the target application being one of the at least one application; and invoking the target application to process the target file. 20. A computer-readable storage medium, having at least one instruction stored therein, wherein the at least one instruction is loaded and executed by a processor to implement an information display method, the method comprises:
acquiring a target file; displaying a navigation bar, the navigation bar comprising an operation control of the target file; receiving a first touch signal triggered by the operation control; and moving, according to the first touch signal, the navigation bar upwardly from an original display position; displaying a virtual operation bar at the original display position of the navigation bar, the virtual operation bar displaying a program entry of the at least one application; displaying a close button on the virtual operation bar; receiving a third touch signal triggered by the close button; closing the virtual operation bar; and moving the navigation bar downwardly to the original display position. 21. The method as claimed in claim 3, wherein the virtual operation bar is stripe-shaped with a same length as the navigation bar. 22. The method as claimed in claim 6, wherein the target file is an image;
acquiring a target file comprises: receiving a shooting operation, and taking a photograph according to the shooting operation thereby to obtain an image. 23. The method as claimed in claim 6, wherein the target file is an image;
acquiring a target file comprises: receiving a download operation, and downloading according to the download operation thereby to obtain an image. 24. The method as claimed in claim 1, wherein displaying a navigation bar, the navigation bar comprising an operation control of the target file comprises:
displaying the navigation bar, the navigation bar comprising the operation control of the target file, a preset icon of the target file being displayed on the operation control. 25. The method as claimed in claim 1, wherein displaying a navigation bar, the navigation bar comprising an operation control of the target file comprises:
displaying the navigation bar, the navigation bar comprising the operation control of the target file, a file name of the target file being displayed on the operation control. 26. The method as claimed in claim 1, wherein the navigation bar comprises a Back button, a Home button and a Menu button sequentially arranged, the operation control of the target file is displayed at the right side of the Menu button. 27. The computer-readable storage medium as claimed in claim 20, wherein the close button is displayed at an upper right corner of the virtual operation bar. 28. The computer-readable storage medium as claimed in claim 20, wherein the virtual operation bar is parallel to the navigation bar, and the virtual operation bar and the navigation bar have the same length. 29. The computer-readable storage medium as claimed in claim 20, wherein the virtual operation bar is in contact with the navigation bar. | 2,100 |
348,323 | 16,643,836 | 2,438 | There is disclosed a microprocessor, including: a processing core; and a total memory encryption (TME) engine to provide TME for a first trust domain (TD), and further to: allocate a block of physical memory to the first TD and a first cryptographic key to the first TD; map within an extended page table (EPT) a host physical address (HPA) space to a guest physical address (GPA) space of the TD; create a memory ownership table (MOT) entry for a memory page within the block of physical memory, wherein the MOT table comprises a GPA reverse mapping; encrypt the MOT entry using the first cryptographic key; and append to the MOT entry verification data, wherein the MOT entry verification data enables detection of an attack on the MOT entry. | 1. A microprocessor, comprising:
a processing core; and a total memory encryption (TME) engine to provide TME for a first trust domain (TD), and further to:
allocate a block of physical memory to the first TD and a first cryptographic key to the first TD;
map within an extended page table (EPT) a host physical address (HPA) space to a guest physical address (GPA) space of the TD;
create a memory ownership table (MOT) entry for a memory page within the block of physical memory, wherein the MOT table comprises a GPA reverse mapping;
encrypt the MOT entry using the first cryptographic key; and
append to the MOT entry verification data, wherein the MOT entry verification data enables detection of an attack on the MOT entry. 2. The microprocessor of claim 1, wherein the processor, responsive to one or more instructions, is to provision the MOT in a memory range controlled by a physical memory range register. 3. The microprocessor of claim 1, wherein the TME engine is a multi-key TME engine, wherein the first cryptographic key provides a first key domain, and wherein the TME engine is further to allocate a second TD with a second key domain. 4. The microprocessor of claim 1, wherein the MOT further comprises a TD control structure (TDCS) pointer field. 5. The microprocessor of claim 1, wherein the entry verification data comprises a version number field. 6. The microprocessor of claim 1 wherein the entry verification data comprises an integrity field. 7. The microprocessor of claim 6, wherein the integrity field comprises a cryptographic hash of the MOT entry signed by the first encryption key. 8. The microprocessor of claim 7, wherein the MOT entry is a 128-bit hash. 9. The microprocessor of claim 7, wherein the MOT entry is divided into 128-bit lines. 10. The microprocessor of claim 1, wherein the TME is configured to encrypt memory at a cache line granularity. 11. The microprocessor of claim 10, wherein the MOT is configured to divide cache operations into 128-bit aligned blocks. 12. The microprocessor of claim 1, wherein the processor further comprises a page miss handler (PMH) configured to walk a memory page on a page miss, to determine that an integrity check based on the entry verification data has failed, and to invalidate the memory page. 13. The microprocessor of claim 12, wherein the PMH is further to signal a TD exit for the first TD. 14. A computing apparatus comprising a memory and the microprocessor of claim 1. 15. The computing apparatus of claim 14, further comprising a virtual machine manager (VMM), wherein the TME engine is configured to isolate the first TD from the VMM. 16. One or more tangible, non-transitory mediums having stored thereon instructions for providing total memory encryption (TME) for a trust domain (TD), comprising instructions to:
allocate a block of physical memory to the first TD and a first cryptographic key to the first TD; map within an extended page table (EPT) a host physical address (HPA) space to a guest physical address (GPA) space of the TD; create a memory ownership table (MOT) entry for a memory page within the block of physical memory, wherein the MOT table comprises a GPA reverse mapping; encrypt the MOT entry using the first cryptographic key; and append to the MOT entry verification data, wherein the MOT entry verification data enables detection of an attack on the MOT entry. 17. The one or more tangible, non-transitory mediums of claim 16, wherein the first cryptographic key provides a first key domain, and wherein the instructions are further to allocate a second TD with a second key domain. 18. The one or more tangible, non-transitory mediums of claim 16, wherein the MOT further comprises a TD control structure (TDCS) pointer field. 19. The one or more tangible, non-transitory mediums of claim 16, wherein the entry verification data comprises an integrity field. 20. The one or more tangible, non-transitory mediums of claim 16, wherein the entry verification data comprises a version number field. 21. The one or more tangible, non-transitory mediums of claim 20, wherein the integrity field comprises a cryptographic hash of the MOT entry signed by the first encryption key. 22. The one or more tangible, non-transitory mediums of claim 21, wherein the MOT entry is a 128-bit hash. 23. (canceled) 24. (canceled) 25. (canceled) 26. The one or more tangible, non-transitory mediums of claim 21, wherein the MOT entry is divided into 128-bit lines. 27. The one or more tangible, non-transitory mediums of claim 16, wherein the instructions are to encrypt memory at a cache line granularity. 28. The one or more tangible, non-transitory mediums of claim 16, wherein the instructions are to divide cache operations into 128-bit aligned blocks. | There is disclosed a microprocessor, including: a processing core; and a total memory encryption (TME) engine to provide TME for a first trust domain (TD), and further to: allocate a block of physical memory to the first TD and a first cryptographic key to the first TD; map within an extended page table (EPT) a host physical address (HPA) space to a guest physical address (GPA) space of the TD; create a memory ownership table (MOT) entry for a memory page within the block of physical memory, wherein the MOT table comprises a GPA reverse mapping; encrypt the MOT entry using the first cryptographic key; and append to the MOT entry verification data, wherein the MOT entry verification data enables detection of an attack on the MOT entry.1. A microprocessor, comprising:
a processing core; and a total memory encryption (TME) engine to provide TME for a first trust domain (TD), and further to:
allocate a block of physical memory to the first TD and a first cryptographic key to the first TD;
map within an extended page table (EPT) a host physical address (HPA) space to a guest physical address (GPA) space of the TD;
create a memory ownership table (MOT) entry for a memory page within the block of physical memory, wherein the MOT table comprises a GPA reverse mapping;
encrypt the MOT entry using the first cryptographic key; and
append to the MOT entry verification data, wherein the MOT entry verification data enables detection of an attack on the MOT entry. 2. The microprocessor of claim 1, wherein the processor, responsive to one or more instructions, is to provision the MOT in a memory range controlled by a physical memory range register. 3. The microprocessor of claim 1, wherein the TME engine is a multi-key TME engine, wherein the first cryptographic key provides a first key domain, and wherein the TME engine is further to allocate a second TD with a second key domain. 4. The microprocessor of claim 1, wherein the MOT further comprises a TD control structure (TDCS) pointer field. 5. The microprocessor of claim 1, wherein the entry verification data comprises a version number field. 6. The microprocessor of claim 1 wherein the entry verification data comprises an integrity field. 7. The microprocessor of claim 6, wherein the integrity field comprises a cryptographic hash of the MOT entry signed by the first encryption key. 8. The microprocessor of claim 7, wherein the MOT entry is a 128-bit hash. 9. The microprocessor of claim 7, wherein the MOT entry is divided into 128-bit lines. 10. The microprocessor of claim 1, wherein the TME is configured to encrypt memory at a cache line granularity. 11. The microprocessor of claim 10, wherein the MOT is configured to divide cache operations into 128-bit aligned blocks. 12. The microprocessor of claim 1, wherein the processor further comprises a page miss handler (PMH) configured to walk a memory page on a page miss, to determine that an integrity check based on the entry verification data has failed, and to invalidate the memory page. 13. The microprocessor of claim 12, wherein the PMH is further to signal a TD exit for the first TD. 14. A computing apparatus comprising a memory and the microprocessor of claim 1. 15. The computing apparatus of claim 14, further comprising a virtual machine manager (VMM), wherein the TME engine is configured to isolate the first TD from the VMM. 16. One or more tangible, non-transitory mediums having stored thereon instructions for providing total memory encryption (TME) for a trust domain (TD), comprising instructions to:
allocate a block of physical memory to the first TD and a first cryptographic key to the first TD; map within an extended page table (EPT) a host physical address (HPA) space to a guest physical address (GPA) space of the TD; create a memory ownership table (MOT) entry for a memory page within the block of physical memory, wherein the MOT table comprises a GPA reverse mapping; encrypt the MOT entry using the first cryptographic key; and append to the MOT entry verification data, wherein the MOT entry verification data enables detection of an attack on the MOT entry. 17. The one or more tangible, non-transitory mediums of claim 16, wherein the first cryptographic key provides a first key domain, and wherein the instructions are further to allocate a second TD with a second key domain. 18. The one or more tangible, non-transitory mediums of claim 16, wherein the MOT further comprises a TD control structure (TDCS) pointer field. 19. The one or more tangible, non-transitory mediums of claim 16, wherein the entry verification data comprises an integrity field. 20. The one or more tangible, non-transitory mediums of claim 16, wherein the entry verification data comprises a version number field. 21. The one or more tangible, non-transitory mediums of claim 20, wherein the integrity field comprises a cryptographic hash of the MOT entry signed by the first encryption key. 22. The one or more tangible, non-transitory mediums of claim 21, wherein the MOT entry is a 128-bit hash. 23. (canceled) 24. (canceled) 25. (canceled) 26. The one or more tangible, non-transitory mediums of claim 21, wherein the MOT entry is divided into 128-bit lines. 27. The one or more tangible, non-transitory mediums of claim 16, wherein the instructions are to encrypt memory at a cache line granularity. 28. The one or more tangible, non-transitory mediums of claim 16, wherein the instructions are to divide cache operations into 128-bit aligned blocks. | 2,400 |
348,324 | 16,643,830 | 2,438 | A controller includes a processor and a communication circuit. The controller controls an object of control by sequentially repeating, on a predetermined control cycle, the transmission of the output data, the reception of the input data, and execution of a control program for generating the output data using the input data. In the transmission of the output data, the processor executes: storing of the output data in a frame, the output data having been generated by the execution of the control program in a previous control cycle; and control of the communication circuit such that the frame, in which the output data is stored, is transmitted to the object of control. The processor executes, in a current control cycle, at least a part of the storing of the output data in a frame that is to be executed in a next control cycle. | 1. A controller that controls an object of control, the controller comprising:
a processor; and a communication circuit that executes transmission of output data to the object of control, and reception of input data from the object of control, wherein the controller controls the object of control by sequentially repeating, on a predetermined control cycle, the transmission of the output data, the reception of the input data, and execution of a control program for generating the output data using the input data, in the transmission of the output data, the processor executes
storing of the output data in a frame, the output data having been generated by the execution of the control program in a previous control cycle, and
control of the communication circuit such that the frame, in which the output data is stored, is transmitted to the object of control, and
the processor executes, in a current control cycle, at least a part of the storing of the output data in a frame that is to be executed in a next control cycle. 2. The controller according to claim 1, wherein
the processor in the current control cycle, executes the storing of the output data in a frame that is to be executed in the next control cycle, after the execution of the control program, and when the next control cycle starts, executes the control of the communication circuit. 3. The controller according to claim 1, wherein
the processor in the current control cycle, executes a part of the storing of the output data in a frame that is to be executed in the next control cycle, and when the next control cycle starts, sequentially executes a remaining part of the storing of the output data in a frame, and the control of the communication circuit. 4. The controller according to claim 3, wherein
the storing of the output data in a frame includes
generation of the frame, and
storing of the output data in the generated frame,
the controller exchanges data with another device via a higher-level network, and when the output data is updated as a result of the exchange of data with the other device after the execution of the control program in a control cycle, the processor
in the current control cycle, executes the generation of the frame that is to be executed in the next control cycle, after the execution of the reception of the input data and before the execution of the control program, and
when the next control cycle starts, executes the storing of the output data. 5. The controller according to claim 3, wherein
the storing of the output data in a frame includes
generation of the frame, and
storing of the output data in the generated frame,
the controller exchanges data with another device via a higher-level network, and when the output data is updated as a result of the exchange of data with the other device after the execution of the control program in a control cycle, the processor
in the current control cycle, executes the storing of the output data in a frame that is to be executed in the next control cycle, after the execution of the control program and before the execution of the exchange of data with the other device, and
when the next control cycle starts, sequentially executes storing of the updated output data in the frame, and the control of the communication circuit. 6. A control system comprising:
a controller; and one or more devices that work in accordance with a control command from the controller, wherein the controller and the one or more devices have their respective timers that are time-synchronized with each other, the controller including:
a processor; and
a communication circuit that executes transmission of the control command to the one or more devices, and reception of input data from the one or more devices, wherein
the controller controls the one or more devices by sequentially repeating, on a predetermined control cycle, the transmission of the control command, the reception of the input data, and execution of a control program for generating the control command using the input data, in the transmission of the control command, the processor executes
storing of the control command in a frame, the control command having been generated by the execution of the control program in a previous control cycle, and
control of the communication circuit such that the frame, in which the control command is stored, is transmitted to the one or more devices, and
the processor executes, in a current control cycle, at least a part of the storing of the control command in a frame that is to be executed in a next control cycle. | A controller includes a processor and a communication circuit. The controller controls an object of control by sequentially repeating, on a predetermined control cycle, the transmission of the output data, the reception of the input data, and execution of a control program for generating the output data using the input data. In the transmission of the output data, the processor executes: storing of the output data in a frame, the output data having been generated by the execution of the control program in a previous control cycle; and control of the communication circuit such that the frame, in which the output data is stored, is transmitted to the object of control. The processor executes, in a current control cycle, at least a part of the storing of the output data in a frame that is to be executed in a next control cycle.1. A controller that controls an object of control, the controller comprising:
a processor; and a communication circuit that executes transmission of output data to the object of control, and reception of input data from the object of control, wherein the controller controls the object of control by sequentially repeating, on a predetermined control cycle, the transmission of the output data, the reception of the input data, and execution of a control program for generating the output data using the input data, in the transmission of the output data, the processor executes
storing of the output data in a frame, the output data having been generated by the execution of the control program in a previous control cycle, and
control of the communication circuit such that the frame, in which the output data is stored, is transmitted to the object of control, and
the processor executes, in a current control cycle, at least a part of the storing of the output data in a frame that is to be executed in a next control cycle. 2. The controller according to claim 1, wherein
the processor in the current control cycle, executes the storing of the output data in a frame that is to be executed in the next control cycle, after the execution of the control program, and when the next control cycle starts, executes the control of the communication circuit. 3. The controller according to claim 1, wherein
the processor in the current control cycle, executes a part of the storing of the output data in a frame that is to be executed in the next control cycle, and when the next control cycle starts, sequentially executes a remaining part of the storing of the output data in a frame, and the control of the communication circuit. 4. The controller according to claim 3, wherein
the storing of the output data in a frame includes
generation of the frame, and
storing of the output data in the generated frame,
the controller exchanges data with another device via a higher-level network, and when the output data is updated as a result of the exchange of data with the other device after the execution of the control program in a control cycle, the processor
in the current control cycle, executes the generation of the frame that is to be executed in the next control cycle, after the execution of the reception of the input data and before the execution of the control program, and
when the next control cycle starts, executes the storing of the output data. 5. The controller according to claim 3, wherein
the storing of the output data in a frame includes
generation of the frame, and
storing of the output data in the generated frame,
the controller exchanges data with another device via a higher-level network, and when the output data is updated as a result of the exchange of data with the other device after the execution of the control program in a control cycle, the processor
in the current control cycle, executes the storing of the output data in a frame that is to be executed in the next control cycle, after the execution of the control program and before the execution of the exchange of data with the other device, and
when the next control cycle starts, sequentially executes storing of the updated output data in the frame, and the control of the communication circuit. 6. A control system comprising:
a controller; and one or more devices that work in accordance with a control command from the controller, wherein the controller and the one or more devices have their respective timers that are time-synchronized with each other, the controller including:
a processor; and
a communication circuit that executes transmission of the control command to the one or more devices, and reception of input data from the one or more devices, wherein
the controller controls the one or more devices by sequentially repeating, on a predetermined control cycle, the transmission of the control command, the reception of the input data, and execution of a control program for generating the control command using the input data, in the transmission of the control command, the processor executes
storing of the control command in a frame, the control command having been generated by the execution of the control program in a previous control cycle, and
control of the communication circuit such that the frame, in which the control command is stored, is transmitted to the one or more devices, and
the processor executes, in a current control cycle, at least a part of the storing of the control command in a frame that is to be executed in a next control cycle. | 2,400 |
348,325 | 16,643,811 | 2,438 | A bin assembly including: a container having a base and sidewalls extending upwardly and outwardly from the base; at least one locking portion disposed on the base; a pallet; and at least one locking mechanism disposed on the pallet, complementary to the at least one locking portion, wherein relative rotation between the container and the pallet engages or disengages the at least one locking portion and at least one locking mechanism to respectively lock or unlock the pallet and the container. | 1. A bin assembly, comprising:
a container having a base and sidewalls extending upwardly and outwardly from the base; at least one locking portion disposed on the base; a pallet; and at least one locking mechanism disposed on the pallet, complementary to the at least one locking portion, wherein:
relative rotation between the container and the pallet in a first direction engages the at least one locking portion and the at least one locking mechanism to lock the pallet and the container, and
relative rotation between the container and the pallet in a second direction disengages the at least one locking portion and the at least one locking mechanism to unlock the pallet and the container. 2. The bin assembly of claim 1, wherein:
the container includes a first locating portion and the pallet includes a second locating portion, complementary to the first locating portion, and the first and second locating portions cooperate to facilitate alignment between the pallet and the container. 3. The bin assembly of claim 2, wherein the first locating portion is pivotable within the second locating portion for engagement/disengagement of the at least one locking portion. 4. The bin assembly of claim 1, wherein the at least one locking mechanism extends between adjacent sidewalls of the pallet. 5. The bin assembly of claim 1, wherein:
the at least one locking portion is disposed on at least one corner portion of the container, and the at least one locking mechanism extends between adjacent sidewalls of the pallet. 6. The bin assembly of claim 1, wherein the container includes a plurality of apertures uniformly distributed along the base and the sidewalls to facilitate airflow within the container. 7. The bin assembly of claim 1, wherein the at least one locking mechanism includes a biasing means configured to urge the at least one locking portion into engagement with the at least one locking mechanism. 8. The bin assembly of claim 7, wherein the biasing means includes a spring housed within an aperture. 9. The bin assembly of claim 1, wherein the at least one locking portion includes a plurality of locking posts removably attachable to the container. 10. The bin assembly of claim 1, wherein the at least one locking portion is slidably received within the at least one locking mechanism. 11. The bin assembly of claim 1, wherein like pallets are configured to stack and like containers are configured to nest. 12. A container removably attachable to a pallet having at least one locking mechanism and a locating portion, the container comprising:
a base; sidewalls extending upwardly and outwardly from the base; at least one locking portion disposed on the base and configured to engage the at least one locking mechanism on the pallet; and a locating member extending downwardly from the base, wherein the locating member is receivable within the locating portion of the pallet. 13. The container of claim 12, wherein the sidewalls include a corrugated profile defined by a series of horizontal wave-shaped curves. 14. The container of claim 12, wherein adjacent sidewalls meet at a rounded corner to provide a smooth curved surface inside the container. 15. A pallet removably attachable to a container having at least one locking portion and a locating member, the pallet comprising:
a base having side members; at least one locking mechanism configured to engage the at least one locking portion on the container; and a locating portion configured to receive the locating member. 16. The pallet of claim 15, wherein the at least one locking mechanism extends between adjacent side members. 17. The pallet of claim 15, wherein the at least one locking mechanism includes a biasing means configured to urge the locking portion into engagement with the locking mechanism. 18. The pallet of claim 15, wherein the base includes a plurality of apertures uniformly distributed between the side members. 19. The pallet of claim 15, further comprising a removable cover attachable to a bottom surface of the pallet to protect the pallet from leakage from the container. | A bin assembly including: a container having a base and sidewalls extending upwardly and outwardly from the base; at least one locking portion disposed on the base; a pallet; and at least one locking mechanism disposed on the pallet, complementary to the at least one locking portion, wherein relative rotation between the container and the pallet engages or disengages the at least one locking portion and at least one locking mechanism to respectively lock or unlock the pallet and the container.1. A bin assembly, comprising:
a container having a base and sidewalls extending upwardly and outwardly from the base; at least one locking portion disposed on the base; a pallet; and at least one locking mechanism disposed on the pallet, complementary to the at least one locking portion, wherein:
relative rotation between the container and the pallet in a first direction engages the at least one locking portion and the at least one locking mechanism to lock the pallet and the container, and
relative rotation between the container and the pallet in a second direction disengages the at least one locking portion and the at least one locking mechanism to unlock the pallet and the container. 2. The bin assembly of claim 1, wherein:
the container includes a first locating portion and the pallet includes a second locating portion, complementary to the first locating portion, and the first and second locating portions cooperate to facilitate alignment between the pallet and the container. 3. The bin assembly of claim 2, wherein the first locating portion is pivotable within the second locating portion for engagement/disengagement of the at least one locking portion. 4. The bin assembly of claim 1, wherein the at least one locking mechanism extends between adjacent sidewalls of the pallet. 5. The bin assembly of claim 1, wherein:
the at least one locking portion is disposed on at least one corner portion of the container, and the at least one locking mechanism extends between adjacent sidewalls of the pallet. 6. The bin assembly of claim 1, wherein the container includes a plurality of apertures uniformly distributed along the base and the sidewalls to facilitate airflow within the container. 7. The bin assembly of claim 1, wherein the at least one locking mechanism includes a biasing means configured to urge the at least one locking portion into engagement with the at least one locking mechanism. 8. The bin assembly of claim 7, wherein the biasing means includes a spring housed within an aperture. 9. The bin assembly of claim 1, wherein the at least one locking portion includes a plurality of locking posts removably attachable to the container. 10. The bin assembly of claim 1, wherein the at least one locking portion is slidably received within the at least one locking mechanism. 11. The bin assembly of claim 1, wherein like pallets are configured to stack and like containers are configured to nest. 12. A container removably attachable to a pallet having at least one locking mechanism and a locating portion, the container comprising:
a base; sidewalls extending upwardly and outwardly from the base; at least one locking portion disposed on the base and configured to engage the at least one locking mechanism on the pallet; and a locating member extending downwardly from the base, wherein the locating member is receivable within the locating portion of the pallet. 13. The container of claim 12, wherein the sidewalls include a corrugated profile defined by a series of horizontal wave-shaped curves. 14. The container of claim 12, wherein adjacent sidewalls meet at a rounded corner to provide a smooth curved surface inside the container. 15. A pallet removably attachable to a container having at least one locking portion and a locating member, the pallet comprising:
a base having side members; at least one locking mechanism configured to engage the at least one locking portion on the container; and a locating portion configured to receive the locating member. 16. The pallet of claim 15, wherein the at least one locking mechanism extends between adjacent side members. 17. The pallet of claim 15, wherein the at least one locking mechanism includes a biasing means configured to urge the locking portion into engagement with the locking mechanism. 18. The pallet of claim 15, wherein the base includes a plurality of apertures uniformly distributed between the side members. 19. The pallet of claim 15, further comprising a removable cover attachable to a bottom surface of the pallet to protect the pallet from leakage from the container. | 2,400 |
348,326 | 16,805,835 | 2,866 | A split cylinder resonator has: a first conductive body having a first cavity formed in a cylindrical shape having the side surface and the bottom surface; a second conductive body having a second cavity formed in a cylindrical shape having the side surface and the bottom surface and arranged so that the second cavity faces the first cavity; first and second coaxial cables respectively having first and second loop antennas at a tip, the first and second loop antennas being exposed to an integrated cavity which is formed by the first cavity and the second cavity, the first and second coaxial cables facing each other. Each of the first conductive body and the second conductive body has a protruded portion protruded from a part of at least one of the side surface and the bottom surface of the first conductive body and the second conductive body toward the integrated cavity. | 1. A split cylinder resonator, comprising:
a first conductive body having a first cavity formed in a cylindrical shape having the side surface and the bottom surface; a second conductive body having a second cavity formed in a cylindrical shape having the side surface and the bottom surface, the second conductive body being arranged so that the second cavity faces the first cavity; a first coaxial cable having a first loop antenna at a tip of the first coaxial cable, the first loop antenna being arranged so as to be exposed to an integrated cavity which is formed by the first cavity and the second cavity; and a second coaxial cable having a second loop antenna at a tip of the second coaxial cable, the second loop antenna being arranged so as to be exposed to the integrated cavity, the second coaxial cable being arranged so as to face the first coaxial cable, wherein the first conductive body and the second conductive body have a protruded portion protruded from a part of at least one of the side surface and the bottom surface of the first conductive body and the second conductive body toward the integrated cavity. 2. The split cylinder resonator according to claim 1, wherein
the protruded portion is located at a position where the side surface and the bottom surface intersect with each other. 3. The split cylinder resonator according to claim 1, wherein
a total cross-sectional area of the protruded portion is 0.0548% or more with respect to a product of the diameter and the height of the integrated cavity when cut by a plane passing through a central axis of the integrated cavity. 4. A method of calculating a permittivity of a dielectric material as a measurement sample using a split cylinder resonator, the method comprising:
a step of obtaining a first resonance characteristics before the dielectric material is set to the split cylinder resonator; a step of obtaining a second resonance characteristics after the dielectric material is set to the split cylinder resonator; a step of judging the lowest resonance in a range higher than a preliminarily known resonance frequency of TM110 mode and regarding the judged resonance as the resonance of TE011 mode; and a step of calculating the permittivity of the dielectric material based on the first resonance characteristics and the second resonance characteristics. | A split cylinder resonator has: a first conductive body having a first cavity formed in a cylindrical shape having the side surface and the bottom surface; a second conductive body having a second cavity formed in a cylindrical shape having the side surface and the bottom surface and arranged so that the second cavity faces the first cavity; first and second coaxial cables respectively having first and second loop antennas at a tip, the first and second loop antennas being exposed to an integrated cavity which is formed by the first cavity and the second cavity, the first and second coaxial cables facing each other. Each of the first conductive body and the second conductive body has a protruded portion protruded from a part of at least one of the side surface and the bottom surface of the first conductive body and the second conductive body toward the integrated cavity.1. A split cylinder resonator, comprising:
a first conductive body having a first cavity formed in a cylindrical shape having the side surface and the bottom surface; a second conductive body having a second cavity formed in a cylindrical shape having the side surface and the bottom surface, the second conductive body being arranged so that the second cavity faces the first cavity; a first coaxial cable having a first loop antenna at a tip of the first coaxial cable, the first loop antenna being arranged so as to be exposed to an integrated cavity which is formed by the first cavity and the second cavity; and a second coaxial cable having a second loop antenna at a tip of the second coaxial cable, the second loop antenna being arranged so as to be exposed to the integrated cavity, the second coaxial cable being arranged so as to face the first coaxial cable, wherein the first conductive body and the second conductive body have a protruded portion protruded from a part of at least one of the side surface and the bottom surface of the first conductive body and the second conductive body toward the integrated cavity. 2. The split cylinder resonator according to claim 1, wherein
the protruded portion is located at a position where the side surface and the bottom surface intersect with each other. 3. The split cylinder resonator according to claim 1, wherein
a total cross-sectional area of the protruded portion is 0.0548% or more with respect to a product of the diameter and the height of the integrated cavity when cut by a plane passing through a central axis of the integrated cavity. 4. A method of calculating a permittivity of a dielectric material as a measurement sample using a split cylinder resonator, the method comprising:
a step of obtaining a first resonance characteristics before the dielectric material is set to the split cylinder resonator; a step of obtaining a second resonance characteristics after the dielectric material is set to the split cylinder resonator; a step of judging the lowest resonance in a range higher than a preliminarily known resonance frequency of TM110 mode and regarding the judged resonance as the resonance of TE011 mode; and a step of calculating the permittivity of the dielectric material based on the first resonance characteristics and the second resonance characteristics. | 2,800 |
348,327 | 16,643,800 | 2,866 | Fire protection systems and methods provide for the protection of horizontal concealed interstitial spaces of either a solid wood joist, open bar joist or open truss construction system. The systems and methods provide and locate fire protection sprinklers to define localized heat detection areas that are over 1000 sq. ft, at least 2000 sq. ft., over 2000 sq. ft. and/or otherwise unconfined by draft curtains or other barriers. | 1. A fire protection system for protecting a localized heat detection space of a horizontal interstitial concealed space between a ceiling and an upper deck supported by either a solid wood joist, open bar joist or open truss construction system, the construction system including a plurality of support members supporting the upper deck and the ceiling in a spaced apart relationship, the fire protection system comprising:
a network of pipes including a main pipe extending parallel to the plurality of support members and a plurality of branch pipes coupled to the main pipe and extending perpendicular to the support members; and a plurality of spaced apart automatic fire protection sprinklers coupled to the network of pipes and located within the concealed space to define a maximum area of localized heat detection space of over one thousand square feet (1,000 sq. ft.). 2. The fire protection system of claim 1, wherein the maximum area of localized heat detection space is over 1,500 sq. ft. 3. The fire protection system of claim 2, wherein the maximum area of localized heat detection space is 2,000 sq. ft. 4. The fire protection system of claim 2, wherein the maximum area of localized heat detection space is over 2,000 sq. ft. 5. The fire protection system of claim 4, wherein the maximum area of localized heat detection is unconfined. 6. The fire protection system of claim 1, wherein the fire protection system includes a barrier to form a perimeter about the maximum area of localized heat detection space. 7. The fire protection system of claim 6, wherein the barrier includes a first pair of pair of spaced apart draft curtains extending parallel to the plurality of support members and a second pair of spaced apart draft curtains extending perpendicular to the first pair of spaced apart draft curtains to form the perimeter about the maximum area of the localized heat detection space. 8. The fire protection system of claim 6, wherein the barriers define a height that is the greater of 8 inches or ⅓ depth of the concealed space. 9. The fire protection system of claim 1, wherein the construction system is a solid wood joist system, the concealed space defines a depth that extends from a maximum depth of 84 inches from an inner surface of the upper deck to an inner surface of the ceiling to a minimum depth that ranges from six to sixty inches (6-60 in.) from a bottom surface of an upper joist member to a top surface of a lower joist member. 10. The fire protection system of claim 1, wherein the construction system is either a bar joist system or an open wood truss construction system, the concealed space defines a depth that extends from a maximum depth of 60 inches from an inner surface of the upper deck to an inner surface of the ceiling to a minimum depth that ranges from six to sixty inches (6-60 in.) from a bottom surface of a top chord member to a top surface of a bottom chord member. 11. The fire protection system of claim 1, wherein the ceiling and the upper deck is supported by an open truss construction system having a plurality of support members including top chords and bottom chords each installed on face. 12. The fire protection system of claim 1, wherein the ceiling and the upper deck is supported by an open truss construction system having a plurality of support members including top chords and bottom chords each installed on edge, the construction including an insulation between top chords. 13. The fire protection system of claim 1, wherein the branch pipes extends between the upper deck and the ceiling to define a maximum clearance to the ceiling of no more than the smaller of 6 inches or ⅓ a distance measured from the ceiling to the upper deck. 14. The fire protection system of claim 13, wherein the branch pipes are spaced apart from one another in a direction parallel to the support members to define a branch pipe spacing that ranges from 6 ft. to 16 ft. 15. The fire protection system of claim 1, wherein the upper deck defines a roof pitch of no more than 2/12. 16. The fire protection system of claim 1, wherein the plurality of fire protection sprinklers is supplied with firefighting fluid at an operating pressure of at least 7.0 psi, so as to provide a fluid flow density over the area of at least 0.10 gpm/sq. ft. 17. The system of claim 1, wherein the sprinklers are located at a sprinkler-to-sprinkler spacing ranging from 6 ft. to 16 ft. 18. The fire protection system of claim 1, wherein each sprinkler has a maximum area of coverage of 256 sq. ft. 19. The fire protection system of claim 1, wherein each of the sprinklers has a nominal K-factor ranging from 2.8-11.2 [GPM/(psi)½]. 20. The fire protection system of claim 19, wherein each sprinkler has a nominal K-factor of 5.6 [GPM/(psi)½]. 21. The fire protection system of any one of claim 1, wherein each sprinkler includes a thermally sensitive actuating assembly defining an RTI of 50 (m*s)½ [100 (ft.*s)½] or less. 22. The fire protection system of claim 1, wherein each sprinkler includes a fluid deflection deflector being a planar member having a circular perimeter, a central portion, and a plurality of spaced apart tines defining a plurality of slot types in between the spaced apart tines. 23. The fire protection system of claim 1, wherein the network of pipes are CPVC pipes filled with firefighting fluid in an unactuated state of the system to define a wet system. 24. The fire protection systems of claim 1, wherein the fire protection system defines a minimum operating fluid pressure for a hydraulic remote area being six (6) remote sprinklers at a sprinkler-to-sprinkler spacing ranging from (8 ft.×8 ft.) to (16 ft.×16 ft.) to provide a minimum fluid flow density of 0.1 gpm/sq. ft. 25. The fire protection system of claim 1, wherein the network of pipes are steel pipes and in an unactuated state of the system define a dry system. 26. The fire protection system of claim 25, wherein the construction system is a solid wood joist construction system and the fire protection system defines a minimum operating fluid pressure for a hydraulic remote area being fifteen (15) hydraulically remote sprinklers at a sprinkler-to-sprinkler spacing ranging from (8 ft.×8 ft.) to (16 ft.×16 ft.) to provide a minimum fluid flow density of 0.1 gpm/sq. ft. 27. The fire protection system of claim 4, wherein the minimum operating fluid pressure is no less than 7 psi. 28. The fire protection system of claim 27, wherein the minimum operating fluid pressure at a maximum sprinkler-to-sprinkler spacing is about 21 psi. and at a minimum sprinkler-to-sprinkler spacing is 7 psi. 29. The fire protection system of claim 24, wherein the hydraulically remote sprinklers are spaced at a sprinkler-to-sprinkler spacing of 144 sq. ft or more to satisfy a minimum operating pressure ranging from 7 psi. to 22 psi. 30. The fire protection system of claim 1, wherein each sprinkler includes a fluid deflecting member defining a clearance distance to a support member that ranges from 1½ inches to two inches (2 in.). 31.-102. (canceled) | Fire protection systems and methods provide for the protection of horizontal concealed interstitial spaces of either a solid wood joist, open bar joist or open truss construction system. The systems and methods provide and locate fire protection sprinklers to define localized heat detection areas that are over 1000 sq. ft, at least 2000 sq. ft., over 2000 sq. ft. and/or otherwise unconfined by draft curtains or other barriers.1. A fire protection system for protecting a localized heat detection space of a horizontal interstitial concealed space between a ceiling and an upper deck supported by either a solid wood joist, open bar joist or open truss construction system, the construction system including a plurality of support members supporting the upper deck and the ceiling in a spaced apart relationship, the fire protection system comprising:
a network of pipes including a main pipe extending parallel to the plurality of support members and a plurality of branch pipes coupled to the main pipe and extending perpendicular to the support members; and a plurality of spaced apart automatic fire protection sprinklers coupled to the network of pipes and located within the concealed space to define a maximum area of localized heat detection space of over one thousand square feet (1,000 sq. ft.). 2. The fire protection system of claim 1, wherein the maximum area of localized heat detection space is over 1,500 sq. ft. 3. The fire protection system of claim 2, wherein the maximum area of localized heat detection space is 2,000 sq. ft. 4. The fire protection system of claim 2, wherein the maximum area of localized heat detection space is over 2,000 sq. ft. 5. The fire protection system of claim 4, wherein the maximum area of localized heat detection is unconfined. 6. The fire protection system of claim 1, wherein the fire protection system includes a barrier to form a perimeter about the maximum area of localized heat detection space. 7. The fire protection system of claim 6, wherein the barrier includes a first pair of pair of spaced apart draft curtains extending parallel to the plurality of support members and a second pair of spaced apart draft curtains extending perpendicular to the first pair of spaced apart draft curtains to form the perimeter about the maximum area of the localized heat detection space. 8. The fire protection system of claim 6, wherein the barriers define a height that is the greater of 8 inches or ⅓ depth of the concealed space. 9. The fire protection system of claim 1, wherein the construction system is a solid wood joist system, the concealed space defines a depth that extends from a maximum depth of 84 inches from an inner surface of the upper deck to an inner surface of the ceiling to a minimum depth that ranges from six to sixty inches (6-60 in.) from a bottom surface of an upper joist member to a top surface of a lower joist member. 10. The fire protection system of claim 1, wherein the construction system is either a bar joist system or an open wood truss construction system, the concealed space defines a depth that extends from a maximum depth of 60 inches from an inner surface of the upper deck to an inner surface of the ceiling to a minimum depth that ranges from six to sixty inches (6-60 in.) from a bottom surface of a top chord member to a top surface of a bottom chord member. 11. The fire protection system of claim 1, wherein the ceiling and the upper deck is supported by an open truss construction system having a plurality of support members including top chords and bottom chords each installed on face. 12. The fire protection system of claim 1, wherein the ceiling and the upper deck is supported by an open truss construction system having a plurality of support members including top chords and bottom chords each installed on edge, the construction including an insulation between top chords. 13. The fire protection system of claim 1, wherein the branch pipes extends between the upper deck and the ceiling to define a maximum clearance to the ceiling of no more than the smaller of 6 inches or ⅓ a distance measured from the ceiling to the upper deck. 14. The fire protection system of claim 13, wherein the branch pipes are spaced apart from one another in a direction parallel to the support members to define a branch pipe spacing that ranges from 6 ft. to 16 ft. 15. The fire protection system of claim 1, wherein the upper deck defines a roof pitch of no more than 2/12. 16. The fire protection system of claim 1, wherein the plurality of fire protection sprinklers is supplied with firefighting fluid at an operating pressure of at least 7.0 psi, so as to provide a fluid flow density over the area of at least 0.10 gpm/sq. ft. 17. The system of claim 1, wherein the sprinklers are located at a sprinkler-to-sprinkler spacing ranging from 6 ft. to 16 ft. 18. The fire protection system of claim 1, wherein each sprinkler has a maximum area of coverage of 256 sq. ft. 19. The fire protection system of claim 1, wherein each of the sprinklers has a nominal K-factor ranging from 2.8-11.2 [GPM/(psi)½]. 20. The fire protection system of claim 19, wherein each sprinkler has a nominal K-factor of 5.6 [GPM/(psi)½]. 21. The fire protection system of any one of claim 1, wherein each sprinkler includes a thermally sensitive actuating assembly defining an RTI of 50 (m*s)½ [100 (ft.*s)½] or less. 22. The fire protection system of claim 1, wherein each sprinkler includes a fluid deflection deflector being a planar member having a circular perimeter, a central portion, and a plurality of spaced apart tines defining a plurality of slot types in between the spaced apart tines. 23. The fire protection system of claim 1, wherein the network of pipes are CPVC pipes filled with firefighting fluid in an unactuated state of the system to define a wet system. 24. The fire protection systems of claim 1, wherein the fire protection system defines a minimum operating fluid pressure for a hydraulic remote area being six (6) remote sprinklers at a sprinkler-to-sprinkler spacing ranging from (8 ft.×8 ft.) to (16 ft.×16 ft.) to provide a minimum fluid flow density of 0.1 gpm/sq. ft. 25. The fire protection system of claim 1, wherein the network of pipes are steel pipes and in an unactuated state of the system define a dry system. 26. The fire protection system of claim 25, wherein the construction system is a solid wood joist construction system and the fire protection system defines a minimum operating fluid pressure for a hydraulic remote area being fifteen (15) hydraulically remote sprinklers at a sprinkler-to-sprinkler spacing ranging from (8 ft.×8 ft.) to (16 ft.×16 ft.) to provide a minimum fluid flow density of 0.1 gpm/sq. ft. 27. The fire protection system of claim 4, wherein the minimum operating fluid pressure is no less than 7 psi. 28. The fire protection system of claim 27, wherein the minimum operating fluid pressure at a maximum sprinkler-to-sprinkler spacing is about 21 psi. and at a minimum sprinkler-to-sprinkler spacing is 7 psi. 29. The fire protection system of claim 24, wherein the hydraulically remote sprinklers are spaced at a sprinkler-to-sprinkler spacing of 144 sq. ft or more to satisfy a minimum operating pressure ranging from 7 psi. to 22 psi. 30. The fire protection system of claim 1, wherein each sprinkler includes a fluid deflecting member defining a clearance distance to a support member that ranges from 1½ inches to two inches (2 in.). 31.-102. (canceled) | 2,800 |
348,328 | 16,643,805 | 2,866 | The present invention provides compounds having formula (I): and pharmaceutically acceptable salts thereof, wherein Cy1, Cy2, Cy3, R, R1, R2, R3, A1 and A2 are as described generally and in classes and subclasses herein, and additionally provides pharmaceutical compositions thereof, and methods for the use thereof for the treatment of any of a number of conditions or diseases in which inhibiting ROCK1, ROCK2, or ROCK1/2 has a therapeutically useful role. | 1. A compound of formula I: 2. The compound of claim 1, wherein Cy1 is a monocyclic or bicyclic or tricyclic heteroaryl. 3. The compound of claim 2, wherein Cy1 is selected from pyridinyl, pyridonyl, pyrimidinyl, pyrazinyl, pyridazinyl, triazinyl, tetrazinyl, quinolinyl, quinazolinyl, quinoxalinyl, cinnolinyl, isoquinolinyl, indolyl, aza-indolyl, pyrazolyl, imidazolyl, triazolyl, tetrazolyl, oxazolyl, thiazolyl, benzimidazolyl, indazolyl, benzoxazolyl, and benzothiazolyl. 4. The compound of claim 1, wherein Cy2 and Cy3 each independently represents a monocyclic or bicyclic aromatic, or a monocyclic or bicyclic heteroaromatic. 5. The compound of claim 4, wherein Cy2 and Cy3 is each independently selected from phenyl, naphthyl, pyridinyl, pyridonyl, pyrimidinyl, pyrazinyl, pyridazinyl, triazinyl, tetrazinyl, quinolinyl, quinazolinyl, quinoxalinyl, cinnolinyl, indolyl, aza-indolyl, pyrazolyl, imidazolyl, triazolyl, tetrazolyl, oxazolyl, thiazolyl, benzimidazolyl, indazolyl, benzoxazolyl, and benzothiazolyl. 6. The compound of claim 1, wherein R is a heterocyclic group. 7. The compound of claim 6, wherein R is selected from pyrrolidinyl, indolinyl, isoindolinyl, aza-indolinyl, aza-isoindolinyl, dihydroindazolyl, tetrahydroquinolinyl, tetrahydroisoquinolinyl, aza-tetrahydroquinolinyl, and or aza-tetrahydroisoquinolinyl. 8. The compound of claim 1, wherein the structure of the compound is formula Ia: 9. The compound of claim 8, wherein the structure of the compound is formula Ib: 10. The compound of claim 9, wherein the structure of the compound is formula Ic: 11. The compound of claim 1 or a pharmaceutically acceptable salt thereof, wherein the compound is selected from the following:
(E)-5-Methoxy-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-2-(4-(2-(1H-Pyrazol-3-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)-5-methoxyisoindoline;
(E)-4-(2-(2′-(5-Methoxyisoindolin-2-yl)-[2,4′-bipyrimidin]-4-yl)vinyl)quinolone;
(E)-4-(2-(2′-(5-Methoxyisoindolin-2-yl)-[2,4′-bipyrimidin]-4-yl)vinyl)-1H-pyrrolo[2,3-b]pyridine;
(E)-4-(2-(2′-(5-Methoxyisoindolin-2-yl)-[2,4′-bipyrimidin]-4-yl)vinyl)pyrimidin-2-amine;
(E)-2-(4-(2-(Pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-ol;
(E)-2-(4-(2-(Pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl trifluoromethanesulfonate;
(E)-2-(4-(2-(Pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline-5-carbonitrile;
(E)-N,N-Dimethyl-2-((2-(4-(2-(pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl)oxy)ethanamine;
(E)-Methyl 2-((2-(4-(2-(pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl)oxy)acetate;
(E)-N-Methyl-2-((2-(4-(2-(pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl)oxy)acetamide;
(E)-N-Ethyl-2-((2-(4-(2-(pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl)oxy)acetamide;
(E)-N-Isopropyl-2-((2-(4-(2-(pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl)oxy)acetamide;
(E)-5-Fluoro-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Chloro-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Bromo-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Iodo-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Ethoxy-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Isopropoxy-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Cyclopropoxy-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Methyl-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Ethyl-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Cyclopropyl-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Amino-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Methylamino-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Dimethylamino-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Vinyl-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Propargyl-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
N-Methyl-2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindoline-5-carboxamide;
N-Ethyl-2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindoline-5-carboxamide;
N-Isopropyl-2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindoline-5-carboxamide;
N-Cyclopropyl-2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindoline-5-carboxamide;
N-(tert-Butyl)-2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindoline-5-carboxamide;
N′,N′-Dimethyl-N-[2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindolin-5-yl]ethane-1,2-diamine;
N′,N′-Dimethyl-N-[2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindolin-5-yl]ethane-1,2-diamine;
N,N,N′-Trimethyl-N-[2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindolin-5-yl]ethane-1,2-diamine;
4-[2-[2-[4-[4-[(E)-2-(4-Pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindolin-5-yl]oxyethyl]morpholine;
5-[2-(4-Methylpiperazin-1-yl)ethoxy]-2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindoline;
4-[2-[4-[4-[(E)-2-(4-Pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindolin-5-yl]morpholine;
5-(4-Methylpiperazin-1-yl)-2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindoline;
5-(1-Methylpyrazol-4-yl)-2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindoline;
5-Phenyl-2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindoline;
4-[(E)-2-[2-[2-(5-Methoxyisoindolin-2-yl)pyrimidin-4-yl]pyrimidin-4-yl]vinyl]pyridin-2-amine;
4-[(E)-2-[2-[2-(5-Fluoroisoindolin-2-yl)pyrimidin-4-yl]pyrimidin-4-yl]vinyl]pyridin-2-amine;
4-[(E)-2-[2-[2-(5-Chloroisoindolin-2-yl)pyrimidin-4-yl]pyrimidin-4-yl]vinyl]pyridin-2-amine;
4-[(E)-2-[2-[2-(5-Bromoisoindolin-2-yl)pyrimidin-4-yl]pyrimidin-4-yl]vinyl]pyridin-2-amine;
2-[4-[4-[(E)-2-(2-Amino-4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindoline-5-carbonitrile;
4-[(E)-2-[2-[2-[5-(4-Methylpiperazin-1-yl)isoindolin-2-yl]pyrimidin-4-yl]pyrimidin-4-yl]vinyl]pyridin-2-amine;
4-[(E)-2-[2-[2-(5-Morpholinoisoindolin-2-yl)pyrimidin-4-yl]pyrimidin-4-yl]vinyl]pyridin-2-amine;
2-[4-[4-[(E)-2-(2-Amino-4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]-N-methyl-isoindoline-5-carboxamide;
2-[4-[4-[(E)-2-(2-Amino-4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]-N-ethyl-isoindoline-5-carboxamide;
2-[4-[4-[(E)-2-(2-Amino-4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]-N-isopropyl-isoindoline-5-carboxamide;
2-[4-[4-[(E)-2-(2-Amino-4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]-N-methyl-isoindoline-5-carboxamide;
4-[(E)-2-[2-[2-[5-[2-(Dimethylamino)ethoxy]isoindolin-2-yl]pyrimidin-4-yl]pyrimidin-4-yl]vinyl]pyridin-2-amine; and
4-[(E)-2-[2-[2-[5-(1-Methylpyrazol-4-yl)isoindolin-2-yl]pyrimidin-4-yl]pyrimidin-4-yl]vinyl]pyridin-2-amine. 12. The compound of claim 10 or a pharmaceutically acceptable salt thereof, wherein the compound is selected from the following:
(E)-5-Methoxy-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-2-(4-(2-(1H-Pyrazol-3-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)-5-methoxyisoindoline;
(E)-4-(2-(2′-(5-Methoxyisoindolin-2-yl)-[2,4′-bipyrimidin]-4-yl)vinyl)quinolone;
(E)-4-(2-(2′-(5-Methoxyisoindolin-2-yl)-[2,4′-bipyrimidin]-4-yl)vinyl)-1H-pyrrolo[2,3-b]pyridine;
(E)-4-(2-(2′-(5-Methoxyisoindolin-2-yl)-[2,4′-bipyrimidin]-4-yl)vinyl)pyrimidin-2-amine;
(E)-2-(4-(2-(Pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-ol;
(E)-2-(4-(2-(Pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl trifluoromethanesulfonate;
(E)-2-(4-(2-(Pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline-5-carbonitrile;
(E)-N,N-Dimethyl-2-((2-(4-(2-(pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl)oxy)ethanamine;
(E)-Methyl 2-((2-(4-(2-(pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl)oxy)acetate;
(E)-N-Methyl-2-((2-(4-(2-(pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl)oxy)acetamide;
(E)-N-Ethyl-2-((2-(4-(2-(pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl)oxy)acetamide;
(E)-N-Isopropyl-2-((2-(4-(2-(pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl)oxy)acetamide; and
(E)-5-Fluoro-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline. 13. The compound of any one of claims 1-12 wherein the compound has ROCK1, ROCK2, or ROCK1/2 inhibitory activities. 14. The compound of any one of claims 1-12 wherein the compound has ROCK2 or ROCK1/2 inhibitory activities. 15. A pharmaceutical composition comprising one or more compound of any one of claims 1-12 and a pharmaceutically acceptable carrier or diluent. 16. The composition of claim 15 wherein the compound has ROCK1, ROCK2, or ROCK1/2 inhibitory activities. 17. The composition of claim 16 wherein the compound has antifibrotic activity. 18. A method of modulating ROCK1, ROCK2, or ROCK1/2 activities in:
(a) a patient; or (b) a biological sample; which method comprises administering to said patient, or contacting said biological sample with: a) a composition according to claim 15; or b) a compound of any one of claims 1-12. 19. The method of claim 18 wherein the method is for treating a condition, disease or disorder in which ROCK1, ROCK2, or ROCK1/2 plays a role. 20. The method of claim 18 or 19 wherein the method is for treating or lessening the severity of a disease or condition selected from renal fibrosis, fibrotic liver disease, hepatic ischemia-reperfusion injury, cerebral infarction, ischemic heart disease, renal disease or lung (pulmonary) fibrosis. 21. The method of claim 20 wherein the method is for treating or lessening the severity of a disease or condition selected from liver fibrosis associated with hepatitis C, hepatitis B, delta hepatitis, chronic alcoholism, non-alcoholic steatohepatitis, extrahepatic obstructions (stones in the bile duct), cholangiopathies (primary biliary cirrhosis and sclerosing cholangitis), autoimmune liver disease, and inherited metabolic disorders (Wilson's disease, hemochromatosis, and alpha-1 antitrypsin deficiency); damaged and/or ischemic organs, transplants or grafts; ischemia/reperfusion injury; stroke; cerebrovascular disease; myocardial ischemia; atherosclerosis; renal failure; renal fibrosis and idiopathic pulmonary fibrosis. 22. The method of claim 20 wherein the method is for the treatment of wounds for acceleration of healing; vascularization of a damaged and/or ischemic organ, transplant or graft; amelioration of ischemia/reperfusion injury in the brain, heart, liver, kidney, and other tissues and organs; normalization of myocardial perfusion as a consequence of chronic cardiac ischemia or myocardial infarction; development or augmentation of collateral vessel development after vascular occlusion or to ischemic tissues or organs; fibrotic diseases; hepatic disease including fibrosis and cirrhosis; lung fibrosis; radiocontrast nephropathy; fibrosis secondary to renal obstruction; renal trauma and transplantation; renal failure secondary to chronic diabetes and/or hypertension; and/or diabetes mellitus. | The present invention provides compounds having formula (I): and pharmaceutically acceptable salts thereof, wherein Cy1, Cy2, Cy3, R, R1, R2, R3, A1 and A2 are as described generally and in classes and subclasses herein, and additionally provides pharmaceutical compositions thereof, and methods for the use thereof for the treatment of any of a number of conditions or diseases in which inhibiting ROCK1, ROCK2, or ROCK1/2 has a therapeutically useful role.1. A compound of formula I: 2. The compound of claim 1, wherein Cy1 is a monocyclic or bicyclic or tricyclic heteroaryl. 3. The compound of claim 2, wherein Cy1 is selected from pyridinyl, pyridonyl, pyrimidinyl, pyrazinyl, pyridazinyl, triazinyl, tetrazinyl, quinolinyl, quinazolinyl, quinoxalinyl, cinnolinyl, isoquinolinyl, indolyl, aza-indolyl, pyrazolyl, imidazolyl, triazolyl, tetrazolyl, oxazolyl, thiazolyl, benzimidazolyl, indazolyl, benzoxazolyl, and benzothiazolyl. 4. The compound of claim 1, wherein Cy2 and Cy3 each independently represents a monocyclic or bicyclic aromatic, or a monocyclic or bicyclic heteroaromatic. 5. The compound of claim 4, wherein Cy2 and Cy3 is each independently selected from phenyl, naphthyl, pyridinyl, pyridonyl, pyrimidinyl, pyrazinyl, pyridazinyl, triazinyl, tetrazinyl, quinolinyl, quinazolinyl, quinoxalinyl, cinnolinyl, indolyl, aza-indolyl, pyrazolyl, imidazolyl, triazolyl, tetrazolyl, oxazolyl, thiazolyl, benzimidazolyl, indazolyl, benzoxazolyl, and benzothiazolyl. 6. The compound of claim 1, wherein R is a heterocyclic group. 7. The compound of claim 6, wherein R is selected from pyrrolidinyl, indolinyl, isoindolinyl, aza-indolinyl, aza-isoindolinyl, dihydroindazolyl, tetrahydroquinolinyl, tetrahydroisoquinolinyl, aza-tetrahydroquinolinyl, and or aza-tetrahydroisoquinolinyl. 8. The compound of claim 1, wherein the structure of the compound is formula Ia: 9. The compound of claim 8, wherein the structure of the compound is formula Ib: 10. The compound of claim 9, wherein the structure of the compound is formula Ic: 11. The compound of claim 1 or a pharmaceutically acceptable salt thereof, wherein the compound is selected from the following:
(E)-5-Methoxy-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-2-(4-(2-(1H-Pyrazol-3-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)-5-methoxyisoindoline;
(E)-4-(2-(2′-(5-Methoxyisoindolin-2-yl)-[2,4′-bipyrimidin]-4-yl)vinyl)quinolone;
(E)-4-(2-(2′-(5-Methoxyisoindolin-2-yl)-[2,4′-bipyrimidin]-4-yl)vinyl)-1H-pyrrolo[2,3-b]pyridine;
(E)-4-(2-(2′-(5-Methoxyisoindolin-2-yl)-[2,4′-bipyrimidin]-4-yl)vinyl)pyrimidin-2-amine;
(E)-2-(4-(2-(Pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-ol;
(E)-2-(4-(2-(Pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl trifluoromethanesulfonate;
(E)-2-(4-(2-(Pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline-5-carbonitrile;
(E)-N,N-Dimethyl-2-((2-(4-(2-(pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl)oxy)ethanamine;
(E)-Methyl 2-((2-(4-(2-(pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl)oxy)acetate;
(E)-N-Methyl-2-((2-(4-(2-(pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl)oxy)acetamide;
(E)-N-Ethyl-2-((2-(4-(2-(pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl)oxy)acetamide;
(E)-N-Isopropyl-2-((2-(4-(2-(pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl)oxy)acetamide;
(E)-5-Fluoro-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Chloro-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Bromo-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Iodo-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Ethoxy-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Isopropoxy-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Cyclopropoxy-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Methyl-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Ethyl-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Cyclopropyl-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Amino-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Methylamino-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Dimethylamino-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Vinyl-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-5-Propargyl-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
N-Methyl-2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindoline-5-carboxamide;
N-Ethyl-2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindoline-5-carboxamide;
N-Isopropyl-2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindoline-5-carboxamide;
N-Cyclopropyl-2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindoline-5-carboxamide;
N-(tert-Butyl)-2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindoline-5-carboxamide;
N′,N′-Dimethyl-N-[2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindolin-5-yl]ethane-1,2-diamine;
N′,N′-Dimethyl-N-[2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindolin-5-yl]ethane-1,2-diamine;
N,N,N′-Trimethyl-N-[2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindolin-5-yl]ethane-1,2-diamine;
4-[2-[2-[4-[4-[(E)-2-(4-Pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindolin-5-yl]oxyethyl]morpholine;
5-[2-(4-Methylpiperazin-1-yl)ethoxy]-2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindoline;
4-[2-[4-[4-[(E)-2-(4-Pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindolin-5-yl]morpholine;
5-(4-Methylpiperazin-1-yl)-2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindoline;
5-(1-Methylpyrazol-4-yl)-2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindoline;
5-Phenyl-2-[4-[4-[(E)-2-(4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindoline;
4-[(E)-2-[2-[2-(5-Methoxyisoindolin-2-yl)pyrimidin-4-yl]pyrimidin-4-yl]vinyl]pyridin-2-amine;
4-[(E)-2-[2-[2-(5-Fluoroisoindolin-2-yl)pyrimidin-4-yl]pyrimidin-4-yl]vinyl]pyridin-2-amine;
4-[(E)-2-[2-[2-(5-Chloroisoindolin-2-yl)pyrimidin-4-yl]pyrimidin-4-yl]vinyl]pyridin-2-amine;
4-[(E)-2-[2-[2-(5-Bromoisoindolin-2-yl)pyrimidin-4-yl]pyrimidin-4-yl]vinyl]pyridin-2-amine;
2-[4-[4-[(E)-2-(2-Amino-4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]isoindoline-5-carbonitrile;
4-[(E)-2-[2-[2-[5-(4-Methylpiperazin-1-yl)isoindolin-2-yl]pyrimidin-4-yl]pyrimidin-4-yl]vinyl]pyridin-2-amine;
4-[(E)-2-[2-[2-(5-Morpholinoisoindolin-2-yl)pyrimidin-4-yl]pyrimidin-4-yl]vinyl]pyridin-2-amine;
2-[4-[4-[(E)-2-(2-Amino-4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]-N-methyl-isoindoline-5-carboxamide;
2-[4-[4-[(E)-2-(2-Amino-4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]-N-ethyl-isoindoline-5-carboxamide;
2-[4-[4-[(E)-2-(2-Amino-4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]-N-isopropyl-isoindoline-5-carboxamide;
2-[4-[4-[(E)-2-(2-Amino-4-pyridyl)vinyl]pyrimidin-2-yl]pyrimidin-2-yl]-N-methyl-isoindoline-5-carboxamide;
4-[(E)-2-[2-[2-[5-[2-(Dimethylamino)ethoxy]isoindolin-2-yl]pyrimidin-4-yl]pyrimidin-4-yl]vinyl]pyridin-2-amine; and
4-[(E)-2-[2-[2-[5-(1-Methylpyrazol-4-yl)isoindolin-2-yl]pyrimidin-4-yl]pyrimidin-4-yl]vinyl]pyridin-2-amine. 12. The compound of claim 10 or a pharmaceutically acceptable salt thereof, wherein the compound is selected from the following:
(E)-5-Methoxy-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline;
(E)-2-(4-(2-(1H-Pyrazol-3-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)-5-methoxyisoindoline;
(E)-4-(2-(2′-(5-Methoxyisoindolin-2-yl)-[2,4′-bipyrimidin]-4-yl)vinyl)quinolone;
(E)-4-(2-(2′-(5-Methoxyisoindolin-2-yl)-[2,4′-bipyrimidin]-4-yl)vinyl)-1H-pyrrolo[2,3-b]pyridine;
(E)-4-(2-(2′-(5-Methoxyisoindolin-2-yl)-[2,4′-bipyrimidin]-4-yl)vinyl)pyrimidin-2-amine;
(E)-2-(4-(2-(Pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-ol;
(E)-2-(4-(2-(Pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl trifluoromethanesulfonate;
(E)-2-(4-(2-(Pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline-5-carbonitrile;
(E)-N,N-Dimethyl-2-((2-(4-(2-(pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl)oxy)ethanamine;
(E)-Methyl 2-((2-(4-(2-(pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl)oxy)acetate;
(E)-N-Methyl-2-((2-(4-(2-(pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl)oxy)acetamide;
(E)-N-Ethyl-2-((2-(4-(2-(pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl)oxy)acetamide;
(E)-N-Isopropyl-2-((2-(4-(2-(pyridin-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindolin-5-yl)oxy)acetamide; and
(E)-5-Fluoro-2-(4-(2-(pyridine-4-yl)vinyl)-[2,4′-bipyrimidin]-2′-yl)isoindoline. 13. The compound of any one of claims 1-12 wherein the compound has ROCK1, ROCK2, or ROCK1/2 inhibitory activities. 14. The compound of any one of claims 1-12 wherein the compound has ROCK2 or ROCK1/2 inhibitory activities. 15. A pharmaceutical composition comprising one or more compound of any one of claims 1-12 and a pharmaceutically acceptable carrier or diluent. 16. The composition of claim 15 wherein the compound has ROCK1, ROCK2, or ROCK1/2 inhibitory activities. 17. The composition of claim 16 wherein the compound has antifibrotic activity. 18. A method of modulating ROCK1, ROCK2, or ROCK1/2 activities in:
(a) a patient; or (b) a biological sample; which method comprises administering to said patient, or contacting said biological sample with: a) a composition according to claim 15; or b) a compound of any one of claims 1-12. 19. The method of claim 18 wherein the method is for treating a condition, disease or disorder in which ROCK1, ROCK2, or ROCK1/2 plays a role. 20. The method of claim 18 or 19 wherein the method is for treating or lessening the severity of a disease or condition selected from renal fibrosis, fibrotic liver disease, hepatic ischemia-reperfusion injury, cerebral infarction, ischemic heart disease, renal disease or lung (pulmonary) fibrosis. 21. The method of claim 20 wherein the method is for treating or lessening the severity of a disease or condition selected from liver fibrosis associated with hepatitis C, hepatitis B, delta hepatitis, chronic alcoholism, non-alcoholic steatohepatitis, extrahepatic obstructions (stones in the bile duct), cholangiopathies (primary biliary cirrhosis and sclerosing cholangitis), autoimmune liver disease, and inherited metabolic disorders (Wilson's disease, hemochromatosis, and alpha-1 antitrypsin deficiency); damaged and/or ischemic organs, transplants or grafts; ischemia/reperfusion injury; stroke; cerebrovascular disease; myocardial ischemia; atherosclerosis; renal failure; renal fibrosis and idiopathic pulmonary fibrosis. 22. The method of claim 20 wherein the method is for the treatment of wounds for acceleration of healing; vascularization of a damaged and/or ischemic organ, transplant or graft; amelioration of ischemia/reperfusion injury in the brain, heart, liver, kidney, and other tissues and organs; normalization of myocardial perfusion as a consequence of chronic cardiac ischemia or myocardial infarction; development or augmentation of collateral vessel development after vascular occlusion or to ischemic tissues or organs; fibrotic diseases; hepatic disease including fibrosis and cirrhosis; lung fibrosis; radiocontrast nephropathy; fibrosis secondary to renal obstruction; renal trauma and transplantation; renal failure secondary to chronic diabetes and/or hypertension; and/or diabetes mellitus. | 2,800 |
348,329 | 16,643,838 | 2,866 | Provided is a voltage output circuit. The voltage output circuit includes a processing module and N output modules, N is an integer greater than 1. The processing module includes N output ends. The N output terminals of the processing module are correspondingly connected to the N output modules, and the N output terminals of the processing module are used for respectively output control signals so as to control the corresponding output modules to output drive voltages. The voltage output circuit is provided with multiple output modules respectively controlled by multiple control signals, so that the voltage output circuit is capable of respectively providing drive voltages to multiple apparatuses of one device, and has good compatibility and high flexibility. | 1. A voltage output circuit, wherein the voltage output circuit comprises a processing module and N output modules, N is an integer greater than one;
the processing module comprises N output terminals, and each of the N output terminals of the processing module is respectively connected to a different one of the N output modules, and the N output terminals of the processing module are respectively configured to output a control signal to control a corresponding output module output drive voltage. 2. The voltage output circuit according to claim 1, wherein each of the output modules comprises an output control unit and a switch unit, and the output control unit is electrically connected to the switch unit, and configured to output the drive voltage according to the control signal outputted by the output terminal of the processing module;
a first input terminal of the output control unit is electrically connected to the output terminals of the processing module to receive the control signal, and the output control unit comprises a third output terminal and a fourth output terminal; the switch unit receives a first DC input voltage and is connected to the third output terminal and the fourth output terminal to output the drive voltage. 3. The voltage output circuit according to claim 2, wherein the switch unit comprises a first switch sub-unit and a second switch sub-unit, and the first switch sub-unit comprises a first connecting terminal, a first control terminal, and a second connecting terminal, and the second switch sub-unit comprises a third connecting terminal, a second control terminal, and a fourth connecting terminal, the first connecting terminal receiving the first DC input voltage, the first control terminal is electrically connected to the third output terminal of the output control unit, the second connecting terminal is electrically connected to the third connecting terminal, the second control terminal is electrically connected to the fourth output terminal of the output control unit, and the fourth connecting terminal is grounded. 4. The voltage output circuit according to claim 1, wherein, each of the N output modules is electrically connected to a different heating apparatus of the N heating apparatus in a one-to-one correspondence, to respectively output drive voltages to the corresponding heating apparatus. 5. The voltage output circuit according to claim 3, wherein the output module further comprises at least one of an adjusting unit, a current feedback unit, and a voltage feedback unit. 6. The voltage output circuit according to claim 5, wherein the adjusting unit comprises a power inductor and a first capacitor; a first terminal of the power inductor is electrically connected to the second connecting terminal of the first switch sub-unit to receive the drive voltage; a first terminal of the first capacitor is electrically connected to a second terminal of the power inductor, and a second terminal of the first capacitor is grounded. 7. The voltage generating circuit according to claim 6, wherein the voltage feedback unit comprises a feedback resistor and a feedback capacitor connected in parallel with the feedback resistor; a first terminal of the feedback resistor is electrically connected to the second terminal of the power inductor, and a second terminal of the feedback resistor is grounded. 8. The voltage output circuit according to claim 3, wherein the first switch sub-unit and the second switch sub-unit are both SIRA04DP chips. 9. An atomizing device, wherein the atomizing device comprises the voltage output circuit according to claim 1. 10. The atomizing device according to claim 9, wherein the atomizing device further comprises N heating apparatus, each of the N heating apparatus is electrically connected to a different one of the N output modules, respectively, to respectively receiving the drive voltage output by the output module. 11. The atomizing device according to claim 9, wherein each of the output modules comprises an output control unit and a switch unit, and the output control unit is electrically connected to the switch unit, and configured to output the drive voltage according to the control signal outputted by the output terminal of the processing module;
a first input terminal of the output control unit is electrically connected to the output terminals of the processing module to receive the control signal, and the output control unit comprises a third output terminal and a fourth output terminal; the switch unit receives a first DC input voltage and is connected to the third output terminal and the fourth output terminal to output the drive voltage. 12. The atomizing device according to claim 11, wherein the switch unit comprises a first switch sub-unit and a second switch sub-unit, and the first switch sub-unit comprises a first connecting terminal, a first control terminal, and a second connecting terminal, and the second switch sub-unit comprises a third connecting terminal, a second control terminal, and a fourth connecting terminal, the first connecting terminal receiving the first DC input voltage, the first control terminal is electrically connected to the third output terminal of the output control unit, the second connecting terminal is electrically connected to the third connecting terminal, the second control terminal is electrically connected to the fourth output terminal of the output control unit, and the fourth connecting terminal is grounded. 13. The atomizing device according to claim 9, wherein each of the N output modules is electrically connected to a different heating apparatus of the N heating apparatus in a one-to-one correspondence, to respectively output drive voltages to the corresponding heating apparatus. 14. The atomizing device according to claim 12, wherein the output module further comprises at least one of an adjusting unit, a current feedback unit, and a voltage feedback unit. 15. The atomizing device according to claim 14, wherein the adjusting unit comprises a power inductor and a first capacitor; a first terminal of the power inductor is electrically connected to the second connecting terminal of the first switch sub-unit to receive the drive voltage; a first terminal of the first capacitor is electrically connected to a second terminal of the power inductor, and a second terminal of the first capacitor is grounded. 16. The atomizing device according to claim 15, wherein the voltage feedback unit comprises a feedback resistor and a feedback capacitor connected in parallel with the feedback resistor; a first terminal of the feedback resistor is electrically connected to the second terminal of the power inductor, and a second terminal of the feedback resistor is grounded. 17. The atomizing device according to claim 12, wherein the first switch sub-unit and the second switch sub-unit are both SIRA04DP chips. | Provided is a voltage output circuit. The voltage output circuit includes a processing module and N output modules, N is an integer greater than 1. The processing module includes N output ends. The N output terminals of the processing module are correspondingly connected to the N output modules, and the N output terminals of the processing module are used for respectively output control signals so as to control the corresponding output modules to output drive voltages. The voltage output circuit is provided with multiple output modules respectively controlled by multiple control signals, so that the voltage output circuit is capable of respectively providing drive voltages to multiple apparatuses of one device, and has good compatibility and high flexibility.1. A voltage output circuit, wherein the voltage output circuit comprises a processing module and N output modules, N is an integer greater than one;
the processing module comprises N output terminals, and each of the N output terminals of the processing module is respectively connected to a different one of the N output modules, and the N output terminals of the processing module are respectively configured to output a control signal to control a corresponding output module output drive voltage. 2. The voltage output circuit according to claim 1, wherein each of the output modules comprises an output control unit and a switch unit, and the output control unit is electrically connected to the switch unit, and configured to output the drive voltage according to the control signal outputted by the output terminal of the processing module;
a first input terminal of the output control unit is electrically connected to the output terminals of the processing module to receive the control signal, and the output control unit comprises a third output terminal and a fourth output terminal; the switch unit receives a first DC input voltage and is connected to the third output terminal and the fourth output terminal to output the drive voltage. 3. The voltage output circuit according to claim 2, wherein the switch unit comprises a first switch sub-unit and a second switch sub-unit, and the first switch sub-unit comprises a first connecting terminal, a first control terminal, and a second connecting terminal, and the second switch sub-unit comprises a third connecting terminal, a second control terminal, and a fourth connecting terminal, the first connecting terminal receiving the first DC input voltage, the first control terminal is electrically connected to the third output terminal of the output control unit, the second connecting terminal is electrically connected to the third connecting terminal, the second control terminal is electrically connected to the fourth output terminal of the output control unit, and the fourth connecting terminal is grounded. 4. The voltage output circuit according to claim 1, wherein, each of the N output modules is electrically connected to a different heating apparatus of the N heating apparatus in a one-to-one correspondence, to respectively output drive voltages to the corresponding heating apparatus. 5. The voltage output circuit according to claim 3, wherein the output module further comprises at least one of an adjusting unit, a current feedback unit, and a voltage feedback unit. 6. The voltage output circuit according to claim 5, wherein the adjusting unit comprises a power inductor and a first capacitor; a first terminal of the power inductor is electrically connected to the second connecting terminal of the first switch sub-unit to receive the drive voltage; a first terminal of the first capacitor is electrically connected to a second terminal of the power inductor, and a second terminal of the first capacitor is grounded. 7. The voltage generating circuit according to claim 6, wherein the voltage feedback unit comprises a feedback resistor and a feedback capacitor connected in parallel with the feedback resistor; a first terminal of the feedback resistor is electrically connected to the second terminal of the power inductor, and a second terminal of the feedback resistor is grounded. 8. The voltage output circuit according to claim 3, wherein the first switch sub-unit and the second switch sub-unit are both SIRA04DP chips. 9. An atomizing device, wherein the atomizing device comprises the voltage output circuit according to claim 1. 10. The atomizing device according to claim 9, wherein the atomizing device further comprises N heating apparatus, each of the N heating apparatus is electrically connected to a different one of the N output modules, respectively, to respectively receiving the drive voltage output by the output module. 11. The atomizing device according to claim 9, wherein each of the output modules comprises an output control unit and a switch unit, and the output control unit is electrically connected to the switch unit, and configured to output the drive voltage according to the control signal outputted by the output terminal of the processing module;
a first input terminal of the output control unit is electrically connected to the output terminals of the processing module to receive the control signal, and the output control unit comprises a third output terminal and a fourth output terminal; the switch unit receives a first DC input voltage and is connected to the third output terminal and the fourth output terminal to output the drive voltage. 12. The atomizing device according to claim 11, wherein the switch unit comprises a first switch sub-unit and a second switch sub-unit, and the first switch sub-unit comprises a first connecting terminal, a first control terminal, and a second connecting terminal, and the second switch sub-unit comprises a third connecting terminal, a second control terminal, and a fourth connecting terminal, the first connecting terminal receiving the first DC input voltage, the first control terminal is electrically connected to the third output terminal of the output control unit, the second connecting terminal is electrically connected to the third connecting terminal, the second control terminal is electrically connected to the fourth output terminal of the output control unit, and the fourth connecting terminal is grounded. 13. The atomizing device according to claim 9, wherein each of the N output modules is electrically connected to a different heating apparatus of the N heating apparatus in a one-to-one correspondence, to respectively output drive voltages to the corresponding heating apparatus. 14. The atomizing device according to claim 12, wherein the output module further comprises at least one of an adjusting unit, a current feedback unit, and a voltage feedback unit. 15. The atomizing device according to claim 14, wherein the adjusting unit comprises a power inductor and a first capacitor; a first terminal of the power inductor is electrically connected to the second connecting terminal of the first switch sub-unit to receive the drive voltage; a first terminal of the first capacitor is electrically connected to a second terminal of the power inductor, and a second terminal of the first capacitor is grounded. 16. The atomizing device according to claim 15, wherein the voltage feedback unit comprises a feedback resistor and a feedback capacitor connected in parallel with the feedback resistor; a first terminal of the feedback resistor is electrically connected to the second terminal of the power inductor, and a second terminal of the feedback resistor is grounded. 17. The atomizing device according to claim 12, wherein the first switch sub-unit and the second switch sub-unit are both SIRA04DP chips. | 2,800 |
348,330 | 16,805,832 | 2,866 | Provided is a method of manufacturing a semiconductor device including providing a semiconductor substrate, and forming an epitaxial stack on the semiconductor substrate. The epitaxial stack comprises a plurality of first epitaxial layers interposed by a plurality of second epitaxial layers. The method further includes patterning the epitaxial stack and the semiconductor substrate to form a semiconductor fin, recessing a portion of the semiconductor fin to form source/drain spaces; and laterally removing portions of the plurality of first epitaxial layers exposed by the source/drain spaces to form a plurality of cavities. The method further includes forming inner spacers in the plurality of cavities, performing a treatment process to remove an inner spacer residue in the source/drain spaces, forming S/D features in the source/drain spaces, and forming a gate structure engaging the semiconductor fin. | 1. A method of manufacturing a semiconductor device, comprising:
providing a semiconductor substrate; forming an epitaxial stack on the semiconductor substrate, wherein the epitaxial stack comprises a plurality of first epitaxial layers interposed by a plurality of second epitaxial layers; patterning the epitaxial stack and the semiconductor substrate to form a semiconductor fin; recessing a portion of the semiconductor fin to form source/drain spaces; laterally removing portions of the plurality of first epitaxial layers exposed by the source/drain spaces to form a plurality of cavities; forming inner spacers in the plurality of cavities; performing a treatment process to remove an inner spacer residue in the source/drain spaces; forming S/D features in the source/drain spaces; and forming a gate structure engaging the semiconductor fin. 2. The method of claim 1, wherein the performing the treatment process comprises a selectively etching process. 3. The method of claim 2, wherein the selectively etching process comprises:
forming a patterned mask layer having an opening, wherein the source/drain spaces are exposed by the opening; performing an anisotropic process to remove the inner spacer residue; and removing the patterned mask layer. 4. The method of claim 3, wherein the semiconductor fin comprises a first fin and a second fin, the first fin has a first width less than a second width of the second fin, and the second fin is covered by the patterned mask layer during the performing the anisotropic process. 5. The method of claim 1, further comprising:
after the patterning the epitaxial stack and the semiconductor substrate and before the recessing the portion of the semiconductor fin, forming a sacrificial gate structure across the semiconductor fin, and forming first spacers on sidewalls of the sacrificial gate structure and sidewalls of the semiconductor fin; further removing a portion of the first spacers on the sidewalls of the semiconductor fin to form second spacers during the recessing the portion of the semiconductor fin; and after the forming S/D features and before the forming the gate structure, removing the sacrificial gate structure. 6. The method of claim 5, further comprising:
removing the second spacers during the performing a treatment process, thereby exposing an isolation feature under the second spacers. 7. The method of claim 5, further comprising:
partially removing the second spacers during the performing a treatment process, thereby reducing a height of the second spacers. 8. The method of claim 1, further comprising:
before the forming the gate structure, removing the plurality of first epitaxial layers to form a plurality of semiconductor nanosheets. 9. A method of manufacturing a multi-gate device, comprising:
providing a semiconductor substrate; forming a plurality of semiconductor nanosheets on a first portion of the semiconductor substrate; forming an epitaxial source/drain (S/D) feature on a second portion of the semiconductor substrate, wherein the epitaxial source/drain (S/D) feature is in contact with the plurality of semiconductor nanosheets; forming a gate structure wrapping each of the plurality of semiconductor nanosheets; and before the forming the epitaxial source/drain (S/D) feature, performing a treatment process to remove a residue on a surface of the second portion of the semiconductor substrate. 10. The method of claim 9, wherein the residue is formed during the forming the plurality of semiconductor nanosheets. 11. The method of claim 9, wherein the forming a plurality of semiconductor nanosheets comprises:
forming a plurality of first layers interposed by a plurality of second layers on first portion of the semiconductor substrate; laterally removing portions of the plurality of first layers to form a plurality of cavities; forming inner spacers in the plurality of cavities; and removing the first layers, wherein the residue is formed during the forming inner spacers. 12. The method of claim 9, wherein the treatment process is performed to further expose a surface of an isolation feature that is formed around the second portion of the semiconductor substrate. 13. The method of claim 9, wherein the treatment process is performed to further reduce a height of a spacer, and the spacer is formed on an isolation feature around the second portion of the semiconductor substrate. 14. The method of claim 9, wherein the performing the treatment process comprises a selectively etching process. 15. The method of claim 14, wherein the selectively etching process comprises:
forming a patterned mask layer having an opening, wherein the source/drain spaces are exposed by the opening; performing an anisotropic process; and removing the patterned mask layer. 16. The method of claim 9, further comprising:
before the forming the epitaxial source/drain (S/D) feature and after the performing the treatment process, performing a cleaning process on the surface of the second portion of the semiconductor substrate. 17. A semiconductor device, comprising:
a semiconductor substrate; a plurality of semiconductor nanosheets disposed over a first portion of the semiconductor substrate; a plurality of source/drain (S/D) features on a second portion of the semiconductor substrate and abutting the plurality of semiconductor nanosheets; an isolation feature around the second portion of the semiconductor substrate, wherein there is an air gap between the isolation feature and the source/drain (S/D) feature, and a top surface of the isolation feature and a lower facet of the source/drain (S/D) feature are exposed by the air gap; a gate stack wrapping each of the plurality of semiconductor nanosheets; and inner spacers interposing between the S/D features and the gate stack. 18. The semiconductor device of claim 17, wherein the second portion of the semiconductor substrate comprises a first fin and a second fin, the first fin has a first width less than a second width of the second fin, and a first surface of the isolation feature around the first fin is lower than a second surface of the isolation feature around the second fin. 19. The semiconductor device of claim 18, wherein the plurality of source/drain (S/D) features comprise a first source/drain (S/D) feature on the first fin, and a second source/drain (S/D) feature on the second fin, the second source/drain (S/D) feature has a base portion surround by a spacer on the second surface of the isolation feature, and the first source/drain (S/D) feature is free from base portion. 20. The semiconductor device of claim 19, further comprises a hybrid fin interposed between the first source/drain (S/D) feature and the second source/drain (S/D) feature, and between the first fin and the second fin. | Provided is a method of manufacturing a semiconductor device including providing a semiconductor substrate, and forming an epitaxial stack on the semiconductor substrate. The epitaxial stack comprises a plurality of first epitaxial layers interposed by a plurality of second epitaxial layers. The method further includes patterning the epitaxial stack and the semiconductor substrate to form a semiconductor fin, recessing a portion of the semiconductor fin to form source/drain spaces; and laterally removing portions of the plurality of first epitaxial layers exposed by the source/drain spaces to form a plurality of cavities. The method further includes forming inner spacers in the plurality of cavities, performing a treatment process to remove an inner spacer residue in the source/drain spaces, forming S/D features in the source/drain spaces, and forming a gate structure engaging the semiconductor fin.1. A method of manufacturing a semiconductor device, comprising:
providing a semiconductor substrate; forming an epitaxial stack on the semiconductor substrate, wherein the epitaxial stack comprises a plurality of first epitaxial layers interposed by a plurality of second epitaxial layers; patterning the epitaxial stack and the semiconductor substrate to form a semiconductor fin; recessing a portion of the semiconductor fin to form source/drain spaces; laterally removing portions of the plurality of first epitaxial layers exposed by the source/drain spaces to form a plurality of cavities; forming inner spacers in the plurality of cavities; performing a treatment process to remove an inner spacer residue in the source/drain spaces; forming S/D features in the source/drain spaces; and forming a gate structure engaging the semiconductor fin. 2. The method of claim 1, wherein the performing the treatment process comprises a selectively etching process. 3. The method of claim 2, wherein the selectively etching process comprises:
forming a patterned mask layer having an opening, wherein the source/drain spaces are exposed by the opening; performing an anisotropic process to remove the inner spacer residue; and removing the patterned mask layer. 4. The method of claim 3, wherein the semiconductor fin comprises a first fin and a second fin, the first fin has a first width less than a second width of the second fin, and the second fin is covered by the patterned mask layer during the performing the anisotropic process. 5. The method of claim 1, further comprising:
after the patterning the epitaxial stack and the semiconductor substrate and before the recessing the portion of the semiconductor fin, forming a sacrificial gate structure across the semiconductor fin, and forming first spacers on sidewalls of the sacrificial gate structure and sidewalls of the semiconductor fin; further removing a portion of the first spacers on the sidewalls of the semiconductor fin to form second spacers during the recessing the portion of the semiconductor fin; and after the forming S/D features and before the forming the gate structure, removing the sacrificial gate structure. 6. The method of claim 5, further comprising:
removing the second spacers during the performing a treatment process, thereby exposing an isolation feature under the second spacers. 7. The method of claim 5, further comprising:
partially removing the second spacers during the performing a treatment process, thereby reducing a height of the second spacers. 8. The method of claim 1, further comprising:
before the forming the gate structure, removing the plurality of first epitaxial layers to form a plurality of semiconductor nanosheets. 9. A method of manufacturing a multi-gate device, comprising:
providing a semiconductor substrate; forming a plurality of semiconductor nanosheets on a first portion of the semiconductor substrate; forming an epitaxial source/drain (S/D) feature on a second portion of the semiconductor substrate, wherein the epitaxial source/drain (S/D) feature is in contact with the plurality of semiconductor nanosheets; forming a gate structure wrapping each of the plurality of semiconductor nanosheets; and before the forming the epitaxial source/drain (S/D) feature, performing a treatment process to remove a residue on a surface of the second portion of the semiconductor substrate. 10. The method of claim 9, wherein the residue is formed during the forming the plurality of semiconductor nanosheets. 11. The method of claim 9, wherein the forming a plurality of semiconductor nanosheets comprises:
forming a plurality of first layers interposed by a plurality of second layers on first portion of the semiconductor substrate; laterally removing portions of the plurality of first layers to form a plurality of cavities; forming inner spacers in the plurality of cavities; and removing the first layers, wherein the residue is formed during the forming inner spacers. 12. The method of claim 9, wherein the treatment process is performed to further expose a surface of an isolation feature that is formed around the second portion of the semiconductor substrate. 13. The method of claim 9, wherein the treatment process is performed to further reduce a height of a spacer, and the spacer is formed on an isolation feature around the second portion of the semiconductor substrate. 14. The method of claim 9, wherein the performing the treatment process comprises a selectively etching process. 15. The method of claim 14, wherein the selectively etching process comprises:
forming a patterned mask layer having an opening, wherein the source/drain spaces are exposed by the opening; performing an anisotropic process; and removing the patterned mask layer. 16. The method of claim 9, further comprising:
before the forming the epitaxial source/drain (S/D) feature and after the performing the treatment process, performing a cleaning process on the surface of the second portion of the semiconductor substrate. 17. A semiconductor device, comprising:
a semiconductor substrate; a plurality of semiconductor nanosheets disposed over a first portion of the semiconductor substrate; a plurality of source/drain (S/D) features on a second portion of the semiconductor substrate and abutting the plurality of semiconductor nanosheets; an isolation feature around the second portion of the semiconductor substrate, wherein there is an air gap between the isolation feature and the source/drain (S/D) feature, and a top surface of the isolation feature and a lower facet of the source/drain (S/D) feature are exposed by the air gap; a gate stack wrapping each of the plurality of semiconductor nanosheets; and inner spacers interposing between the S/D features and the gate stack. 18. The semiconductor device of claim 17, wherein the second portion of the semiconductor substrate comprises a first fin and a second fin, the first fin has a first width less than a second width of the second fin, and a first surface of the isolation feature around the first fin is lower than a second surface of the isolation feature around the second fin. 19. The semiconductor device of claim 18, wherein the plurality of source/drain (S/D) features comprise a first source/drain (S/D) feature on the first fin, and a second source/drain (S/D) feature on the second fin, the second source/drain (S/D) feature has a base portion surround by a spacer on the second surface of the isolation feature, and the first source/drain (S/D) feature is free from base portion. 20. The semiconductor device of claim 19, further comprises a hybrid fin interposed between the first source/drain (S/D) feature and the second source/drain (S/D) feature, and between the first fin and the second fin. | 2,800 |
348,331 | 16,643,822 | 2,871 | The present disclosure illustrates a liquid crystal display device and a manufacturing method thereof. The liquid crystal display device includes: light guide plate including a front face, a rear surface and the four side surfaces, wherein the four side surfaces are located between the front face and the rear surface, the four side surfaces includes a wide side surface, a narrow side surface, a first side surface and a second side surface, the first side surface and the second side surface are located between the wide side surface and the narrow side surface, wherein the front face comprises a plane and an inclined surface, the inclined surface is located at an edge of the light guide plate and adjacent to the narrow side surface; and a backlight source disposed on the plane and the inclined surface. | 1. A liquid crystal display device, comprising:
a light guide plate used for providing backlight, comprising a front face, a rear surface and four side surfaces, wherein the four side surfaces are located between the front face and the rear surface, the four side surfaces compriscs comprise a wide side surface, a narrow side surface, a first side surface and a second side surface, the first side surface and the second side surface are located between the wide side surface and the narrow side surface, wherein the front face comprises a plane and an inclined surface, the inclined surface is located at an edge of the light guide plate and adjacent to the narrow side surface; at least one backlight source disposed on at least one of the four side surfaces; and a circuit board disposed on the inclined surface of the light guide plate. 2-9. (canceled) 10. The liquid crystal display device according to claim 1, further comprising a light reflective coating layer disposed on the narrow side surface and the second side surface, wherein the at least one backlight source is disposed on the wide side surface and the first side surface. 11. A liquid crystal display device, comprising:
a light guide plate used for providing backlight, comprising a front face, a rear surface and the four side surfaces, wherein the four side surfaces are located between the front face and the rear surface, the four side surfaces compriscs comprise a wide side surface, a narrow side surface, a first side surface and a second side surface, the first side surface and the second side surface are located between the wide side surface and the narrow side surface, wherein the front face comprises a plane and an inclined surface, the inclined surface is located at an edge of the light guide plate and adjacent to the narrow side surface; a backlight source disposed on the plane and the inclined surface; and a circuit board disposed on the backlight source located on the inclined surface. 12-13. (canceled) 14. The liquid crystal display device according to claim 1, wherein the backlight source comprises a plurality of backlight bars. 15. The liquid crystal display device according to claim 1, further comprising a light reflective coating layer disposed on the four side surfaces. 16-18. (canceled) 19. A liquid crystal display device, comprising:
a light guide plate used for providing backlight, comprising a front face, a rear surface and four side surfaces, wherein the four side surfaces are located between the front face and the rear surface, the four side surfaces comprises a wide side surface, a narrow side surface, a first side surface and a second side surface, the first side surface and the second side surface are located between the wide side surface and the narrow side surface, wherein the front face comprises a plane, a first inclined surface and a second inclined surface, the first inclined surface is located at an corner of the light guide plate and adjacent to the narrow side surface and the first side surface, and the second inclined surface is located at other corner of the light guide plate and adjacent to the narrow side surface and the second side surface; at least one backlight source disposed on at least one side surface; and a circuit board disposed on the first inclined surface of the light guide plate. 20. The liquid crystal display device according to claim 19, further comprising a light reflective coating layer disposed on the narrow side surface and the second side surface, wherein the at least one backlight source is disposed on the wide side surface and the first side surface. 21. The liquid crystal display device according to claim 19, further comprising a backlight source disposed on the wide side surface, and a light reflective coating layer disposed on the narrow side surface, the first side surface and the second side surface. 22. A liquid crystal display device, comprising:
a light guide plate used for providing backlight, comprising a front face, a rear surface and the four side surfaces, wherein the four side surfaces are located between the front face and the rear surface, the four side surfaces comprises a wide side surface, a narrow side surface, a first side surface and a second side surface, the first side surface and the second side surface are located between the wide side surface and the narrow side surface, wherein the front face comprises a plane, a first inclined surface and a second inclined surface, the first inclined surface is located at a corner of the light guide plate and adjacent to the narrow side surface and the first side surface, and the second inclined surface is located at other corner of the light guide plate and adjacent to the narrow side surface and the second side surface; a backlight source disposed on the plane and the inclined surface; and a circuit board disposed on the backlight source located on the first inclined surface. 23. The liquid crystal display device according to claim 22, further comprising a light reflective coating layer disposed on the four side surfaces, wherein the backlight source comprises a plurality of backlight bars. 24. A manufacturing method of a liquid crystal display device, comprising:
forming a light guide plate used for providing backlight, wherein the light guide plate comprises a front face, a rear surface and the four side surfaces, and the four side surfaces are located between the front face and the rear surface, the four side surfaces comprises a wide side surface, a narrow side surface, a first side surface and a second side surface, the first side surface and the second side surface are located between the wide side surface and the narrow side surface, and the front face comprises a plane and an inclined surface, and the inclined surface is located at an edge of the light guide plate and adjacent to the narrow side surface; forming at least one backlight source on at least one of the four side surfaces; and providing the circuit board disposed on the inclined surface of the light guide plate. 25. The manufacturing method according to claim 24, further comprising:
forming a light reflective coating layer on the narrow side surface and the second side surface, and forming the at least one backlight source on the wide side surface and the first side surface. 26. The manufacturing method according to claim 24, further comprising:
forming the at least one backlight source on the wide side surface, and forming a light reflective coating layer on the narrow side surface, the first side surface and the second side surface. 27. A manufacturing method of a liquid crystal display device, comprising:
forming a light guide plate used for providing backlight, wherein the light guide plate comprises a front face, a rear surface and the four side surfaces, and the four side surfaces are located between the front face and the rear surface, the four side surfaces comprises a wide side surface, a narrow side surface, a first side surface and a second side surface, the first side surface and the second side surface are located between the wide side surface and the narrow side surface, and the front face comprises a plane and an inclined surface, and the inclined surface is located at an edge of the light guide plate and adjacent to the narrow side surface; forming at least one backlight source on the plane and the inclined surfaces; and providing the circuit board disposed on the at least one backlight source on the inclined surface of the light guide plate. 28. The manufacturing method according to claim 27, wherein the backlight source comprises a plurality of backlight bars. 29. A manufacturing method of a liquid crystal display device, comprising:
forming a light guide plate used for providing backlight, wherein the light guide plate comprises a front face, a rear surface and the four side surfaces, and the four side surfaces are located between the front face and the rear surface, the four side surfaces comprises a wide side surface, a narrow side surface, a first side surface and a second side surface, the first side surface and the second side surface are located between the wide side surface and the narrow side surface, and the front face comprises a plane, a first inclined surface, and a second inclined surface, and the first inclined surface is located at a corner of the light guide plate and adjacent to the narrow side surface and the first side surface, and the second inclined surface is located at other corner of the light guide plate and adjacent to the narrow side surface and the second side surface; forming at least one backlight source on at least one of the four side surfaces; and providing the circuit board disposed on the first inclined surface of the light guide plate. 30. The manufacturing method according to claim 29, further comprising:
forming a light reflective coating layer on the narrow side surface and the second side surface, and forming the at least one backlight source on the wide side surface and the first side surface. 31. The manufacturing method according to claim 29, further comprising:
forming the at least one backlight source on the wide side surface, and forming a light reflective coating layer on the narrow side surface, the first side surface and the second side surface. 32. A manufacturing method of a liquid crystal display device, comprising:
forming a light guide plate used for providing backlight, wherein the light guide plate comprises a front face, a rear surface and the four side surfaces, and the four side surfaces are located between the front face and the rear surface, the four side surfaces comprises a wide side surface, a narrow side surface, a first side surface and a second side surface, the first side surface and the second side surface are located between the wide side surface and the narrow side surface, and the front face comprises a plane, a first inclined surface and a second inclined surface, and the first inclined surface is located at a corner of the light guide plate and adjacent to the narrow side surface and the first side surface, and the second inclined surface is located at other corner of the light guide plate and adjacent to the narrow side surface and the second side surface; forming a backlight source on the plane, the first inclined surface, and the second inclined surfaces; and providing the circuit board disposed on the backlight source on the first inclined surface of the light guide plate. 33. The manufacturing method according to claim 32, wherein the backlight source comprises a plurality of backlight bars. | The present disclosure illustrates a liquid crystal display device and a manufacturing method thereof. The liquid crystal display device includes: light guide plate including a front face, a rear surface and the four side surfaces, wherein the four side surfaces are located between the front face and the rear surface, the four side surfaces includes a wide side surface, a narrow side surface, a first side surface and a second side surface, the first side surface and the second side surface are located between the wide side surface and the narrow side surface, wherein the front face comprises a plane and an inclined surface, the inclined surface is located at an edge of the light guide plate and adjacent to the narrow side surface; and a backlight source disposed on the plane and the inclined surface.1. A liquid crystal display device, comprising:
a light guide plate used for providing backlight, comprising a front face, a rear surface and four side surfaces, wherein the four side surfaces are located between the front face and the rear surface, the four side surfaces compriscs comprise a wide side surface, a narrow side surface, a first side surface and a second side surface, the first side surface and the second side surface are located between the wide side surface and the narrow side surface, wherein the front face comprises a plane and an inclined surface, the inclined surface is located at an edge of the light guide plate and adjacent to the narrow side surface; at least one backlight source disposed on at least one of the four side surfaces; and a circuit board disposed on the inclined surface of the light guide plate. 2-9. (canceled) 10. The liquid crystal display device according to claim 1, further comprising a light reflective coating layer disposed on the narrow side surface and the second side surface, wherein the at least one backlight source is disposed on the wide side surface and the first side surface. 11. A liquid crystal display device, comprising:
a light guide plate used for providing backlight, comprising a front face, a rear surface and the four side surfaces, wherein the four side surfaces are located between the front face and the rear surface, the four side surfaces compriscs comprise a wide side surface, a narrow side surface, a first side surface and a second side surface, the first side surface and the second side surface are located between the wide side surface and the narrow side surface, wherein the front face comprises a plane and an inclined surface, the inclined surface is located at an edge of the light guide plate and adjacent to the narrow side surface; a backlight source disposed on the plane and the inclined surface; and a circuit board disposed on the backlight source located on the inclined surface. 12-13. (canceled) 14. The liquid crystal display device according to claim 1, wherein the backlight source comprises a plurality of backlight bars. 15. The liquid crystal display device according to claim 1, further comprising a light reflective coating layer disposed on the four side surfaces. 16-18. (canceled) 19. A liquid crystal display device, comprising:
a light guide plate used for providing backlight, comprising a front face, a rear surface and four side surfaces, wherein the four side surfaces are located between the front face and the rear surface, the four side surfaces comprises a wide side surface, a narrow side surface, a first side surface and a second side surface, the first side surface and the second side surface are located between the wide side surface and the narrow side surface, wherein the front face comprises a plane, a first inclined surface and a second inclined surface, the first inclined surface is located at an corner of the light guide plate and adjacent to the narrow side surface and the first side surface, and the second inclined surface is located at other corner of the light guide plate and adjacent to the narrow side surface and the second side surface; at least one backlight source disposed on at least one side surface; and a circuit board disposed on the first inclined surface of the light guide plate. 20. The liquid crystal display device according to claim 19, further comprising a light reflective coating layer disposed on the narrow side surface and the second side surface, wherein the at least one backlight source is disposed on the wide side surface and the first side surface. 21. The liquid crystal display device according to claim 19, further comprising a backlight source disposed on the wide side surface, and a light reflective coating layer disposed on the narrow side surface, the first side surface and the second side surface. 22. A liquid crystal display device, comprising:
a light guide plate used for providing backlight, comprising a front face, a rear surface and the four side surfaces, wherein the four side surfaces are located between the front face and the rear surface, the four side surfaces comprises a wide side surface, a narrow side surface, a first side surface and a second side surface, the first side surface and the second side surface are located between the wide side surface and the narrow side surface, wherein the front face comprises a plane, a first inclined surface and a second inclined surface, the first inclined surface is located at a corner of the light guide plate and adjacent to the narrow side surface and the first side surface, and the second inclined surface is located at other corner of the light guide plate and adjacent to the narrow side surface and the second side surface; a backlight source disposed on the plane and the inclined surface; and a circuit board disposed on the backlight source located on the first inclined surface. 23. The liquid crystal display device according to claim 22, further comprising a light reflective coating layer disposed on the four side surfaces, wherein the backlight source comprises a plurality of backlight bars. 24. A manufacturing method of a liquid crystal display device, comprising:
forming a light guide plate used for providing backlight, wherein the light guide plate comprises a front face, a rear surface and the four side surfaces, and the four side surfaces are located between the front face and the rear surface, the four side surfaces comprises a wide side surface, a narrow side surface, a first side surface and a second side surface, the first side surface and the second side surface are located between the wide side surface and the narrow side surface, and the front face comprises a plane and an inclined surface, and the inclined surface is located at an edge of the light guide plate and adjacent to the narrow side surface; forming at least one backlight source on at least one of the four side surfaces; and providing the circuit board disposed on the inclined surface of the light guide plate. 25. The manufacturing method according to claim 24, further comprising:
forming a light reflective coating layer on the narrow side surface and the second side surface, and forming the at least one backlight source on the wide side surface and the first side surface. 26. The manufacturing method according to claim 24, further comprising:
forming the at least one backlight source on the wide side surface, and forming a light reflective coating layer on the narrow side surface, the first side surface and the second side surface. 27. A manufacturing method of a liquid crystal display device, comprising:
forming a light guide plate used for providing backlight, wherein the light guide plate comprises a front face, a rear surface and the four side surfaces, and the four side surfaces are located between the front face and the rear surface, the four side surfaces comprises a wide side surface, a narrow side surface, a first side surface and a second side surface, the first side surface and the second side surface are located between the wide side surface and the narrow side surface, and the front face comprises a plane and an inclined surface, and the inclined surface is located at an edge of the light guide plate and adjacent to the narrow side surface; forming at least one backlight source on the plane and the inclined surfaces; and providing the circuit board disposed on the at least one backlight source on the inclined surface of the light guide plate. 28. The manufacturing method according to claim 27, wherein the backlight source comprises a plurality of backlight bars. 29. A manufacturing method of a liquid crystal display device, comprising:
forming a light guide plate used for providing backlight, wherein the light guide plate comprises a front face, a rear surface and the four side surfaces, and the four side surfaces are located between the front face and the rear surface, the four side surfaces comprises a wide side surface, a narrow side surface, a first side surface and a second side surface, the first side surface and the second side surface are located between the wide side surface and the narrow side surface, and the front face comprises a plane, a first inclined surface, and a second inclined surface, and the first inclined surface is located at a corner of the light guide plate and adjacent to the narrow side surface and the first side surface, and the second inclined surface is located at other corner of the light guide plate and adjacent to the narrow side surface and the second side surface; forming at least one backlight source on at least one of the four side surfaces; and providing the circuit board disposed on the first inclined surface of the light guide plate. 30. The manufacturing method according to claim 29, further comprising:
forming a light reflective coating layer on the narrow side surface and the second side surface, and forming the at least one backlight source on the wide side surface and the first side surface. 31. The manufacturing method according to claim 29, further comprising:
forming the at least one backlight source on the wide side surface, and forming a light reflective coating layer on the narrow side surface, the first side surface and the second side surface. 32. A manufacturing method of a liquid crystal display device, comprising:
forming a light guide plate used for providing backlight, wherein the light guide plate comprises a front face, a rear surface and the four side surfaces, and the four side surfaces are located between the front face and the rear surface, the four side surfaces comprises a wide side surface, a narrow side surface, a first side surface and a second side surface, the first side surface and the second side surface are located between the wide side surface and the narrow side surface, and the front face comprises a plane, a first inclined surface and a second inclined surface, and the first inclined surface is located at a corner of the light guide plate and adjacent to the narrow side surface and the first side surface, and the second inclined surface is located at other corner of the light guide plate and adjacent to the narrow side surface and the second side surface; forming a backlight source on the plane, the first inclined surface, and the second inclined surfaces; and providing the circuit board disposed on the backlight source on the first inclined surface of the light guide plate. 33. The manufacturing method according to claim 32, wherein the backlight source comprises a plurality of backlight bars. | 2,800 |
348,332 | 16,805,831 | 3,649 | The present invention relates to a method of recording an anonymized fiat currency transaction between service provider (“payee”) and customer (“payer”) facilitated by a third party payment gateway in a publicly available ledger with a unique set of data points from all parties involved (“payer”, “payee”), which enables software developers to implement an innovative ledger-based service authorization workflow for payments while reducing the software developers burden to store end user sensitive information. | 1. A computer system process to log pseudonymous fiat currency transactions on a public ledger between customer (“payer”) and service provider (“payee”) for the purpose of authorization workflows.
The public ledger of claim 1, viewed as a series of data-structure records, each consisting of a service provider anonymized address, a customer anonymized address, an amount paid by customer, and a time stamp when transaction occurred.
The service provider anonymized address of claim 2 is a byte string that is generated by any algorithm from a plurality of public-key infrastructures, such as any public blockchain ledger.
The service provider anonymized address of claim 2 is publicly published on the public ledger of claim 1.
The service provider anonymized address of claim 2, wherein each address has a corresponding private secret key, generated by a corresponding algorithm from the public-key infrastructures of claim 3 for signing some message into signatures; that equates possession of the secret key to ownership of the public address.
The customer anonymized address of claim 2 is a byte string that is generated by any algorithm from a plurality of public-key infrastructures, such as any public blockchain ledger.
The customer anonymized address of claim 2 is publicly published on the public ledger of claim 1.
The customer anonymized address of claim 2, wherein each address has a corresponding private secret key, generated by a corresponding algorithm from the public-key infrastructures of claim 6 for signing some message into signatures; that equates possession of the secret key to ownership of the public address.
The process of claim 1 executes via a computer system that hosts the process on a network, whereby the computer system provides computation and storage services required to facilitate process execution and logging of data-structure records as per claim 2 in a public ledger.
The fiat currency transactions between payee and payer of claim 1 are executed via a plurality of third party payment gateways that the process of claim 1 communicates with, whereby the payment gateways are external to the process and their involvement in the process is controlled by the computer system in claim 9.
The third party payment gateways of claim 10 are responsible for the value transfer from customers to service providers in a manner particular to each payment gateway, whereby each customer and each service provider is onboarded onto each payment gateway in said payment gateway's specific way, and each customer and each service provider entrusts said payment gateway for the purposes of the value transfer.
The computer system of claim 9 is able to interrogate the payment gateways of claim 10 to identify the payee, the payer, the value transfer amount, and transaction timestamp, in a payment gateway specific way.
The computer system of claim 9 is able to reconcile the payee of claim 10 to the service provider anonymized address for the purposes of recording on the public ledger.
The computer system of claim 9 is able to reconcile to payer of claim 10 to the customer anonymized address for the purposed of recording on the public ledger.
The computer system of claim 9 records into the public ledger the value transfer amount as per claim 12, the timestamp as per claim 12, the service provider anonymized address as per claim 13, the customer anonymized address as per claim 14, whereby this write constitutes a transaction data-structure record in the public ledger.
The process of claim 1 includes a plurality of authorization workflows wherein a plurality of service provider online services execute customer authorizations.
The authorization workflows of claim 16 start with customers authenticating their identity wherein presenting their customer anonymized address and a corresponding signature as per claim 8, whereby the service provider can check the customer anonymized address and the signature with a corresponding algorithm from a corresponding public-key infrastructure to verify the customer's identity.
The authorization workflows of claim 16 comprise an authorization check with a public ledger to verify that a record exists whereby the customer anonymized address is recorded as paying requisite value transfer amount to the service provider anonymized address within a required timeframe.
The required checks in claim 18, whereby service provider specific service access requirements are checked against public ledger record values including a customer anonymized address, service provider anonymized address, value transfer amount, and a timestamp, constitute the necessary checks to finish the authorization workflow as per the process in claim 1. | The present invention relates to a method of recording an anonymized fiat currency transaction between service provider (“payee”) and customer (“payer”) facilitated by a third party payment gateway in a publicly available ledger with a unique set of data points from all parties involved (“payer”, “payee”), which enables software developers to implement an innovative ledger-based service authorization workflow for payments while reducing the software developers burden to store end user sensitive information.1. A computer system process to log pseudonymous fiat currency transactions on a public ledger between customer (“payer”) and service provider (“payee”) for the purpose of authorization workflows.
The public ledger of claim 1, viewed as a series of data-structure records, each consisting of a service provider anonymized address, a customer anonymized address, an amount paid by customer, and a time stamp when transaction occurred.
The service provider anonymized address of claim 2 is a byte string that is generated by any algorithm from a plurality of public-key infrastructures, such as any public blockchain ledger.
The service provider anonymized address of claim 2 is publicly published on the public ledger of claim 1.
The service provider anonymized address of claim 2, wherein each address has a corresponding private secret key, generated by a corresponding algorithm from the public-key infrastructures of claim 3 for signing some message into signatures; that equates possession of the secret key to ownership of the public address.
The customer anonymized address of claim 2 is a byte string that is generated by any algorithm from a plurality of public-key infrastructures, such as any public blockchain ledger.
The customer anonymized address of claim 2 is publicly published on the public ledger of claim 1.
The customer anonymized address of claim 2, wherein each address has a corresponding private secret key, generated by a corresponding algorithm from the public-key infrastructures of claim 6 for signing some message into signatures; that equates possession of the secret key to ownership of the public address.
The process of claim 1 executes via a computer system that hosts the process on a network, whereby the computer system provides computation and storage services required to facilitate process execution and logging of data-structure records as per claim 2 in a public ledger.
The fiat currency transactions between payee and payer of claim 1 are executed via a plurality of third party payment gateways that the process of claim 1 communicates with, whereby the payment gateways are external to the process and their involvement in the process is controlled by the computer system in claim 9.
The third party payment gateways of claim 10 are responsible for the value transfer from customers to service providers in a manner particular to each payment gateway, whereby each customer and each service provider is onboarded onto each payment gateway in said payment gateway's specific way, and each customer and each service provider entrusts said payment gateway for the purposes of the value transfer.
The computer system of claim 9 is able to interrogate the payment gateways of claim 10 to identify the payee, the payer, the value transfer amount, and transaction timestamp, in a payment gateway specific way.
The computer system of claim 9 is able to reconcile the payee of claim 10 to the service provider anonymized address for the purposes of recording on the public ledger.
The computer system of claim 9 is able to reconcile to payer of claim 10 to the customer anonymized address for the purposed of recording on the public ledger.
The computer system of claim 9 records into the public ledger the value transfer amount as per claim 12, the timestamp as per claim 12, the service provider anonymized address as per claim 13, the customer anonymized address as per claim 14, whereby this write constitutes a transaction data-structure record in the public ledger.
The process of claim 1 includes a plurality of authorization workflows wherein a plurality of service provider online services execute customer authorizations.
The authorization workflows of claim 16 start with customers authenticating their identity wherein presenting their customer anonymized address and a corresponding signature as per claim 8, whereby the service provider can check the customer anonymized address and the signature with a corresponding algorithm from a corresponding public-key infrastructure to verify the customer's identity.
The authorization workflows of claim 16 comprise an authorization check with a public ledger to verify that a record exists whereby the customer anonymized address is recorded as paying requisite value transfer amount to the service provider anonymized address within a required timeframe.
The required checks in claim 18, whereby service provider specific service access requirements are checked against public ledger record values including a customer anonymized address, service provider anonymized address, value transfer amount, and a timestamp, constitute the necessary checks to finish the authorization workflow as per the process in claim 1. | 3,600 |
348,333 | 16,643,839 | 3,649 | There has been desired a technology for performing a publishing setting of a variable to an external device more easily. A development assistance device provides a development tool configured to develop a user program for controlling a controller. The user program includes at least one variable belonging to a predetermined namespace. The development tool is configured to accept, for the namespace, a publishing setting for causing the controller to determine whether to publish the variable belonging to the namespace to an external device that is communicatively connected to the controller. A communication interface transfers the user program and the publishing setting to the controller on the basis of acceptance of transfer operation of the user program by the development tool. | 1. A control system, comprising:
a development assistance device; and a controller configured to communicate with the development assistance device, wherein: a development tool configured to develop a user program for controlling the controller is installable in the development assistance device, the user program including at least one variable belonging to a predetermined namespace, and the development tool is configured to accept, for the namespace, a publishing setting for determining whether to publish the variable belonging to the namespace to an external device that is communicatively connected to the controller; and the controller includes a program execution unit configured to control a drive device to be controlled in accordance with the user program received from the development assistance device, and update the variable belonging to the namespace in accordance with an execution result of the user program, and a publishing setting unit configured to publish the variable belonging to the namespace to the external device in a case where the publishing setting received from the development assistance device indicates “publish”. 2. The control system according to claim 1, wherein
the development tool is configured to set the publishing setting for each variable belonging to the namespace, and reflects the publishing setting for the namespace as an initial value in the publishing setting for the variable. 3. The control system according to claim 2, wherein
the publishing setting unit determines whether to publish the variable belonging to the namespace to the external device by prioritizing the publishing setting for the variable over the publishing setting for the namespace. 4. The control system according to claim 1, wherein:
the publishing setting further includes a communication setting indicating a communication protocol; and the publishing setting unit publishes the variable belonging to the namespace to the external device in a case where the controller and the external device communicate with each other by using the communication protocol indicated by the communication setting. 5. The control system according to claim 1, wherein:
the development assistance device further includes a volatile storage device, and a nonvolatile storage device; the publishing setting includes a holding setting indicating whether to hold the variable belonging to the namespace; and the publishing setting unit stores the variable belonging to the namespace in the nonvolatile storage device in a case where the holding setting indicates “hold”, and stores the variable belonging to the namespace in the volatile storage device in a case where the holding setting indicates “not hold”. 6. A development assistance device configured to communicate with a controller configured to control a drive device to be controlled in response to a control command specified in a user program, the development assistance device comprising:
a communication interface configured to communicate with the controller; and a storage device configured to store a development program for providing a development tool configured to develop the user program, wherein: the user program includes at least one variable belonging to a predetermined namespace, and the development tool is configured to accept, for the namespace, a publishing setting for causing the controller to determine whether to publish the variable belonging to the namespace to an external device that is communicatively connected to the controller; and the communication interface transfers the user program and the publishing setting to the controller on the basis of acceptance of transfer operation of the user program by the development tool. 7. A non-transitory storage medium storing thereon a development assistance program executed by a development assistance device configured to communicate with a controller configured to control a drive device to be controlled in response to a control command specified in a user program, the development assistance program causing the development assistance device to execute
starting a development tool configured to develop the user program, the user program including at least one variable belonging to a predetermined namespace, wherein: the development assistance program causes to the development assistance device to further execute accepting, for the namespace, a publishing setting for causing the controller to determine whether to publish the variable belonging to the namespace to an external device that is communicatively connected to the controller, and transferring the user program and the publishing setting to the controller on the basis of acceptance of transfer operation of the user program by the development tool. | There has been desired a technology for performing a publishing setting of a variable to an external device more easily. A development assistance device provides a development tool configured to develop a user program for controlling a controller. The user program includes at least one variable belonging to a predetermined namespace. The development tool is configured to accept, for the namespace, a publishing setting for causing the controller to determine whether to publish the variable belonging to the namespace to an external device that is communicatively connected to the controller. A communication interface transfers the user program and the publishing setting to the controller on the basis of acceptance of transfer operation of the user program by the development tool.1. A control system, comprising:
a development assistance device; and a controller configured to communicate with the development assistance device, wherein: a development tool configured to develop a user program for controlling the controller is installable in the development assistance device, the user program including at least one variable belonging to a predetermined namespace, and the development tool is configured to accept, for the namespace, a publishing setting for determining whether to publish the variable belonging to the namespace to an external device that is communicatively connected to the controller; and the controller includes a program execution unit configured to control a drive device to be controlled in accordance with the user program received from the development assistance device, and update the variable belonging to the namespace in accordance with an execution result of the user program, and a publishing setting unit configured to publish the variable belonging to the namespace to the external device in a case where the publishing setting received from the development assistance device indicates “publish”. 2. The control system according to claim 1, wherein
the development tool is configured to set the publishing setting for each variable belonging to the namespace, and reflects the publishing setting for the namespace as an initial value in the publishing setting for the variable. 3. The control system according to claim 2, wherein
the publishing setting unit determines whether to publish the variable belonging to the namespace to the external device by prioritizing the publishing setting for the variable over the publishing setting for the namespace. 4. The control system according to claim 1, wherein:
the publishing setting further includes a communication setting indicating a communication protocol; and the publishing setting unit publishes the variable belonging to the namespace to the external device in a case where the controller and the external device communicate with each other by using the communication protocol indicated by the communication setting. 5. The control system according to claim 1, wherein:
the development assistance device further includes a volatile storage device, and a nonvolatile storage device; the publishing setting includes a holding setting indicating whether to hold the variable belonging to the namespace; and the publishing setting unit stores the variable belonging to the namespace in the nonvolatile storage device in a case where the holding setting indicates “hold”, and stores the variable belonging to the namespace in the volatile storage device in a case where the holding setting indicates “not hold”. 6. A development assistance device configured to communicate with a controller configured to control a drive device to be controlled in response to a control command specified in a user program, the development assistance device comprising:
a communication interface configured to communicate with the controller; and a storage device configured to store a development program for providing a development tool configured to develop the user program, wherein: the user program includes at least one variable belonging to a predetermined namespace, and the development tool is configured to accept, for the namespace, a publishing setting for causing the controller to determine whether to publish the variable belonging to the namespace to an external device that is communicatively connected to the controller; and the communication interface transfers the user program and the publishing setting to the controller on the basis of acceptance of transfer operation of the user program by the development tool. 7. A non-transitory storage medium storing thereon a development assistance program executed by a development assistance device configured to communicate with a controller configured to control a drive device to be controlled in response to a control command specified in a user program, the development assistance program causing the development assistance device to execute
starting a development tool configured to develop the user program, the user program including at least one variable belonging to a predetermined namespace, wherein: the development assistance program causes to the development assistance device to further execute accepting, for the namespace, a publishing setting for causing the controller to determine whether to publish the variable belonging to the namespace to an external device that is communicatively connected to the controller, and transferring the user program and the publishing setting to the controller on the basis of acceptance of transfer operation of the user program by the development tool. | 3,600 |
348,334 | 16,643,816 | 3,649 | An electronic device package is described. The electronic device package includes one or more dies. The electronic device package includes an interposer coupled to the one or more dies. The electronic device package also includes a package substrate coupled to the interposer. The electronic device package includes a plurality of through-silicon vias (TSVs) in at least one die of the one or more dies, or the interposer, or both. The electronic device package includes a passive equalizer structure communicatively coupled to a TSV pair in the plurality of TSVs. The passive equalizer structure is operable to minimize a level of insertion loss variation in the TSV pair. | 1. An electronic device package, comprising:
one or more dies; an interposer coupled to the one or more dies; a package substrate coupled to the interposer; a plurality of through-silicon vias (TSVs) in at least one die of the one or more dies, or the interposer, or both; and a passive equalizer structure communicatively coupled to a TSV pair in the plurality of TSVs, wherein the passive equalizer structure is operable to minimize a level of insertion loss variation in the TSV pair. 2. The electronic device package of claim 1, wherein the passive equalizer structure includes a tunable resistor, an inductor and an Ohmic contact region, wherein the tunable resistor and the inductor are communicatively coupled to the Ohmic contact region, said Ohmic contact region being formed adjacent to the TSV pair. 3. The electronic device package of claim 2, wherein the tunable resistor and the Ohmic contact region provide a tunable insertion loss at lower frequencies and the inductor prevents an insertion loss from passing through at higher frequencies, to minimize the level of insertion loss variation in the TSV pair. 4. The electronic device package of claim 2, wherein signals pass through the inductor prior to being passed through the tunable resistor. 5. The electronic device package of claim 2, wherein the tunable resistor and the inductor in the passive equalizer structure are adjacent to a signal path formed by the TSV pair. 6. The electronic device package of claim 2, wherein values for the tunable resistor and the inductor are adjusted to vary a reduction in the level of insertion loss variation in the TSV pair. 7. The electronic device package of claim 1, further comprising a second passive equalizer structure communicatively coupled to a second TSV pair in the plurality of TSVs, wherein the second TSV pair is included in the die of the one or more dies or in the interposer. 8. The electronic device package of claim 1, wherein the passive equalizer structure is communicatively coupled to the TSV pair via a back-end-of-line (BEOL) layer. 9. The electronic device package of claim 1, wherein the TSV pair is a signal-ground TSV pair or a differential TSV pair, wherein a separate passive equalizer structure is coupled to each TSV in the differential TSV pair. 10. The electronic device package of claim 1, wherein the passive equalizer structure is operable to minimize a level of frequency-dependent insertion loss variation in the TSV pair due to a TSV capacitance. 11. The electronic device package of claim 1, wherein:
the die is communicatively coupled to the interposer via a plurality of fine pitch bumps; and the interposer is communicatively coupled to the package substrate via a plurality of coarse pitch bumps. 12. The electronic device package of claim 1, wherein the one or more dies are included in a die stack. 13. The electronic device package of claim 1, wherein the electronic device package is a three-dimensional integrated circuit with a stacked architecture. 14. The electronic device package of claim 1, wherein the TSV is in the interposer and communicatively couples a first die in the one or more dies to the substrate. 15. The electronic device package of claim 1, wherein the TSV is in a first die of the one or more dies and communicatively couples a second die to the interposer. 16. The electronic device package of claim 1, wherein the interposer includes a TSV communicatively coupling a first die to the substrate, and the first die includes a TSV communicatively coupling a second die to the interposer. 17. The electronic device package of claim 16, wherein the second die includes a TSV communicatively coupling a third die to either the first die, or to the interposer. 18. The electronic device package of claim 17, wherein a passive equalizer structure is communicatively coupled to each TSV pair in the electronic device package. 19-21. (canceled) 22. An electronic component, comprising:
a silicon layer; a through-silicon vias (TSV) included in the silicon layer; and a passive equalizer structure communicatively coupled to the TSV, wherein the passive equalizer structure is operable to minimize a level of insertion loss variation in the TSV. 23. The electronic component of claim 22, wherein the silicon layer is a die, and the TSV is included in the die. 24. The electronic component of claim 22, wherein the silicon layer is an interposer, and the TSV is included in the interposer. 25. The electronic component of claim 22, wherein the passive equalizer structure includes a tunable resistor, an inductor and an Ohmic contact region, wherein the tunable resistor and the inductor are communicatively coupled to the Ohmic contact region. 26. The electronic component of claim 25, wherein the tunable resistor and the Ohmic contact region provide a tunable insertion loss at lower frequencies and the inductor prevents an insertion loss from passing through at higher frequencies, to minimize the level of insertion loss variation in the TSV. 27. The electronic component of claim 25, wherein signals pass through the inductor prior to being passed through the tunable resistor. 28. The electronic component of claim 25, wherein the tunable resistor and the inductor in the passive equalizer structure are adjacent to a signal path formed by the TSV. 29. The electronic component of claim 25, wherein values for the tunable resistor and the inductor are adjusted to vary a reduction in the level of insertion loss variation in the TSV. 30. The electronic component of claim 25, wherein the passive equalizer structure is communicatively coupled to the TSV via a back-end-of-line (BEOL) layer. 31. A method for making an electronic device package, the method comprising:
stacking a plurality of dies, wherein the plurality of dies includes a first die and a second die; connecting the second die in the plurality of dies to an interposer via a plurality of first through-silicon vias (TSVs); connecting the interposer to a package substrate via a plurality of second TSVs; and connecting a passive equalizer structure to a TSV pair in one or more of the plurality of first TSVs or the plurality of second TSVs. 32. The method of claim 31, further comprising forming the passive equalizer structure to include a tunable resistor, an inductor and an Ohmic contact region, wherein the tunable resistor and the inductor are communicatively coupled to the Ohmic contact region, said Ohmic contact region being formed adjacent to the TSV pair in the electronic device package. 33. The method of claim 31, further comprising forming the tunable resistor and the inductor in the passive equalizer structure to be adjacent to a signal path formed by the TSV pair. 34-39. (canceled) | An electronic device package is described. The electronic device package includes one or more dies. The electronic device package includes an interposer coupled to the one or more dies. The electronic device package also includes a package substrate coupled to the interposer. The electronic device package includes a plurality of through-silicon vias (TSVs) in at least one die of the one or more dies, or the interposer, or both. The electronic device package includes a passive equalizer structure communicatively coupled to a TSV pair in the plurality of TSVs. The passive equalizer structure is operable to minimize a level of insertion loss variation in the TSV pair.1. An electronic device package, comprising:
one or more dies; an interposer coupled to the one or more dies; a package substrate coupled to the interposer; a plurality of through-silicon vias (TSVs) in at least one die of the one or more dies, or the interposer, or both; and a passive equalizer structure communicatively coupled to a TSV pair in the plurality of TSVs, wherein the passive equalizer structure is operable to minimize a level of insertion loss variation in the TSV pair. 2. The electronic device package of claim 1, wherein the passive equalizer structure includes a tunable resistor, an inductor and an Ohmic contact region, wherein the tunable resistor and the inductor are communicatively coupled to the Ohmic contact region, said Ohmic contact region being formed adjacent to the TSV pair. 3. The electronic device package of claim 2, wherein the tunable resistor and the Ohmic contact region provide a tunable insertion loss at lower frequencies and the inductor prevents an insertion loss from passing through at higher frequencies, to minimize the level of insertion loss variation in the TSV pair. 4. The electronic device package of claim 2, wherein signals pass through the inductor prior to being passed through the tunable resistor. 5. The electronic device package of claim 2, wherein the tunable resistor and the inductor in the passive equalizer structure are adjacent to a signal path formed by the TSV pair. 6. The electronic device package of claim 2, wherein values for the tunable resistor and the inductor are adjusted to vary a reduction in the level of insertion loss variation in the TSV pair. 7. The electronic device package of claim 1, further comprising a second passive equalizer structure communicatively coupled to a second TSV pair in the plurality of TSVs, wherein the second TSV pair is included in the die of the one or more dies or in the interposer. 8. The electronic device package of claim 1, wherein the passive equalizer structure is communicatively coupled to the TSV pair via a back-end-of-line (BEOL) layer. 9. The electronic device package of claim 1, wherein the TSV pair is a signal-ground TSV pair or a differential TSV pair, wherein a separate passive equalizer structure is coupled to each TSV in the differential TSV pair. 10. The electronic device package of claim 1, wherein the passive equalizer structure is operable to minimize a level of frequency-dependent insertion loss variation in the TSV pair due to a TSV capacitance. 11. The electronic device package of claim 1, wherein:
the die is communicatively coupled to the interposer via a plurality of fine pitch bumps; and the interposer is communicatively coupled to the package substrate via a plurality of coarse pitch bumps. 12. The electronic device package of claim 1, wherein the one or more dies are included in a die stack. 13. The electronic device package of claim 1, wherein the electronic device package is a three-dimensional integrated circuit with a stacked architecture. 14. The electronic device package of claim 1, wherein the TSV is in the interposer and communicatively couples a first die in the one or more dies to the substrate. 15. The electronic device package of claim 1, wherein the TSV is in a first die of the one or more dies and communicatively couples a second die to the interposer. 16. The electronic device package of claim 1, wherein the interposer includes a TSV communicatively coupling a first die to the substrate, and the first die includes a TSV communicatively coupling a second die to the interposer. 17. The electronic device package of claim 16, wherein the second die includes a TSV communicatively coupling a third die to either the first die, or to the interposer. 18. The electronic device package of claim 17, wherein a passive equalizer structure is communicatively coupled to each TSV pair in the electronic device package. 19-21. (canceled) 22. An electronic component, comprising:
a silicon layer; a through-silicon vias (TSV) included in the silicon layer; and a passive equalizer structure communicatively coupled to the TSV, wherein the passive equalizer structure is operable to minimize a level of insertion loss variation in the TSV. 23. The electronic component of claim 22, wherein the silicon layer is a die, and the TSV is included in the die. 24. The electronic component of claim 22, wherein the silicon layer is an interposer, and the TSV is included in the interposer. 25. The electronic component of claim 22, wherein the passive equalizer structure includes a tunable resistor, an inductor and an Ohmic contact region, wherein the tunable resistor and the inductor are communicatively coupled to the Ohmic contact region. 26. The electronic component of claim 25, wherein the tunable resistor and the Ohmic contact region provide a tunable insertion loss at lower frequencies and the inductor prevents an insertion loss from passing through at higher frequencies, to minimize the level of insertion loss variation in the TSV. 27. The electronic component of claim 25, wherein signals pass through the inductor prior to being passed through the tunable resistor. 28. The electronic component of claim 25, wherein the tunable resistor and the inductor in the passive equalizer structure are adjacent to a signal path formed by the TSV. 29. The electronic component of claim 25, wherein values for the tunable resistor and the inductor are adjusted to vary a reduction in the level of insertion loss variation in the TSV. 30. The electronic component of claim 25, wherein the passive equalizer structure is communicatively coupled to the TSV via a back-end-of-line (BEOL) layer. 31. A method for making an electronic device package, the method comprising:
stacking a plurality of dies, wherein the plurality of dies includes a first die and a second die; connecting the second die in the plurality of dies to an interposer via a plurality of first through-silicon vias (TSVs); connecting the interposer to a package substrate via a plurality of second TSVs; and connecting a passive equalizer structure to a TSV pair in one or more of the plurality of first TSVs or the plurality of second TSVs. 32. The method of claim 31, further comprising forming the passive equalizer structure to include a tunable resistor, an inductor and an Ohmic contact region, wherein the tunable resistor and the inductor are communicatively coupled to the Ohmic contact region, said Ohmic contact region being formed adjacent to the TSV pair in the electronic device package. 33. The method of claim 31, further comprising forming the tunable resistor and the inductor in the passive equalizer structure to be adjacent to a signal path formed by the TSV pair. 34-39. (canceled) | 3,600 |
348,335 | 16,643,790 | 3,649 | A segmented frame plate is provided, which may be used in a frame plate assembly of a redox flow battery cell stack. A plurality of segmented frame plates may couple together around a perimeter of a cell plate. Each segmented frame plate may provide fluidic communication from/to a redox flow reservoir and/or another frame plate assembly to a cell plate of the frame plate assembly. | 1. A frame plate assembly for a redox cell stack, the frame plate assembly comprising:
a cell plate; and a frame assembly coupled in fluidic communication to the cell plate, wherein the frame assembly is configured to at least partially surround a perimeter of the cell plate and to channel at least one flow of electrolytes to the cell plate, the frame assembly comprising at least two frame segments, wherein each frame segment of the at least two frame segments comprises:
a body having a first end and a second end;
an extension connection element disposed at the first end; and
a receiver connection element disposed at the second end, wherein two adjacent frame segments are configured to interlock via the extension connection element being received in the receiver connection element. 2. The frame plate assembly of claim 1, wherein the frame assembly comprises three frame segments. 3. The frame plate assembly of claim 1, wherein the frame assembly comprises four frame segments. 4. The frame plate assembly of claim 1, wherein each frame segment further comprises:
at least one frame channel defined in the body and configured to channel the at least one flow of electrolytes therethrough; at least one plug extending from the body and configured to be at least partially received in the cell plate; and at least one electrolyte pathway defined in the body and extending between the at least one frame channel and the at least one plug such that the at least one flow of electrolytes is channeled between the cell plate and the at least one frame channel. 5. The frame plate assembly of claim 4, wherein the at least one electrolyte pathway comprises an electrolyte shunt pathway. 6. The frame plate assembly of claim 4, wherein the at least one plug is unitary with the body. 7. The frame plate assembly of claim 4, wherein the at least one frame channel is defined by a sealing element extending from the body. 8. The frame plate assembly of claim 4, wherein the at least one frame channel is defined in a removable corner member configured to removably attach to the body. 9. The frame plate assembly of claim 1, wherein each frame segment of the at least two frame segments further comprises at least one plate connector configured to couple the frame segment to the cell plate. 10. The frame plate assembly of claim 1, wherein the cell plate comprises at least two plate members, and wherein each frame segment of the at least two frame segments is bonded to the corresponding plate member. 11. The frame plate assembly of claim 1, wherein the cell plate is configured to float about 0.6 inches with respect to the frame assembly. 12. A method of assembling a frame plate assembly for a redox cell stack, the frame plate assembly including a cell plate and a frame assembly, the method comprising:
forming at least two frame segments of the frame assembly; coupling each frame segment of the at least two frame segments in fluidic communication to the cell plate; and interlocking adjacent frame segments such that the frame assembly at least partially surrounds a perimeter of the cell plate. 13. The method of claim 12, wherein forming the at least two frame segments further includes injection molding each frame segment. 14. The method of claim 13 further comprising post machining each frame segment. 15. The method of claim 12, wherein forming the at least two frame segments further includes compression molding each frame segment. 16. The method of claim 12, wherein forming the at least two frame segments further includes additively manufacturing each frame segment. 17. The method of claim 12 further comprising:
stacking two or more frame plate assemblies; and
coupling each frame plate assembly of the two or more frame plate assemblies in fluidic communication, wherein the stack of two or more frame plate assemblies form at least a portion of the redox cell stack. 18. The method of claim 12 further comprising:
forming at least one removable corner member; and
coupling the at least one removable corner member to each frame segment. | A segmented frame plate is provided, which may be used in a frame plate assembly of a redox flow battery cell stack. A plurality of segmented frame plates may couple together around a perimeter of a cell plate. Each segmented frame plate may provide fluidic communication from/to a redox flow reservoir and/or another frame plate assembly to a cell plate of the frame plate assembly.1. A frame plate assembly for a redox cell stack, the frame plate assembly comprising:
a cell plate; and a frame assembly coupled in fluidic communication to the cell plate, wherein the frame assembly is configured to at least partially surround a perimeter of the cell plate and to channel at least one flow of electrolytes to the cell plate, the frame assembly comprising at least two frame segments, wherein each frame segment of the at least two frame segments comprises:
a body having a first end and a second end;
an extension connection element disposed at the first end; and
a receiver connection element disposed at the second end, wherein two adjacent frame segments are configured to interlock via the extension connection element being received in the receiver connection element. 2. The frame plate assembly of claim 1, wherein the frame assembly comprises three frame segments. 3. The frame plate assembly of claim 1, wherein the frame assembly comprises four frame segments. 4. The frame plate assembly of claim 1, wherein each frame segment further comprises:
at least one frame channel defined in the body and configured to channel the at least one flow of electrolytes therethrough; at least one plug extending from the body and configured to be at least partially received in the cell plate; and at least one electrolyte pathway defined in the body and extending between the at least one frame channel and the at least one plug such that the at least one flow of electrolytes is channeled between the cell plate and the at least one frame channel. 5. The frame plate assembly of claim 4, wherein the at least one electrolyte pathway comprises an electrolyte shunt pathway. 6. The frame plate assembly of claim 4, wherein the at least one plug is unitary with the body. 7. The frame plate assembly of claim 4, wherein the at least one frame channel is defined by a sealing element extending from the body. 8. The frame plate assembly of claim 4, wherein the at least one frame channel is defined in a removable corner member configured to removably attach to the body. 9. The frame plate assembly of claim 1, wherein each frame segment of the at least two frame segments further comprises at least one plate connector configured to couple the frame segment to the cell plate. 10. The frame plate assembly of claim 1, wherein the cell plate comprises at least two plate members, and wherein each frame segment of the at least two frame segments is bonded to the corresponding plate member. 11. The frame plate assembly of claim 1, wherein the cell plate is configured to float about 0.6 inches with respect to the frame assembly. 12. A method of assembling a frame plate assembly for a redox cell stack, the frame plate assembly including a cell plate and a frame assembly, the method comprising:
forming at least two frame segments of the frame assembly; coupling each frame segment of the at least two frame segments in fluidic communication to the cell plate; and interlocking adjacent frame segments such that the frame assembly at least partially surrounds a perimeter of the cell plate. 13. The method of claim 12, wherein forming the at least two frame segments further includes injection molding each frame segment. 14. The method of claim 13 further comprising post machining each frame segment. 15. The method of claim 12, wherein forming the at least two frame segments further includes compression molding each frame segment. 16. The method of claim 12, wherein forming the at least two frame segments further includes additively manufacturing each frame segment. 17. The method of claim 12 further comprising:
stacking two or more frame plate assemblies; and
coupling each frame plate assembly of the two or more frame plate assemblies in fluidic communication, wherein the stack of two or more frame plate assemblies form at least a portion of the redox cell stack. 18. The method of claim 12 further comprising:
forming at least one removable corner member; and
coupling the at least one removable corner member to each frame segment. | 3,600 |
348,336 | 16,643,828 | 1,771 | A highly wear-resistant plant genetic lubricant oil additive, a preparation method and an application thereof are disclosed. The additive includes 90-97% of a plant base oil and 3-10% of an aerogel. The plant base oil is prepared from a mixed plant oil of soybean oil, rapeseed oil, sesame oil, peanut oil, olive oil, castor seed oil, salad oil, sunflower seed oil and tung oil. The highly wear-resistant plant genetic lubricant oil additive is added to various lubricant oils for being used to devices, and a colloidal substance is generated after the devices are driven and heat is generated, so that the lubricant oils are firmly adhered to the surface of components. The lubricant oil additive not only has characteristics of high abrasion resistance, high and low temperature resistance, and oxidation resistance, but also the used lubricant oil can be regenerated and recycled after being recovered and filtered. | 1. A highly wear-resistant plant genetic lubricant oil additive, which comprises by volume percentage:
92-97% of a plant base oil prepared from a mixed plant oil of soybean oil, rapeseed oil, sesame oil, peanut oil, olive oil, castor seed oil, salad oil, sunflower seed oil and tung oil; and 3-8% of an aerogel. 2. The highly wear-resistant plant genetic lubricant oil additive, as recited in claim 1, wherein the aerogel is a porous material with a pore diameter in a range of 50-150 nm. 3. The highly wear-resistant plant genetic lubricant oil additive, as recited in claim 1, wherein the mixed plant oil comprises 5-15% of the soybean oil, 5-15% of the rapeseed oil, 5-15% of the sesame oil, 5-20% of the peanut oil, 5-15% of the olive oil, 5-20% of the castor seed oil, 5-15% of the salad oil, 5-20% of the sunflower seed oil, and 5-8% of the tung oil by volume percentage. 4. The highly wear-resistant plant genetic lubricant oil additive, as recited in claim 1, wherein the mixed plant oil is prepared by a method comprising steps of:
(1) preparing a synthetic plant oil, which comprises: pouring the soybean oil, the rapeseed oil, the sesame oil, the peanut oil, the olive oil, the castor seed oil, the salad oil and the sunflower seed oil with the volume percentage into a dispersing machine, stirring for 8-12 min at a rotational speed of 1000 rpm, and then stirring for 8-12 min at a rotational speed of 2000 rpm, and then stirring for 12-20 min at a rotational speed of 3000 rpm for sufficiently evenly stirring, and obtaining the synthetic plant oil; (2) performing a first reaction, which comprises: adding the ting oil with the volume percentage to the synthetic plant oil obtained by the step (1), heating to 75-85° C., dispersing and stirring at the rotational speed of 3000 rpm for 25-35 min, and obtaining a first reacted plant oil; (3) performing a first freeze, which comprises: pouring the first reacted plant oil into a container, placing into a cold storage with a temperature in a range of −55° C.-−65° C. after naturally cooling, freezing for 70-75 h, and obtaining a first frozen plant oil; (4) performing a second reaction, which comprises: pouring into the dispersing machine after unfreezing the first frozen plant oil, heating to 45-55° C., stirring at the rotational speed of 2000 rpm for 25-35 min, and obtaining a second reacted plant oil; and (5) performing a second freeze, which comprises: pouring the second reacted plant oil into an iron drum, placing into the cold storage with a temperature in a range of −75° C.-−85° C. after naturally cooling, freezing for 140-145 h again, and obtaining the mixed plant oil. 5. The highly wear-resistant plant genetic lubricant oil additive, as recited in claim 1, wherein the plant base oil is prepared by a method comprising steps of placing into a sealed reactor with at least one exhaust pipe after unfreezing the mixed plant oil, heating to 790-810° C., placing a vessel at a joint of the exhaust pipe, and discharging oil fume into the vessel, wherein the oil fume is the plant base oil. 6. The highly wear-resistant plant genetic lubricant oil additive, as recited in claim 5, wherein there are two exhaust pipes both of which are 70-90 cm away from ground. 7. A preparation method of a highly wear-resistant plant genetic lubricant oil additive, wherein:
the plant genetic lubricant oil additive comprises by volume percentage: 92-97% of a plant base oil prepared from a mixed plant oil of soybean oil, rapeseed oil, sesame oil, peanut oil, olive oil, castor seed oil, salad oil, sunflower seed oil and tung oil; and 3-8% of an aerogel; the preparation method comprises steps of: (A) performing an extraction after placing the plant base oil into a gene extraction tank, and obtaining a plant gene oil; (B) placing the plant gene oil obtained by the step (A) into a dispersing machine, adding the aerogel with the volume percentage to the plant gene oil, heating to 90-110° C., stirring at a rotational speed of 3000 rpm for 55-65 min, and obtaining an intermediate product; (C) placing into a cold storage with a temperature in a range of −75° C.-−85° C. after naturally cooling the intermediate product obtained by the step (B), freezing for 140-145 h, and obtaining a frozen product; (D) taking out the frozen product obtained by the step rally unfreezing, placing into the dispersing machine, heating to 175-185° C., stirring at a rotational speed of 1000 rpm for 8-12 min, and then stirring at a rotational speed of 2000 rpm for 15-25 min, and then stifling at the rotational speed of 3000 rpm for 25-35 min, and obtaining a stirred product; and (E) canning after taking out the stirred product, and obtaining the highly wear-resistant plant genetic lubricant oil additive. 8. (canceled) 9. The preparation method, as recited in claim 7, wherein: the plant base oil is prepared by a method comprising steps of placing into a sealed reactor with at least one exhaust pipe after unfreezing the mixed plant oil, heating to 790-810° C., placing a vessel at a joint of the exhaust pipe, and discharging oil fume into the vessel, wherein the oil fume is the plant base oil. 10. The preparation method, as recited in claim 9, wherein the mixed plant oil is prepared by a method comprising steps of:
(1) preparing a synthetic plant oil, which comprises: pouring the soybean oil, the rapeseed oil, the sesame oil, the peanut oil, the olive oil, the castor seed oil, the salad oil and the sunflower seed oil with the volume percentage into the dispersing machine, stirring for 8-12 min at the rotational speed of 1000 rpm, and then stirring for 8-12 min at the rotational speed of 2000 rpm, and then stirring for 12-20 min at the rotational speed of 3000 rpm for sufficiently evenly stirring, and obtaining the synthetic plant oil; (2) performing a first reaction, which comprises: adding the tong oil with the volume percentage to the synthetic plant oil obtained by the step (1), heating to 75-85° C., dispersing and stirring at the rotational speed of 3000 rpm for 25-35 min, and obtaining a first reacted plant oil; (3) performing a first freeze, which comprises: pouring the first reacted plant oil into a container, placing into the cold storage with a temperature in a range of −55° C.-−65° C. after naturally cooling, freezing for 70-75 h, and obtaining a first frozen plant oil; (4) performing a second reaction, which comprises: pouring into the dispersing machine after unfreezing the first frozen plant oil, heating to 45-55° C., stirring at the rotational speed of 2000 rpm for 25-35 min, and obtaining a second reacted plant oil; and (5) performing a second freeze, which comprises: pouring the second reacted plant oil into an iron drum, placing into the cold storage with the temperature in the range of −75° C.-−85° C. after naturally cooling, freezing for 140-145 h again, and obtaining the mixed plant oil. 11. A lubricant oil for machinery and transportation, which comprises a highly wear-resistant plant genetic lubricant additive, wherein the plant genetic lubricant oil additive comprises by volume percentage:
92-97% of a plant base oil prepared from a mixed plant oil of soybean oil, rapeseed oil, sesame oil, peanut oil, olive oil, castor seed oil, salad oil, sunflower seed oil and tong oil; and 3-8% of an aerogel. 12. The lubricant oil, as recited in claim 11, wherein: the aerogel is a porous material with a pore diameter in a range of 50-150 nm. 13. The lubricant oil, as recited in claim 12, wherein the mixed plant oil comprises 5-15% of the soybean oil, 5-15% of the rapeseed oil, 5-15% of the sesame oil, 5-20% of the peanut oil, 5-15% of the olive oil. 5-20% of the castor seed oil, 5-15% of the salad oil, 5-20% of the sunflower seed oil, and 5-8% of the tung oil by volume percentage. | A highly wear-resistant plant genetic lubricant oil additive, a preparation method and an application thereof are disclosed. The additive includes 90-97% of a plant base oil and 3-10% of an aerogel. The plant base oil is prepared from a mixed plant oil of soybean oil, rapeseed oil, sesame oil, peanut oil, olive oil, castor seed oil, salad oil, sunflower seed oil and tung oil. The highly wear-resistant plant genetic lubricant oil additive is added to various lubricant oils for being used to devices, and a colloidal substance is generated after the devices are driven and heat is generated, so that the lubricant oils are firmly adhered to the surface of components. The lubricant oil additive not only has characteristics of high abrasion resistance, high and low temperature resistance, and oxidation resistance, but also the used lubricant oil can be regenerated and recycled after being recovered and filtered.1. A highly wear-resistant plant genetic lubricant oil additive, which comprises by volume percentage:
92-97% of a plant base oil prepared from a mixed plant oil of soybean oil, rapeseed oil, sesame oil, peanut oil, olive oil, castor seed oil, salad oil, sunflower seed oil and tung oil; and 3-8% of an aerogel. 2. The highly wear-resistant plant genetic lubricant oil additive, as recited in claim 1, wherein the aerogel is a porous material with a pore diameter in a range of 50-150 nm. 3. The highly wear-resistant plant genetic lubricant oil additive, as recited in claim 1, wherein the mixed plant oil comprises 5-15% of the soybean oil, 5-15% of the rapeseed oil, 5-15% of the sesame oil, 5-20% of the peanut oil, 5-15% of the olive oil, 5-20% of the castor seed oil, 5-15% of the salad oil, 5-20% of the sunflower seed oil, and 5-8% of the tung oil by volume percentage. 4. The highly wear-resistant plant genetic lubricant oil additive, as recited in claim 1, wherein the mixed plant oil is prepared by a method comprising steps of:
(1) preparing a synthetic plant oil, which comprises: pouring the soybean oil, the rapeseed oil, the sesame oil, the peanut oil, the olive oil, the castor seed oil, the salad oil and the sunflower seed oil with the volume percentage into a dispersing machine, stirring for 8-12 min at a rotational speed of 1000 rpm, and then stirring for 8-12 min at a rotational speed of 2000 rpm, and then stirring for 12-20 min at a rotational speed of 3000 rpm for sufficiently evenly stirring, and obtaining the synthetic plant oil; (2) performing a first reaction, which comprises: adding the ting oil with the volume percentage to the synthetic plant oil obtained by the step (1), heating to 75-85° C., dispersing and stirring at the rotational speed of 3000 rpm for 25-35 min, and obtaining a first reacted plant oil; (3) performing a first freeze, which comprises: pouring the first reacted plant oil into a container, placing into a cold storage with a temperature in a range of −55° C.-−65° C. after naturally cooling, freezing for 70-75 h, and obtaining a first frozen plant oil; (4) performing a second reaction, which comprises: pouring into the dispersing machine after unfreezing the first frozen plant oil, heating to 45-55° C., stirring at the rotational speed of 2000 rpm for 25-35 min, and obtaining a second reacted plant oil; and (5) performing a second freeze, which comprises: pouring the second reacted plant oil into an iron drum, placing into the cold storage with a temperature in a range of −75° C.-−85° C. after naturally cooling, freezing for 140-145 h again, and obtaining the mixed plant oil. 5. The highly wear-resistant plant genetic lubricant oil additive, as recited in claim 1, wherein the plant base oil is prepared by a method comprising steps of placing into a sealed reactor with at least one exhaust pipe after unfreezing the mixed plant oil, heating to 790-810° C., placing a vessel at a joint of the exhaust pipe, and discharging oil fume into the vessel, wherein the oil fume is the plant base oil. 6. The highly wear-resistant plant genetic lubricant oil additive, as recited in claim 5, wherein there are two exhaust pipes both of which are 70-90 cm away from ground. 7. A preparation method of a highly wear-resistant plant genetic lubricant oil additive, wherein:
the plant genetic lubricant oil additive comprises by volume percentage: 92-97% of a plant base oil prepared from a mixed plant oil of soybean oil, rapeseed oil, sesame oil, peanut oil, olive oil, castor seed oil, salad oil, sunflower seed oil and tung oil; and 3-8% of an aerogel; the preparation method comprises steps of: (A) performing an extraction after placing the plant base oil into a gene extraction tank, and obtaining a plant gene oil; (B) placing the plant gene oil obtained by the step (A) into a dispersing machine, adding the aerogel with the volume percentage to the plant gene oil, heating to 90-110° C., stirring at a rotational speed of 3000 rpm for 55-65 min, and obtaining an intermediate product; (C) placing into a cold storage with a temperature in a range of −75° C.-−85° C. after naturally cooling the intermediate product obtained by the step (B), freezing for 140-145 h, and obtaining a frozen product; (D) taking out the frozen product obtained by the step rally unfreezing, placing into the dispersing machine, heating to 175-185° C., stirring at a rotational speed of 1000 rpm for 8-12 min, and then stirring at a rotational speed of 2000 rpm for 15-25 min, and then stifling at the rotational speed of 3000 rpm for 25-35 min, and obtaining a stirred product; and (E) canning after taking out the stirred product, and obtaining the highly wear-resistant plant genetic lubricant oil additive. 8. (canceled) 9. The preparation method, as recited in claim 7, wherein: the plant base oil is prepared by a method comprising steps of placing into a sealed reactor with at least one exhaust pipe after unfreezing the mixed plant oil, heating to 790-810° C., placing a vessel at a joint of the exhaust pipe, and discharging oil fume into the vessel, wherein the oil fume is the plant base oil. 10. The preparation method, as recited in claim 9, wherein the mixed plant oil is prepared by a method comprising steps of:
(1) preparing a synthetic plant oil, which comprises: pouring the soybean oil, the rapeseed oil, the sesame oil, the peanut oil, the olive oil, the castor seed oil, the salad oil and the sunflower seed oil with the volume percentage into the dispersing machine, stirring for 8-12 min at the rotational speed of 1000 rpm, and then stirring for 8-12 min at the rotational speed of 2000 rpm, and then stirring for 12-20 min at the rotational speed of 3000 rpm for sufficiently evenly stirring, and obtaining the synthetic plant oil; (2) performing a first reaction, which comprises: adding the tong oil with the volume percentage to the synthetic plant oil obtained by the step (1), heating to 75-85° C., dispersing and stirring at the rotational speed of 3000 rpm for 25-35 min, and obtaining a first reacted plant oil; (3) performing a first freeze, which comprises: pouring the first reacted plant oil into a container, placing into the cold storage with a temperature in a range of −55° C.-−65° C. after naturally cooling, freezing for 70-75 h, and obtaining a first frozen plant oil; (4) performing a second reaction, which comprises: pouring into the dispersing machine after unfreezing the first frozen plant oil, heating to 45-55° C., stirring at the rotational speed of 2000 rpm for 25-35 min, and obtaining a second reacted plant oil; and (5) performing a second freeze, which comprises: pouring the second reacted plant oil into an iron drum, placing into the cold storage with the temperature in the range of −75° C.-−85° C. after naturally cooling, freezing for 140-145 h again, and obtaining the mixed plant oil. 11. A lubricant oil for machinery and transportation, which comprises a highly wear-resistant plant genetic lubricant additive, wherein the plant genetic lubricant oil additive comprises by volume percentage:
92-97% of a plant base oil prepared from a mixed plant oil of soybean oil, rapeseed oil, sesame oil, peanut oil, olive oil, castor seed oil, salad oil, sunflower seed oil and tong oil; and 3-8% of an aerogel. 12. The lubricant oil, as recited in claim 11, wherein: the aerogel is a porous material with a pore diameter in a range of 50-150 nm. 13. The lubricant oil, as recited in claim 12, wherein the mixed plant oil comprises 5-15% of the soybean oil, 5-15% of the rapeseed oil, 5-15% of the sesame oil, 5-20% of the peanut oil, 5-15% of the olive oil. 5-20% of the castor seed oil, 5-15% of the salad oil, 5-20% of the sunflower seed oil, and 5-8% of the tung oil by volume percentage. | 1,700 |
348,337 | 16,805,836 | 1,771 | A droplet catcher system of an EUV lithography apparatus is provided. The droplet catcher system includes a catcher body, a heat transfer part, a heat exchanger, and a controller. The catcher body has an outer surface. The heat transfer part is directly attached to the outer surface of the catcher body. The heat exchanger is thermally coupled to the heat transfer part. The controller is electrically coupled to the heat exchanger. | 1. A droplet catcher system of an EUV lithography apparatus, comprising:
a catcher body; a first heat transfer part, directly attached to the catcher body; a second heat transfer part, directly attached to the catcher body; a heat exchanger, thermally coupled to the second heat transfer part; and a controller, electrically coupled to the heat exchanger and the first heat transfer part. 2. The droplet catcher system of the EUV lithography apparatus as claimed in claim 1, wherein the first heat transfer part comprises an electrical heater. 3. The droplet catcher system of the EUV lithography apparatus as claimed in claim 1, wherein the catcher body comprises a casing, wherein the first heat transfer part and the second heat transfer part are directly attached to the casing. 4. The droplet catcher system of the EUV lithography apparatus as claimed in claim 1, wherein the second heat transfer part comprises a pipe filled with a heat transfer fluid. 5. The droplet catcher system of the EUV lithography apparatus as claimed in claim 4, further comprising a tank and conduits, wherein the tank is in fluid communication with the pipe through the conduits. 6. The droplet catcher system of the EUV lithography apparatus as claimed in claim 5, wherein the heat exchanger is thermally coupled to at least one of the conduits and the tank. 7. The droplet catcher system of the EUV lithography apparatus as claimed in claim 1, wherein at least one of the first heat transfer part and the second heat transfer part is embedded in the catcher body. 8. The droplet catcher system of the EUV lithography apparatus as claimed in claim 1, wherein the catcher body comprises a tube portion and a flange connected to the tube portion, the flange keeps a distance from an open end of the tube portion, and at least one of the first heat transfer part and the second heat transfer part extends to be positioned between the open end and the flange. 9. The droplet catcher system of the EUV lithography apparatus as claimed in claim 1, further comprising a temperature sensor dispositioned on the catcher body. 10. The droplet catcher system of the EUV lithography apparatus as claimed in claim 1, wherein at least one of the first heat transfer part and the second heat transfer part winds along an outer surface of the catcher body. 11. A droplet catcher system of an EUV lithography apparatus, comprising:
a catcher body having an outer surface; a heat transfer part, directly attached to the outer surface of the catcher body; a heat exchanger, thermally coupled to the heat transfer part; and a controller, electrically coupled to the heat exchanger. 12. The droplet catcher system of the EUV lithography apparatus as claimed in claim 9, wherein the heat exchanger further comprises a heater module and a heat dissipating module, the heater module and the heat dissipating module are in thermal coupled to the heat transfer part, and the controller is adapted to independently drive the heater module and the heat dissipating module. 13. The droplet catcher system of the EUV lithography apparatus as claimed in claim 9, wherein the heat transfer part comprises a heat pipe. 14. The droplet catcher system of the EUV lithography apparatus as claimed in claim 9, wherein the heat transfer part comprises a pipe filled with a heat transfer fluid. 15. A maintenance method of the EUV lithography apparatus, wherein the EUV lithography apparatus comprises a source vessel, a droplet generator and a droplet catcher assembly, the droplet catcher assembly comprises a catcher body and a heat transfer part attached to the catcher body, and the droplet generator and the catcher body are connected to the source vessel and aligned with each other, the maintenance method comprising:
reducing a temperature of the catcher body by flowing a heat transfer fluid through the heat transfer part; and breaking a vacuum status of the source vessel after the temperature of the catcher body is reduced to a predetermined point. 16. The maintenance method of the EUV lithography apparatus as claimed in claim 15, further comprising:
developing the vacuum status of the source vessel; and heating the catcher body by allowing the heat transfer fluid to flow through the heat transfer part. 17. The maintenance method of the EUV lithography apparatus as claimed in claim 16, wherein the heating the catcher body comprises heating the heat transfer fluid through a heater before the heat transfer fluid flows into the heat transfer part. 18. The maintenance method of the EUV lithography apparatus as claimed in claim 17, wherein the reducing the temperature of the catcher body comprises flowing the heat transfer fluid through the heat transfer part with the heater turned off. 19. The maintenance method of the EUV lithography apparatus as claimed in claim 15, wherein the flowing the heat transfer fluid through the heat transfer part comprises inputting the heat transfer fluid from a tank into an inlet of the heat transfer part, and outputting the heat transfer fluid from an outlet of the heat transfer part to the tank. 20. The maintenance method of the EUV lithography apparatus as claimed in claim 15, further performing at least one of following operations after the temperature of the catcher body is reduced to the predetermined point: a droplet generator cold refill, a droplet generator cold swap, a droplet generator warm swap. | A droplet catcher system of an EUV lithography apparatus is provided. The droplet catcher system includes a catcher body, a heat transfer part, a heat exchanger, and a controller. The catcher body has an outer surface. The heat transfer part is directly attached to the outer surface of the catcher body. The heat exchanger is thermally coupled to the heat transfer part. The controller is electrically coupled to the heat exchanger.1. A droplet catcher system of an EUV lithography apparatus, comprising:
a catcher body; a first heat transfer part, directly attached to the catcher body; a second heat transfer part, directly attached to the catcher body; a heat exchanger, thermally coupled to the second heat transfer part; and a controller, electrically coupled to the heat exchanger and the first heat transfer part. 2. The droplet catcher system of the EUV lithography apparatus as claimed in claim 1, wherein the first heat transfer part comprises an electrical heater. 3. The droplet catcher system of the EUV lithography apparatus as claimed in claim 1, wherein the catcher body comprises a casing, wherein the first heat transfer part and the second heat transfer part are directly attached to the casing. 4. The droplet catcher system of the EUV lithography apparatus as claimed in claim 1, wherein the second heat transfer part comprises a pipe filled with a heat transfer fluid. 5. The droplet catcher system of the EUV lithography apparatus as claimed in claim 4, further comprising a tank and conduits, wherein the tank is in fluid communication with the pipe through the conduits. 6. The droplet catcher system of the EUV lithography apparatus as claimed in claim 5, wherein the heat exchanger is thermally coupled to at least one of the conduits and the tank. 7. The droplet catcher system of the EUV lithography apparatus as claimed in claim 1, wherein at least one of the first heat transfer part and the second heat transfer part is embedded in the catcher body. 8. The droplet catcher system of the EUV lithography apparatus as claimed in claim 1, wherein the catcher body comprises a tube portion and a flange connected to the tube portion, the flange keeps a distance from an open end of the tube portion, and at least one of the first heat transfer part and the second heat transfer part extends to be positioned between the open end and the flange. 9. The droplet catcher system of the EUV lithography apparatus as claimed in claim 1, further comprising a temperature sensor dispositioned on the catcher body. 10. The droplet catcher system of the EUV lithography apparatus as claimed in claim 1, wherein at least one of the first heat transfer part and the second heat transfer part winds along an outer surface of the catcher body. 11. A droplet catcher system of an EUV lithography apparatus, comprising:
a catcher body having an outer surface; a heat transfer part, directly attached to the outer surface of the catcher body; a heat exchanger, thermally coupled to the heat transfer part; and a controller, electrically coupled to the heat exchanger. 12. The droplet catcher system of the EUV lithography apparatus as claimed in claim 9, wherein the heat exchanger further comprises a heater module and a heat dissipating module, the heater module and the heat dissipating module are in thermal coupled to the heat transfer part, and the controller is adapted to independently drive the heater module and the heat dissipating module. 13. The droplet catcher system of the EUV lithography apparatus as claimed in claim 9, wherein the heat transfer part comprises a heat pipe. 14. The droplet catcher system of the EUV lithography apparatus as claimed in claim 9, wherein the heat transfer part comprises a pipe filled with a heat transfer fluid. 15. A maintenance method of the EUV lithography apparatus, wherein the EUV lithography apparatus comprises a source vessel, a droplet generator and a droplet catcher assembly, the droplet catcher assembly comprises a catcher body and a heat transfer part attached to the catcher body, and the droplet generator and the catcher body are connected to the source vessel and aligned with each other, the maintenance method comprising:
reducing a temperature of the catcher body by flowing a heat transfer fluid through the heat transfer part; and breaking a vacuum status of the source vessel after the temperature of the catcher body is reduced to a predetermined point. 16. The maintenance method of the EUV lithography apparatus as claimed in claim 15, further comprising:
developing the vacuum status of the source vessel; and heating the catcher body by allowing the heat transfer fluid to flow through the heat transfer part. 17. The maintenance method of the EUV lithography apparatus as claimed in claim 16, wherein the heating the catcher body comprises heating the heat transfer fluid through a heater before the heat transfer fluid flows into the heat transfer part. 18. The maintenance method of the EUV lithography apparatus as claimed in claim 17, wherein the reducing the temperature of the catcher body comprises flowing the heat transfer fluid through the heat transfer part with the heater turned off. 19. The maintenance method of the EUV lithography apparatus as claimed in claim 15, wherein the flowing the heat transfer fluid through the heat transfer part comprises inputting the heat transfer fluid from a tank into an inlet of the heat transfer part, and outputting the heat transfer fluid from an outlet of the heat transfer part to the tank. 20. The maintenance method of the EUV lithography apparatus as claimed in claim 15, further performing at least one of following operations after the temperature of the catcher body is reduced to the predetermined point: a droplet generator cold refill, a droplet generator cold swap, a droplet generator warm swap. | 1,700 |
348,338 | 16,643,814 | 1,771 | Presented herein are systems and methods of producing “universal” and/or “off-the-shelf” CAR-T compositions suitable for cancer therapy to be administered to one or more individuals. A CAR-T composition is a composition comprising one or more types of chimeric antigen receptor T cells (CAR-T). The iPSCs and/or cell lines, and any iPSC-derived CAR-T compositions derived therefrom, are identified as compatible with one or more individuals using an identification of a cell type indicative of compatibility (e.g., HLA match and/or ABO blood match and/or RHD blood match). The compatible cells are then retrieved from a managed HLA-indexed (and/or otherwise indexed) repository or are derived from a biological sample of a donor. The retrieved compatible cells are then used to derive iPSC-derived CAR-T compositions, wherein the derived compositions are suitable for therapy of one or more individuals. | 1. A method of manufacturing an induced pluripotent stem cell (iPSC)-derived chimeric antigen receptor T cell (CAR-T) composition tailored for treatment of a particular subject or particular group of subjects, said method comprising the steps of:
(a) identifying, as compatible with the particular subject or particular group of subjects, one or more iPSCs and/or one or more iPSC-derived cells; (b) retrieving compatible cells corresponding to the one or more iPSCs and/or one or more iPSC-derived cells identified as compatible with the particular subject or particular group of subjects; and (c) producing the iPSC-derived CAR-T composition using the retrieved compatible cells. 2. The method of claim 1, wherein the compatible cells comprise one or more members selected from the group consisting of iPSCs, stem cells, blood progenitors, iPSCs, hematopoietic stem cells (HSCs), mesenchymal stem cells (MSCs), Retinal Pigment Epithelium (RPE), and embryoid bodies. 3. The method of claim 1 or claim 2, wherein step (b) comprises deriving the compatible cells from a biological sample of a donor. 4. The method of claim 2, wherein the donor is not the particular subject or a member of the particular group of subjects. 5. The method of any one of the preceding claims, wherein step (b) comprises obtaining the compatible cells from a physical repository. 6. The method of any one of the preceding claims, wherein step (b) comprises retrieving, by a processor of a computing device, one or more data entries corresponding to the compatible cells using a processor-based query from a user, wherein the query comprises an identification of a cell type indicative of compatibility with the subject. 7. The method of claim 6, wherein the identification of cell type indicative of compatibility with the particular subject or particular group of subjects comprises one or more of (i) to (iii): (i) an HLA match, (ii) an ABO blood type match, and (iii) an RHD blood group match. 8. The method of any one of the preceding claims, wherein the iPSC-derived CAR-T composition comprises the retrieved compatible cells. 9. The method of any one of the preceding claims, comprising producing T cells from the one or more iPSCs, and/or one or more iPSC-derived cells identified as compatible with the particular subject or particular group of subjects. 10. A method of manufacturing an induced pluripotent stem cell (iPSC)-derived chimeric antigen receptor T cell (CAR-T) composition tailored for treatment of a particular subject or particular group of subjects, said method comprising the steps of:
(a) storing, by a processor of a computing device, a database comprising a data entry corresponding to each of a plurality of characterized cells in a physical repository, wherein the characterized cells comprise iPSCs and/or iPSC-derived cells; (b) receiving, by the processor, a query from a user comprising an identification of a cell type of the particular subject or particular group of subjects; (c) matching, by the processor, the query to one or more data entries of the database, each of the matching data entries corresponding to each of the plurality of characterized cells having a cell type compatible with the particular subject or particular group of subjects, thereby identifying as compatible with the subject the one or more characterized cells; (d) retrieving, from a physical repository, compatible cells corresponding to the one or more characterized cells identified as compatible with the particular subject or particular group of subjects; and (e) producing the iPSC-derived CAR-T composition using the retrieved compatible cells. 11. The method of claim 11, wherein:
the data entry corresponding to each of the plurality of characterized cells comprises a set of characterized HLA loci corresponding to the cell, the query comprises a set of queried HLA loci for the particular subject or the particular group of subjects, and the one or more matched data entries of the database are each representative of one or more characterized compatible cells matching the queried HLA loci. 12. The method of claim 11, wherein the plurality of characterized cells in the physical repository are immortalized. 13. The method of claim 11 or claim 12, wherein the set of characterized HLA loci corresponding to each of the plurality of characterized cells comprises a set of at least 3 HLA loci, wherein the at least 3 HLA loci are HLA-A, HLA-B, and HLA-DRB. 14. The method of claim 11 or claim 12, wherein the set of characterized HLA loci corresponding to each of the plurality of characterized cells comprises a set of at least 9 given loci, wherein the at least 9 given loci are HLA-A, HLA-B, HLA-C, HLA-DRB1, HLA-DRB3, HLA-DRB4, HLA-DRB5, HLA-DQB1, HLA-DPB1. 15. The method of claim 13, wherein the set of characterized HLA loci corresponding to each of the plurality of characterized cells comprises at least 3 given loci selected from the group consisting of HLA-A, HLA-B, HLA-C, HLA-DRB1, HLA-DRB3, HLA-DRB4, HLA-DRB5, HLA-DQB1, and HLA-DPB1. 16. The method of any one of claims 11 to 15, wherein each of the one or more matching data entries of the database exactly match or partially match the set of queried HLA loci for the particular subject or the particular group of subjects. 17. The method of any one of claims 11 to 16, comprising determining a corresponding bar code or other identifier for the one or more characterized compatible cells corresponding to each of the retrieved matching data entries, thereby allowing retrieval of desired cells from the physical repository and/or retrieval of identifying information corresponding to the one or more characterized compatible cells matching the queried HLA loci. 18. The method of any one of claims 11 to 17, wherein the data entry for each of the plurality of characterized cells further comprises ABO blood type and the query further comprises ABO blood type, and wherein the one or more matching data entries of the database representative of the one or more characterized compatible cells match the queried HLA loci and the queried ABO blood type. 19. The method of any one of claims 11 to 18, wherein the data entry for each of the plurality of characterized cells further comprises RHD blood group and the query further comprises RHD blood group, and wherein the one or more matching data entries of the database representative of the one or more characterized compatible cells match the queried RHD blood group and the queried HLA loci. 20. The method of any one of claims 11-19, wherein the queried HLA loci correspond to the particular subject or particular group of subjects in need of an HLA matched iPSC-derived CAR-T composition. 21. The method of any one of claims 9 to 20, wherein one or more of the queried HLA loci is determined by processing and analyzing a biological sample from the particular subject in need of the HLA match. 22. The method of claim 18, wherein the queried ABO blood type, is determined by processing and analyzing a biological sample from the particular subject in need of an ABO match. 23. The method of claim 19, wherein the queried RHD blood group is determined by processing and analyzing a biological sample from the particular subject in need of a RHD blood group match. 24. The method of any one of claims 10-23, wherein the physical repository comprises one or more liquid nitrogen storage tanks. 25. The method of any one of claims 11-24, comprising producing T cells from each of the one or more characterized compatible cells corresponding to the one or more data entries matching the queried HLA loci. 26. The method of any one of claims 10 to 25, further comprising administering the iPSC-derived CAR-T composition to the particular subject or particular group of subjects. 27. The method of claim 26, wherein the administering step comprises administering the iPSC-derived CAR-T composition to the particular subject or particular group of subjects for treatment of a known disease or condition in the in the particular subject or particular group of subjects. 28. The method of claim 27, wherein the known disease or condition is a cancer. 29. The method of claim 28, wherein the known disease or condition is a cancer comprising a member selected from the group consisting of acute myeloid leukemia, acute lymphoblastic leukemia, chronic myeloid leukemia, chronic lymphocytic leukemia, myeloproliferative disorders, myelodysplastic syndromes, multiple myeloma, non-Hodgkin lymphoma, Hodgkin disease, aplastic anemia, pure red-cell aplasia, paroxysmal nocturnal hemoglobinuria, Fanconi anemia, thalassemia major, sickle cell anemia, severe combined immunodeficiency (SCID), Wiskott-Aldrich syndrome, hemophagocytic lymphohistiocytosis, inborn errors of metabolism, epidermolysis bullosa, severe congenital neutropenia, Shwachman-Diamond syndrome, Diamond-Blackfan anemia, and leukocyte adhesion deficiency. 30. The method of any one of claims 11-29, wherein the database comprises a data entry corresponding to each of a plurality of iPSC super donor cell lines, wherein the data entry for each super donor cell line comprises a set of characterized HLA loci corresponding to the super donor cell line. 31. The method of claim 30, wherein each of the plurality of iPSC super donor cell lines can be used for treatment of a particular subject or particular group of subjects having matching HLA loci with lower risk of immune rejection by the particular subject or particular group of subjects. 32. The method of claim 30 or claim 31, further comprising determining the set of characterized HLA loci corresponding to each of the plurality of super donor cell lines by processing and analyzing one or more biological samples collected from each of one or more super donor individuals. 33. The method of claim 32, wherein the step of determining the set of characterized HLA loci corresponding to each of the plurality of super donor cell lines comprises identifying a set of at least 3 HLA loci, wherein the at least 3 HLA loci are HLA-A, HLA-B, and HLA-DRB. 34. The method of claim 32, wherein the step of determining the set of characterized HLA loci corresponding to each of the plurality of the super donor cell lines comprises identifying a set of at least 9 HLA loci, wherein the at least 9 HLA loci are HLA-A, HLA-B, HLA-C, HLA-DRB1, HLA-DRB3, HLA-DRB4, HLA-DRB5, HLA-DQB1, and HLA-DPB1. 35. The method of claim 34, wherein the set of characterized HLA loci corresponding to each of the plurality of the super donor cell lines comprises at least 3 HLA loci selected from the group consisting of HLA-A, HLA-B, HLA-C, HLA-DRB1, HLA-DRB3, HLA-DRB4, HLA-DRB5, HLA-DQB1, and HLA-DPB1. 36. The method of any one of claims 30 to 35, wherein the set of characterized HLA loci corresponding to each of the plurality of the super donor cell lines are homozygous for HLA-A, HLA-B, and DRB-1. 37. The method of claim 36, wherein the homozygous set of characterized HLA loci belong to a set of most-common HLA loci for a given population that matches a majority of the given population. 38. The method of any one of claims 30-37, wherein the homozygous set of characterized HLA loci comprise homozygous HLA loci in at least 3 major sites, wherein the major sites are members selected from the group consisting of HLA-A, HLA-B, HLA-C, HLA-DRB1, HLA-DRB3, HLA-DRB4, HLA-DRB5, HLA-DQB1, and HLA-DPB1. 39. The method of any one of claims 30-38, wherein the plurality of iPSC super donor cell lines match at least 70% of the population from which the particular subject originates. 40. The method of any one of claims 30-39, wherein the iPSC-derived CAR-T composition is produced using one of the plurality of iPSC super donor cell lines. 41. The method of any one of claims 30-39, comprising exposing the iPSC super donor cell line used to produce the iPSC-derived CAR-T composition to culture media. 42. The method of claim 41, wherein the iPSC-derived CAR-T composition comprises the plurality of compatible T cell lines or the plurality of iPSC super donor cell lines. 43. A composition of matter comprising an iPSC-derived CAR-T composition comprising one or more desired T cells, wherein the composition is produced by the method of any one of the preceding claims. 44. The composition of claim 43, wherein the iPSC-derived CAR-T composition comprises iPSCs and/or iPSC-derived cells derived from a biological sample of a particular subject. 45. The composition of claim 44, wherein the iPSC-derived CAR-T composition is produced using the iPSCs and/or iPSC-derived cells derived from a biological sample of the subject. 46. The composition of any one of claims 43 to 45, wherein the iPSC-derived CAR-T composition comprises compatible cells from a physical repository, wherein the compatible cells are identified as compatible to the particular subject or a particular group of subjects. 47. The composition of claim 46, wherein the compatible cells are identified as compatible with the particular subject or the particular group of subjects using an identification of cell type indicative of compatibility with the particular subject or particular group of subjects, wherein the identification of cell type indicative of compatibility comprises one or more of (i) to (iii): (i) an HLA match, (ii) an ABO blood type match, and (iii) an RHD blood group match having the same HLA loci, and/or ABO blood type, and/or RHD blood group as the particular subject or the particular group of subjects. 48. A method of storing a iPSC-derived CAR-T composition tailored for treatment of a particular subject or particular group of subjects, said method comprising the steps of:
(a) identifying, by a processor of a computing device, as compatible with the particular subject or particular group of subjects, one or more iPSC-derived CAR-T compositions derived using compatible cells corresponding to the one or more iPSCs and/or one or more iPSC-derived cells identified as compatible with the particular subject or particular group of subjects; (b) labelling, by a processor of a computing device, the one or more iPSC-derived CAR-T compositions with a label, wherein the label comprises information relating to the one or more iPSCs and/or one or more iPSC-derived cells, and a classification of the one or more iPSCs and/or one or more iPSC-derived cells the iPSC-derived CAR-T composition is derived from; and (c) storing, by a processor of a computing device, a database comprising a data entry corresponding to each label in a physical repository. 49. The method of claim 48, wherein the classification of the one or more iPSCs and/or iPSC-derived cells comprises one or more of (i) to (iii): (i) HLA loci, (ii) ABO blood type, and (iii) RHD blood group. 50. The method of claim 48 or claim 49, wherein the label is a physical label and/or digital label. 51. The method of any one of claims 48 to 50, wherein the label comprises information relating to one or more of (i) to (iii) as follows:
(i) the one or more iPSCs and/or one or more iPSC-derived cells the iPSC-derived CAR-T composition is derived from;
(ii) one or more HLA loci, and/or ABO blood type, and/or RHD blood group compatible with the labeled iPSC-derived CAR-T composition; and
(iii) one or more iPSC-derived CAR-T compositions stored in the physical repository that are compatible with the particular subject or group of subjects, wherein the HLA loci, and/or ABO blood type, and/or RHD blood group of the one or more iPSC-derived CAR-T compositions are identical to or match the HLA loci, and/or ABO blood type, and/or RHD blood group of the one or more iPSCs and/or one or more iPSC-derived cells. 52. A method of retrieving one or more produced, labeled and stored iPSC-derived CAR-T compositions derived using iPSCs and/or iPSC-derived cells, said method comprising the steps of:
(a) identifying, by a processor of a computing device, as compatible with a particular subject or particular group of subjects, one or more iPSC-derived CAR-T compositions derived using one or more iPSCs and/or iPSC-derived cells identified as compatible with the particular subject or particular group of subjects; (b) retrieving, from a physical repository, the one or more compatible iPSC-derived CAR-T compositions corresponding to the one or more iPSCs and/or one or more iPSC-derived cells identified as compatible with the particular subject or particular group of subjects; and (c) updating, by a processor of a computing device, a database comprising data entries corresponding to the particular subject or particular group of subjects. 53. The method of claim 52, wherein the retrieved iPSC-derived CAR-T compositions is administered as treatment to the particular subject or particular group of subjects. 54. A method of administering an iPSC-derived CAR-T composition tailored for treatment of a particular subject or particular group of subjects, said method comprising the steps of:
(a) identifying, by a processor of a computing device, the particular subject or particular group of subjects, as having low lymphocyte numbers or poor lymphocyte quality; (b) identifying, by the processor, as compatible with the particular subject or particular group of subjects, one or more iPSCs and/or one or more iPSC-derived cells; (c) retrieving compatible cells corresponding to the one or more iPSCs and/or one or more iPSC-derived cells identified as compatible with the particular subject or particular group of subjects; (d) producing the iPSC-derived CAR-T composition using the retrieved compatible cells; and (e) administering to the particular subject or particular group of subjects the iPSC-derived CAR-T composition. 55. The method of claim 54, wherein step (c) comprises obtaining the compatible cells from a physical repository. 56. The method of claim 54 or 55, wherein step (c) comprises retrieving the compatible cells using a processor-based query from a user, wherein the query comprises an identification of a cell type indicative of compatibility with the particular subject or particular group of subjects. 57. The method of claim 56, wherein the identification of cell type indicative of compatibility with the particular subject or particular group of subjects comprises one or more of (i) to (iii): (i) an HLA match, (ii) an ABO blood type match, and (iii) an RHD blood group match. 58. The method of any one of claims 54-57, wherein step (b) comprises identifying, one or more stored and labeled iPSC-derived CAR-T compositions within the physical repository derived using one or more iPSCs and/or one or more iPSC-derived cells identified as compatible with the particular subject or particular group of subjects. 59. The method of any one of claims 54-58, wherein step (c) comprises retrieving, the one or more identified iPSC-derived CAR-T compositions corresponding to the one or more iPS cells and/or one or more iPSC-derived cells identified as compatible with the particular subject or particular group of subjects. 60. The method of any one of claims 54-59, wherein the compatible cells are engineered using CRISPR/Cas9 technology. 61. The method of claim 60, wherein step (d) comprises producing the iPSC-derived CAR-T composition using the engineered compatible cells. | Presented herein are systems and methods of producing “universal” and/or “off-the-shelf” CAR-T compositions suitable for cancer therapy to be administered to one or more individuals. A CAR-T composition is a composition comprising one or more types of chimeric antigen receptor T cells (CAR-T). The iPSCs and/or cell lines, and any iPSC-derived CAR-T compositions derived therefrom, are identified as compatible with one or more individuals using an identification of a cell type indicative of compatibility (e.g., HLA match and/or ABO blood match and/or RHD blood match). The compatible cells are then retrieved from a managed HLA-indexed (and/or otherwise indexed) repository or are derived from a biological sample of a donor. The retrieved compatible cells are then used to derive iPSC-derived CAR-T compositions, wherein the derived compositions are suitable for therapy of one or more individuals.1. A method of manufacturing an induced pluripotent stem cell (iPSC)-derived chimeric antigen receptor T cell (CAR-T) composition tailored for treatment of a particular subject or particular group of subjects, said method comprising the steps of:
(a) identifying, as compatible with the particular subject or particular group of subjects, one or more iPSCs and/or one or more iPSC-derived cells; (b) retrieving compatible cells corresponding to the one or more iPSCs and/or one or more iPSC-derived cells identified as compatible with the particular subject or particular group of subjects; and (c) producing the iPSC-derived CAR-T composition using the retrieved compatible cells. 2. The method of claim 1, wherein the compatible cells comprise one or more members selected from the group consisting of iPSCs, stem cells, blood progenitors, iPSCs, hematopoietic stem cells (HSCs), mesenchymal stem cells (MSCs), Retinal Pigment Epithelium (RPE), and embryoid bodies. 3. The method of claim 1 or claim 2, wherein step (b) comprises deriving the compatible cells from a biological sample of a donor. 4. The method of claim 2, wherein the donor is not the particular subject or a member of the particular group of subjects. 5. The method of any one of the preceding claims, wherein step (b) comprises obtaining the compatible cells from a physical repository. 6. The method of any one of the preceding claims, wherein step (b) comprises retrieving, by a processor of a computing device, one or more data entries corresponding to the compatible cells using a processor-based query from a user, wherein the query comprises an identification of a cell type indicative of compatibility with the subject. 7. The method of claim 6, wherein the identification of cell type indicative of compatibility with the particular subject or particular group of subjects comprises one or more of (i) to (iii): (i) an HLA match, (ii) an ABO blood type match, and (iii) an RHD blood group match. 8. The method of any one of the preceding claims, wherein the iPSC-derived CAR-T composition comprises the retrieved compatible cells. 9. The method of any one of the preceding claims, comprising producing T cells from the one or more iPSCs, and/or one or more iPSC-derived cells identified as compatible with the particular subject or particular group of subjects. 10. A method of manufacturing an induced pluripotent stem cell (iPSC)-derived chimeric antigen receptor T cell (CAR-T) composition tailored for treatment of a particular subject or particular group of subjects, said method comprising the steps of:
(a) storing, by a processor of a computing device, a database comprising a data entry corresponding to each of a plurality of characterized cells in a physical repository, wherein the characterized cells comprise iPSCs and/or iPSC-derived cells; (b) receiving, by the processor, a query from a user comprising an identification of a cell type of the particular subject or particular group of subjects; (c) matching, by the processor, the query to one or more data entries of the database, each of the matching data entries corresponding to each of the plurality of characterized cells having a cell type compatible with the particular subject or particular group of subjects, thereby identifying as compatible with the subject the one or more characterized cells; (d) retrieving, from a physical repository, compatible cells corresponding to the one or more characterized cells identified as compatible with the particular subject or particular group of subjects; and (e) producing the iPSC-derived CAR-T composition using the retrieved compatible cells. 11. The method of claim 11, wherein:
the data entry corresponding to each of the plurality of characterized cells comprises a set of characterized HLA loci corresponding to the cell, the query comprises a set of queried HLA loci for the particular subject or the particular group of subjects, and the one or more matched data entries of the database are each representative of one or more characterized compatible cells matching the queried HLA loci. 12. The method of claim 11, wherein the plurality of characterized cells in the physical repository are immortalized. 13. The method of claim 11 or claim 12, wherein the set of characterized HLA loci corresponding to each of the plurality of characterized cells comprises a set of at least 3 HLA loci, wherein the at least 3 HLA loci are HLA-A, HLA-B, and HLA-DRB. 14. The method of claim 11 or claim 12, wherein the set of characterized HLA loci corresponding to each of the plurality of characterized cells comprises a set of at least 9 given loci, wherein the at least 9 given loci are HLA-A, HLA-B, HLA-C, HLA-DRB1, HLA-DRB3, HLA-DRB4, HLA-DRB5, HLA-DQB1, HLA-DPB1. 15. The method of claim 13, wherein the set of characterized HLA loci corresponding to each of the plurality of characterized cells comprises at least 3 given loci selected from the group consisting of HLA-A, HLA-B, HLA-C, HLA-DRB1, HLA-DRB3, HLA-DRB4, HLA-DRB5, HLA-DQB1, and HLA-DPB1. 16. The method of any one of claims 11 to 15, wherein each of the one or more matching data entries of the database exactly match or partially match the set of queried HLA loci for the particular subject or the particular group of subjects. 17. The method of any one of claims 11 to 16, comprising determining a corresponding bar code or other identifier for the one or more characterized compatible cells corresponding to each of the retrieved matching data entries, thereby allowing retrieval of desired cells from the physical repository and/or retrieval of identifying information corresponding to the one or more characterized compatible cells matching the queried HLA loci. 18. The method of any one of claims 11 to 17, wherein the data entry for each of the plurality of characterized cells further comprises ABO blood type and the query further comprises ABO blood type, and wherein the one or more matching data entries of the database representative of the one or more characterized compatible cells match the queried HLA loci and the queried ABO blood type. 19. The method of any one of claims 11 to 18, wherein the data entry for each of the plurality of characterized cells further comprises RHD blood group and the query further comprises RHD blood group, and wherein the one or more matching data entries of the database representative of the one or more characterized compatible cells match the queried RHD blood group and the queried HLA loci. 20. The method of any one of claims 11-19, wherein the queried HLA loci correspond to the particular subject or particular group of subjects in need of an HLA matched iPSC-derived CAR-T composition. 21. The method of any one of claims 9 to 20, wherein one or more of the queried HLA loci is determined by processing and analyzing a biological sample from the particular subject in need of the HLA match. 22. The method of claim 18, wherein the queried ABO blood type, is determined by processing and analyzing a biological sample from the particular subject in need of an ABO match. 23. The method of claim 19, wherein the queried RHD blood group is determined by processing and analyzing a biological sample from the particular subject in need of a RHD blood group match. 24. The method of any one of claims 10-23, wherein the physical repository comprises one or more liquid nitrogen storage tanks. 25. The method of any one of claims 11-24, comprising producing T cells from each of the one or more characterized compatible cells corresponding to the one or more data entries matching the queried HLA loci. 26. The method of any one of claims 10 to 25, further comprising administering the iPSC-derived CAR-T composition to the particular subject or particular group of subjects. 27. The method of claim 26, wherein the administering step comprises administering the iPSC-derived CAR-T composition to the particular subject or particular group of subjects for treatment of a known disease or condition in the in the particular subject or particular group of subjects. 28. The method of claim 27, wherein the known disease or condition is a cancer. 29. The method of claim 28, wherein the known disease or condition is a cancer comprising a member selected from the group consisting of acute myeloid leukemia, acute lymphoblastic leukemia, chronic myeloid leukemia, chronic lymphocytic leukemia, myeloproliferative disorders, myelodysplastic syndromes, multiple myeloma, non-Hodgkin lymphoma, Hodgkin disease, aplastic anemia, pure red-cell aplasia, paroxysmal nocturnal hemoglobinuria, Fanconi anemia, thalassemia major, sickle cell anemia, severe combined immunodeficiency (SCID), Wiskott-Aldrich syndrome, hemophagocytic lymphohistiocytosis, inborn errors of metabolism, epidermolysis bullosa, severe congenital neutropenia, Shwachman-Diamond syndrome, Diamond-Blackfan anemia, and leukocyte adhesion deficiency. 30. The method of any one of claims 11-29, wherein the database comprises a data entry corresponding to each of a plurality of iPSC super donor cell lines, wherein the data entry for each super donor cell line comprises a set of characterized HLA loci corresponding to the super donor cell line. 31. The method of claim 30, wherein each of the plurality of iPSC super donor cell lines can be used for treatment of a particular subject or particular group of subjects having matching HLA loci with lower risk of immune rejection by the particular subject or particular group of subjects. 32. The method of claim 30 or claim 31, further comprising determining the set of characterized HLA loci corresponding to each of the plurality of super donor cell lines by processing and analyzing one or more biological samples collected from each of one or more super donor individuals. 33. The method of claim 32, wherein the step of determining the set of characterized HLA loci corresponding to each of the plurality of super donor cell lines comprises identifying a set of at least 3 HLA loci, wherein the at least 3 HLA loci are HLA-A, HLA-B, and HLA-DRB. 34. The method of claim 32, wherein the step of determining the set of characterized HLA loci corresponding to each of the plurality of the super donor cell lines comprises identifying a set of at least 9 HLA loci, wherein the at least 9 HLA loci are HLA-A, HLA-B, HLA-C, HLA-DRB1, HLA-DRB3, HLA-DRB4, HLA-DRB5, HLA-DQB1, and HLA-DPB1. 35. The method of claim 34, wherein the set of characterized HLA loci corresponding to each of the plurality of the super donor cell lines comprises at least 3 HLA loci selected from the group consisting of HLA-A, HLA-B, HLA-C, HLA-DRB1, HLA-DRB3, HLA-DRB4, HLA-DRB5, HLA-DQB1, and HLA-DPB1. 36. The method of any one of claims 30 to 35, wherein the set of characterized HLA loci corresponding to each of the plurality of the super donor cell lines are homozygous for HLA-A, HLA-B, and DRB-1. 37. The method of claim 36, wherein the homozygous set of characterized HLA loci belong to a set of most-common HLA loci for a given population that matches a majority of the given population. 38. The method of any one of claims 30-37, wherein the homozygous set of characterized HLA loci comprise homozygous HLA loci in at least 3 major sites, wherein the major sites are members selected from the group consisting of HLA-A, HLA-B, HLA-C, HLA-DRB1, HLA-DRB3, HLA-DRB4, HLA-DRB5, HLA-DQB1, and HLA-DPB1. 39. The method of any one of claims 30-38, wherein the plurality of iPSC super donor cell lines match at least 70% of the population from which the particular subject originates. 40. The method of any one of claims 30-39, wherein the iPSC-derived CAR-T composition is produced using one of the plurality of iPSC super donor cell lines. 41. The method of any one of claims 30-39, comprising exposing the iPSC super donor cell line used to produce the iPSC-derived CAR-T composition to culture media. 42. The method of claim 41, wherein the iPSC-derived CAR-T composition comprises the plurality of compatible T cell lines or the plurality of iPSC super donor cell lines. 43. A composition of matter comprising an iPSC-derived CAR-T composition comprising one or more desired T cells, wherein the composition is produced by the method of any one of the preceding claims. 44. The composition of claim 43, wherein the iPSC-derived CAR-T composition comprises iPSCs and/or iPSC-derived cells derived from a biological sample of a particular subject. 45. The composition of claim 44, wherein the iPSC-derived CAR-T composition is produced using the iPSCs and/or iPSC-derived cells derived from a biological sample of the subject. 46. The composition of any one of claims 43 to 45, wherein the iPSC-derived CAR-T composition comprises compatible cells from a physical repository, wherein the compatible cells are identified as compatible to the particular subject or a particular group of subjects. 47. The composition of claim 46, wherein the compatible cells are identified as compatible with the particular subject or the particular group of subjects using an identification of cell type indicative of compatibility with the particular subject or particular group of subjects, wherein the identification of cell type indicative of compatibility comprises one or more of (i) to (iii): (i) an HLA match, (ii) an ABO blood type match, and (iii) an RHD blood group match having the same HLA loci, and/or ABO blood type, and/or RHD blood group as the particular subject or the particular group of subjects. 48. A method of storing a iPSC-derived CAR-T composition tailored for treatment of a particular subject or particular group of subjects, said method comprising the steps of:
(a) identifying, by a processor of a computing device, as compatible with the particular subject or particular group of subjects, one or more iPSC-derived CAR-T compositions derived using compatible cells corresponding to the one or more iPSCs and/or one or more iPSC-derived cells identified as compatible with the particular subject or particular group of subjects; (b) labelling, by a processor of a computing device, the one or more iPSC-derived CAR-T compositions with a label, wherein the label comprises information relating to the one or more iPSCs and/or one or more iPSC-derived cells, and a classification of the one or more iPSCs and/or one or more iPSC-derived cells the iPSC-derived CAR-T composition is derived from; and (c) storing, by a processor of a computing device, a database comprising a data entry corresponding to each label in a physical repository. 49. The method of claim 48, wherein the classification of the one or more iPSCs and/or iPSC-derived cells comprises one or more of (i) to (iii): (i) HLA loci, (ii) ABO blood type, and (iii) RHD blood group. 50. The method of claim 48 or claim 49, wherein the label is a physical label and/or digital label. 51. The method of any one of claims 48 to 50, wherein the label comprises information relating to one or more of (i) to (iii) as follows:
(i) the one or more iPSCs and/or one or more iPSC-derived cells the iPSC-derived CAR-T composition is derived from;
(ii) one or more HLA loci, and/or ABO blood type, and/or RHD blood group compatible with the labeled iPSC-derived CAR-T composition; and
(iii) one or more iPSC-derived CAR-T compositions stored in the physical repository that are compatible with the particular subject or group of subjects, wherein the HLA loci, and/or ABO blood type, and/or RHD blood group of the one or more iPSC-derived CAR-T compositions are identical to or match the HLA loci, and/or ABO blood type, and/or RHD blood group of the one or more iPSCs and/or one or more iPSC-derived cells. 52. A method of retrieving one or more produced, labeled and stored iPSC-derived CAR-T compositions derived using iPSCs and/or iPSC-derived cells, said method comprising the steps of:
(a) identifying, by a processor of a computing device, as compatible with a particular subject or particular group of subjects, one or more iPSC-derived CAR-T compositions derived using one or more iPSCs and/or iPSC-derived cells identified as compatible with the particular subject or particular group of subjects; (b) retrieving, from a physical repository, the one or more compatible iPSC-derived CAR-T compositions corresponding to the one or more iPSCs and/or one or more iPSC-derived cells identified as compatible with the particular subject or particular group of subjects; and (c) updating, by a processor of a computing device, a database comprising data entries corresponding to the particular subject or particular group of subjects. 53. The method of claim 52, wherein the retrieved iPSC-derived CAR-T compositions is administered as treatment to the particular subject or particular group of subjects. 54. A method of administering an iPSC-derived CAR-T composition tailored for treatment of a particular subject or particular group of subjects, said method comprising the steps of:
(a) identifying, by a processor of a computing device, the particular subject or particular group of subjects, as having low lymphocyte numbers or poor lymphocyte quality; (b) identifying, by the processor, as compatible with the particular subject or particular group of subjects, one or more iPSCs and/or one or more iPSC-derived cells; (c) retrieving compatible cells corresponding to the one or more iPSCs and/or one or more iPSC-derived cells identified as compatible with the particular subject or particular group of subjects; (d) producing the iPSC-derived CAR-T composition using the retrieved compatible cells; and (e) administering to the particular subject or particular group of subjects the iPSC-derived CAR-T composition. 55. The method of claim 54, wherein step (c) comprises obtaining the compatible cells from a physical repository. 56. The method of claim 54 or 55, wherein step (c) comprises retrieving the compatible cells using a processor-based query from a user, wherein the query comprises an identification of a cell type indicative of compatibility with the particular subject or particular group of subjects. 57. The method of claim 56, wherein the identification of cell type indicative of compatibility with the particular subject or particular group of subjects comprises one or more of (i) to (iii): (i) an HLA match, (ii) an ABO blood type match, and (iii) an RHD blood group match. 58. The method of any one of claims 54-57, wherein step (b) comprises identifying, one or more stored and labeled iPSC-derived CAR-T compositions within the physical repository derived using one or more iPSCs and/or one or more iPSC-derived cells identified as compatible with the particular subject or particular group of subjects. 59. The method of any one of claims 54-58, wherein step (c) comprises retrieving, the one or more identified iPSC-derived CAR-T compositions corresponding to the one or more iPS cells and/or one or more iPSC-derived cells identified as compatible with the particular subject or particular group of subjects. 60. The method of any one of claims 54-59, wherein the compatible cells are engineered using CRISPR/Cas9 technology. 61. The method of claim 60, wherein step (d) comprises producing the iPSC-derived CAR-T composition using the engineered compatible cells. | 1,700 |
348,339 | 16,643,817 | 1,771 | A robot includes at least two or more types of component units and a control unit configured to control an operation of each component unit. The respective units are configured to be detachable from each other. The control unit is configured to be able to collect configuration data of each of the component units and acquire connection data regarding connection states of all the component units connected to the control unit based on the collected configuration data. A determination portion that stores a predetermined connection form of each component unit, which is controllable by the control unit, in advance as verification data and determines whether or not connection data acquired by the control unit matches the verification data is further provided. | 1. Assembly kit for robot, comprising:
at least two or more types of component units; and a control unit configured to control an operation of each component unit, wherein the respective units are configured to be detachable from each other, the component unit is configured to be able to store at least an identification code indicating a type of the component unit itself in advance and transmit configuration data including the identification code to the control unit, the control unit is configured to be able to collect configuration data of each of the component units and acquire connection data regarding connection states of all the component units connected to the control unit based on the collected configuration data, and a determination portion that stores a predetermined connection form of each component unit, which is controllable by the control unit, in advance as verification data and determines whether or not connection data acquired by the control unit matches the verification data is further provided. 2. The assembly kit for robot according to claim 1, wherein
the control unit and the component units are daisy-chained with the control unit as a highest-order, the lowest-order component unit generates configuration data including its own identification code and transmits the generated configuration data to a high-order component unit, each of the component units updates the configuration data by adding its own identification code to the configuration data and sequentially transmits the updated configuration data to high-order units, and the highest-order control unit is configured to acquire connection data of all the component units based on configuration data transmitted from a low-order component unit. 3. The assembly kit for robot according to claim 2, wherein
the component unit includes a high order connection port that communicates with the control unit or a high-order component unit and a low order connection port that communicates with a low-order component unit, when the high order connection port is connected to a high-order unit and the low order connection port is not connected to a low-order component unit, the component unit sets a connection number indicating a connection order of the component unit itself to a lowest number and reads its own identification code, generates configuration data including the connection number and the identification code, and transmits the generated configuration data to the control unit or a high-order component unit through the high order connection port, and when the high order connection port is connected to a high-order unit and the low order connection port is connected to a low-order component unit, the component unit is configured to receive the configuration data from a low-order component unit through the low order connection port, set a connection number of the component unit itself based on the received configuration data of the low-order component unit and read its own identification code, add the connection number and the identification code to the configuration data, and transmit the updated configuration data to the control unit or a high-order component unit through the high order connection port. 4. The assembly kit for robot according to claim 1, wherein
the control unit and the component units are daisy-chained with the control unit as a highest-order, the highest-order control unit generates configuration data including its own identification code and transmits the generated configuration data to a low-order component unit, each of the component units updates the configuration data by adding its own identification code to the configuration data and sequentially transmits the updated configuration data to low-order units, the lowest component unit acquires connection data of all the component units based on received configuration data and sequentially transmits the acquired connection data to high-order units, and the highest-order control unit is configured to acquire connection data transmitted from a low-order component unit. 5. The assembly kit for robot according to claim 4, wherein
the component unit includes a high order connection port that communicates with the control unit or a high-order component unit and a low order connection port that communicates with a low-order component unit, when the high order connection port is connected to a high-order unit and the low order connection port is connected to a lower unit, the component unit is configured to receive configuration data including a connection number and an identification code of the high-order unit from the high-order unit through the high order connection port, set a connection number of the component unit itself based on the received configuration data of the high-order unit and read its own identification code, add the connection number and the identification code of the component unit itself to the configuration data, transmit updated configuration data to the low-order unit through the low order connection port, and transmit received connection data to the high-order unit through the high order connection port when the connection data is received from the low-order unit through the low order connection port, and when the high order connection port is connected to a high-order unit and the low order connection port is not connected to a lower unit, the component unit is configured to receive configuration data including a connection number and an identification code of the high-order unit from the high-order unit through the high order connection port, set a connection number of the component unit itself based on the received configuration data of the high-order unit and read its own identification code, add the connection number and the identification code of the component unit itself to the configuration data, acquire connection data regarding connection states including connection numbers of all the component units connected to the control unit based on updated configuration data, and transmit the acquired connection data to the high-order unit through the high order connection port. 6. The assembly kit for robot according to claim 1, wherein the determination portion is mounted on a portable information terminal configured to be communicable with the control unit. 7. The assembly kit for robot according to claim 6, wherein
the control unit transmits the acquired connection data to the portable information terminal, and the portable information terminal is configured to be able to notify a user of a comparison result between the received connection data and the verification data. 8. The assembly kit for robot according to claim 1, wherein
the determination portion is mounted on the control unit, and the control unit is configured to be able to notify a user of a comparison result between the acquired connection data and the verification data. 9. The assembly kit for robot according to claim 2, wherein
each of the component units includes one or more joint shafts, each of which has at least a drive motor, and a position detector that detects a rotation angle of the drive motor, and a value of the position detector as a reference position of the component unit is stored together with the identification code. 10. (canceled) 11. The assembly kit for robot according to claim 2, wherein the determination portion is mounted on a portable information terminal configured to be communicable with the control unit. 12. The assembly kit for robot according to claim 3, wherein the determination portion is mounted on a portable information terminal configured to be communicable with the control unit. 13. The assembly kit for robot according to claim 4, wherein the determination portion is mounted on a portable information terminal configured to be communicable with the control unit. 14. The assembly kit for robot according to claim 5, wherein the determination portion is mounted on a portable information terminal configured to be communicable with the control unit. 15. The assembly kit for robot according to claim 2, wherein
the determination portion is mounted on the control unit, and the control unit is configured to be able to notify a user of a comparison result between the acquired connection data and the verification data. 16. The assembly kit for robot according to claim 3, wherein
the determination portion is mounted on the control unit, and the control unit is configured to be able to notify a user of a comparison result between the acquired connection data and the verification data. 17. The assembly kit for robot according to claim 4, wherein
the determination portion is mounted on the control unit, and the control unit is configured to be able to notify a user of a comparison result between the acquired connection data and the verification data. 18. The assembly kit for robot according to claim 5, wherein
the determination portion is mounted on the control unit, and the control unit is configured to be able to notify a user of a comparison result between the acquired connection data and the verification data. 19. The assembly kit for robot according to claim 3, wherein
each of the component units includes one or more joint shafts, each of which has at least a drive motor, and a position detector that detects a rotation angle of the drive motor, and a value of the position detector as a reference position of the component unit is stored together with the identification code. 20. The assembly kit for robot according to claim 4, wherein
each of the component units includes one or more joint shafts, each of which has at least a drive motor, and a position detector that detects a rotation angle of the drive motor, and a value of the position detector as a reference position of the component unit is stored together with the identification code. | A robot includes at least two or more types of component units and a control unit configured to control an operation of each component unit. The respective units are configured to be detachable from each other. The control unit is configured to be able to collect configuration data of each of the component units and acquire connection data regarding connection states of all the component units connected to the control unit based on the collected configuration data. A determination portion that stores a predetermined connection form of each component unit, which is controllable by the control unit, in advance as verification data and determines whether or not connection data acquired by the control unit matches the verification data is further provided.1. Assembly kit for robot, comprising:
at least two or more types of component units; and a control unit configured to control an operation of each component unit, wherein the respective units are configured to be detachable from each other, the component unit is configured to be able to store at least an identification code indicating a type of the component unit itself in advance and transmit configuration data including the identification code to the control unit, the control unit is configured to be able to collect configuration data of each of the component units and acquire connection data regarding connection states of all the component units connected to the control unit based on the collected configuration data, and a determination portion that stores a predetermined connection form of each component unit, which is controllable by the control unit, in advance as verification data and determines whether or not connection data acquired by the control unit matches the verification data is further provided. 2. The assembly kit for robot according to claim 1, wherein
the control unit and the component units are daisy-chained with the control unit as a highest-order, the lowest-order component unit generates configuration data including its own identification code and transmits the generated configuration data to a high-order component unit, each of the component units updates the configuration data by adding its own identification code to the configuration data and sequentially transmits the updated configuration data to high-order units, and the highest-order control unit is configured to acquire connection data of all the component units based on configuration data transmitted from a low-order component unit. 3. The assembly kit for robot according to claim 2, wherein
the component unit includes a high order connection port that communicates with the control unit or a high-order component unit and a low order connection port that communicates with a low-order component unit, when the high order connection port is connected to a high-order unit and the low order connection port is not connected to a low-order component unit, the component unit sets a connection number indicating a connection order of the component unit itself to a lowest number and reads its own identification code, generates configuration data including the connection number and the identification code, and transmits the generated configuration data to the control unit or a high-order component unit through the high order connection port, and when the high order connection port is connected to a high-order unit and the low order connection port is connected to a low-order component unit, the component unit is configured to receive the configuration data from a low-order component unit through the low order connection port, set a connection number of the component unit itself based on the received configuration data of the low-order component unit and read its own identification code, add the connection number and the identification code to the configuration data, and transmit the updated configuration data to the control unit or a high-order component unit through the high order connection port. 4. The assembly kit for robot according to claim 1, wherein
the control unit and the component units are daisy-chained with the control unit as a highest-order, the highest-order control unit generates configuration data including its own identification code and transmits the generated configuration data to a low-order component unit, each of the component units updates the configuration data by adding its own identification code to the configuration data and sequentially transmits the updated configuration data to low-order units, the lowest component unit acquires connection data of all the component units based on received configuration data and sequentially transmits the acquired connection data to high-order units, and the highest-order control unit is configured to acquire connection data transmitted from a low-order component unit. 5. The assembly kit for robot according to claim 4, wherein
the component unit includes a high order connection port that communicates with the control unit or a high-order component unit and a low order connection port that communicates with a low-order component unit, when the high order connection port is connected to a high-order unit and the low order connection port is connected to a lower unit, the component unit is configured to receive configuration data including a connection number and an identification code of the high-order unit from the high-order unit through the high order connection port, set a connection number of the component unit itself based on the received configuration data of the high-order unit and read its own identification code, add the connection number and the identification code of the component unit itself to the configuration data, transmit updated configuration data to the low-order unit through the low order connection port, and transmit received connection data to the high-order unit through the high order connection port when the connection data is received from the low-order unit through the low order connection port, and when the high order connection port is connected to a high-order unit and the low order connection port is not connected to a lower unit, the component unit is configured to receive configuration data including a connection number and an identification code of the high-order unit from the high-order unit through the high order connection port, set a connection number of the component unit itself based on the received configuration data of the high-order unit and read its own identification code, add the connection number and the identification code of the component unit itself to the configuration data, acquire connection data regarding connection states including connection numbers of all the component units connected to the control unit based on updated configuration data, and transmit the acquired connection data to the high-order unit through the high order connection port. 6. The assembly kit for robot according to claim 1, wherein the determination portion is mounted on a portable information terminal configured to be communicable with the control unit. 7. The assembly kit for robot according to claim 6, wherein
the control unit transmits the acquired connection data to the portable information terminal, and the portable information terminal is configured to be able to notify a user of a comparison result between the received connection data and the verification data. 8. The assembly kit for robot according to claim 1, wherein
the determination portion is mounted on the control unit, and the control unit is configured to be able to notify a user of a comparison result between the acquired connection data and the verification data. 9. The assembly kit for robot according to claim 2, wherein
each of the component units includes one or more joint shafts, each of which has at least a drive motor, and a position detector that detects a rotation angle of the drive motor, and a value of the position detector as a reference position of the component unit is stored together with the identification code. 10. (canceled) 11. The assembly kit for robot according to claim 2, wherein the determination portion is mounted on a portable information terminal configured to be communicable with the control unit. 12. The assembly kit for robot according to claim 3, wherein the determination portion is mounted on a portable information terminal configured to be communicable with the control unit. 13. The assembly kit for robot according to claim 4, wherein the determination portion is mounted on a portable information terminal configured to be communicable with the control unit. 14. The assembly kit for robot according to claim 5, wherein the determination portion is mounted on a portable information terminal configured to be communicable with the control unit. 15. The assembly kit for robot according to claim 2, wherein
the determination portion is mounted on the control unit, and the control unit is configured to be able to notify a user of a comparison result between the acquired connection data and the verification data. 16. The assembly kit for robot according to claim 3, wherein
the determination portion is mounted on the control unit, and the control unit is configured to be able to notify a user of a comparison result between the acquired connection data and the verification data. 17. The assembly kit for robot according to claim 4, wherein
the determination portion is mounted on the control unit, and the control unit is configured to be able to notify a user of a comparison result between the acquired connection data and the verification data. 18. The assembly kit for robot according to claim 5, wherein
the determination portion is mounted on the control unit, and the control unit is configured to be able to notify a user of a comparison result between the acquired connection data and the verification data. 19. The assembly kit for robot according to claim 3, wherein
each of the component units includes one or more joint shafts, each of which has at least a drive motor, and a position detector that detects a rotation angle of the drive motor, and a value of the position detector as a reference position of the component unit is stored together with the identification code. 20. The assembly kit for robot according to claim 4, wherein
each of the component units includes one or more joint shafts, each of which has at least a drive motor, and a position detector that detects a rotation angle of the drive motor, and a value of the position detector as a reference position of the component unit is stored together with the identification code. | 1,700 |
348,340 | 16,805,841 | 1,771 | A field effect transistor includes a semiconductor substrate, source and drain regions, lower source and drain contacts, a metal gate, a first interlayer dielectric layer, a capping layer, and an etch stop layer. The source and drain regions are disposed on the semiconductor substrate. The lower source and drain contacts are disposed on the source and drain regions. The metal gate is disposed in between the lower source and drain contacts. The first interlayer dielectric layer encircles the metal gate and the lower source and drain contacts. The capping layer is disposed on the metal gate. The etch stop layer extends on the first interlayer dielectric layer. An etching selectivity for the etch stop layer over the capping layer is greater than 10. | 1. A field effect transistor, comprising:
a semiconductor substrate; source and drain regions, disposed on the semiconductor substrate; lower source and drain contacts, disposed on the source and drain regions; a metal gate, disposed in between the lower source and drain contacts; a first interlayer dielectric layer, encircling the metal gate and the lower source and drain contacts; a capping layer, disposed on the metal gate; and an etch stop layer, extending on the first interlayer dielectric layer; wherein an etching selectivity for the etch stop layer over the capping layer is greater than 10. 2. The field effect transistor according to claim 1, wherein the capping layer includes silicon nitride, silicon carbide or silicon oxycarbide. 3. The field effect transistor according to claim 2, wherein the etch stop layer includes aluminum nitride, aluminum oxide, silicon carbide, silicon oxycarbide or silicon nitride. 4. The field effect transistor according to claim 1, further comprising a second interlayer dielectric layer disposed on the etch stop layer, and an etching selectivity for the second interlayer dielectric layer over the etch stop layer is greater than 16. 5. The field effect transistor according to claim 1, wherein the etch stop layer is a monolayer etch stop layer. 6. The field effect transistor according to claim 1, wherein the etch stop layer is a bi-layer etch stop layer, including a lower etch stop layer disposed on the first interlayer dielectric layer and an upper etch stop layer disposed between the lower etch stop layer and the second interlayer dielectric layer,
wherein the lower etch stop layer has the etching selectivity greater than 10 with respect to the capping layer, and the upper etch stop layer includes the same material of the capping layer. 7. The field effect transistor of claim 1, further comprising an upper contact extending on the lower source and drain contacts and the capping layer, wherein the upper contact passes through the etch stop layer. 8. A manufacturing method of a field effect transistor, comprising:
providing a metal gate, wherein the metal gate is disposed in between lower source and drain contacts over a semiconductor substrate and is encircled by a first interlayer dielectric layer; forming a capping layer on the metal gate; forming an etch stop material layer extending on the first interlayer dielectric layer, the capping layer and the lower source and drain contacts; and removing portions of the etch stop material layer extending on the capping layer and the lower source and drain contacts without substantially damaging the capping layer. 9. The manufacturing method according to claim 8, wherein a material of the capping layer is suitable to be selectively removed via plasma etching employing a first fluoride containing etchant, the portions of the etch stop material layer are removed via one of plasma etching employing a second fluoride containing etchant or a wet clean process, and the first fluoride containing etchant has a different composition than the second fluoride containing etchant. 10. The manufacturing method of claim 9, wherein the material of the capping layer includes silicon nitride. 11. The manufacturing method of claim 10, wherein the etch stop material layer includes aluminum nitride, aluminum oxide, silicon carbide, or silicon oxycarbide. 12. The manufacturing method of claim 9, wherein the material of the capping layer includes silicon carbide or silicon oxycarbide. 13. The manufacturing method of claim 12, wherein the etch stop material layer includes aluminum nitride, aluminum oxide or silicon nitride. 14. The manufacturing method of claim 8, wherein the capping layer loses at most 1% of its thickness when the portions of the etch stop material layer are removed. 15. A manufacturing method of a field effect transistor, comprising:
providing a metal gate, wherein the metal gate is disposed in between lower source and drain contacts over a semiconductor substrate, and the metal gate and the lower source and drain contacts are surrounded by a first interlayer dielectric layer; forming a capping layer on the metal gate, the capping layer including a first material; forming an etch stop material layer extending on the first interlayer dielectric layer, the capping layer and the lower source and drain contacts, the etch stop material layer including a second material different from the first material; forming a second interlayer dielectric material layer on the etch stop material layer, extending over the first interlayer dielectric layer, the capping layer, and the lower source and drain contacts; forming an opening in the second interlayer dielectric material layer over the capping layer and the lower source and drain contacts; and removing a portion of etch stop material layer exposed by the opening, wherein the first material is selected from the group consisting of silicon nitride, silicon carbide, and silicon oxycarbide, and the second material is selected from the group consisting of aluminum nitride, aluminum oxide, silicon carbide, silicon oxycarbide, and silicon nitride, wherein when the first material is silicon carbide or silicon oxycarbide, the second material is not silicon oxycarbide or silicon carbide, respectively. 16. The manufacturing method according to claim 15, wherein forming the etch stop material layer comprises forming, in sequence, a lower blanket etch stop layer and an upper blanket etch stop layer, and the lower blanket etch stop layer includes the second material. 17. The manufacturing method of claim 16, wherein the upper blanket etch stop layer includes the first material. 18. The manufacturing method of claim 16, wherein portions of the upper blanket etch stop layer and the lower blanket etch stop layer are removed under different process conditions. 19. The manufacturing method of claim 15, wherein the etch stop material layer is a monolayer etch stop material layer including the second material. 20. The manufacturing method of claim 15, further comprising filling the opening with a conductive material. | A field effect transistor includes a semiconductor substrate, source and drain regions, lower source and drain contacts, a metal gate, a first interlayer dielectric layer, a capping layer, and an etch stop layer. The source and drain regions are disposed on the semiconductor substrate. The lower source and drain contacts are disposed on the source and drain regions. The metal gate is disposed in between the lower source and drain contacts. The first interlayer dielectric layer encircles the metal gate and the lower source and drain contacts. The capping layer is disposed on the metal gate. The etch stop layer extends on the first interlayer dielectric layer. An etching selectivity for the etch stop layer over the capping layer is greater than 10.1. A field effect transistor, comprising:
a semiconductor substrate; source and drain regions, disposed on the semiconductor substrate; lower source and drain contacts, disposed on the source and drain regions; a metal gate, disposed in between the lower source and drain contacts; a first interlayer dielectric layer, encircling the metal gate and the lower source and drain contacts; a capping layer, disposed on the metal gate; and an etch stop layer, extending on the first interlayer dielectric layer; wherein an etching selectivity for the etch stop layer over the capping layer is greater than 10. 2. The field effect transistor according to claim 1, wherein the capping layer includes silicon nitride, silicon carbide or silicon oxycarbide. 3. The field effect transistor according to claim 2, wherein the etch stop layer includes aluminum nitride, aluminum oxide, silicon carbide, silicon oxycarbide or silicon nitride. 4. The field effect transistor according to claim 1, further comprising a second interlayer dielectric layer disposed on the etch stop layer, and an etching selectivity for the second interlayer dielectric layer over the etch stop layer is greater than 16. 5. The field effect transistor according to claim 1, wherein the etch stop layer is a monolayer etch stop layer. 6. The field effect transistor according to claim 1, wherein the etch stop layer is a bi-layer etch stop layer, including a lower etch stop layer disposed on the first interlayer dielectric layer and an upper etch stop layer disposed between the lower etch stop layer and the second interlayer dielectric layer,
wherein the lower etch stop layer has the etching selectivity greater than 10 with respect to the capping layer, and the upper etch stop layer includes the same material of the capping layer. 7. The field effect transistor of claim 1, further comprising an upper contact extending on the lower source and drain contacts and the capping layer, wherein the upper contact passes through the etch stop layer. 8. A manufacturing method of a field effect transistor, comprising:
providing a metal gate, wherein the metal gate is disposed in between lower source and drain contacts over a semiconductor substrate and is encircled by a first interlayer dielectric layer; forming a capping layer on the metal gate; forming an etch stop material layer extending on the first interlayer dielectric layer, the capping layer and the lower source and drain contacts; and removing portions of the etch stop material layer extending on the capping layer and the lower source and drain contacts without substantially damaging the capping layer. 9. The manufacturing method according to claim 8, wherein a material of the capping layer is suitable to be selectively removed via plasma etching employing a first fluoride containing etchant, the portions of the etch stop material layer are removed via one of plasma etching employing a second fluoride containing etchant or a wet clean process, and the first fluoride containing etchant has a different composition than the second fluoride containing etchant. 10. The manufacturing method of claim 9, wherein the material of the capping layer includes silicon nitride. 11. The manufacturing method of claim 10, wherein the etch stop material layer includes aluminum nitride, aluminum oxide, silicon carbide, or silicon oxycarbide. 12. The manufacturing method of claim 9, wherein the material of the capping layer includes silicon carbide or silicon oxycarbide. 13. The manufacturing method of claim 12, wherein the etch stop material layer includes aluminum nitride, aluminum oxide or silicon nitride. 14. The manufacturing method of claim 8, wherein the capping layer loses at most 1% of its thickness when the portions of the etch stop material layer are removed. 15. A manufacturing method of a field effect transistor, comprising:
providing a metal gate, wherein the metal gate is disposed in between lower source and drain contacts over a semiconductor substrate, and the metal gate and the lower source and drain contacts are surrounded by a first interlayer dielectric layer; forming a capping layer on the metal gate, the capping layer including a first material; forming an etch stop material layer extending on the first interlayer dielectric layer, the capping layer and the lower source and drain contacts, the etch stop material layer including a second material different from the first material; forming a second interlayer dielectric material layer on the etch stop material layer, extending over the first interlayer dielectric layer, the capping layer, and the lower source and drain contacts; forming an opening in the second interlayer dielectric material layer over the capping layer and the lower source and drain contacts; and removing a portion of etch stop material layer exposed by the opening, wherein the first material is selected from the group consisting of silicon nitride, silicon carbide, and silicon oxycarbide, and the second material is selected from the group consisting of aluminum nitride, aluminum oxide, silicon carbide, silicon oxycarbide, and silicon nitride, wherein when the first material is silicon carbide or silicon oxycarbide, the second material is not silicon oxycarbide or silicon carbide, respectively. 16. The manufacturing method according to claim 15, wherein forming the etch stop material layer comprises forming, in sequence, a lower blanket etch stop layer and an upper blanket etch stop layer, and the lower blanket etch stop layer includes the second material. 17. The manufacturing method of claim 16, wherein the upper blanket etch stop layer includes the first material. 18. The manufacturing method of claim 16, wherein portions of the upper blanket etch stop layer and the lower blanket etch stop layer are removed under different process conditions. 19. The manufacturing method of claim 15, wherein the etch stop material layer is a monolayer etch stop material layer including the second material. 20. The manufacturing method of claim 15, further comprising filling the opening with a conductive material. | 1,700 |
348,341 | 16,643,791 | 1,771 | The invention relates to a kibble tipping arrangement, which is used in a mining operation where a kibble (12) is vertically displaceable along a kibble displacement path to transport excavated material is a vertical mine shaft to the surface. The kibble tipping arrangement includes at least one catch (24) which is transversely displaceable between a non-interference position in which the catch does not interfere with the kibble (12) in the kibble displacement path and an interference position in which the catch (24) is capable of being engaged by an engagement formation (28) on the kibble (12) to allow the kibble (12) to top and the excavated material to be dumped from the kibble (12). The kibble tipping arrangement further includes kibble alignment means, which consist of a locator and a positioner, for aligning the kibble's engagement formation (28) with the catch (24). | 1. A kibble tipping arrangement, for use in a mining operation in which a kibble is vertically displaceable along a kibble displacement path to transport excavated material in a vertical mineshaft to the surface, which includes
at least one catch transversely displaceable between a non-interference position in which the catch does not interfere with the kibble in the kibble displacement path and an interference position in which the catch interferes with the kibble in the kibble displacement path, the at least one catch being located on a trolley which is transversely displaceable relative to the kibble displacement path to allow the at least one catch to be displaced between the non-interference position and the interference position; and an engagement formation on the kibble for engaging the catch when the catch is in the interference position. 2. (canceled) 3. The kibble tipping arrangement as claimed in claim 1, in which the at least one catch is mounted on an end of the trolley located towards the kibble displacement path. 4. The kibble tipping arrangement as claimed in claim 1, in which the at least one catch is integrated with an end of the trolley located towards the kibble displacement path. 5. (canceled) 6. (canceled) 7. The kibble tipping arrangement as claimed in claim 1, which includes a kibble, attached to a hoist cable through a kibble suspension assembly, the kibble suspension assembly is in the form of a plurality of supporting chains and a rope attachment assembly, the rope attachment assembly located between the hoist cable and the supporting chains. 8. (canceled) 9. The kibble tipping arrangement as claimed in claim 7, in which the rope attachment assembly is in the form of any one of the following: a rope socket, a rope socket and drawbar assembly, a rope thimble, and a rope swivel. 10. The kibble tipping arrangement as claimed in claim 7, in which the engagement formation on the kibble is in the form of a plurality of engagement bars located on a lower end of the kibble. 11. The kibble tipping arrangement as claimed in claim 10, in which the plurality of engagement bars are positioned underneath the kibble such that once the catch engages one of the engagement bars, the kibble is tipped relative between two of the supporting chains instead of over the supporting chains. 12. The kibble tipping arrangement as claimed in claim 1, in which includes a trolley supporting member in the form of an upper supporting member and a lower supporting member, and in which the trolley includes at least one pair of grooved wheels operable to run along the trolley supporting member. 13. (canceled) 14. (canceled) 15. (canceled) 16. The kibble tipping arrangement as claimed in claim 12,
which includes at least one rail attached to the trolley supporting member, the at least one rail shaped to support the grooved wheels, and in which the trolley supporting member includes at least one stop formation in the form of chocks located on each distal end of the at least one rail. 17. (canceled) 18. (canceled) 19. The kibble tipping arrangement as claimed in claim 12, in which the trolley includes an impact absorption formation in the form of a shock damper located on the trolley supporting member, in use to reduce the magnitude of the impacts on the trolley when the catch engages the engagement formation of the kibble. 20. (canceled) 21. The kibble tipping arrangement as claimed in claim 1, which includes biasing means connected to the catch and arranged to bias the catch towards the interference position. 22. The kibble tipping arrangement as claimed in claim 21, in which the biasing means is in the form of a counterweight arrangement capable of biasing the catch towards the interference position such that the kibble's engagement formation engages the catch. 23. The kibble tipping arrangement as claimed in claim 1, in which includes a trolley arrangement having two trolleys each having at least one catch integrally formed, the two trolleys positioned alongside one another and attached to one another through a plurality of supporting rods and by at least one shaft, the shaft extending through a first or second pair of wheels of each of the trolleys. 24. (canceled) 25. The kibble tipping arrangement as claimed in claim 1, which is positioned between a first and a second kibble displacement paths, the second kibble displacement path located adjacent to the first kibble displacement paths. 26. The kibble tipping arrangement as claimed in claim 25, which includes a trolley with a catch located on each end of the trolley and in which the trolley is transversely displaceable between a non-interference position, in which neither of the catches interferes with a kibble in any one of the kibble displacement paths, and an interference position in which the catch is operable to engage a kibble in either one of the kibble displacement paths. 27. (canceled) 28. The kibble tipping arrangement as claimed in claim 1, which includes kibble alignment means having a locator, orientated in a first rotary position co-axially and toward an upper end of the kibble displacement path and a positioner connected to the kibble for orientating the kibble to a predetermined rotational position. 29. (canceled) 30. The kibble tipping arrangement as claimed in claim 28, in which the locator is stationary attached to a kibble crosshead. 31. The kibble tipping arrangement as claimed in claim 28, in which the positioner is attached to a kibble hoist cable. 32. The kibble tipping arrangement as claimed in claim 28, in which the positioner and the locator include teeth shaped to interdigitate each other while the positioner engages the locator. 33. The kibble tipping arrangement as claimed in claim 28, in which the locator includes an impact absorption formation. 34. (canceled) 35. (canceled) | The invention relates to a kibble tipping arrangement, which is used in a mining operation where a kibble (12) is vertically displaceable along a kibble displacement path to transport excavated material is a vertical mine shaft to the surface. The kibble tipping arrangement includes at least one catch (24) which is transversely displaceable between a non-interference position in which the catch does not interfere with the kibble (12) in the kibble displacement path and an interference position in which the catch (24) is capable of being engaged by an engagement formation (28) on the kibble (12) to allow the kibble (12) to top and the excavated material to be dumped from the kibble (12). The kibble tipping arrangement further includes kibble alignment means, which consist of a locator and a positioner, for aligning the kibble's engagement formation (28) with the catch (24).1. A kibble tipping arrangement, for use in a mining operation in which a kibble is vertically displaceable along a kibble displacement path to transport excavated material in a vertical mineshaft to the surface, which includes
at least one catch transversely displaceable between a non-interference position in which the catch does not interfere with the kibble in the kibble displacement path and an interference position in which the catch interferes with the kibble in the kibble displacement path, the at least one catch being located on a trolley which is transversely displaceable relative to the kibble displacement path to allow the at least one catch to be displaced between the non-interference position and the interference position; and an engagement formation on the kibble for engaging the catch when the catch is in the interference position. 2. (canceled) 3. The kibble tipping arrangement as claimed in claim 1, in which the at least one catch is mounted on an end of the trolley located towards the kibble displacement path. 4. The kibble tipping arrangement as claimed in claim 1, in which the at least one catch is integrated with an end of the trolley located towards the kibble displacement path. 5. (canceled) 6. (canceled) 7. The kibble tipping arrangement as claimed in claim 1, which includes a kibble, attached to a hoist cable through a kibble suspension assembly, the kibble suspension assembly is in the form of a plurality of supporting chains and a rope attachment assembly, the rope attachment assembly located between the hoist cable and the supporting chains. 8. (canceled) 9. The kibble tipping arrangement as claimed in claim 7, in which the rope attachment assembly is in the form of any one of the following: a rope socket, a rope socket and drawbar assembly, a rope thimble, and a rope swivel. 10. The kibble tipping arrangement as claimed in claim 7, in which the engagement formation on the kibble is in the form of a plurality of engagement bars located on a lower end of the kibble. 11. The kibble tipping arrangement as claimed in claim 10, in which the plurality of engagement bars are positioned underneath the kibble such that once the catch engages one of the engagement bars, the kibble is tipped relative between two of the supporting chains instead of over the supporting chains. 12. The kibble tipping arrangement as claimed in claim 1, in which includes a trolley supporting member in the form of an upper supporting member and a lower supporting member, and in which the trolley includes at least one pair of grooved wheels operable to run along the trolley supporting member. 13. (canceled) 14. (canceled) 15. (canceled) 16. The kibble tipping arrangement as claimed in claim 12,
which includes at least one rail attached to the trolley supporting member, the at least one rail shaped to support the grooved wheels, and in which the trolley supporting member includes at least one stop formation in the form of chocks located on each distal end of the at least one rail. 17. (canceled) 18. (canceled) 19. The kibble tipping arrangement as claimed in claim 12, in which the trolley includes an impact absorption formation in the form of a shock damper located on the trolley supporting member, in use to reduce the magnitude of the impacts on the trolley when the catch engages the engagement formation of the kibble. 20. (canceled) 21. The kibble tipping arrangement as claimed in claim 1, which includes biasing means connected to the catch and arranged to bias the catch towards the interference position. 22. The kibble tipping arrangement as claimed in claim 21, in which the biasing means is in the form of a counterweight arrangement capable of biasing the catch towards the interference position such that the kibble's engagement formation engages the catch. 23. The kibble tipping arrangement as claimed in claim 1, in which includes a trolley arrangement having two trolleys each having at least one catch integrally formed, the two trolleys positioned alongside one another and attached to one another through a plurality of supporting rods and by at least one shaft, the shaft extending through a first or second pair of wheels of each of the trolleys. 24. (canceled) 25. The kibble tipping arrangement as claimed in claim 1, which is positioned between a first and a second kibble displacement paths, the second kibble displacement path located adjacent to the first kibble displacement paths. 26. The kibble tipping arrangement as claimed in claim 25, which includes a trolley with a catch located on each end of the trolley and in which the trolley is transversely displaceable between a non-interference position, in which neither of the catches interferes with a kibble in any one of the kibble displacement paths, and an interference position in which the catch is operable to engage a kibble in either one of the kibble displacement paths. 27. (canceled) 28. The kibble tipping arrangement as claimed in claim 1, which includes kibble alignment means having a locator, orientated in a first rotary position co-axially and toward an upper end of the kibble displacement path and a positioner connected to the kibble for orientating the kibble to a predetermined rotational position. 29. (canceled) 30. The kibble tipping arrangement as claimed in claim 28, in which the locator is stationary attached to a kibble crosshead. 31. The kibble tipping arrangement as claimed in claim 28, in which the positioner is attached to a kibble hoist cable. 32. The kibble tipping arrangement as claimed in claim 28, in which the positioner and the locator include teeth shaped to interdigitate each other while the positioner engages the locator. 33. The kibble tipping arrangement as claimed in claim 28, in which the locator includes an impact absorption formation. 34. (canceled) 35. (canceled) | 1,700 |
348,342 | 16,643,809 | 1,771 | Herein polymers and particles which comprise a plurality of dihydropyridazine or hydropyridazine functional groups are described. Methods for their formation and specific monomers which may be used in their formation are also described. The polymers and particles are UV absorbing, hydrogen-donor antioxidant materials which signal a depletion of antioxidant ability by a decrease in visible fluorescence. These polymers and particles may be used as UV protectants for a variety of materials and substrates and may even be used in a topically applied formulation for human skin. | 1. An antioxidant, UVA and UVB absorbing monomer, wherein the monomer comprises a dihydropyridazine or hydropyridazine functional group, wherein the monomer further comprises at least one alkoxysilane functional group, wherein the monomer is configured to react with one or more co-monomers to form a UV absorbing organosilicon particle,
wherein the dihydropyridazine or hydropyridazine functional groups are capable of being oxidized to one or more pyridazine functional groups by consuming one or more surrounding oxidizing species, thereby providing the monomer an ability to act as an antioxidant, wherein the dihydropyridazine or hydropyridazine functional groups are fluorescent and the pyridazine functional groups are less fluorescent, wherein an oxidation of said dihydropyridazine or hydropyridazine functional groups causes a depletion of said ability to act as an antioxidant, and wherein said oxidation can be detected by a decrease of a fluorescent intensity of said polymer. 2. The monomer of claim 1, wherein the monomer comprises at least one of the following structures: 3. The monomer of claim 1, wherein the co-monomer comprises an alkyl orthosilicate. 4. The monomer of claim 1, wherein the monomer is colorless before and after oxidation. 5. A UV absorbing organosilicon particle, wherein the particle comprises a plurality of dihydropyridazine or hydropyridazine functional groups, and wherein the particle is configured to absorb UVA and UVB light. 6. The particle of claim 5, wherein the dihydropyridazine or hydropyridazine functional groups are capable of being oxidized to one or more pyridazine functional groups by consuming one or more surrounding oxidizing species, thereby providing the particle an ability to act as an antioxidant. 7. The particle of claim 6, wherein the dihydropyridazine or hydropyridazine functional groups are fluorescent and the pyridazine functional groups are less fluorescent. 8. The particle of claim 7, wherein an oxidation of said dihydropyridazine or hydropyridazine functional groups causes a depletion of said ability to act as an antioxidant, and wherein said oxidation can be detected by a decrease of a fluorescent intensity of said polymer. 9. The particle of claim 8, wherein said oxidation causes the particle to have a decreased ability to absorb UV light. 10. The particle of claim 8, wherein the particle is colorless before and after oxidation. 11. The particle of claim 5, wherein the particle comprises a sunscreen, polymer additive or paint additive. 12. The particle of claim 5, wherein the particle has a diameter of about 1-1000 nm. 13. A method of forming a UV absorbing organosilicon particle, wherein the particle comprises a plurality of dihydropyridazine or hydropyridazine functional groups, and wherein the particle is configured to absorb UVA and UVB light, the method comprising:
a. providing a UV absorbing monomer, wherein the monomer comprises a dihydropyridazine or hydropyridazine functional group, and wherein the monomer comprises at least one alkoxysilane functional group; b. providing an orthosilicate co-monomer; and c. mixing said monomer and co-monomer, wherein the monomer and co-monomer react in a condensation reaction to form said organosilicon particle. 14. The method of claim 13, wherein the UV absorbing monomer comprises a dialkyl 1,2,4,5-dihydropyridazine-3,6-dicarboxylate derivative. 15. The method of claim 13, wherein the co-monomer comprises an alkyl orthosilicate. 16. The method of claim 13, wherein a catalyst is used in a reaction between the monomer and co-monomer. 17. The method of claim 13, wherein the dihydropyridazine or hydropyridazine functional groups are capable of being oxidized to one or more pyridazine functional groups by consuming one or more surrounding oxidizing species, thereby providing the particle an ability to act as an antioxidant. 18. The method of claim 17, wherein the dihydropyridazine or hydropyridazine functional groups are fluorescent and the pyridazine functional groups are less fluorescent. 19. The method of claim 18, wherein an oxidation of said dihydropyridazine or hydropyridazine functional groups causes a depletion of said ability to act as an antioxidant, and wherein said oxidation can be detected by a decrease of a fluorescent intensity of said polymer. 20. The method of claim 19, wherein said oxidation causes the particle to have a decreased ability to absorb UV light. 21.-42. (canceled) | Herein polymers and particles which comprise a plurality of dihydropyridazine or hydropyridazine functional groups are described. Methods for their formation and specific monomers which may be used in their formation are also described. The polymers and particles are UV absorbing, hydrogen-donor antioxidant materials which signal a depletion of antioxidant ability by a decrease in visible fluorescence. These polymers and particles may be used as UV protectants for a variety of materials and substrates and may even be used in a topically applied formulation for human skin.1. An antioxidant, UVA and UVB absorbing monomer, wherein the monomer comprises a dihydropyridazine or hydropyridazine functional group, wherein the monomer further comprises at least one alkoxysilane functional group, wherein the monomer is configured to react with one or more co-monomers to form a UV absorbing organosilicon particle,
wherein the dihydropyridazine or hydropyridazine functional groups are capable of being oxidized to one or more pyridazine functional groups by consuming one or more surrounding oxidizing species, thereby providing the monomer an ability to act as an antioxidant, wherein the dihydropyridazine or hydropyridazine functional groups are fluorescent and the pyridazine functional groups are less fluorescent, wherein an oxidation of said dihydropyridazine or hydropyridazine functional groups causes a depletion of said ability to act as an antioxidant, and wherein said oxidation can be detected by a decrease of a fluorescent intensity of said polymer. 2. The monomer of claim 1, wherein the monomer comprises at least one of the following structures: 3. The monomer of claim 1, wherein the co-monomer comprises an alkyl orthosilicate. 4. The monomer of claim 1, wherein the monomer is colorless before and after oxidation. 5. A UV absorbing organosilicon particle, wherein the particle comprises a plurality of dihydropyridazine or hydropyridazine functional groups, and wherein the particle is configured to absorb UVA and UVB light. 6. The particle of claim 5, wherein the dihydropyridazine or hydropyridazine functional groups are capable of being oxidized to one or more pyridazine functional groups by consuming one or more surrounding oxidizing species, thereby providing the particle an ability to act as an antioxidant. 7. The particle of claim 6, wherein the dihydropyridazine or hydropyridazine functional groups are fluorescent and the pyridazine functional groups are less fluorescent. 8. The particle of claim 7, wherein an oxidation of said dihydropyridazine or hydropyridazine functional groups causes a depletion of said ability to act as an antioxidant, and wherein said oxidation can be detected by a decrease of a fluorescent intensity of said polymer. 9. The particle of claim 8, wherein said oxidation causes the particle to have a decreased ability to absorb UV light. 10. The particle of claim 8, wherein the particle is colorless before and after oxidation. 11. The particle of claim 5, wherein the particle comprises a sunscreen, polymer additive or paint additive. 12. The particle of claim 5, wherein the particle has a diameter of about 1-1000 nm. 13. A method of forming a UV absorbing organosilicon particle, wherein the particle comprises a plurality of dihydropyridazine or hydropyridazine functional groups, and wherein the particle is configured to absorb UVA and UVB light, the method comprising:
a. providing a UV absorbing monomer, wherein the monomer comprises a dihydropyridazine or hydropyridazine functional group, and wherein the monomer comprises at least one alkoxysilane functional group; b. providing an orthosilicate co-monomer; and c. mixing said monomer and co-monomer, wherein the monomer and co-monomer react in a condensation reaction to form said organosilicon particle. 14. The method of claim 13, wherein the UV absorbing monomer comprises a dialkyl 1,2,4,5-dihydropyridazine-3,6-dicarboxylate derivative. 15. The method of claim 13, wherein the co-monomer comprises an alkyl orthosilicate. 16. The method of claim 13, wherein a catalyst is used in a reaction between the monomer and co-monomer. 17. The method of claim 13, wherein the dihydropyridazine or hydropyridazine functional groups are capable of being oxidized to one or more pyridazine functional groups by consuming one or more surrounding oxidizing species, thereby providing the particle an ability to act as an antioxidant. 18. The method of claim 17, wherein the dihydropyridazine or hydropyridazine functional groups are fluorescent and the pyridazine functional groups are less fluorescent. 19. The method of claim 18, wherein an oxidation of said dihydropyridazine or hydropyridazine functional groups causes a depletion of said ability to act as an antioxidant, and wherein said oxidation can be detected by a decrease of a fluorescent intensity of said polymer. 20. The method of claim 19, wherein said oxidation causes the particle to have a decreased ability to absorb UV light. 21.-42. (canceled) | 1,700 |
348,343 | 16,805,845 | 1,771 | An imaging apparatus includes an imager, and a processor configured to perform a dynamic range expansion process by generating one composite image from a plurality of captured images, perform the dynamic range expansion process by correcting an output value of a signal of one captured image, and execute the process by the dynamic range expansion process by generating one composite image from the plurality of captured images or the dynamic range expansion process by correcting the output value of the signal of the one captured image based on a time of one frame period and a total exposure time in a case of capturing the plurality of captured images. | 1. An imaging apparatus comprising:
an imager that images a motion picture of a subject; and a processor configured to: perform a dynamic range expansion process by causing the imager to capture a plurality of captured images having different exposure conditions in correspondence with a frame rate of the motion picture and generating one composite image from the plurality of captured images, perform a dynamic range expansion process by causing the imager to capture one captured image in correspondence with the frame rate of the motion picture and correcting an output value of a signal of the one captured image, and execute the dynamic range expansion process by generating one composite image from the plurality of captured images or the dynamic range expansion process by correcting the output value of the signal of the one captured image, based on a time of one frame period of the frame rate and a total exposure time in a case of capturing the plurality of captured images in the dynamic range expansion process by generating one composite image from the plurality of captured images. 2. The imaging apparatus according to claim 1,
wherein the processor configured to change the exposure condition by changing the exposure time. 3. The imaging apparatus according to claim 1,
wherein the processor configured to execute the dynamic range expansion process by generating one composite image from the plurality of captured images or the dynamic range expansion process by correcting the output value of the signal of the one captured image, based on a comparison result between the time of one frame period and the total exposure time in a case of capturing the plurality of captured images in the dynamic range expansion process by generating one composite image from the plurality of captured images. 4. The imaging apparatus according to claim 2,
wherein the processor configured to execute the dynamic range expansion process by generating one composite image from the plurality of captured images or the dynamic range expansion process by correcting the output value of the signal of the one captured image, based on a comparison result between the time of one frame period and the total exposure time in a case of capturing the plurality of captured images in the dynamic range expansion process by generating one composite image from the plurality of captured images. 5. The imaging apparatus according to claim 1,
wherein the processor configured to execute the dynamic range expansion process by generating one composite image from the plurality of captured images in a case where the time of one frame period is longer than or equal to the total exposure time in a case of capturing the plurality of captured images in the dynamic range expansion process by generating one composite image from the plurality of captured images, and wherein the processor configured to execute the dynamic range expansion process by correcting the output value of the signal of the one captured image in a case where the time of one frame period is shorter than the total exposure time in a case of capturing the plurality of captured images in the dynamic range expansion process by generating one composite image from the plurality of captured images. 6. The imaging apparatus according to claim 1,
wherein the processor configured to cause the imager to capture a first captured image and a second captured image having a shorter exposure time than the first captured image and generate the composite image from the first captured image and the second captured image. 7. The imaging apparatus according to claim 1,
wherein the processor configured to cause the imager to capture a first captured image, a second captured image having a shorter exposure time than the first captured image, and a third captured image having a shorter exposure time than the second captured image and generate the composite image from the first captured image, the second captured image, and the third captured image. 8. The imaging apparatus according to claim 1,
wherein the processor configured to change the exposure condition by changing ISO sensitivity. 9. The imaging apparatus according to claim 8,
wherein the processor configured to change ISO sensitivity of the captured image captured by the imager, and wherein the processor configured to execute the dynamic range expansion process by generating one composite image from the plurality of captured images or the dynamic range expansion process by correcting the output value of the signal of the one captured image, based on the time of one frame period and the total exposure time in a case of capturing the plurality of captured images in the dynamic range expansion process by generating one composite image from the plurality of captured images, the total exposure time including the exposure time in a case of capturing the plurality of captured images by decreasing the ISO sensitivity. 10. The imaging apparatus according to claim 8,
wherein the processor configured to change ISO sensitivity of the captured image captured by the imager, and wherein the processor configured to execute the dynamic range expansion process by generating one composite image from the plurality of captured images or the dynamic range expansion process by correcting the output value of the signal of the one captured image, based on a comparison result between the time of one frame period and the total exposure time in a case of capturing the plurality of captured images in the dynamic range expansion process by generating one composite image from the plurality of captured images, the total exposure time including the exposure time in a case of capturing the plurality of captured images by decreasing the ISO sensitivity. 11. The imaging apparatus according to claim 9,
wherein in a case where the time of one frame period is longer than or equal to the total exposure time including the exposure time in a case of capturing the plurality of captured images by decreasing the ISO sensitivity, the processor configured to capture at least one captured image of the plurality of captured images by changing the ISO sensitivity. 12. The imaging apparatus according to claim 1,
wherein an ND filter is disposed in the imager, and wherein the processor configured to execute the dynamic range expansion process based on the captured image captured by the imager in which the ND filter is disposed. 13. The imaging apparatus according to claim 12,
wherein the ND filter that is insertable and withdrawable is disposed in the imager, and wherein the processor configured to generate the composite image from the captured image captured by the imager in which the ND filter is disposed, and the captured image captured by the imager in which the ND filter is not disposed. 14. The imaging apparatus according to claim 1,
wherein the processor configured to record a switching point of the dynamic range expansion process between the dynamic range expansion process by generating one composite image from the plurality of captured images and the dynamic range expansion process by correcting the output value of the signal of the one captured image. 15. The imaging apparatus according to claim 14,
wherein the processor configured to record the switching point in a header of a file of the motion picture or a file different from the file of the motion picture. 16. The imaging apparatus according to claim 1,
wherein after an elapse of a predetermined time from first switching of the dynamic range expansion process between the dynamic range expansion process by generating one composite image from the plurality of captured images and the dynamic range expansion process by correcting the output value of the signal of the one captured image, the processor configured to perform second switching of the dynamic range expansion process between the dynamic range expansion process by generating one composite image from the plurality of captured images and the dynamic range expansion process by correcting the output value of the signal of the one captured image. 17. The imaging apparatus according to claim 1,
wherein the processor configured to generate the composite image using the plurality of captured images captured in different frames. 18. The imaging apparatus according to claim 1,
wherein the processor configured to determine whether or not a shutter speed is changeable and execute the dynamic range expansion process by generating one composite image from the plurality of captured images or the dynamic range expansion process by correcting the output value of the signal of the one captured image in a case where the shutter speed is changeable. 19. An imaging method of the imaging apparatus according to claim 1 including the imager that images the motion picture of the subject, and the processor configured to perform the dynamic range expansion process by causing the imager to capture the plurality of captured images having different exposure conditions in correspondence with the frame rate of the motion picture and generating one composite image from the plurality of captured images, and to perform the dynamic range expansion process by causing the imager to capture one captured image in correspondence with the frame rate of the motion picture and correcting the output value of the signal of the one captured image, the method comprising:
a step of controlling execution of the dynamic range expansion process by generating one composite image from the plurality of captured images or the dynamic range expansion process by correcting the output value of the signal of the one captured image, based on a time of one frame period of the frame rate and the total exposure time in a case of capturing the plurality of captured images in the dynamic range expansion process by generating one composite image from the plurality of captured images. 20. A non-transitory computer readable recording medium storing a program causing a computer to execute an imaging method of the imaging apparatus according to claim 1 including the imager that images the motion picture of the subject, and the processor configured to perform the dynamic range expansion process by causing the imager to capture the plurality of captured images having different exposure conditions in correspondence with the frame rate of the motion picture and generating one composite image from the plurality of captured images, and to perform the dynamic range expansion process by causing the imager to capture one captured image in correspondence with the frame rate of the motion picture and correcting the output value of the signal of the one captured image, the program causing the computer to execute the imaging method including:
a step of controlling execution of the dynamic range expansion process by generating one composite image from the plurality of captured images or the dynamic range expansion process by correcting the output value of the signal of the one captured image, based on the time of one frame period of the frame rate and the total exposure time in a case of capturing the plurality of captured images in the dynamic range expansion process by generating one composite image from the plurality of captured images. | An imaging apparatus includes an imager, and a processor configured to perform a dynamic range expansion process by generating one composite image from a plurality of captured images, perform the dynamic range expansion process by correcting an output value of a signal of one captured image, and execute the process by the dynamic range expansion process by generating one composite image from the plurality of captured images or the dynamic range expansion process by correcting the output value of the signal of the one captured image based on a time of one frame period and a total exposure time in a case of capturing the plurality of captured images.1. An imaging apparatus comprising:
an imager that images a motion picture of a subject; and a processor configured to: perform a dynamic range expansion process by causing the imager to capture a plurality of captured images having different exposure conditions in correspondence with a frame rate of the motion picture and generating one composite image from the plurality of captured images, perform a dynamic range expansion process by causing the imager to capture one captured image in correspondence with the frame rate of the motion picture and correcting an output value of a signal of the one captured image, and execute the dynamic range expansion process by generating one composite image from the plurality of captured images or the dynamic range expansion process by correcting the output value of the signal of the one captured image, based on a time of one frame period of the frame rate and a total exposure time in a case of capturing the plurality of captured images in the dynamic range expansion process by generating one composite image from the plurality of captured images. 2. The imaging apparatus according to claim 1,
wherein the processor configured to change the exposure condition by changing the exposure time. 3. The imaging apparatus according to claim 1,
wherein the processor configured to execute the dynamic range expansion process by generating one composite image from the plurality of captured images or the dynamic range expansion process by correcting the output value of the signal of the one captured image, based on a comparison result between the time of one frame period and the total exposure time in a case of capturing the plurality of captured images in the dynamic range expansion process by generating one composite image from the plurality of captured images. 4. The imaging apparatus according to claim 2,
wherein the processor configured to execute the dynamic range expansion process by generating one composite image from the plurality of captured images or the dynamic range expansion process by correcting the output value of the signal of the one captured image, based on a comparison result between the time of one frame period and the total exposure time in a case of capturing the plurality of captured images in the dynamic range expansion process by generating one composite image from the plurality of captured images. 5. The imaging apparatus according to claim 1,
wherein the processor configured to execute the dynamic range expansion process by generating one composite image from the plurality of captured images in a case where the time of one frame period is longer than or equal to the total exposure time in a case of capturing the plurality of captured images in the dynamic range expansion process by generating one composite image from the plurality of captured images, and wherein the processor configured to execute the dynamic range expansion process by correcting the output value of the signal of the one captured image in a case where the time of one frame period is shorter than the total exposure time in a case of capturing the plurality of captured images in the dynamic range expansion process by generating one composite image from the plurality of captured images. 6. The imaging apparatus according to claim 1,
wherein the processor configured to cause the imager to capture a first captured image and a second captured image having a shorter exposure time than the first captured image and generate the composite image from the first captured image and the second captured image. 7. The imaging apparatus according to claim 1,
wherein the processor configured to cause the imager to capture a first captured image, a second captured image having a shorter exposure time than the first captured image, and a third captured image having a shorter exposure time than the second captured image and generate the composite image from the first captured image, the second captured image, and the third captured image. 8. The imaging apparatus according to claim 1,
wherein the processor configured to change the exposure condition by changing ISO sensitivity. 9. The imaging apparatus according to claim 8,
wherein the processor configured to change ISO sensitivity of the captured image captured by the imager, and wherein the processor configured to execute the dynamic range expansion process by generating one composite image from the plurality of captured images or the dynamic range expansion process by correcting the output value of the signal of the one captured image, based on the time of one frame period and the total exposure time in a case of capturing the plurality of captured images in the dynamic range expansion process by generating one composite image from the plurality of captured images, the total exposure time including the exposure time in a case of capturing the plurality of captured images by decreasing the ISO sensitivity. 10. The imaging apparatus according to claim 8,
wherein the processor configured to change ISO sensitivity of the captured image captured by the imager, and wherein the processor configured to execute the dynamic range expansion process by generating one composite image from the plurality of captured images or the dynamic range expansion process by correcting the output value of the signal of the one captured image, based on a comparison result between the time of one frame period and the total exposure time in a case of capturing the plurality of captured images in the dynamic range expansion process by generating one composite image from the plurality of captured images, the total exposure time including the exposure time in a case of capturing the plurality of captured images by decreasing the ISO sensitivity. 11. The imaging apparatus according to claim 9,
wherein in a case where the time of one frame period is longer than or equal to the total exposure time including the exposure time in a case of capturing the plurality of captured images by decreasing the ISO sensitivity, the processor configured to capture at least one captured image of the plurality of captured images by changing the ISO sensitivity. 12. The imaging apparatus according to claim 1,
wherein an ND filter is disposed in the imager, and wherein the processor configured to execute the dynamic range expansion process based on the captured image captured by the imager in which the ND filter is disposed. 13. The imaging apparatus according to claim 12,
wherein the ND filter that is insertable and withdrawable is disposed in the imager, and wherein the processor configured to generate the composite image from the captured image captured by the imager in which the ND filter is disposed, and the captured image captured by the imager in which the ND filter is not disposed. 14. The imaging apparatus according to claim 1,
wherein the processor configured to record a switching point of the dynamic range expansion process between the dynamic range expansion process by generating one composite image from the plurality of captured images and the dynamic range expansion process by correcting the output value of the signal of the one captured image. 15. The imaging apparatus according to claim 14,
wherein the processor configured to record the switching point in a header of a file of the motion picture or a file different from the file of the motion picture. 16. The imaging apparatus according to claim 1,
wherein after an elapse of a predetermined time from first switching of the dynamic range expansion process between the dynamic range expansion process by generating one composite image from the plurality of captured images and the dynamic range expansion process by correcting the output value of the signal of the one captured image, the processor configured to perform second switching of the dynamic range expansion process between the dynamic range expansion process by generating one composite image from the plurality of captured images and the dynamic range expansion process by correcting the output value of the signal of the one captured image. 17. The imaging apparatus according to claim 1,
wherein the processor configured to generate the composite image using the plurality of captured images captured in different frames. 18. The imaging apparatus according to claim 1,
wherein the processor configured to determine whether or not a shutter speed is changeable and execute the dynamic range expansion process by generating one composite image from the plurality of captured images or the dynamic range expansion process by correcting the output value of the signal of the one captured image in a case where the shutter speed is changeable. 19. An imaging method of the imaging apparatus according to claim 1 including the imager that images the motion picture of the subject, and the processor configured to perform the dynamic range expansion process by causing the imager to capture the plurality of captured images having different exposure conditions in correspondence with the frame rate of the motion picture and generating one composite image from the plurality of captured images, and to perform the dynamic range expansion process by causing the imager to capture one captured image in correspondence with the frame rate of the motion picture and correcting the output value of the signal of the one captured image, the method comprising:
a step of controlling execution of the dynamic range expansion process by generating one composite image from the plurality of captured images or the dynamic range expansion process by correcting the output value of the signal of the one captured image, based on a time of one frame period of the frame rate and the total exposure time in a case of capturing the plurality of captured images in the dynamic range expansion process by generating one composite image from the plurality of captured images. 20. A non-transitory computer readable recording medium storing a program causing a computer to execute an imaging method of the imaging apparatus according to claim 1 including the imager that images the motion picture of the subject, and the processor configured to perform the dynamic range expansion process by causing the imager to capture the plurality of captured images having different exposure conditions in correspondence with the frame rate of the motion picture and generating one composite image from the plurality of captured images, and to perform the dynamic range expansion process by causing the imager to capture one captured image in correspondence with the frame rate of the motion picture and correcting the output value of the signal of the one captured image, the program causing the computer to execute the imaging method including:
a step of controlling execution of the dynamic range expansion process by generating one composite image from the plurality of captured images or the dynamic range expansion process by correcting the output value of the signal of the one captured image, based on the time of one frame period of the frame rate and the total exposure time in a case of capturing the plurality of captured images in the dynamic range expansion process by generating one composite image from the plurality of captured images. | 1,700 |
348,344 | 16,643,834 | 2,457 | A control device includes: a physical first communication port that connects to a first network-to which an information processing device belongs; a physical second communication port that connects to a second network to which the drive device belongs; and a storage device that stores a user program created for controlling the drive device. The user program includes a filtering function for switching a filtering condition in accordance with a setting for the filtering condition that is input during execution of the user program. The filtering condition is used for determining whether or not to transmit communication data received from one device to another device. The one device is one of the drive device and the information processing device. The other device is the other of the drive device and the information processing device. | 1. A control device that controls a drive device, the control device comprising:
a physical first communication port that connects to a first network to which an information processing device belongs; a physical second communication port that connects to a second network to which the drive device belongs; and a storage device that stores a user program created for controlling the drive device, the user program including a filtering function for switching a filtering condition in accordance with a setting for the filtering condition that is input during execution of the user program, the filtering condition being used for determining whether or not to transmit communication data received from one device to another device, the one device being one of the drive device and the information processing device, the other device being the other of the drive device and the information processing device. 2. The control device according to claim 1, wherein the setting for the filtering condition that is input includes a setting for specifying whether or not to execute a process for changing the filtering condition. 3. The control device according to claim 1, wherein
the setting for the filtering condition that is input includes a setting for specifying an operation mode of the filtering function when the filtering condition is satisfied, and the operation mode includes
a mode in which only the communication data received from the one device is transmitted to the other device, and
a mode in which the communication data received from the one device is not transmitted to the other device. 4. The control device according to claim 1, wherein the setting for the filtering condition that is input includes a setting for specifying whether transmission of the communication data received from the drive device is to be filtered, or the communication data received from the information processing device is to be filtered. 5. The control device according to any one of claims 1, wherein the setting for the filtering condition that is input includes a setting for specifying a communication protocol for the communication data to be filtered. 6. The control device according to any one of claims 1, wherein the setting for the filtering condition that is input includes at least one of
a setting for specifying a destination of the communication data received from the one device, and a setting for specifying a source of the communication data received from the one device. 7. A control method for a control device that controls a drive device, the control method comprising:
establishing communication with an information processing device that belongs to a first network; establishing communication with the drive device that belongs to a second network; executing, by the control device, a user program created for controlling the drive device; and switching, by the user program, a filtering condition in accordance with a setting for the filtering condition that is input during execution of the user program, the filtering condition being used for determining whether or not to transmit communication data received from one device to another device, the one device being one of the drive device and the information processing device, the other device being the other of the drive device and the information processing device. 8. A non-transitory storage medium storing thereon a control program for a control device that controls a drive device, the control program causes the control device to execute:
establishing communication with an information processing device that belongs to a first network; establishing communication with the drive device that belongs to a second network; executing a user program created for controlling the drive device; and switching a filtering condition in accordance with a setting for the filtering condition that is input during execution of the user program, the filtering condition being used for determining whether or not to transmit communication data received from one device to another device, the one device being one of the drive device and the information processing device, the other device being the other of the drive device and the information processing device. | A control device includes: a physical first communication port that connects to a first network-to which an information processing device belongs; a physical second communication port that connects to a second network to which the drive device belongs; and a storage device that stores a user program created for controlling the drive device. The user program includes a filtering function for switching a filtering condition in accordance with a setting for the filtering condition that is input during execution of the user program. The filtering condition is used for determining whether or not to transmit communication data received from one device to another device. The one device is one of the drive device and the information processing device. The other device is the other of the drive device and the information processing device.1. A control device that controls a drive device, the control device comprising:
a physical first communication port that connects to a first network to which an information processing device belongs; a physical second communication port that connects to a second network to which the drive device belongs; and a storage device that stores a user program created for controlling the drive device, the user program including a filtering function for switching a filtering condition in accordance with a setting for the filtering condition that is input during execution of the user program, the filtering condition being used for determining whether or not to transmit communication data received from one device to another device, the one device being one of the drive device and the information processing device, the other device being the other of the drive device and the information processing device. 2. The control device according to claim 1, wherein the setting for the filtering condition that is input includes a setting for specifying whether or not to execute a process for changing the filtering condition. 3. The control device according to claim 1, wherein
the setting for the filtering condition that is input includes a setting for specifying an operation mode of the filtering function when the filtering condition is satisfied, and the operation mode includes
a mode in which only the communication data received from the one device is transmitted to the other device, and
a mode in which the communication data received from the one device is not transmitted to the other device. 4. The control device according to claim 1, wherein the setting for the filtering condition that is input includes a setting for specifying whether transmission of the communication data received from the drive device is to be filtered, or the communication data received from the information processing device is to be filtered. 5. The control device according to any one of claims 1, wherein the setting for the filtering condition that is input includes a setting for specifying a communication protocol for the communication data to be filtered. 6. The control device according to any one of claims 1, wherein the setting for the filtering condition that is input includes at least one of
a setting for specifying a destination of the communication data received from the one device, and a setting for specifying a source of the communication data received from the one device. 7. A control method for a control device that controls a drive device, the control method comprising:
establishing communication with an information processing device that belongs to a first network; establishing communication with the drive device that belongs to a second network; executing, by the control device, a user program created for controlling the drive device; and switching, by the user program, a filtering condition in accordance with a setting for the filtering condition that is input during execution of the user program, the filtering condition being used for determining whether or not to transmit communication data received from one device to another device, the one device being one of the drive device and the information processing device, the other device being the other of the drive device and the information processing device. 8. A non-transitory storage medium storing thereon a control program for a control device that controls a drive device, the control program causes the control device to execute:
establishing communication with an information processing device that belongs to a first network; establishing communication with the drive device that belongs to a second network; executing a user program created for controlling the drive device; and switching a filtering condition in accordance with a setting for the filtering condition that is input during execution of the user program, the filtering condition being used for determining whether or not to transmit communication data received from one device to another device, the one device being one of the drive device and the information processing device, the other device being the other of the drive device and the information processing device. | 2,400 |
348,345 | 16,643,827 | 2,457 | A device including a III-N material is described. In an example, the device has a terminal structure with a central body and a first plurality of fins, and a second plurality of fins, opposite the first plurality of fins. A polarization charge inducing layer including a III-N material in the terminal structure. A gate electrode is disposed above and on a portion of the polarization charge inducing layer. A source structure is on the polarization charge inducing layer and on sidewalls of the first plurality of fins. A drain structure is on the polarization charge inducing layer and on sidewalls of the second plurality of fins. The device further includes a source structure and a drain structure on opposite sides of the gate electrode and a source contact on the source structure and a drain contact on the drain structure. | 1-25. (canceled) 26. A device comprising:
a terminal structure comprising a first group III-Nitride (III-N) material, the terminal structure having a central body and a first plurality of fins, and a second plurality of fins, opposite the first plurality of fins; a polarization charge inducing layer above the terminal structure, the polarization charge inducing layer comprising a second III-N material; a gate electrode above the polarization charge inducing layer; a source structure on the polarization charge inducing layer above a second portion of the central body and on sidewalls of the first plurality of fins, wherein the source structure comprises a third III-N material; a drain structure on the polarization charge inducing layer, above a third portion of the central body and on sidewalls of the second plurality of fins, wherein the drain structure comprises the third III-N material; a source contact on the source structure; and a drain contact on the first and second portions of the drain structure. 27. The semiconductor structure of claim 26, wherein an individual one of the fins in the first or the second plurality of fins has a width between 100 nm-500 nm and a length between 0.5 micrometer and 1 micrometer. 28. The semiconductor structure of claim 27, where a spacing between each successive fin in the first or the second plurality of fins is less than the width of the fin. 29. The device of claim 27, wherein the number of fins in the first and the second plurality of fins is between 2-1000. 30. The semiconductor structure of claim 26, wherein the source contact has an area of contact on the source structure, wherein the area of contact has a first dimension that is greater than a combined width of the first plurality of fins and the combined width of the spacing between the first plurality of fins and, wherein the source contact has a second dimension that is approximately equal to the length of the first plurality of fins. 31. The semiconductor structure of claim 26, wherein the drain contact has an area of contact on the drain structure, wherein the area of contact has a first dimension that is greater than a combined width of the second plurality of fins and the combined width of the spacing between the second plurality of fins and, wherein the drain contact has a second dimension that is approximately equal to the length of the second plurality of fins. 32. The semiconductor structure of claim 26, wherein the source contact is in contact with upper surfaces of the source structure and the drain contact is in contact with upper surfaces of the drain structure. 33. The semiconductor structure of claim 26, wherein the source structure covers an interface between the polarization charge inducing layer and the first III-N material, and the drain structure covers an interface between the polarization charge inducing layer and the first III-N material. 34. The semiconductor structure of claim 26, wherein the source structure and a drain structure comprise of faceted crystals having sidewalls that are approximately 60 degrees with respect to an uppermost surface of the first or the second plurality of fins. 35. The device of claim 34, wherein the sidewalls meet at an apex. 36. The semiconductor structure of claim 26, wherein the first III-N material includes a gallium nitride (GaN) and the second III-N material includes a III-N material that includes aluminum. 37. The semiconductor structure of claim 26, wherein the source structure and the drain structure each include a material that is lattice matched to the first group III-nitride (III-N) semiconductor material. 38. The semiconductor structure of claim 26, wherein the impurity dopant is an n-type impurity dopant. 39. The semiconductor structure of claim 26 further includes a gate dielectric layer between the gate electrode and the polarization charge inducing layer. 40. A method of fabricating a semiconductor structure, the method comprising:
forming a layer comprising a first group III-nitride (III-N) material on a substrate; forming a polarization charge inducing layer comprising a second III-N material above the first layer; patterning the layer comprising the first III-N material and the polarization charge inducing layer and forming a central body, a first plurality of fins adjacent to a first side of the central body, and a second plurality of fins adjacent to a second side of the central body, opposite the first side; forming an isolation material between the first plurality of fins and between the second plurality of fins; forming a source structure and a drain structure; forming a gate dielectric layer on the polarization charge inducing layer; forming a gate electrode on the gate dielectric layer; and forming a source contact on the source structure and a drain contact on the drain structure. 41. The method of claim 40, wherein forming the isolation comprises:
depositing an electrically insulating layer on the patterned polarization charge inducing layer, between the first and the second plurality of fins and on the layer comprising the first III-N material between the first and the second plurality of fins; planarizing the electrically insulating layer and removing it from an uppermost surface of the polarization charge inducing layer; and recessing the electrically insulating layer, wherein the recessing exposes an upper portion of the first III-N material. 42. The method of claim 41, wherein forming the source structure comprises:
forming a mask structure on a portion of the central body, the forming separating a first portion of a central body from a second portion of the central body; and growing a third III-N material on the first plurality of fins and on the first portion of the central body and forming the drain structure comprises growing the third III-N material on the second plurality of fins and on the second portion of the central body, wherein the process of growing leads to the formation of crystal structures with slanted sidewalls. 43. A system comprising:
a processor; and a radio transceiver coupled to the processor, wherein the radio transceiver includes a transistor structure comprising:
a first structure comprising a first III-N material, the first structure comprising a central body and a first plurality of fins adjacent to a first side of the central body, a second plurality of fins adjacent to a second side of the central body, opposite the first side;
a polarization charge inducing layer above a first portion of the central body, the polarization charge inducing layer comprising a second III-N material;
a gate electrode above the polarization charge inducing layer; and
a source structure and a drain structure comprising a third III-N material with an impurity dopant on opposite sides of the gate electrode, the source structure above a second portion of the central body and above the first plurality of fins, the drain structure above a third portion of the central body and above the second plurality of fins; and
a source contact on the first and second portions of the source structure; and
a drain contact on the first and second portions of the drain structure. 44. The system of claim 43, wherein the number of fins in the first and the second plurality of fins is between 2-1000. 45. The system of claim 44, wherein the source contact has an area of contact on the source structure, wherein the area of contact has a first dimension that is greater than a combined width of the first plurality of fins and the combined width of the spacing between the first plurality of fins and, wherein the source contact has a second dimension that is approximately equal to the length of the first plurality of fins. | A device including a III-N material is described. In an example, the device has a terminal structure with a central body and a first plurality of fins, and a second plurality of fins, opposite the first plurality of fins. A polarization charge inducing layer including a III-N material in the terminal structure. A gate electrode is disposed above and on a portion of the polarization charge inducing layer. A source structure is on the polarization charge inducing layer and on sidewalls of the first plurality of fins. A drain structure is on the polarization charge inducing layer and on sidewalls of the second plurality of fins. The device further includes a source structure and a drain structure on opposite sides of the gate electrode and a source contact on the source structure and a drain contact on the drain structure.1-25. (canceled) 26. A device comprising:
a terminal structure comprising a first group III-Nitride (III-N) material, the terminal structure having a central body and a first plurality of fins, and a second plurality of fins, opposite the first plurality of fins; a polarization charge inducing layer above the terminal structure, the polarization charge inducing layer comprising a second III-N material; a gate electrode above the polarization charge inducing layer; a source structure on the polarization charge inducing layer above a second portion of the central body and on sidewalls of the first plurality of fins, wherein the source structure comprises a third III-N material; a drain structure on the polarization charge inducing layer, above a third portion of the central body and on sidewalls of the second plurality of fins, wherein the drain structure comprises the third III-N material; a source contact on the source structure; and a drain contact on the first and second portions of the drain structure. 27. The semiconductor structure of claim 26, wherein an individual one of the fins in the first or the second plurality of fins has a width between 100 nm-500 nm and a length between 0.5 micrometer and 1 micrometer. 28. The semiconductor structure of claim 27, where a spacing between each successive fin in the first or the second plurality of fins is less than the width of the fin. 29. The device of claim 27, wherein the number of fins in the first and the second plurality of fins is between 2-1000. 30. The semiconductor structure of claim 26, wherein the source contact has an area of contact on the source structure, wherein the area of contact has a first dimension that is greater than a combined width of the first plurality of fins and the combined width of the spacing between the first plurality of fins and, wherein the source contact has a second dimension that is approximately equal to the length of the first plurality of fins. 31. The semiconductor structure of claim 26, wherein the drain contact has an area of contact on the drain structure, wherein the area of contact has a first dimension that is greater than a combined width of the second plurality of fins and the combined width of the spacing between the second plurality of fins and, wherein the drain contact has a second dimension that is approximately equal to the length of the second plurality of fins. 32. The semiconductor structure of claim 26, wherein the source contact is in contact with upper surfaces of the source structure and the drain contact is in contact with upper surfaces of the drain structure. 33. The semiconductor structure of claim 26, wherein the source structure covers an interface between the polarization charge inducing layer and the first III-N material, and the drain structure covers an interface between the polarization charge inducing layer and the first III-N material. 34. The semiconductor structure of claim 26, wherein the source structure and a drain structure comprise of faceted crystals having sidewalls that are approximately 60 degrees with respect to an uppermost surface of the first or the second plurality of fins. 35. The device of claim 34, wherein the sidewalls meet at an apex. 36. The semiconductor structure of claim 26, wherein the first III-N material includes a gallium nitride (GaN) and the second III-N material includes a III-N material that includes aluminum. 37. The semiconductor structure of claim 26, wherein the source structure and the drain structure each include a material that is lattice matched to the first group III-nitride (III-N) semiconductor material. 38. The semiconductor structure of claim 26, wherein the impurity dopant is an n-type impurity dopant. 39. The semiconductor structure of claim 26 further includes a gate dielectric layer between the gate electrode and the polarization charge inducing layer. 40. A method of fabricating a semiconductor structure, the method comprising:
forming a layer comprising a first group III-nitride (III-N) material on a substrate; forming a polarization charge inducing layer comprising a second III-N material above the first layer; patterning the layer comprising the first III-N material and the polarization charge inducing layer and forming a central body, a first plurality of fins adjacent to a first side of the central body, and a second plurality of fins adjacent to a second side of the central body, opposite the first side; forming an isolation material between the first plurality of fins and between the second plurality of fins; forming a source structure and a drain structure; forming a gate dielectric layer on the polarization charge inducing layer; forming a gate electrode on the gate dielectric layer; and forming a source contact on the source structure and a drain contact on the drain structure. 41. The method of claim 40, wherein forming the isolation comprises:
depositing an electrically insulating layer on the patterned polarization charge inducing layer, between the first and the second plurality of fins and on the layer comprising the first III-N material between the first and the second plurality of fins; planarizing the electrically insulating layer and removing it from an uppermost surface of the polarization charge inducing layer; and recessing the electrically insulating layer, wherein the recessing exposes an upper portion of the first III-N material. 42. The method of claim 41, wherein forming the source structure comprises:
forming a mask structure on a portion of the central body, the forming separating a first portion of a central body from a second portion of the central body; and growing a third III-N material on the first plurality of fins and on the first portion of the central body and forming the drain structure comprises growing the third III-N material on the second plurality of fins and on the second portion of the central body, wherein the process of growing leads to the formation of crystal structures with slanted sidewalls. 43. A system comprising:
a processor; and a radio transceiver coupled to the processor, wherein the radio transceiver includes a transistor structure comprising:
a first structure comprising a first III-N material, the first structure comprising a central body and a first plurality of fins adjacent to a first side of the central body, a second plurality of fins adjacent to a second side of the central body, opposite the first side;
a polarization charge inducing layer above a first portion of the central body, the polarization charge inducing layer comprising a second III-N material;
a gate electrode above the polarization charge inducing layer; and
a source structure and a drain structure comprising a third III-N material with an impurity dopant on opposite sides of the gate electrode, the source structure above a second portion of the central body and above the first plurality of fins, the drain structure above a third portion of the central body and above the second plurality of fins; and
a source contact on the first and second portions of the source structure; and
a drain contact on the first and second portions of the drain structure. 44. The system of claim 43, wherein the number of fins in the first and the second plurality of fins is between 2-1000. 45. The system of claim 44, wherein the source contact has an area of contact on the source structure, wherein the area of contact has a first dimension that is greater than a combined width of the first plurality of fins and the combined width of the spacing between the first plurality of fins and, wherein the source contact has a second dimension that is approximately equal to the length of the first plurality of fins. | 2,400 |
348,346 | 16,805,844 | 2,457 | A heart rate correction method and system and a computer readable medium are provided. A heartbeat measurement signal and an acceleration detection signal are collected during a sampling time range. A credibility of an instant heart rate is determined to be credible or incredible based on the acceleration detection signal. An average heart rate is calculated by taking out one or more instant heart rate of which the credibility is determined to be credible. Whether a difference between the average heart rate and a reference heart rate exceeds a variation range is determined. When it is determined that the difference between the average heart rate and the reference heart rate exceeds the variation range, a corrected heart rate is obtained based on a correction value and the reference heart rate, and the corrected heart rate is taken as an output heart rate corresponding to the sampling time range. | 1. A heart rate correction method, comprising:
collecting a heartbeat measurement signal during a sampling time range to calculate a plurality of instant heart rates corresponding to a plurality of sampling intervals included in the sampling time range; obtaining an acceleration detection signal during the sampling time range; determining whether a credibility of each of the instant heart rates is credible or incredible based on the acceleration detection signal; calculating an average heart rate of the instant heart rates of which the credibility is determined to be credible; determining whether a difference between the average heart rate and a reference heart rate exceeds a variation range; and obtaining a corrected heart rate based on a correction value and the reference heart rate when it is determined that the difference between the average heart rate and the reference heart rate exceeds the variation range, and taking the corrected heart rate as an output heart rate corresponding to the sampling time range. 2. The heart rate correction method as claimed in claim 1, wherein the step of determining whether the credibility of each of the instant heart rates is credible or incredible based on the acceleration detection signal comprises:
calculating an acceleration average of each of the sampling intervals; comparing the acceleration average with a credibility threshold; determining the credibility to be credible when the acceleration average is smaller than or equal to the credibility threshold; and determining the credibility to be incredible when the acceleration average is greater than the credibility threshold. 3. The heart rate correction method as claimed in claim 1, further comprising:
storing the output heart rate in a temporary storage; wherein before the step of determining whether the difference between the average heart rate and the reference heart rate exceeds the variation range, the heart rate correction method further comprises: determining whether any of the output heart rate is stored in the temporary storage; not to correct the average heart rate but directly taking the average heart rate as the output heart rate for storing in the temporary storage when it is determined that no output heart rate is stored in the temporary storage; and calculating a heart rate average of all output heart rates included in the temporary storage when it is determined that any of the output heart rate is stored in the temporary storage, and taking the heart rate average as the reference heart rate to read the reference heart rate from the temporary storage to perform heart rate correction. 4. The heart rate correction method as claimed in claim 3, further comprising:
calculating a sampling credibility corresponding to the sampling time range based on a number of the instant heart rates of which the credibility is determined to be credible; wherein when it is determined that no output heart rate is stored in the temporary storage, the heart rate correction method further comprises: determining whether the sampling credibility is greater than a credibility threshold; discarding storing the average heart rate in the temporary storage when it is determined that the sampling credibility is not greater than the credibility threshold; and directly taking the average heart rate as the output hear rate for storing in the temporary storage when it is determined that the sampling credibility is greater than the credibility threshold. 5. The heart rate correction method as claimed in claim 4, wherein the sampling credibility is obtained based on a following equation:
Tr=N_true/N_sum; wherein Tr represents the sampling credibility, N_true represents the number of the instant heart rates of which the credibility is determined to be credible, and N_sum represents a total number of the instant heart rates included in the sampling time range. 6. The heart rate correction method as claimed in claim 1, further comprising:
directly taking the average heart rate as the output heart rate corresponding to the sampling time range when it is determined that the difference between the average heart rate and the reference heart rate falls within the variation range. 7. The heart rate correction method as claimed in claim 1, further comprising:
calculating a sampling credibility corresponding to the sampling time range based on a number of the instant heart rates of which the credibility is determined to be credible; wherein the step of obtaining the corrected heart rate based on the correction value and the reference heart rate further comprises: obtaining the corresponding correction value from a correction table based on the sampling credibility, wherein the correction table records a plurality of credibility ranges and the respective corresponding correction value thereof. 8. A heart rate correction system, comprising:
a heart rate sensor; an acceleration sensor; and a processor, electrically coupled to the heart rate sensor and the acceleration sensor, wherein the processor is configured to: collect a heartbeat measurement signal through the heart rate sensor during a sampling time range to calculate a plurality of instant heart rates corresponding to a plurality of sampling intervals included in the sampling time range; obtain an acceleration detection signal through the acceleration sensor during the sampling time range; determine whether a credibility of each of the instant heart rates is credible or incredible based on the acceleration detection signal; calculate an average heart rate by taking out the instant heart rates corresponding to the sampling intervals of which the credibility is determined to be credible; determine whether a difference between the average heart rate and a reference heart rate exceeds a variation range; and obtain a corrected heart rate based on a correction value and the reference heart rate when it is determined that the difference between the average heart rate and the reference heart rate exceeds the variation range, and take the corrected heart rate as an output heart rate corresponding to the sampling time range. 9. The heart rate correction system as claimed in claim 8, wherein the processor is configured to:
calculate an acceleration average of each of the sampling intervals; compare the acceleration average with a credibility threshold; determine the credibility to be credible if the acceleration average is smaller than the credibility threshold; and determine the credibility to be incredible if the acceleration average is greater than the credibility threshold. 10. The heart rate correction system as claimed in claim 8, wherein the processor is configured to:
store the output heart rate in a temporary storage; wherein before determining whether the difference between the average heart rate and the reference heart rate exceeds the variation range, the processor is configured to: determine whether any of the output heart rate is stored in the temporary storage; not to correct the average heart rate but directly take the average heart rate as the output heart rate for storing in the temporary storage when it is determined that no output heart rate is stored in the temporary storage; and calculate a heart rate average of all output heart rates included in the temporary storage when it is determined that any of the output heart rate is stored in the temporary storage, and take the heart rate average as the reference heart rate to read the reference heart rate from the temporary storage to perform heart rate correction. 11. The heart rate correction system as claimed in claim 10, wherein the processor is configured to:
calculate a sampling credibility corresponding to the sampling time range based on a number of the instant heart rates of which the credibility is determined to be credible; wherein when it is determined that no output heart rate is stored in the temporary storage, the processor is configured to: determine whether the sampling credibility is greater than a credibility threshold; discard storing the average heart rate in the temporary storage when it is determined that the sampling credibility is not greater than the credibility threshold; and directly take the average heart rate as the output hear rate for storing in the temporary storage when it is determined that the sampling credibility is greater than the credibility threshold. 12. The heart rate correction system as claimed in claim 11, wherein the sampling credibility is obtained based on a following equation:
Tr=N_true/N_sum; wherein Tr represents the sampling credibility, N_true represents the number of the instant heart rates of which the credibility is determined to be credible, and N_sum represents a total number of the instant heart rates included in the sampling time range. 13. The heart rate correction system as claimed in claim 8, wherein the processor is configured to:
directly take the average heart rate as the output heart rate corresponding to the sampling time range when it is determined that the difference between the average heart rate and the reference heart rate falls within the variation range. 14. The heart rate correction system as claimed in claim 8, wherein the processor is configured to:
calculate a sampling credibility corresponding to the sampling time range based on a number of the instant heart rates of which the credibility is determined to be credible; and obtain the corresponding correction value from a correction table based on the sampling credibility, wherein the correction table records a plurality of credibility ranges and the respective corresponding correction value thereof. 15. A heart rate correction system, comprising a processor electrically coupled to a heart rate sensor and an acceleration sensor, wherein the processor is configured to:
collect a heartbeat measurement signal through the heart rate sensor during a sampling time range to calculate a plurality of instant heart rates corresponding to a plurality of sampling intervals included in the sampling time range; obtain an acceleration detection signal through the acceleration sensor during the sampling time range; determine whether a credibility of each of the instant heart rates is credible or incredible based on the acceleration detection signal; calculate an average heart rate by taking out the instant heart rates corresponding to the sampling interval of which the credibility is determined to be credible; determine whether a difference between the average heart rate and a reference heart rate exceeds a variation range; and obtain a corrected heart rate based on a correction value and the reference heart rate when it is determined that the difference between the average heart rate and the reference heart rate exceeds the variation range, and take the corrected heart rate as an output heart rate corresponding to the sampling time range. 16. The heart rate correction system as claimed in claim 15, wherein the processor is configured to:
calculate an acceleration average of each of the sampling intervals; compare the acceleration average with a credibility threshold; determine the credibility to be credible if the acceleration average is smaller than the credibility threshold; and determine the credibility to be incredible if the acceleration average is greater than the credibility threshold. 17. The heart rate correction system as claimed in claim 15, wherein the processor is configured to:
store the output heart rate in a temporary storage; wherein before determining whether the difference between the average heart rate and the reference heart rate exceeds the variation range, the processor is configured to: determine whether any of the output heart rate is stored in the temporary storage; not to correct the average heart rate but directly take the average heart rate as the output heart rate for storing in the temporary storage when it is determined that no output heart rate is stored in the temporary storage; and calculate a heart rate average of all output heart rates included in the temporary storage when it is determined that any of the output heart rate is stored in the temporary storage, and take the heart rate average as the reference heart rate to read the reference heart rate from the temporary storage to perform heart rate correction. 18. The heart rate correction system as claimed in claim 15, wherein the processor is configured to:
calculate a sampling credibility corresponding to the sampling time range based on a number of the instant heart rates of which the credibility is determined to be credible; wherein when it is determined that no output heart rate is stored in the temporary storage, the processor is configured to: determine whether the sampling credibility is greater than a credibility threshold; discard storing the average heart rate in the temporary storage when it is determined that the sampling credibility is not greater than the credibility threshold; and directly take the average heart rate as the output hear rate for storing in the temporary storage when it is determined that the sampling credibility is greater than the credibility threshold. 19. The heart rate correction system as claimed in claim 15, wherein the processor is configured to:
calculate a sampling credibility corresponding to the sampling time range based on a number of the instant heart rates of which the credibility is determined to be credible; and obtain the corresponding correction value from a correction table based on the sampling credibility, wherein the correction table records a plurality of credibility ranges and the respective corresponding correction value thereof. 20. A computer readable medium, storing a plurality of code snippets and loading the code snippets via an electronic device to execute following steps, comprising:
collecting a heartbeat measurement signal during a sampling time range to calculate a plurality of instant heart rates corresponding to a plurality of sampling intervals included in the sampling time range; obtaining an acceleration detection signal during the sampling time range; determining whether a credibility of each of the instant heart rates is credible or incredible based on the acceleration detection signal; calculating an average heart rate by taking out the instant heart rates of which the credibility is determined to be credible; determining whether a difference between the average heart rate and a reference heart rate exceeds a variation range; and obtaining a corrected heart rate based on a correction value and the reference heart rate when it is determined that the difference between the average heart rate and the reference heart rate exceeds the variation range, and taking the corrected heart rate as an output heart rate corresponding to the sampling time range. | A heart rate correction method and system and a computer readable medium are provided. A heartbeat measurement signal and an acceleration detection signal are collected during a sampling time range. A credibility of an instant heart rate is determined to be credible or incredible based on the acceleration detection signal. An average heart rate is calculated by taking out one or more instant heart rate of which the credibility is determined to be credible. Whether a difference between the average heart rate and a reference heart rate exceeds a variation range is determined. When it is determined that the difference between the average heart rate and the reference heart rate exceeds the variation range, a corrected heart rate is obtained based on a correction value and the reference heart rate, and the corrected heart rate is taken as an output heart rate corresponding to the sampling time range.1. A heart rate correction method, comprising:
collecting a heartbeat measurement signal during a sampling time range to calculate a plurality of instant heart rates corresponding to a plurality of sampling intervals included in the sampling time range; obtaining an acceleration detection signal during the sampling time range; determining whether a credibility of each of the instant heart rates is credible or incredible based on the acceleration detection signal; calculating an average heart rate of the instant heart rates of which the credibility is determined to be credible; determining whether a difference between the average heart rate and a reference heart rate exceeds a variation range; and obtaining a corrected heart rate based on a correction value and the reference heart rate when it is determined that the difference between the average heart rate and the reference heart rate exceeds the variation range, and taking the corrected heart rate as an output heart rate corresponding to the sampling time range. 2. The heart rate correction method as claimed in claim 1, wherein the step of determining whether the credibility of each of the instant heart rates is credible or incredible based on the acceleration detection signal comprises:
calculating an acceleration average of each of the sampling intervals; comparing the acceleration average with a credibility threshold; determining the credibility to be credible when the acceleration average is smaller than or equal to the credibility threshold; and determining the credibility to be incredible when the acceleration average is greater than the credibility threshold. 3. The heart rate correction method as claimed in claim 1, further comprising:
storing the output heart rate in a temporary storage; wherein before the step of determining whether the difference between the average heart rate and the reference heart rate exceeds the variation range, the heart rate correction method further comprises: determining whether any of the output heart rate is stored in the temporary storage; not to correct the average heart rate but directly taking the average heart rate as the output heart rate for storing in the temporary storage when it is determined that no output heart rate is stored in the temporary storage; and calculating a heart rate average of all output heart rates included in the temporary storage when it is determined that any of the output heart rate is stored in the temporary storage, and taking the heart rate average as the reference heart rate to read the reference heart rate from the temporary storage to perform heart rate correction. 4. The heart rate correction method as claimed in claim 3, further comprising:
calculating a sampling credibility corresponding to the sampling time range based on a number of the instant heart rates of which the credibility is determined to be credible; wherein when it is determined that no output heart rate is stored in the temporary storage, the heart rate correction method further comprises: determining whether the sampling credibility is greater than a credibility threshold; discarding storing the average heart rate in the temporary storage when it is determined that the sampling credibility is not greater than the credibility threshold; and directly taking the average heart rate as the output hear rate for storing in the temporary storage when it is determined that the sampling credibility is greater than the credibility threshold. 5. The heart rate correction method as claimed in claim 4, wherein the sampling credibility is obtained based on a following equation:
Tr=N_true/N_sum; wherein Tr represents the sampling credibility, N_true represents the number of the instant heart rates of which the credibility is determined to be credible, and N_sum represents a total number of the instant heart rates included in the sampling time range. 6. The heart rate correction method as claimed in claim 1, further comprising:
directly taking the average heart rate as the output heart rate corresponding to the sampling time range when it is determined that the difference between the average heart rate and the reference heart rate falls within the variation range. 7. The heart rate correction method as claimed in claim 1, further comprising:
calculating a sampling credibility corresponding to the sampling time range based on a number of the instant heart rates of which the credibility is determined to be credible; wherein the step of obtaining the corrected heart rate based on the correction value and the reference heart rate further comprises: obtaining the corresponding correction value from a correction table based on the sampling credibility, wherein the correction table records a plurality of credibility ranges and the respective corresponding correction value thereof. 8. A heart rate correction system, comprising:
a heart rate sensor; an acceleration sensor; and a processor, electrically coupled to the heart rate sensor and the acceleration sensor, wherein the processor is configured to: collect a heartbeat measurement signal through the heart rate sensor during a sampling time range to calculate a plurality of instant heart rates corresponding to a plurality of sampling intervals included in the sampling time range; obtain an acceleration detection signal through the acceleration sensor during the sampling time range; determine whether a credibility of each of the instant heart rates is credible or incredible based on the acceleration detection signal; calculate an average heart rate by taking out the instant heart rates corresponding to the sampling intervals of which the credibility is determined to be credible; determine whether a difference between the average heart rate and a reference heart rate exceeds a variation range; and obtain a corrected heart rate based on a correction value and the reference heart rate when it is determined that the difference between the average heart rate and the reference heart rate exceeds the variation range, and take the corrected heart rate as an output heart rate corresponding to the sampling time range. 9. The heart rate correction system as claimed in claim 8, wherein the processor is configured to:
calculate an acceleration average of each of the sampling intervals; compare the acceleration average with a credibility threshold; determine the credibility to be credible if the acceleration average is smaller than the credibility threshold; and determine the credibility to be incredible if the acceleration average is greater than the credibility threshold. 10. The heart rate correction system as claimed in claim 8, wherein the processor is configured to:
store the output heart rate in a temporary storage; wherein before determining whether the difference between the average heart rate and the reference heart rate exceeds the variation range, the processor is configured to: determine whether any of the output heart rate is stored in the temporary storage; not to correct the average heart rate but directly take the average heart rate as the output heart rate for storing in the temporary storage when it is determined that no output heart rate is stored in the temporary storage; and calculate a heart rate average of all output heart rates included in the temporary storage when it is determined that any of the output heart rate is stored in the temporary storage, and take the heart rate average as the reference heart rate to read the reference heart rate from the temporary storage to perform heart rate correction. 11. The heart rate correction system as claimed in claim 10, wherein the processor is configured to:
calculate a sampling credibility corresponding to the sampling time range based on a number of the instant heart rates of which the credibility is determined to be credible; wherein when it is determined that no output heart rate is stored in the temporary storage, the processor is configured to: determine whether the sampling credibility is greater than a credibility threshold; discard storing the average heart rate in the temporary storage when it is determined that the sampling credibility is not greater than the credibility threshold; and directly take the average heart rate as the output hear rate for storing in the temporary storage when it is determined that the sampling credibility is greater than the credibility threshold. 12. The heart rate correction system as claimed in claim 11, wherein the sampling credibility is obtained based on a following equation:
Tr=N_true/N_sum; wherein Tr represents the sampling credibility, N_true represents the number of the instant heart rates of which the credibility is determined to be credible, and N_sum represents a total number of the instant heart rates included in the sampling time range. 13. The heart rate correction system as claimed in claim 8, wherein the processor is configured to:
directly take the average heart rate as the output heart rate corresponding to the sampling time range when it is determined that the difference between the average heart rate and the reference heart rate falls within the variation range. 14. The heart rate correction system as claimed in claim 8, wherein the processor is configured to:
calculate a sampling credibility corresponding to the sampling time range based on a number of the instant heart rates of which the credibility is determined to be credible; and obtain the corresponding correction value from a correction table based on the sampling credibility, wherein the correction table records a plurality of credibility ranges and the respective corresponding correction value thereof. 15. A heart rate correction system, comprising a processor electrically coupled to a heart rate sensor and an acceleration sensor, wherein the processor is configured to:
collect a heartbeat measurement signal through the heart rate sensor during a sampling time range to calculate a plurality of instant heart rates corresponding to a plurality of sampling intervals included in the sampling time range; obtain an acceleration detection signal through the acceleration sensor during the sampling time range; determine whether a credibility of each of the instant heart rates is credible or incredible based on the acceleration detection signal; calculate an average heart rate by taking out the instant heart rates corresponding to the sampling interval of which the credibility is determined to be credible; determine whether a difference between the average heart rate and a reference heart rate exceeds a variation range; and obtain a corrected heart rate based on a correction value and the reference heart rate when it is determined that the difference between the average heart rate and the reference heart rate exceeds the variation range, and take the corrected heart rate as an output heart rate corresponding to the sampling time range. 16. The heart rate correction system as claimed in claim 15, wherein the processor is configured to:
calculate an acceleration average of each of the sampling intervals; compare the acceleration average with a credibility threshold; determine the credibility to be credible if the acceleration average is smaller than the credibility threshold; and determine the credibility to be incredible if the acceleration average is greater than the credibility threshold. 17. The heart rate correction system as claimed in claim 15, wherein the processor is configured to:
store the output heart rate in a temporary storage; wherein before determining whether the difference between the average heart rate and the reference heart rate exceeds the variation range, the processor is configured to: determine whether any of the output heart rate is stored in the temporary storage; not to correct the average heart rate but directly take the average heart rate as the output heart rate for storing in the temporary storage when it is determined that no output heart rate is stored in the temporary storage; and calculate a heart rate average of all output heart rates included in the temporary storage when it is determined that any of the output heart rate is stored in the temporary storage, and take the heart rate average as the reference heart rate to read the reference heart rate from the temporary storage to perform heart rate correction. 18. The heart rate correction system as claimed in claim 15, wherein the processor is configured to:
calculate a sampling credibility corresponding to the sampling time range based on a number of the instant heart rates of which the credibility is determined to be credible; wherein when it is determined that no output heart rate is stored in the temporary storage, the processor is configured to: determine whether the sampling credibility is greater than a credibility threshold; discard storing the average heart rate in the temporary storage when it is determined that the sampling credibility is not greater than the credibility threshold; and directly take the average heart rate as the output hear rate for storing in the temporary storage when it is determined that the sampling credibility is greater than the credibility threshold. 19. The heart rate correction system as claimed in claim 15, wherein the processor is configured to:
calculate a sampling credibility corresponding to the sampling time range based on a number of the instant heart rates of which the credibility is determined to be credible; and obtain the corresponding correction value from a correction table based on the sampling credibility, wherein the correction table records a plurality of credibility ranges and the respective corresponding correction value thereof. 20. A computer readable medium, storing a plurality of code snippets and loading the code snippets via an electronic device to execute following steps, comprising:
collecting a heartbeat measurement signal during a sampling time range to calculate a plurality of instant heart rates corresponding to a plurality of sampling intervals included in the sampling time range; obtaining an acceleration detection signal during the sampling time range; determining whether a credibility of each of the instant heart rates is credible or incredible based on the acceleration detection signal; calculating an average heart rate by taking out the instant heart rates of which the credibility is determined to be credible; determining whether a difference between the average heart rate and a reference heart rate exceeds a variation range; and obtaining a corrected heart rate based on a correction value and the reference heart rate when it is determined that the difference between the average heart rate and the reference heart rate exceeds the variation range, and taking the corrected heart rate as an output heart rate corresponding to the sampling time range. | 2,400 |
348,347 | 16,805,834 | 2,457 | A semiconductor device and a method of forming the same are provided. The semiconductor device includes a substrate, a gate structure, a dielectric structure and a contact structure. The substrate has source/drain (S/D) regions. The gate structure is on the substrate and between the S/D regions. The dielectric structure covers the gate structure. The contact structure penetrates through the dielectric structure to connect to the S/D region. A lower portion of a sidewall of the contact structure is spaced apart from the dielectric structure by an air gap therebetween, while an upper portion of the sidewall of the contact structure is in contact with the dielectric structure. | 1. A semiconductor device, comprising:
a substrate, having source/drain (S/D) regions; a gate structure on the substrate and between the S/D regions; a dielectric structure covering the gate structure; a contact structure, penetrating through the dielectric structure to connect to the S/D region; wherein a lower portion of a sidewall of the contact structure is spaced apart from the dielectric structure by an air gap therebetween, while an upper portion of the sidewall of the contact structure is in contact with the dielectric structure. 2. The semiconductor device of claim 1, wherein the dielectric structure comprises:
a first dielectric layer laterally aside the gate structure; and a second dielectric layer on the first dielectric layer and the gate structure, wherein the air gap is disposed laterally between the first dielectric layer and the contact structure. 3. The semiconductor device of claim 2, wherein the second dielectric layer laterally protrudes from a sidewall of the first dielectric layer and contact the upper portion of the sidewall of the contact structure. 4. The semiconductor device of claim 3, wherein the second dielectric layer comprises dopants therein. 5. The semiconductor device of claim 2, wherein the dielectric structure further comprises a first etch stop layer between the first dielectric layer and the second dielectric layer, and the air gap is further disposed laterally between at least a portion of a sidewall of the first etch stop layer and the sidewall of the contact structure. 6. The semiconductor device of claim 2, wherein the dielectric structure further comprises a sealing material, the sealing material is laterally between and in contact with the second dielectric layer and the upper portion of the sidewall of the contact structure. 7. The semiconductor device of claim 6, further comprising a second etch stop layer, the second etch stop layer comprises a body portion and an extending portion, the body portion is on the second dielectric layer and the contact structure, and the extending portion serves as the sealing material. 8. The semiconductor device of claim 7, wherein there is free of interface between the extending portion and the body portion. 9. A semiconductor device, comprising:
a substrate, having source/drain (S/D) regions; a gate structure on the substrate and between the S/D regions; a contact structure laterally aside the gate structure and connected to the S/D region; a first dielectric layer laterally aside the gate structure and the contact structure, wherein the first dielectric layer is spaced apart from the contact structure by an air gap therebetween; and a second dielectric layer over the first dielectric layer and the gate structure, and laterally aside the contact structure, wherein the second dielectric layer comprises a dopant therein. 10. The semiconductor device of claim 9, wherein the second dielectric layer is in contact with a sidewall of the contact structure, and a portion of second dielectric layer is overlapped with the air gap in a direction perpendicular to a top surface of the substrate. 11. The semiconductor device of claim 9, wherein the first dielectric layer is undoped, or comprises a dopant and having a doping concentration less than a doping concentration of the second dielectric layer. 12. The semiconductor device of claim 9, further comprising a second etch stop layer on the second dielectric layer and the contact structure, wherein the second etch stop layer is separated from the air gap by the second dielectric layer therebetween. 13. The semiconductor device of claim 12, wherein the second etch stop layer is free of dopant. 14. The semiconductor device of claim 13, wherein the second etch stop layer comprises a dopant the same as the dopant in the second dielectric layer. 15. A method of manufacturing a semiconductor device, comprising:
forming a gate structure on a substrate; forming a S/D region in the substrate and on sides of the gate structure; forming a dielectric structure comprising a first dielectric layer laterally aside the gate structure and a second dielectric layer over the first dielectric layer and the gate structure; forming a contact structure penetrating through the dielectric structure to connect to the S/D region; forming a sacrificial layer laterally between the dielectric structure and the contact structure; removing the sacrificial layer to form a first air gap laterally between the contact structure and the dielectric structure; and performing a sealing process to seal a top of the first air gap, and remaining a second air gap laterally between lower portions of the contact structure and the dielectric structure. 16. The method of claim 15, wherein performing the sealing process comprises:
performing a doping process on the second dielectric layer to expand the second dielectric layer, and an expanded second dielectric layer is formed to have a larger width than the second dielectric layer, so as to seal the top of the first air gap. 17. The method of claim 16, further comprising:
forming a first etch stop layer between the first dielectric layer and the second dielectric layer, and an expansion of the second dielectric layer is constrained by the first etch stop layer, wherein the expanded second dielectric layer further has a larger thickness than the second dielectric layer and protrudes from a top surface of the contact structure; and performing a planarization process to remove a portion of the expanded second dielectric layer protruding over the contact structure. 18. The method of claim 16, further comprising:
forming a first etch stop layer between the first dielectric layer and the second dielectric layer; and forming a second etch stop layer on the second dielectric layer and the contact structure after the first air gap is formed and before performing the sealing process, wherein an expansion of the second dielectric layer is constrained by the first etch stop layer and the second etch stop layer. 19. The method of claim 15, wherein performing the sealing process comprises forming a sealing material laterally between the second dielectric layer and the contact structure to seal the top of the first air gap. 20. The method of claim 19, wherein forming the sealing material comprises:
forming an etch stop layer on the second dielectric layer and the contact structure, wherein a portion of the etch stop layer is formed to extend into the first air gap, and the portion of the etch stop layer serves as the sealing material. | A semiconductor device and a method of forming the same are provided. The semiconductor device includes a substrate, a gate structure, a dielectric structure and a contact structure. The substrate has source/drain (S/D) regions. The gate structure is on the substrate and between the S/D regions. The dielectric structure covers the gate structure. The contact structure penetrates through the dielectric structure to connect to the S/D region. A lower portion of a sidewall of the contact structure is spaced apart from the dielectric structure by an air gap therebetween, while an upper portion of the sidewall of the contact structure is in contact with the dielectric structure.1. A semiconductor device, comprising:
a substrate, having source/drain (S/D) regions; a gate structure on the substrate and between the S/D regions; a dielectric structure covering the gate structure; a contact structure, penetrating through the dielectric structure to connect to the S/D region; wherein a lower portion of a sidewall of the contact structure is spaced apart from the dielectric structure by an air gap therebetween, while an upper portion of the sidewall of the contact structure is in contact with the dielectric structure. 2. The semiconductor device of claim 1, wherein the dielectric structure comprises:
a first dielectric layer laterally aside the gate structure; and a second dielectric layer on the first dielectric layer and the gate structure, wherein the air gap is disposed laterally between the first dielectric layer and the contact structure. 3. The semiconductor device of claim 2, wherein the second dielectric layer laterally protrudes from a sidewall of the first dielectric layer and contact the upper portion of the sidewall of the contact structure. 4. The semiconductor device of claim 3, wherein the second dielectric layer comprises dopants therein. 5. The semiconductor device of claim 2, wherein the dielectric structure further comprises a first etch stop layer between the first dielectric layer and the second dielectric layer, and the air gap is further disposed laterally between at least a portion of a sidewall of the first etch stop layer and the sidewall of the contact structure. 6. The semiconductor device of claim 2, wherein the dielectric structure further comprises a sealing material, the sealing material is laterally between and in contact with the second dielectric layer and the upper portion of the sidewall of the contact structure. 7. The semiconductor device of claim 6, further comprising a second etch stop layer, the second etch stop layer comprises a body portion and an extending portion, the body portion is on the second dielectric layer and the contact structure, and the extending portion serves as the sealing material. 8. The semiconductor device of claim 7, wherein there is free of interface between the extending portion and the body portion. 9. A semiconductor device, comprising:
a substrate, having source/drain (S/D) regions; a gate structure on the substrate and between the S/D regions; a contact structure laterally aside the gate structure and connected to the S/D region; a first dielectric layer laterally aside the gate structure and the contact structure, wherein the first dielectric layer is spaced apart from the contact structure by an air gap therebetween; and a second dielectric layer over the first dielectric layer and the gate structure, and laterally aside the contact structure, wherein the second dielectric layer comprises a dopant therein. 10. The semiconductor device of claim 9, wherein the second dielectric layer is in contact with a sidewall of the contact structure, and a portion of second dielectric layer is overlapped with the air gap in a direction perpendicular to a top surface of the substrate. 11. The semiconductor device of claim 9, wherein the first dielectric layer is undoped, or comprises a dopant and having a doping concentration less than a doping concentration of the second dielectric layer. 12. The semiconductor device of claim 9, further comprising a second etch stop layer on the second dielectric layer and the contact structure, wherein the second etch stop layer is separated from the air gap by the second dielectric layer therebetween. 13. The semiconductor device of claim 12, wherein the second etch stop layer is free of dopant. 14. The semiconductor device of claim 13, wherein the second etch stop layer comprises a dopant the same as the dopant in the second dielectric layer. 15. A method of manufacturing a semiconductor device, comprising:
forming a gate structure on a substrate; forming a S/D region in the substrate and on sides of the gate structure; forming a dielectric structure comprising a first dielectric layer laterally aside the gate structure and a second dielectric layer over the first dielectric layer and the gate structure; forming a contact structure penetrating through the dielectric structure to connect to the S/D region; forming a sacrificial layer laterally between the dielectric structure and the contact structure; removing the sacrificial layer to form a first air gap laterally between the contact structure and the dielectric structure; and performing a sealing process to seal a top of the first air gap, and remaining a second air gap laterally between lower portions of the contact structure and the dielectric structure. 16. The method of claim 15, wherein performing the sealing process comprises:
performing a doping process on the second dielectric layer to expand the second dielectric layer, and an expanded second dielectric layer is formed to have a larger width than the second dielectric layer, so as to seal the top of the first air gap. 17. The method of claim 16, further comprising:
forming a first etch stop layer between the first dielectric layer and the second dielectric layer, and an expansion of the second dielectric layer is constrained by the first etch stop layer, wherein the expanded second dielectric layer further has a larger thickness than the second dielectric layer and protrudes from a top surface of the contact structure; and performing a planarization process to remove a portion of the expanded second dielectric layer protruding over the contact structure. 18. The method of claim 16, further comprising:
forming a first etch stop layer between the first dielectric layer and the second dielectric layer; and forming a second etch stop layer on the second dielectric layer and the contact structure after the first air gap is formed and before performing the sealing process, wherein an expansion of the second dielectric layer is constrained by the first etch stop layer and the second etch stop layer. 19. The method of claim 15, wherein performing the sealing process comprises forming a sealing material laterally between the second dielectric layer and the contact structure to seal the top of the first air gap. 20. The method of claim 19, wherein forming the sealing material comprises:
forming an etch stop layer on the second dielectric layer and the contact structure, wherein a portion of the etch stop layer is formed to extend into the first air gap, and the portion of the etch stop layer serves as the sealing material. | 2,400 |
348,348 | 16,805,852 | 2,826 | A linear or rotary step motor for moving an object comprising: one or more beam actuators; and one or more auxiliary actuators. Each beam actuator comprises: (a) a flexible beam; (b) two holders holding the flexible beam from the beam edges; and (c) an actuator for moving the said at least one holder in order to bent the beam toward the object or to pull the beam away from the object. The axillary actuators are connected to the one or more beam actuators. The beam actuators configured to grip or release the object, and the one or more beam actuators perform a movement step to the object by first grip the object by the one or more beam actuators then push the object by activating the auxiliary actuator. | 1. A step motor for moving an object comprising:
one or more beam actuators; and one or more auxiliary actuators, 2. The step motor of claim 1, wherein said actuator is configured to move both holders. 3. The step motor of claim 1, wherein the step motor is linear step motor. 4. The step motor of claim 1, wherein the step motor is rotary step motor. 5. The step motor of claim 1, wherein the object is a needle. 6. The step motor of claim 1, wherein the step motor is fabricated on a die. 7. The step motor of claim 1, wherein a plurality of said step motors are fabricated on a single die. 8. The step motor of claim 6, wherein the object is further fabricated on said die. 9. The step motor of claim 1, wherein the step motor further comprises one or more drivers that drives electrical signals to activate the actuator. 10. The step motor of claim 9, wherein the step motor further comprises a controller, wherein the controller command the drivers and activate the beam actuators and the auxiliary actuators in a sequence of commands that is suitable to move the object. 11. The step motor of claim 9, wherein the step motor is fabricated on a first die, and the controller and the one or more drivers are fabricated on a second die and the dies are bonded back to back to each other by wafer bonding technics. 12. The step motor of claim 11, wherein the second die comprises at least on of or the combination of (1) sensors, (2) power subsystem, and (3) communication subsystem. 13. The step motor of claim 1, wherein the beam comprises from a plurality of straight segments. 14. The step motor of claim 1, wherein the beam cross section shape is not rectangular. 15. The step motor of claim 1, wherein the actuator of the beam actuator is any one of or any combination of (1) electro-static actuator, (2) electro-magnetic actuator, (3) thermal actuator, and (4) piezoelectric actuator. 16. The step motor of claim 1, wherein the axillary actuator is comprises of any one of or any combination of (1) electro-static actuator, (2) electro-magnetic actuator, (3) thermal actuator, and (4) piezoelectric actuator. 17. The step motor of claim 1, wherein the actuator of the beam actuator comprises one or more piezoelectric cantilevers. 18. The step motor of claim 1, wherein the step motor comprising a layer of piezoelectric material with electrodes above and below said layer. 19. The step motor of claim 1, wherein the step motor is fabricated by a method comprising:
(1) deposit sacrificial layer over a substrate; (2) deposit conducting layer over the sacrificial layer; (3) deposit piezoelectric layer over the conducting layer; (4) etch a geometrical pattern of the one or more beam actuators and one or more auxiliary actuator using a mask created by lithography process; and (5) etch the sacrificial layer under one or more one or more beam actuators and one or more auxiliary actuator. 20. The step motor of claim 1, wherein the step motor is fabricated by a fabricating method comprising:
(1) deposit piezoelectric layer; (2) etch a geometrical pattern of the one or more beam actuators and one or more auxiliary actuator using a mask created by lithography process; and (3) etch the top surface of the substrate under the one or more beam actuators and one or more auxiliary actuator using a two stage SCREAM etching process comprising: first, vertical anisotropic dry etching of pattern of pores, and second, horizontal extension of the pores etching using wet or gas etching. | A linear or rotary step motor for moving an object comprising: one or more beam actuators; and one or more auxiliary actuators. Each beam actuator comprises: (a) a flexible beam; (b) two holders holding the flexible beam from the beam edges; and (c) an actuator for moving the said at least one holder in order to bent the beam toward the object or to pull the beam away from the object. The axillary actuators are connected to the one or more beam actuators. The beam actuators configured to grip or release the object, and the one or more beam actuators perform a movement step to the object by first grip the object by the one or more beam actuators then push the object by activating the auxiliary actuator.1. A step motor for moving an object comprising:
one or more beam actuators; and one or more auxiliary actuators, 2. The step motor of claim 1, wherein said actuator is configured to move both holders. 3. The step motor of claim 1, wherein the step motor is linear step motor. 4. The step motor of claim 1, wherein the step motor is rotary step motor. 5. The step motor of claim 1, wherein the object is a needle. 6. The step motor of claim 1, wherein the step motor is fabricated on a die. 7. The step motor of claim 1, wherein a plurality of said step motors are fabricated on a single die. 8. The step motor of claim 6, wherein the object is further fabricated on said die. 9. The step motor of claim 1, wherein the step motor further comprises one or more drivers that drives electrical signals to activate the actuator. 10. The step motor of claim 9, wherein the step motor further comprises a controller, wherein the controller command the drivers and activate the beam actuators and the auxiliary actuators in a sequence of commands that is suitable to move the object. 11. The step motor of claim 9, wherein the step motor is fabricated on a first die, and the controller and the one or more drivers are fabricated on a second die and the dies are bonded back to back to each other by wafer bonding technics. 12. The step motor of claim 11, wherein the second die comprises at least on of or the combination of (1) sensors, (2) power subsystem, and (3) communication subsystem. 13. The step motor of claim 1, wherein the beam comprises from a plurality of straight segments. 14. The step motor of claim 1, wherein the beam cross section shape is not rectangular. 15. The step motor of claim 1, wherein the actuator of the beam actuator is any one of or any combination of (1) electro-static actuator, (2) electro-magnetic actuator, (3) thermal actuator, and (4) piezoelectric actuator. 16. The step motor of claim 1, wherein the axillary actuator is comprises of any one of or any combination of (1) electro-static actuator, (2) electro-magnetic actuator, (3) thermal actuator, and (4) piezoelectric actuator. 17. The step motor of claim 1, wherein the actuator of the beam actuator comprises one or more piezoelectric cantilevers. 18. The step motor of claim 1, wherein the step motor comprising a layer of piezoelectric material with electrodes above and below said layer. 19. The step motor of claim 1, wherein the step motor is fabricated by a method comprising:
(1) deposit sacrificial layer over a substrate; (2) deposit conducting layer over the sacrificial layer; (3) deposit piezoelectric layer over the conducting layer; (4) etch a geometrical pattern of the one or more beam actuators and one or more auxiliary actuator using a mask created by lithography process; and (5) etch the sacrificial layer under one or more one or more beam actuators and one or more auxiliary actuator. 20. The step motor of claim 1, wherein the step motor is fabricated by a fabricating method comprising:
(1) deposit piezoelectric layer; (2) etch a geometrical pattern of the one or more beam actuators and one or more auxiliary actuator using a mask created by lithography process; and (3) etch the top surface of the substrate under the one or more beam actuators and one or more auxiliary actuator using a two stage SCREAM etching process comprising: first, vertical anisotropic dry etching of pattern of pores, and second, horizontal extension of the pores etching using wet or gas etching. | 2,800 |
348,349 | 16,643,819 | 2,826 | An electrolyte for a lithium metal battery and a lithium metal battery including the same, more specifically an electrolyte for a lithium metal battery including a lithium salt, an organic solvent and an additive, wherein the additive includes a functional group that binds to lithium metal at one end thereof and a fluorinated hydrocarbon group at the other end. The electrolyte for the lithium metal battery includes an additive including particular functional groups to improve the stability of the lithium metal and suppress the side reaction at the surface, thereby enabling the lithium metal battery to have high capacity, high stability, and long life. | 1. An electrolyte for a lithium metal battery comprising:
a lithium salt; an organic solvent and an additive, wherein the additive comprises a first end and a second end opposite the first end, wherein a functional group that binds to lithium metal is present at the first end and a fluorinated hydrocarbon group is present at the second end. 2. The electrolyte for the lithium metal battery according to claim 1, wherein the functional group that binds to the lithium metal comprises at least one selected from the group consisting of a thiol group, an amine group and a hydroxy group. 3. The electrolyte for the lithium metal battery according to claim 1, wherein the additive is represented by the following Formula 1: 4. The electrolyte for the lithium metal battery according to claim 1, wherein the additive comprises at least one selected from the group consisting of 1H,1H2H,2H,3H,3H-perfluoroundecylthiol, 1H,1H,2H,2H-perfluorodecanethiol, 1H,1H,2H,2H-perfluorononanethiol, 1H,1H,2H,2H-perfluoro-1-octanethiol, 1H,1H-perfluorooctylthiol, 1H,1H-perfluoropropylthiol, 2,2,2-trifluoroethanethiol, 1H,1H,2H,2H-perfluoro-1-hexanethiol, 2,3,4,5,6-pentafluorothiophenol, 2,3,5,6-tetrafluorobenzenethiol, 2,4-difluorothiophenol, 3,4-difluorothiophenol, 2-fluorothiophenol, 3-fluorothiophenol, 4-fluorothiophenol, 2-(trifluoromethoxy)thiophenol, 3-(trifluoromethoxy)thiophenol, 2-(trifluoromethyl)benzenethiol, 2,6-difluorobenzyl mercaptan, 3,4-difluorobenzyl mercaptan, 3,5-difluorobenzyl mercaptan, 4-fluorobenzyl mercaptan, 3,5-bis(trifluoromethyl)benzenethiol, 4-trifluoromethylbenzyl mercaptan, 1H,1H-perfluorooctylamine, 1H,1H-heptafluorobutylamine, 1H,1H-perfluorononylamine, 4-(1H, 1H,2H,2H-perfluorodecyl)benzylamine, 2,2,3,3,4,4,4-heptafluorobutylamine, 2,2,3,3,3-pentafluoropropylamine, 3,3,3-trifluoropropylamine, 4-fluoro-α-methylbenzyl alcohol, 2-fluoro-3-methylbenzyl alcohol, 4-fluoro-3-methylbenzyl alcohol, 5-fluoro-2-methylbenzyl alcohol, 4-fluoro-3-nitrobenzyl alcohol, 2-fluoro-5-nitrobenzyl alcohol, 2-fluoro-3-(trifluoromethoxy)benzyl alcohol, 2-fluoro-3-nitrobenzyl alcohol, 2-fluoro-5-methoxybenzyl alcohol, 3-fluoro-5-(trifluoromethyl)benzyl alcohol, 3-fluoro-5-methoxybenzyl alcohol, 4-fluoro-3-(trifluoromethyebenzyl alcohol, 4-fluoro-3-methoxybenzyl alcohol, 5-fluoro-2-(trifluoromethyl)benzyl alcohol, 5-fluoro-2-nitrobenzyl alcohol, DL-4-fluoro-α-propylbenzyl alcohol, 3-fluorobenzyl alcohol and 4-fluorophenethyl alcohol. 5. The electrolyte for the lithium metal battery according to claim 1, wherein the additive is present in an amount of from 0.01% by weight to 5% by weight, based on a total weight of the electrolyte for the lithium metal battery. 6. The electrolyte for the lithium metal battery according to claim 1, wherein the lithium salt comprises at least one selected from the group consisting of LiCl, LiBr, LiI, LiClO4, LiBF4, LiB10Cl10, LiPF6, LiCF3SO3, LiCF3CO2, LiC4BO8, LiAsF6, LiSbF6, LiAlCl4, CH3SO3Li, CF3SO3Li, (CF3SO2)2NLi, (C2F5SO2)2NLi, (SO2F)2NLi, (CF3SO2)3CLi, lithium chloroborane, lithium lower aliphatic carboxylate having 4 or less carbon atoms, 4-phenyl lithium borate and lithium imide. 7. A lithium metal battery comprising:
a positive electrode comprising a positive electrode active material; a negative electrode comprising lithium metal or lithium alloy; and the electrolyte according to claim 1. 8. The lithium metal battery according to claim 7, wherein the positive electrode active material comprises at least one selected from the group consisting of an elemental sulfur and a sulfur-containing compound. | An electrolyte for a lithium metal battery and a lithium metal battery including the same, more specifically an electrolyte for a lithium metal battery including a lithium salt, an organic solvent and an additive, wherein the additive includes a functional group that binds to lithium metal at one end thereof and a fluorinated hydrocarbon group at the other end. The electrolyte for the lithium metal battery includes an additive including particular functional groups to improve the stability of the lithium metal and suppress the side reaction at the surface, thereby enabling the lithium metal battery to have high capacity, high stability, and long life.1. An electrolyte for a lithium metal battery comprising:
a lithium salt; an organic solvent and an additive, wherein the additive comprises a first end and a second end opposite the first end, wherein a functional group that binds to lithium metal is present at the first end and a fluorinated hydrocarbon group is present at the second end. 2. The electrolyte for the lithium metal battery according to claim 1, wherein the functional group that binds to the lithium metal comprises at least one selected from the group consisting of a thiol group, an amine group and a hydroxy group. 3. The electrolyte for the lithium metal battery according to claim 1, wherein the additive is represented by the following Formula 1: 4. The electrolyte for the lithium metal battery according to claim 1, wherein the additive comprises at least one selected from the group consisting of 1H,1H2H,2H,3H,3H-perfluoroundecylthiol, 1H,1H,2H,2H-perfluorodecanethiol, 1H,1H,2H,2H-perfluorononanethiol, 1H,1H,2H,2H-perfluoro-1-octanethiol, 1H,1H-perfluorooctylthiol, 1H,1H-perfluoropropylthiol, 2,2,2-trifluoroethanethiol, 1H,1H,2H,2H-perfluoro-1-hexanethiol, 2,3,4,5,6-pentafluorothiophenol, 2,3,5,6-tetrafluorobenzenethiol, 2,4-difluorothiophenol, 3,4-difluorothiophenol, 2-fluorothiophenol, 3-fluorothiophenol, 4-fluorothiophenol, 2-(trifluoromethoxy)thiophenol, 3-(trifluoromethoxy)thiophenol, 2-(trifluoromethyl)benzenethiol, 2,6-difluorobenzyl mercaptan, 3,4-difluorobenzyl mercaptan, 3,5-difluorobenzyl mercaptan, 4-fluorobenzyl mercaptan, 3,5-bis(trifluoromethyl)benzenethiol, 4-trifluoromethylbenzyl mercaptan, 1H,1H-perfluorooctylamine, 1H,1H-heptafluorobutylamine, 1H,1H-perfluorononylamine, 4-(1H, 1H,2H,2H-perfluorodecyl)benzylamine, 2,2,3,3,4,4,4-heptafluorobutylamine, 2,2,3,3,3-pentafluoropropylamine, 3,3,3-trifluoropropylamine, 4-fluoro-α-methylbenzyl alcohol, 2-fluoro-3-methylbenzyl alcohol, 4-fluoro-3-methylbenzyl alcohol, 5-fluoro-2-methylbenzyl alcohol, 4-fluoro-3-nitrobenzyl alcohol, 2-fluoro-5-nitrobenzyl alcohol, 2-fluoro-3-(trifluoromethoxy)benzyl alcohol, 2-fluoro-3-nitrobenzyl alcohol, 2-fluoro-5-methoxybenzyl alcohol, 3-fluoro-5-(trifluoromethyl)benzyl alcohol, 3-fluoro-5-methoxybenzyl alcohol, 4-fluoro-3-(trifluoromethyebenzyl alcohol, 4-fluoro-3-methoxybenzyl alcohol, 5-fluoro-2-(trifluoromethyl)benzyl alcohol, 5-fluoro-2-nitrobenzyl alcohol, DL-4-fluoro-α-propylbenzyl alcohol, 3-fluorobenzyl alcohol and 4-fluorophenethyl alcohol. 5. The electrolyte for the lithium metal battery according to claim 1, wherein the additive is present in an amount of from 0.01% by weight to 5% by weight, based on a total weight of the electrolyte for the lithium metal battery. 6. The electrolyte for the lithium metal battery according to claim 1, wherein the lithium salt comprises at least one selected from the group consisting of LiCl, LiBr, LiI, LiClO4, LiBF4, LiB10Cl10, LiPF6, LiCF3SO3, LiCF3CO2, LiC4BO8, LiAsF6, LiSbF6, LiAlCl4, CH3SO3Li, CF3SO3Li, (CF3SO2)2NLi, (C2F5SO2)2NLi, (SO2F)2NLi, (CF3SO2)3CLi, lithium chloroborane, lithium lower aliphatic carboxylate having 4 or less carbon atoms, 4-phenyl lithium borate and lithium imide. 7. A lithium metal battery comprising:
a positive electrode comprising a positive electrode active material; a negative electrode comprising lithium metal or lithium alloy; and the electrolyte according to claim 1. 8. The lithium metal battery according to claim 7, wherein the positive electrode active material comprises at least one selected from the group consisting of an elemental sulfur and a sulfur-containing compound. | 2,800 |
348,350 | 16,643,837 | 2,826 | A method and device for displaying icon are disclosed. The method includes: receiving an instruction for displaying icon by a mobile terminal, and determining, for each first icon to be displayed, a target duration corresponding to each first icon according to a first time of receiving the instruction for displaying icon and a second time stored for each first icon, wherein the second time is the time when a first application program corresponding to each first icon is used for the last time; determining, according to the target duration corresponding to each first icon and a pre-stored corresponding relation between a duration and an identifier, a first target identifier corresponding to each first icon; and displaying, on a desktop, each first icon carrying a corresponding first target identifier. | 1. A method for displaying icon, applied to a mobile terminal, the method comprising:
receiving an instruction for displaying icon; for each first icon to be displayed, determining a target duration corresponding to each first icon according to a first time of receiving the instruction for displaying icon and a second time stored for each first icon, wherein the second time is the time when a first application program corresponding to each first icon is used for the last time; determining, according to the target duration corresponding to each first icon and a pre-stored corresponding relation between a duration and an identifier, a first target identifier corresponding to each first icon; and displaying, on a desktop, each first icon carrying a corresponding first target identifier according to the first target identifier corresponding to each first icon. 2. The method according to claim 1, wherein determining, according to the target duration corresponding to each first icon and the pre-stored corresponding relation between a duration and an identifier, the first target identifier corresponding to each first icon comprises:
searching, according to the target duration corresponding to each first icon and the pre-stored corresponding relation between a duration and a crack generation intensity, a target crack generation intensity corresponding to each first icon; and generating a target crack corresponding to each first icon according to the target crack generation intensity corresponding to each first icon. 3. The method according to claim 1, wherein displaying, on the desktop, each first icon carrying the corresponding first target identifier according to the first target identifier corresponding to each first icon comprises:
determining a display position for displaying each first icon on the desktop according to the target duration corresponding to each first icon; and displaying, at a corresponding display position on the desktop, each first icon carrying the corresponding first target identifier. 4. The method according to claim 1, after determining the target duration that the first application program is not used, and before determining, according to the target duration corresponding to each first icon and the pre-stored corresponding relation between a duration and an identifier, the first target identifier corresponding to each first icon, the method further comprises:
determining whether there is a second icon of which a target duration is less than a set duration threshold; and if there is a second icon of which the target duration is less than the set duration threshold, filtering the second icon, and performing subsequent steps. 5. The method according to claim 4, wherein if there is a filtered second icon, the method further comprises:
directly displaying the filtered second icon on the desktop. 6. The method according to claim 1, further comprising:
if an operation for ending the application program is received, identifying a second application program corresponding to the operation; determining a third icon corresponding to the second application program; and updating a second time stored for the third icon. 7. The method according to claim 1, further comprising:
receiving an operation for sorting icon, wherein the operation for sorting icon carries information of a second target identifier carried by an icon to be sorted; and sorting a currently displayed fourth icon carrying the second target identifier according to the received information of the second target identifier. 8. A device for displaying icon, applied to a mobile terminal, the device comprising:
a first determination element, configured to receive an instruction for displaying icon, and determine, for each first icon to be displayed, a target duration corresponding to each first icon according to a first time of receiving the instruction for displaying icon and a second time stored for each first icon, wherein the second time is the time when a first application program corresponding to each first icon is used for the last time; a second determination element, configured to determine, according to the target duration corresponding to each first icon and a pre-stored corresponding relation between a duration and an identifier, a first target identifier corresponding to each first icon; and a display element, configured to display, on a desktop, each first icon carrying the corresponding first target identifier according to the first target identifier corresponding to each first icon. 9. The device according to claim 8, wherein the second determination element is configured to: search, according to the target duration corresponding to each first icon and the pre-stored corresponding relation between a duration and a crack generation intensity, a target crack generation intensity corresponding to each first icon; and generate a target crack corresponding to each first icon according to the target crack generation intensity corresponding to each first icon. 10. The device according to claim 8, wherein the display element is configured to determine a display position for displaying each first icon on the desktop according to the target duration corresponding to each first icon, and display, at a corresponding display position on the desktop, each first icon carrying the corresponding first target identifier. 11. The device according to claim 8, further comprising:
a filter element, configured to determine whether there is a second icon of which a target duration is less than a set duration threshold, and if there is a second icon of which the target duration is less than the set duration threshold, filter the second icon and trigger the second determination element. 12. The device according to claim 11, wherein the display element is further configured to directly display, if there is the second icon filtered by the filter element, the second icon on the desktop. 13. The device according to claim 8, further comprising:
an update element, configured to identify, if an operation for ending the application program is received, a second application program corresponding to the operation, determine a third icon corresponding to the second application program, and update a second time stored for the third icon. 14. The device according to claim 8, further comprising:
a sorting element, configured to: receive an operation for sorting icon, wherein the operation for sorting icon carries information of a second target identifier carried by an icon to be sorted; and sort a currently displayed fourth icon carrying the second target identifier according to the received information of the second target identifier. | A method and device for displaying icon are disclosed. The method includes: receiving an instruction for displaying icon by a mobile terminal, and determining, for each first icon to be displayed, a target duration corresponding to each first icon according to a first time of receiving the instruction for displaying icon and a second time stored for each first icon, wherein the second time is the time when a first application program corresponding to each first icon is used for the last time; determining, according to the target duration corresponding to each first icon and a pre-stored corresponding relation between a duration and an identifier, a first target identifier corresponding to each first icon; and displaying, on a desktop, each first icon carrying a corresponding first target identifier.1. A method for displaying icon, applied to a mobile terminal, the method comprising:
receiving an instruction for displaying icon; for each first icon to be displayed, determining a target duration corresponding to each first icon according to a first time of receiving the instruction for displaying icon and a second time stored for each first icon, wherein the second time is the time when a first application program corresponding to each first icon is used for the last time; determining, according to the target duration corresponding to each first icon and a pre-stored corresponding relation between a duration and an identifier, a first target identifier corresponding to each first icon; and displaying, on a desktop, each first icon carrying a corresponding first target identifier according to the first target identifier corresponding to each first icon. 2. The method according to claim 1, wherein determining, according to the target duration corresponding to each first icon and the pre-stored corresponding relation between a duration and an identifier, the first target identifier corresponding to each first icon comprises:
searching, according to the target duration corresponding to each first icon and the pre-stored corresponding relation between a duration and a crack generation intensity, a target crack generation intensity corresponding to each first icon; and generating a target crack corresponding to each first icon according to the target crack generation intensity corresponding to each first icon. 3. The method according to claim 1, wherein displaying, on the desktop, each first icon carrying the corresponding first target identifier according to the first target identifier corresponding to each first icon comprises:
determining a display position for displaying each first icon on the desktop according to the target duration corresponding to each first icon; and displaying, at a corresponding display position on the desktop, each first icon carrying the corresponding first target identifier. 4. The method according to claim 1, after determining the target duration that the first application program is not used, and before determining, according to the target duration corresponding to each first icon and the pre-stored corresponding relation between a duration and an identifier, the first target identifier corresponding to each first icon, the method further comprises:
determining whether there is a second icon of which a target duration is less than a set duration threshold; and if there is a second icon of which the target duration is less than the set duration threshold, filtering the second icon, and performing subsequent steps. 5. The method according to claim 4, wherein if there is a filtered second icon, the method further comprises:
directly displaying the filtered second icon on the desktop. 6. The method according to claim 1, further comprising:
if an operation for ending the application program is received, identifying a second application program corresponding to the operation; determining a third icon corresponding to the second application program; and updating a second time stored for the third icon. 7. The method according to claim 1, further comprising:
receiving an operation for sorting icon, wherein the operation for sorting icon carries information of a second target identifier carried by an icon to be sorted; and sorting a currently displayed fourth icon carrying the second target identifier according to the received information of the second target identifier. 8. A device for displaying icon, applied to a mobile terminal, the device comprising:
a first determination element, configured to receive an instruction for displaying icon, and determine, for each first icon to be displayed, a target duration corresponding to each first icon according to a first time of receiving the instruction for displaying icon and a second time stored for each first icon, wherein the second time is the time when a first application program corresponding to each first icon is used for the last time; a second determination element, configured to determine, according to the target duration corresponding to each first icon and a pre-stored corresponding relation between a duration and an identifier, a first target identifier corresponding to each first icon; and a display element, configured to display, on a desktop, each first icon carrying the corresponding first target identifier according to the first target identifier corresponding to each first icon. 9. The device according to claim 8, wherein the second determination element is configured to: search, according to the target duration corresponding to each first icon and the pre-stored corresponding relation between a duration and a crack generation intensity, a target crack generation intensity corresponding to each first icon; and generate a target crack corresponding to each first icon according to the target crack generation intensity corresponding to each first icon. 10. The device according to claim 8, wherein the display element is configured to determine a display position for displaying each first icon on the desktop according to the target duration corresponding to each first icon, and display, at a corresponding display position on the desktop, each first icon carrying the corresponding first target identifier. 11. The device according to claim 8, further comprising:
a filter element, configured to determine whether there is a second icon of which a target duration is less than a set duration threshold, and if there is a second icon of which the target duration is less than the set duration threshold, filter the second icon and trigger the second determination element. 12. The device according to claim 11, wherein the display element is further configured to directly display, if there is the second icon filtered by the filter element, the second icon on the desktop. 13. The device according to claim 8, further comprising:
an update element, configured to identify, if an operation for ending the application program is received, a second application program corresponding to the operation, determine a third icon corresponding to the second application program, and update a second time stored for the third icon. 14. The device according to claim 8, further comprising:
a sorting element, configured to: receive an operation for sorting icon, wherein the operation for sorting icon carries information of a second target identifier carried by an icon to be sorted; and sort a currently displayed fourth icon carrying the second target identifier according to the received information of the second target identifier. | 2,800 |
348,351 | 16,805,839 | 2,824 | A method to control a memory cell in a memory device, where the memory cell includes a switch, a memory element and a negative resistance device coupled in series, the method includes: determine whether the memory cell is in a read operation or not; during the read operation in the memory cell, apply a read voltage greater than a predetermined threshold voltage of the negative resistance device for making the negative resistance device entering into a negative resistance state. A memory device that includes a memory cell array is also provided. | 1. A memory cell array, comprising:
a plurality of memory cells, a plurality of word lines; a plurality of bit lines; and a plurality of common source lines, wherein each of the memory cells comprising:
a switch, a first terminal of the switch is coupled to one of the common source lines and a control terminal of the switch is coupled to one of the word lines;
a memory element, a first terminal of the memory element is coupled to a second terminal of the switch; and
a negative resistance device, a first terminal of the negative resistance device is coupled to a second terminal of the memory element, and a second terminal of the negative resistance device is coupled to one of the bit lines,
wherein the switch, the memory element, and the negative resistance device are coupled in series,
wherein during a read operation in the memory cell array, a read voltage greater than a predetermined threshold voltage of the negative resistance device is applied to the negative resistance device for making the negative resistance device entering into a negative resistance state. 2. The memory cell array of claim 1, wherein the negative resistance device is an ovonic threshold switch (OTS). 3. The memory cell array of claim 1, wherein the switch is a CMOS transistor. 4. The memory cell array of claim 1, wherein the memory element is a magnetic tunnel junction (MTJ) device. 5. The memory cell array of claim 1, wherein the read voltage of is applied to the negative resistance device by a voltage difference between the one of the bit lines and one of the common source lines. 6. The memory cell array of claim 1, wherein a loading of each of the memory cells are reduced while the negative resistance device entering into the negative resistance state. 7. The memory cell array of claim 1, wherein the predetermined threshold voltage of the negative resistance device is adjusted with different materials. 8. The memory cell array of claim 7, wherein the negative resistance device is a metal-semiconductor-metal (MSM). 9. The memory cell array of claim 7, wherein the negative resistance device is a mixed-ionic-electronic-conduction device (MIEC). 10. The memory cell array of claim 7, wherein the negative resistance device comprises at least one of the elements in a Chalcogenide group. 11. The memory cell array of claim 1, wherein during a write operation in the memory cell array, a write voltage greater than the predetermined threshold voltage is applied to the negative resistance device for making the negative resistance device entering into a low resistance state. 12. A memory device, comprising:
a driver configured to generate a read voltage and a write voltage to perform a read operation and a write operation in the memory device; a sense amplifier; a data output, configured to receive an input from the sense amplifier and generates an output; a memory cell array, wherein the memory cell array comprising:
a plurality of memory cells,
a plurality of word lines;
a plurality of bit lines; and
a plurality of common source lines,
wherein each of the memory cells comprising:
a switch, a first terminal of the switch is coupled to one of the common source lines and a control terminal of the switch is coupled to one of the word lines;
a memory element, a first terminal of the memory element is coupled to a second terminal of the switch; and
a negative resistance device, a first terminal of the negative resistance device is coupled to a second terminal of the memory element, and a second terminal of the negative resistance device is coupled to one of the bit lines,
wherein the switch, the memory element, and the negative resistance device are coupled in series,
wherein during the read operation in the memory cells, the read voltage greater than a predetermined threshold voltage of the negative resistance device is applied to the negative resistance device for making the negative resistance device entering into a negative resistance state. 13. The memory device of claim 12, wherein the negative resistance device is an ovonic threshold switch (OTS). 14. The memory device of claim 12, wherein the memory element is a magnetic tunnel junction (MTJ) device. 15. The memory device of claim 12, wherein the read voltage of is applied to the negative resistance device by a voltage difference between the one of the bit lines and one of the common source lines. 16. The memory device of claim 12, wherein a loading of each of the memory cells are reduced while the negative resistance device entering into the negative resistance state. 17. The memory device of claim 12, wherein during a write operation in the memory device, the write voltage greater than the predetermined threshold voltage is applied to the negative resistance device for making the negative resistance device entering into a low resistance state. 18. A method to control a memory cell in a memory device, wherein the memory cell includes a switch, a memory element and a negative resistance device coupled in series, the method comprising:
determine whether the memory cell is in a read operation or not; during the read operation in the memory cell, apply a read voltage greater than a predetermined threshold voltage of the negative resistance device for making the negative resistance device entering into a negative resistance state. 19. The method of claim 18, wherein the read voltage of is applied to the negative resistance device by a voltage difference between a bit line and a common source line. 20. The method of claim 18, further comprising:
determine whether the memory cell is in a write operation or not; wherein during the write operation, apply the write voltage greater than the predetermined threshold voltage for making the negative resistance device entering into a low resistance state. | A method to control a memory cell in a memory device, where the memory cell includes a switch, a memory element and a negative resistance device coupled in series, the method includes: determine whether the memory cell is in a read operation or not; during the read operation in the memory cell, apply a read voltage greater than a predetermined threshold voltage of the negative resistance device for making the negative resistance device entering into a negative resistance state. A memory device that includes a memory cell array is also provided.1. A memory cell array, comprising:
a plurality of memory cells, a plurality of word lines; a plurality of bit lines; and a plurality of common source lines, wherein each of the memory cells comprising:
a switch, a first terminal of the switch is coupled to one of the common source lines and a control terminal of the switch is coupled to one of the word lines;
a memory element, a first terminal of the memory element is coupled to a second terminal of the switch; and
a negative resistance device, a first terminal of the negative resistance device is coupled to a second terminal of the memory element, and a second terminal of the negative resistance device is coupled to one of the bit lines,
wherein the switch, the memory element, and the negative resistance device are coupled in series,
wherein during a read operation in the memory cell array, a read voltage greater than a predetermined threshold voltage of the negative resistance device is applied to the negative resistance device for making the negative resistance device entering into a negative resistance state. 2. The memory cell array of claim 1, wherein the negative resistance device is an ovonic threshold switch (OTS). 3. The memory cell array of claim 1, wherein the switch is a CMOS transistor. 4. The memory cell array of claim 1, wherein the memory element is a magnetic tunnel junction (MTJ) device. 5. The memory cell array of claim 1, wherein the read voltage of is applied to the negative resistance device by a voltage difference between the one of the bit lines and one of the common source lines. 6. The memory cell array of claim 1, wherein a loading of each of the memory cells are reduced while the negative resistance device entering into the negative resistance state. 7. The memory cell array of claim 1, wherein the predetermined threshold voltage of the negative resistance device is adjusted with different materials. 8. The memory cell array of claim 7, wherein the negative resistance device is a metal-semiconductor-metal (MSM). 9. The memory cell array of claim 7, wherein the negative resistance device is a mixed-ionic-electronic-conduction device (MIEC). 10. The memory cell array of claim 7, wherein the negative resistance device comprises at least one of the elements in a Chalcogenide group. 11. The memory cell array of claim 1, wherein during a write operation in the memory cell array, a write voltage greater than the predetermined threshold voltage is applied to the negative resistance device for making the negative resistance device entering into a low resistance state. 12. A memory device, comprising:
a driver configured to generate a read voltage and a write voltage to perform a read operation and a write operation in the memory device; a sense amplifier; a data output, configured to receive an input from the sense amplifier and generates an output; a memory cell array, wherein the memory cell array comprising:
a plurality of memory cells,
a plurality of word lines;
a plurality of bit lines; and
a plurality of common source lines,
wherein each of the memory cells comprising:
a switch, a first terminal of the switch is coupled to one of the common source lines and a control terminal of the switch is coupled to one of the word lines;
a memory element, a first terminal of the memory element is coupled to a second terminal of the switch; and
a negative resistance device, a first terminal of the negative resistance device is coupled to a second terminal of the memory element, and a second terminal of the negative resistance device is coupled to one of the bit lines,
wherein the switch, the memory element, and the negative resistance device are coupled in series,
wherein during the read operation in the memory cells, the read voltage greater than a predetermined threshold voltage of the negative resistance device is applied to the negative resistance device for making the negative resistance device entering into a negative resistance state. 13. The memory device of claim 12, wherein the negative resistance device is an ovonic threshold switch (OTS). 14. The memory device of claim 12, wherein the memory element is a magnetic tunnel junction (MTJ) device. 15. The memory device of claim 12, wherein the read voltage of is applied to the negative resistance device by a voltage difference between the one of the bit lines and one of the common source lines. 16. The memory device of claim 12, wherein a loading of each of the memory cells are reduced while the negative resistance device entering into the negative resistance state. 17. The memory device of claim 12, wherein during a write operation in the memory device, the write voltage greater than the predetermined threshold voltage is applied to the negative resistance device for making the negative resistance device entering into a low resistance state. 18. A method to control a memory cell in a memory device, wherein the memory cell includes a switch, a memory element and a negative resistance device coupled in series, the method comprising:
determine whether the memory cell is in a read operation or not; during the read operation in the memory cell, apply a read voltage greater than a predetermined threshold voltage of the negative resistance device for making the negative resistance device entering into a negative resistance state. 19. The method of claim 18, wherein the read voltage of is applied to the negative resistance device by a voltage difference between a bit line and a common source line. 20. The method of claim 18, further comprising:
determine whether the memory cell is in a write operation or not; wherein during the write operation, apply the write voltage greater than the predetermined threshold voltage for making the negative resistance device entering into a low resistance state. | 2,800 |
348,352 | 16,805,840 | 2,637 | A framework for virtual network element of optical access networking has been designed to provide a cloud-residing core system (i.e. Mobile core controller or SDN controller) for running higher layers without requiring dedicated hardware at the edge of the network. In this framework, a service operator can create multiple optical access network connections for serving a single or multiple types of wired or wireless subscriber by programming (via software) optical ports of a Virtual Optical Edge Device to perform the desired MAC and/or PHY layer of a selected optical protocol. The Virtual Optical Edge Device in turn performs the desired PHY function or MAC and PHY function of selected protocol per each southbound port. The Virtual Optical Edge Device performs data abstraction function on all data associated with southbound ports and presents the core network a unified API via its northbound ports. | 1. A virtual optical edge device, comprising:
a virtual fiber abstraction component (VFAC) coupled to a northbound port, the northbound port coupled to a network controller over a single-standard application program interface (API); a set of southbound virtual ports, comprising:
a plurality of virtual medium access control (vMAC) resources coupled to the virtual fiber abstraction component; and
a plurality of virtual physical layer (vPHY) resources coupled to the plurality of vMAC resources and a plurality of access links coupled to a plurality of optical ports, the plurality of access links configured to perform functions according to a plurality of fiber access protocols,
wherein a given southbound virtual port of the set of southbound virtual ports is coupled to a given access link of the plurality of access links, the given access link configured to perform functions according to a given fiber access protocol of the plurality of fiber access protocols, wherein the given southbound virtual port comprises:
a given vMAC resource of the plurality of vMAC resources coupled to the VFAC and programmed to perform MAC layer functions of the given fiber access protocol; and
a given vPHY resource of the plurality of vPHY resources coupled to the given vMAC and to the given access link, the given vPHY resource programmed to perform physical layer functions of the given fiber access protocol,
wherein the VFAC is programmed to mediate between the single-standard API and the set of southbound virtual ports. 2. The device of claim 1, wherein a second given southbound virtual port of the set of southbound virtual ports is coupled to a second given access link of the plurality of access links, the second given access link configured to perform functions according to a second given fiber access protocol different from the given fiber access protocol, wherein the second given southbound virtual port comprises:
a second given vMAC resource of the plurality of vMAC resources coupled to the VFAC and programmed to perform MAC layer functions of the second given fiber access protocol; and a second given vPHY resource of the plurality of vPHY resources coupled to the second given vMAC and to the second given access link, the second given vPHY resource programmed to perform functions according to the second fiber access protocol, wherein the VFAC is programmed to mediate between the single-standard API and the given southbound virtual port, and between the single-standard API and the second given southbound virtual port. 3. The device of claim 1, wherein the virtual fiber abstraction component (VFAC) comprises:
one or more northbound adapters coupled to one or more single-standard API, the one or more single-standard API coupled to one or more network controllers; a plurality of southbound adapters coupled to the set of southbound virtual ports, each southbound adapter of the plurality of southbound adapters configured to perform functions according to a corresponding fiber access protocol; and a control and management component (CMC) coupled to the one or more northbound adapters and to the plurality of southbound adapters, wherein the CMC performs mediation between the one or more northbound adapters and the plurality of southbound adapters according to the fiber access protocol corresponding to each southbound adapter, wherein each southbound adapter is configured to perform mediation between the CMC and a corresponding southbound virtual port of the set of southbound virtual ports according to the fiber access protocol corresponding to each southbound adapter. 4. The device of claim 3,
wherein a given northbound adapter:
receives data destined for a given southbound virtual port from a given network controller via a given single-standard API coupled to the given network controller, the data encapsulated according to the given single-standard, and
extracts payload data from the encapsulated data and sends the payload data to the CMC,
wherein the CMC:
translates the payload data to data flow profile registers associated with the given southbound virtual port, and
passes the registers to a given southbound adapter corresponding to the given fiber access protocol. 5. The device of claim 3, wherein a given northbound adapter:
receives data from the CMC destined for a given network controller; encapsulates the data according to a given single-standard of a given single-standard API coupled to the given network controller; and sends the encapsulated data to the given network controller. 4. The device of claim 1, wherein the set of southbound virtual ports is coupled to a set of remote radios, wherein each southbound virtual port of the set of southbound virtual ports is programmed to perform functions according to a fiber access protocol used by a corresponding remote radio of the set of remote radios. 5. The device of claim 1, wherein the single-standard API interfaces with the network controller selected from the group consisting of a physical network controller and a virtual network controller. 6. The device of claim 1, wherein the single-standard API interfaces with the network controller selected from the group consisting of: a cable modem termination system (CMTS); a virtual CMTS; a virtual software defined networking (SDN) controller; a mobile core controller; a virtual mobile core controller. 7. The device of claim 1, wherein the given vMAC resource is programmed to perform the MAC layer functions of the given fiber access protocol selected from the group consisting of: a multi-rate IEEE 802.3x standard based on optical Ethernet; a PON Optical Line Termination (OLT); a DOCSIS Remote-PHY device (RPD); CPRI; eCPRT; RoE; and OBSAI. 8. The device of claim 1, wherein the given vPHY resource is programmed to perform the physical layer functions of the given fiber access protocol selected from the group consisting of: a multi-rate IEEE 802.3xx standard based optical Ethernet; a multi-rate passive optical network; and RPD. | A framework for virtual network element of optical access networking has been designed to provide a cloud-residing core system (i.e. Mobile core controller or SDN controller) for running higher layers without requiring dedicated hardware at the edge of the network. In this framework, a service operator can create multiple optical access network connections for serving a single or multiple types of wired or wireless subscriber by programming (via software) optical ports of a Virtual Optical Edge Device to perform the desired MAC and/or PHY layer of a selected optical protocol. The Virtual Optical Edge Device in turn performs the desired PHY function or MAC and PHY function of selected protocol per each southbound port. The Virtual Optical Edge Device performs data abstraction function on all data associated with southbound ports and presents the core network a unified API via its northbound ports.1. A virtual optical edge device, comprising:
a virtual fiber abstraction component (VFAC) coupled to a northbound port, the northbound port coupled to a network controller over a single-standard application program interface (API); a set of southbound virtual ports, comprising:
a plurality of virtual medium access control (vMAC) resources coupled to the virtual fiber abstraction component; and
a plurality of virtual physical layer (vPHY) resources coupled to the plurality of vMAC resources and a plurality of access links coupled to a plurality of optical ports, the plurality of access links configured to perform functions according to a plurality of fiber access protocols,
wherein a given southbound virtual port of the set of southbound virtual ports is coupled to a given access link of the plurality of access links, the given access link configured to perform functions according to a given fiber access protocol of the plurality of fiber access protocols, wherein the given southbound virtual port comprises:
a given vMAC resource of the plurality of vMAC resources coupled to the VFAC and programmed to perform MAC layer functions of the given fiber access protocol; and
a given vPHY resource of the plurality of vPHY resources coupled to the given vMAC and to the given access link, the given vPHY resource programmed to perform physical layer functions of the given fiber access protocol,
wherein the VFAC is programmed to mediate between the single-standard API and the set of southbound virtual ports. 2. The device of claim 1, wherein a second given southbound virtual port of the set of southbound virtual ports is coupled to a second given access link of the plurality of access links, the second given access link configured to perform functions according to a second given fiber access protocol different from the given fiber access protocol, wherein the second given southbound virtual port comprises:
a second given vMAC resource of the plurality of vMAC resources coupled to the VFAC and programmed to perform MAC layer functions of the second given fiber access protocol; and a second given vPHY resource of the plurality of vPHY resources coupled to the second given vMAC and to the second given access link, the second given vPHY resource programmed to perform functions according to the second fiber access protocol, wherein the VFAC is programmed to mediate between the single-standard API and the given southbound virtual port, and between the single-standard API and the second given southbound virtual port. 3. The device of claim 1, wherein the virtual fiber abstraction component (VFAC) comprises:
one or more northbound adapters coupled to one or more single-standard API, the one or more single-standard API coupled to one or more network controllers; a plurality of southbound adapters coupled to the set of southbound virtual ports, each southbound adapter of the plurality of southbound adapters configured to perform functions according to a corresponding fiber access protocol; and a control and management component (CMC) coupled to the one or more northbound adapters and to the plurality of southbound adapters, wherein the CMC performs mediation between the one or more northbound adapters and the plurality of southbound adapters according to the fiber access protocol corresponding to each southbound adapter, wherein each southbound adapter is configured to perform mediation between the CMC and a corresponding southbound virtual port of the set of southbound virtual ports according to the fiber access protocol corresponding to each southbound adapter. 4. The device of claim 3,
wherein a given northbound adapter:
receives data destined for a given southbound virtual port from a given network controller via a given single-standard API coupled to the given network controller, the data encapsulated according to the given single-standard, and
extracts payload data from the encapsulated data and sends the payload data to the CMC,
wherein the CMC:
translates the payload data to data flow profile registers associated with the given southbound virtual port, and
passes the registers to a given southbound adapter corresponding to the given fiber access protocol. 5. The device of claim 3, wherein a given northbound adapter:
receives data from the CMC destined for a given network controller; encapsulates the data according to a given single-standard of a given single-standard API coupled to the given network controller; and sends the encapsulated data to the given network controller. 4. The device of claim 1, wherein the set of southbound virtual ports is coupled to a set of remote radios, wherein each southbound virtual port of the set of southbound virtual ports is programmed to perform functions according to a fiber access protocol used by a corresponding remote radio of the set of remote radios. 5. The device of claim 1, wherein the single-standard API interfaces with the network controller selected from the group consisting of a physical network controller and a virtual network controller. 6. The device of claim 1, wherein the single-standard API interfaces with the network controller selected from the group consisting of: a cable modem termination system (CMTS); a virtual CMTS; a virtual software defined networking (SDN) controller; a mobile core controller; a virtual mobile core controller. 7. The device of claim 1, wherein the given vMAC resource is programmed to perform the MAC layer functions of the given fiber access protocol selected from the group consisting of: a multi-rate IEEE 802.3x standard based on optical Ethernet; a PON Optical Line Termination (OLT); a DOCSIS Remote-PHY device (RPD); CPRI; eCPRT; RoE; and OBSAI. 8. The device of claim 1, wherein the given vPHY resource is programmed to perform the physical layer functions of the given fiber access protocol selected from the group consisting of: a multi-rate IEEE 802.3xx standard based optical Ethernet; a multi-rate passive optical network; and RPD. | 2,600 |
348,353 | 16,643,818 | 2,637 | A reactor for the biological treatment of wastewater, includes a chamber capable of containing a mixture of wastewater and sludge comprising various levels, each level being defined by a sludge concentration and/or density; means for determining a minimum level and a maximum level of sludge extraction in the chamber, comprising: measurement means capable of measuring the sludge concentration and/or density at various levels of a mixture of wastewater and sludge; selection means capable of selecting a maximum sludge concentration and/or density value and a minimum sludge concentration and/or density value; deduction means capable of deducing a minimum extraction level corresponding to the maximum concentration value selected and a maximum extraction level corresponding to the minimum concentration value selected; extraction means capable of extracting sludge at variable levels between the minimum extraction level and the maximum extraction level. | 1. A reactor for the biological treatment of wastewater, comprising:
a chamber capable of containing a mixture of wastewater and sludge comprising various levels, each level being defined by a sludge concentration and/or density; means for determining a minimum level and a maximum level of sludge extraction in the chamber, comprising: measurement means capable of measuring the sludge concentration and/or density at various levels of a mixture of wastewater and sludge; selection means capable of selecting a maximum sludge concentration and/or density value and a minimum sludge concentration and/or density value; deduction means capable of deducing a minimum extraction level corresponding to the maximum concentration value selected and a maximum extraction level corresponding to the minimum concentration value selected; extraction means capable of extracting sludge at variable levels between the minimum extraction level and the maximum extraction level. 2. The reactor as claimed in claim 1, further comprising recycling means capable of recycling the extracted sludge into the chamber. 3. The reactor as claimed in claim 1, the extraction means comprising:
an extractor comprising at least a first portion having at least one opening inside the chamber and a second portion capable of causing the sludge to exit said chamber; variation means capable of varying the position of the opening of said extractor, in particular the level of said opening between the minimum extraction level and the maximum extraction level. 4. The reactor as claimed in claim 3, the extractor comprising a pump and the variation means comprising means for varying the level of the pump in the chamber. 5. The reactor as claimed in claim 3, the extractor comprising a tube of which a first end has an opening in the chamber and of which a second end is connected to the second portion of the extractor, the variation means comprising means for moving said tube on either side of its second end in such a way as to modify the position of the first end of said tube. 6. The reactor as claimed in claim 3, the extractor comprising a flexible hose of which a first end has an opening inside the chamber and of which a second end is connected to the second end of the extractor, the variation means comprising means for moving the first end of said flexible hose. 7. The reactor as claimed in claim 6, the means for moving the first end of the flexible hose comprising a component connected to said first end of said flexible hose, said component being capable of cooperating with a screw such that, when the screw is actuated, said component is driven in a vertical movement along said screw. 8. The reactor as claimed in claim 3, the extractor comprising a reservoir connected to the second portion of the extractor and having a slot inside the chamber and comprising a door which has an opening facing said slot, the reservoir and the door being assembled in such a way that no fluid can circulate between them, and the variation means comprising means for moving the door in a substantially vertical movement. 9. The reactor as claimed in claim 3, the extractor comprising a first cylindrical tube which has a substantially rectilinear slot inside the chamber, and a second cylindrical tube which has a substantially helicoidal slot inside the chamber, one of the cylindrical tubes being positioned inside the other and being connected to the second portion of the extractor, the first and second tubes being assembled in such a way that no fluid can circulate between them, the variation means comprising means for turning one of the tubes relative to the other. 10. The reactor as claimed in claim 3, the extractor comprising a set of tubes placed at various levels in the chamber, each tube having a first end which has an opening inside the chamber and a second end which is connected to the second portion of the extractor, the variation means comprising a set of valves capable of opening or closing said tubes. | A reactor for the biological treatment of wastewater, includes a chamber capable of containing a mixture of wastewater and sludge comprising various levels, each level being defined by a sludge concentration and/or density; means for determining a minimum level and a maximum level of sludge extraction in the chamber, comprising: measurement means capable of measuring the sludge concentration and/or density at various levels of a mixture of wastewater and sludge; selection means capable of selecting a maximum sludge concentration and/or density value and a minimum sludge concentration and/or density value; deduction means capable of deducing a minimum extraction level corresponding to the maximum concentration value selected and a maximum extraction level corresponding to the minimum concentration value selected; extraction means capable of extracting sludge at variable levels between the minimum extraction level and the maximum extraction level.1. A reactor for the biological treatment of wastewater, comprising:
a chamber capable of containing a mixture of wastewater and sludge comprising various levels, each level being defined by a sludge concentration and/or density; means for determining a minimum level and a maximum level of sludge extraction in the chamber, comprising: measurement means capable of measuring the sludge concentration and/or density at various levels of a mixture of wastewater and sludge; selection means capable of selecting a maximum sludge concentration and/or density value and a minimum sludge concentration and/or density value; deduction means capable of deducing a minimum extraction level corresponding to the maximum concentration value selected and a maximum extraction level corresponding to the minimum concentration value selected; extraction means capable of extracting sludge at variable levels between the minimum extraction level and the maximum extraction level. 2. The reactor as claimed in claim 1, further comprising recycling means capable of recycling the extracted sludge into the chamber. 3. The reactor as claimed in claim 1, the extraction means comprising:
an extractor comprising at least a first portion having at least one opening inside the chamber and a second portion capable of causing the sludge to exit said chamber; variation means capable of varying the position of the opening of said extractor, in particular the level of said opening between the minimum extraction level and the maximum extraction level. 4. The reactor as claimed in claim 3, the extractor comprising a pump and the variation means comprising means for varying the level of the pump in the chamber. 5. The reactor as claimed in claim 3, the extractor comprising a tube of which a first end has an opening in the chamber and of which a second end is connected to the second portion of the extractor, the variation means comprising means for moving said tube on either side of its second end in such a way as to modify the position of the first end of said tube. 6. The reactor as claimed in claim 3, the extractor comprising a flexible hose of which a first end has an opening inside the chamber and of which a second end is connected to the second end of the extractor, the variation means comprising means for moving the first end of said flexible hose. 7. The reactor as claimed in claim 6, the means for moving the first end of the flexible hose comprising a component connected to said first end of said flexible hose, said component being capable of cooperating with a screw such that, when the screw is actuated, said component is driven in a vertical movement along said screw. 8. The reactor as claimed in claim 3, the extractor comprising a reservoir connected to the second portion of the extractor and having a slot inside the chamber and comprising a door which has an opening facing said slot, the reservoir and the door being assembled in such a way that no fluid can circulate between them, and the variation means comprising means for moving the door in a substantially vertical movement. 9. The reactor as claimed in claim 3, the extractor comprising a first cylindrical tube which has a substantially rectilinear slot inside the chamber, and a second cylindrical tube which has a substantially helicoidal slot inside the chamber, one of the cylindrical tubes being positioned inside the other and being connected to the second portion of the extractor, the first and second tubes being assembled in such a way that no fluid can circulate between them, the variation means comprising means for turning one of the tubes relative to the other. 10. The reactor as claimed in claim 3, the extractor comprising a set of tubes placed at various levels in the chamber, each tube having a first end which has an opening inside the chamber and a second end which is connected to the second portion of the extractor, the variation means comprising a set of valves capable of opening or closing said tubes. | 2,600 |
348,354 | 16,643,824 | 2,637 | A method for training vibrotactile speech perception in the absence of auditory speech can comprise selecting a first word, generating a first control signal configured to cause at least one vibrotactile transducer to vibrate against a person's body with a first vibration pattern based on the first word, sampling a second word spoken by the person, generating a second control signal configured to cause at least one vibrotactile transducer to vibrate against the person's body with a second vibration pattern based on the sampled second word, and presenting a comparison between the first word and the second word to the person. An array of vibrotactile transducers can be in contact with the person's body. A method for improving auditory and/or visual speech perception in adverse listening conditions or for hearing-impaired individuals can also comprise sampling a speech signal, extracting a speech envelope, and generating a control signal configured to cause a vibrotactile transducer to vibrate again a person's body with an intensity that varies over time based on the speech envelope. | 1. A method for improving speech recognition comprising:
sampling a speech signal; extracting a speech envelope from the speech signal; and generating a control signal configured to cause one or more vibrotactile transducer to vibrate against a person's body with an intensity that varies over time based on the speech envelope such that the vibration supplements aural or visual speech recognition by the person. 2. The method of claim 1, wherein the speech envelope is extracted using a Hilbert transform. 3. The method of claim 1, wherein the speech envelope is extracted using a half-wave rectification and a low-pass filter. 4. The method of claim 1, wherein the speech envelope is extracted using a moving average filter. 5. The method of claim 1, wherein the control signal is configured to cause the transducer to vibrate at a constant frequency. 6. The method of claim 1, wherein the control signal is a first control signal configured to cause a first vibrotactile transducer to vibrate, and further comprising generating a second control signal configured to cause a second vibrotactile transducer to vibrate against the person's body with an intensity that varies over time based on the speech envelope. 7. A method for improving speech recognition comprising:
sampling a speech signal; extracting a speech envelope from the speech signal; and generating a control signal configured to cause at least one of an array of vibrotactile transducers to vibrate against a person's body, wherein the number of vibrotactile transducers that the control signal causes to vibrate is based on the speech envelope, and wherein the vibration supplements aural or visual speech recognition by the person. 8. The method of claim 7, wherein each vibrotactile transducer that the control signal causes to vibrate is caused to vibrate at a constant frequency. 9. The method of claim 7, wherein each vibrotactile transducer that the control signal causes to vibrate is caused to vibrate at a constant intensity. 10. A method comprising:
selecting a first word; generating a first control signal configured to cause at least one vibrotactile transducer to vibrate against a person's body with a first vibration pattern based on the first word, wherein an array of vibrotactile transducers in contact with the person's body; sampling a second word spoken by the person; generating a second control signal configured to cause at least one of the vibrotactile transducers to vibrate against the person's body with a second vibration pattern based on the sampled second word; and presenting a comparison between the first word and the second word to the person. 11. The method of claim 10, wherein the comparison is presented to the person in the form of auditory feedback. 12. The method of claim 10, wherein the comparison is presented to the person in the form of visual feedback. 13. The method of claim 10, wherein the comparison is presented as a percentage. 14. The method of claim 10, wherein the comparison is a similarity metric between the first word and the second word. 15. The method of claim 10, further comprising:
causing at least one of the vibrotactile transducers to vibrate with the first vibration pattern after presenting the comparison to the person; sampling a third word spoken by the person; generating a third control signal to cause at least one of the vibrotactile transducers to vibrate against the person's body with a third vibration pattern based on the sampled third word; presenting a comparison between the first word and the third word to the person; and repeating the previous steps of this claim if the first word does not match the third word. 16. The method of claim 10, further comprising:
generating a first frequency decomposition of the first word and a second frequency decomposition of the second word; wherein the first control signal causes a first vibrotactile transducer to vibrate against the person's body with a vibration pattern based on a first frequency range of the first frequency decomposition and causes a second vibrotactile transducer to vibrate against the person's body with a vibration pattern based on a second frequency range of the first frequency decomposition; and wherein the second control signal causes the first vibrotactile transducer to vibrate against the person's body with a vibration pattern based on the first frequency range of the second frequency decomposition and causes the second vibrotactile transducer to vibrate against the person's body with a vibration pattern based on the second frequency range of the second frequency decomposition. 17. An apparatus comprising:
a sampling device to sample a speech signal; a signal processing module to extract a speech envelope of the sampled speech signal; a conversion module to convert the sampled speech envelope into a vibration pattern; and a vibrotactile transducer to vibrate against a person's body with the vibration pattern to supplement aural or visual speech recognition by the person. 18. The apparatus of claim 17, wherein the vibration pattern has a constant frequency and an intensity that varies over time based on the speech envelope. 19. The apparatus of claim 17, wherein the signal processing module extracts the speech envelope using a Hilbert transform. 20. The apparatus of claim 17, wherein the signal processing module extracts the speech envelope using half-wave rectification and a low-pass filter. 21. The apparatus of claim 17, wherein the signal processing module extracts the speech envelope using a moving average filter. 22. An apparatus comprising:
a sampling device to sample a speech signal; a signal processing module to obtain a frequency decomposition of the sampled speech signal; a conversion module to convert the frequency decomposition into a first vibration pattern; and an array of vibrotactile transducers to vibrate against a person's body with the first vibration pattern to supplement aural or visual speech recognition by the person. 23. The apparatus of claim 22, wherein the conversion module converts a first frequency range of the frequency decomposition into a second vibration pattern and converts a second frequency range of the frequency decomposition into a third vibration pattern; and
wherein a first one of the vibrotactile transducers vibrates with the second vibration pattern and a second one of the vibrotactile transducers vibrates with the third vibration pattern. | A method for training vibrotactile speech perception in the absence of auditory speech can comprise selecting a first word, generating a first control signal configured to cause at least one vibrotactile transducer to vibrate against a person's body with a first vibration pattern based on the first word, sampling a second word spoken by the person, generating a second control signal configured to cause at least one vibrotactile transducer to vibrate against the person's body with a second vibration pattern based on the sampled second word, and presenting a comparison between the first word and the second word to the person. An array of vibrotactile transducers can be in contact with the person's body. A method for improving auditory and/or visual speech perception in adverse listening conditions or for hearing-impaired individuals can also comprise sampling a speech signal, extracting a speech envelope, and generating a control signal configured to cause a vibrotactile transducer to vibrate again a person's body with an intensity that varies over time based on the speech envelope.1. A method for improving speech recognition comprising:
sampling a speech signal; extracting a speech envelope from the speech signal; and generating a control signal configured to cause one or more vibrotactile transducer to vibrate against a person's body with an intensity that varies over time based on the speech envelope such that the vibration supplements aural or visual speech recognition by the person. 2. The method of claim 1, wherein the speech envelope is extracted using a Hilbert transform. 3. The method of claim 1, wherein the speech envelope is extracted using a half-wave rectification and a low-pass filter. 4. The method of claim 1, wherein the speech envelope is extracted using a moving average filter. 5. The method of claim 1, wherein the control signal is configured to cause the transducer to vibrate at a constant frequency. 6. The method of claim 1, wherein the control signal is a first control signal configured to cause a first vibrotactile transducer to vibrate, and further comprising generating a second control signal configured to cause a second vibrotactile transducer to vibrate against the person's body with an intensity that varies over time based on the speech envelope. 7. A method for improving speech recognition comprising:
sampling a speech signal; extracting a speech envelope from the speech signal; and generating a control signal configured to cause at least one of an array of vibrotactile transducers to vibrate against a person's body, wherein the number of vibrotactile transducers that the control signal causes to vibrate is based on the speech envelope, and wherein the vibration supplements aural or visual speech recognition by the person. 8. The method of claim 7, wherein each vibrotactile transducer that the control signal causes to vibrate is caused to vibrate at a constant frequency. 9. The method of claim 7, wherein each vibrotactile transducer that the control signal causes to vibrate is caused to vibrate at a constant intensity. 10. A method comprising:
selecting a first word; generating a first control signal configured to cause at least one vibrotactile transducer to vibrate against a person's body with a first vibration pattern based on the first word, wherein an array of vibrotactile transducers in contact with the person's body; sampling a second word spoken by the person; generating a second control signal configured to cause at least one of the vibrotactile transducers to vibrate against the person's body with a second vibration pattern based on the sampled second word; and presenting a comparison between the first word and the second word to the person. 11. The method of claim 10, wherein the comparison is presented to the person in the form of auditory feedback. 12. The method of claim 10, wherein the comparison is presented to the person in the form of visual feedback. 13. The method of claim 10, wherein the comparison is presented as a percentage. 14. The method of claim 10, wherein the comparison is a similarity metric between the first word and the second word. 15. The method of claim 10, further comprising:
causing at least one of the vibrotactile transducers to vibrate with the first vibration pattern after presenting the comparison to the person; sampling a third word spoken by the person; generating a third control signal to cause at least one of the vibrotactile transducers to vibrate against the person's body with a third vibration pattern based on the sampled third word; presenting a comparison between the first word and the third word to the person; and repeating the previous steps of this claim if the first word does not match the third word. 16. The method of claim 10, further comprising:
generating a first frequency decomposition of the first word and a second frequency decomposition of the second word; wherein the first control signal causes a first vibrotactile transducer to vibrate against the person's body with a vibration pattern based on a first frequency range of the first frequency decomposition and causes a second vibrotactile transducer to vibrate against the person's body with a vibration pattern based on a second frequency range of the first frequency decomposition; and wherein the second control signal causes the first vibrotactile transducer to vibrate against the person's body with a vibration pattern based on the first frequency range of the second frequency decomposition and causes the second vibrotactile transducer to vibrate against the person's body with a vibration pattern based on the second frequency range of the second frequency decomposition. 17. An apparatus comprising:
a sampling device to sample a speech signal; a signal processing module to extract a speech envelope of the sampled speech signal; a conversion module to convert the sampled speech envelope into a vibration pattern; and a vibrotactile transducer to vibrate against a person's body with the vibration pattern to supplement aural or visual speech recognition by the person. 18. The apparatus of claim 17, wherein the vibration pattern has a constant frequency and an intensity that varies over time based on the speech envelope. 19. The apparatus of claim 17, wherein the signal processing module extracts the speech envelope using a Hilbert transform. 20. The apparatus of claim 17, wherein the signal processing module extracts the speech envelope using half-wave rectification and a low-pass filter. 21. The apparatus of claim 17, wherein the signal processing module extracts the speech envelope using a moving average filter. 22. An apparatus comprising:
a sampling device to sample a speech signal; a signal processing module to obtain a frequency decomposition of the sampled speech signal; a conversion module to convert the frequency decomposition into a first vibration pattern; and an array of vibrotactile transducers to vibrate against a person's body with the first vibration pattern to supplement aural or visual speech recognition by the person. 23. The apparatus of claim 22, wherein the conversion module converts a first frequency range of the frequency decomposition into a second vibration pattern and converts a second frequency range of the frequency decomposition into a third vibration pattern; and
wherein a first one of the vibrotactile transducers vibrates with the second vibration pattern and a second one of the vibrotactile transducers vibrates with the third vibration pattern. | 2,600 |
348,355 | 16,805,833 | 2,637 | The disclosure provides a method for sampling groundwater. The method includes extracting groundwater from a monitoring well in a first flow rate; monitoring groundwater level in real-time, and calculating a decrease of the groundwater level during the extracting in the first flow rate based on the real-time monitored groundwater level; when the decrease of the groundwater level is equal to a first value, extracting groundwater from the monitoring well in a second flow rate, the second flow rate being smaller than the first flow rate; and when the groundwater level is in an equilibration state, extracting groundwater from the monitoring well and using the extracted groundwater at this time as a representative groundwater. | 1. A method for sampling groundwater, the method comprising:
extracting groundwater from a monitoring well at a first flow rate; monitoring groundwater level in real-time, and calculating a decrease of the groundwater level during the extracting at the first flow rate based on the real-time monitored groundwater level; when the decrease of the groundwater level is equal to a first value, extracting groundwater from the monitoring well at a second flow rate, the second flow rate being smaller than the first flow rate; and when the groundwater level is in an equilibration state, extracting groundwater from the monitoring well and using the extracted groundwater at this time as a representative groundwater. 2. The method of claim 1, before the extracting groundwater from the monitoring well at the first flow rate, further comprising:
extracting groundwater from the monitoring well at a third flow rate, the third flow rate being equal to the second flow rate; and when the groundwater level is in another equilibration state, obtaining a second value of the decrease of the groundwater level during the extracting at the third flow rate. 3. The method of claim 2, wherein the extracting groundwater from the monitoring well at the third flow rate comprises:
extracting groundwater from one of a plurality of monitoring wells in the same area to be monitored. 4. The method of claim 2, before the extracting groundwater from the monitoring well at the first flow rate, further comprising:
determining the first value according to the second value by setting the first value being proportional to the second value. 5. The method of claim 4, wherein the first value is 3 to 4 times of the second value. 6. The method of claim 2, wherein the second value is in a range from about 0.2 meters to about 0.4 meters. 7. The method of claim 1, wherein groundwater is extracted from the monitoring well by a water suction pump. 8. The method of claim 1, wherein the groundwater level is monitored by a water level indicator. 9. The method of claim 1, wherein the first flow rate is proportional to the second flow rate. 10. The method of claim 1, wherein the first flow rate is 5 to 10 times of the second flow rate. 11. The method of claim 1, wherein the second flow rate is in a range from about 0.3 L/min to 0.6 L/min. 12. The method of claim 1, wherein an inner diameter of the monitoring well is in a range from about 5 cm to about 10 cm. | The disclosure provides a method for sampling groundwater. The method includes extracting groundwater from a monitoring well in a first flow rate; monitoring groundwater level in real-time, and calculating a decrease of the groundwater level during the extracting in the first flow rate based on the real-time monitored groundwater level; when the decrease of the groundwater level is equal to a first value, extracting groundwater from the monitoring well in a second flow rate, the second flow rate being smaller than the first flow rate; and when the groundwater level is in an equilibration state, extracting groundwater from the monitoring well and using the extracted groundwater at this time as a representative groundwater.1. A method for sampling groundwater, the method comprising:
extracting groundwater from a monitoring well at a first flow rate; monitoring groundwater level in real-time, and calculating a decrease of the groundwater level during the extracting at the first flow rate based on the real-time monitored groundwater level; when the decrease of the groundwater level is equal to a first value, extracting groundwater from the monitoring well at a second flow rate, the second flow rate being smaller than the first flow rate; and when the groundwater level is in an equilibration state, extracting groundwater from the monitoring well and using the extracted groundwater at this time as a representative groundwater. 2. The method of claim 1, before the extracting groundwater from the monitoring well at the first flow rate, further comprising:
extracting groundwater from the monitoring well at a third flow rate, the third flow rate being equal to the second flow rate; and when the groundwater level is in another equilibration state, obtaining a second value of the decrease of the groundwater level during the extracting at the third flow rate. 3. The method of claim 2, wherein the extracting groundwater from the monitoring well at the third flow rate comprises:
extracting groundwater from one of a plurality of monitoring wells in the same area to be monitored. 4. The method of claim 2, before the extracting groundwater from the monitoring well at the first flow rate, further comprising:
determining the first value according to the second value by setting the first value being proportional to the second value. 5. The method of claim 4, wherein the first value is 3 to 4 times of the second value. 6. The method of claim 2, wherein the second value is in a range from about 0.2 meters to about 0.4 meters. 7. The method of claim 1, wherein groundwater is extracted from the monitoring well by a water suction pump. 8. The method of claim 1, wherein the groundwater level is monitored by a water level indicator. 9. The method of claim 1, wherein the first flow rate is proportional to the second flow rate. 10. The method of claim 1, wherein the first flow rate is 5 to 10 times of the second flow rate. 11. The method of claim 1, wherein the second flow rate is in a range from about 0.3 L/min to 0.6 L/min. 12. The method of claim 1, wherein an inner diameter of the monitoring well is in a range from about 5 cm to about 10 cm. | 2,600 |
348,356 | 16,805,854 | 2,637 | A droplet generator includes a steering system, a reservoir, a nozzle, a first heater, a second heater and a third heater. The steering system is used for controlling a position of droplet release of the droplet generator. The reservoir is held on the steering system for storing tin. The nozzle is connected with the reservoir for generating tin droplets, wherein the nozzle comprises at least a first zone, a second zone and a third zone connected in sequence. The first heater surrounds a peripheral surface of the nozzle in the first zone. The second heater surrounds a peripheral surface of the nozzle in the second zone. The third heater surrounds a peripheral surface of the nozzle in the third zone, wherein the heating of the first heater, the second heater and the third heater are separately controlled. | 1. A droplet generator, comprising:
a steering system for controlling a position of droplet release of the droplet generator; a reservoir held on the steering system for storing tin; a nozzle connected with the reservoir for generating tin droplets, wherein the nozzle comprises at least a first zone, a second zone and a third zone connected in sequence; a first heater surrounding a peripheral surface of the nozzle in the first zone; a second heater surrounding a peripheral surface of the nozzle in the second zone; and a third heater surrounding a peripheral surface of the nozzle in the third zone, wherein the heating of the first heater, the second heater and the third heater are separately controlled. 2. The droplet generator according to claim 1, wherein the first heater, the second heater and the third heater are heating coils. 3. The droplet generator according to claim 1, wherein the first zone of the nozzle includes a piezoelectric actuator, the second zone of the nozzle includes a tin filter, and the third zone of the nozzle includes a connection tube connected to the reservoir. 4. The droplet generator according to claim 1, wherein a ratio of a length of the first zone, a length of the second zone and a length of the third zone is 1:1:1. 5. The droplet generator according to claim 1, further comprising a first cooler surrounding the peripheral surface of the nozzle in the first zone, a second cooler surrounding the peripheral surface of the nozzle in the second zone, and a third cooler surrounding the peripheral surface of the nozzle in the third zone, wherein the cooling of the first cooler, the second cooler and the third cooler are separately controlled. 6. The droplet generator according to claim 5, wherein the first cooler, the second cooler and the third cooler are water cooling tubes. 7. An extreme ultraviolet (EUV) lithography device, comprising:
a radiation source, which comprises:
a droplet generator comprising a nozzle for generating tin droplets, wherein the nozzle is separated into a plurality of zones connected in sequence;
a plurality of heaters respectively located on a peripheral surface of the nozzle in the plurality of zones;
a plurality of coolers respectively located on the peripheral surface of the nozzle in the plurality of zones;
a vessel chamber where the tin droplets are processed into plasma, and further produces radiation light;
a catcher tank for collecting the tin droplets;
an illuminator for directing the radiation light to a reticle stage; and a projection module for projecting the radiation light from the reticle stage onto a wafer stage. 8. The EUV lithography device according to claim 7, wherein the plurality of heaters includes a first heating coil surrounding the peripheral surface of the nozzle in a first zone of the plurality of zones, a second heating coil surrounding the peripheral surface of the nozzle in a second zone of the plurality of zones, and a third heating coil surrounding the peripheral surface of the nozzle in a third zone of the plurality of zones, and the first heating coil, the second heating coil and the third heating coil are separated from one another. 9. The EUV lithography device according to claim 8, wherein the plurality of heaters further comprises a first electrical wiring connected to the first heating coil, a second electrical wiring connected to the second heating coil, and a third electrical wiring connected to the third heating coil, wherein the first electrical wiring, the second electrical wiring and the third electrical wiring are separated and unconnected from one another so that the heating of the first heating coil, the second heating coil and the third heating coil can be separately controlled. 10. The EUV lithography device according to claim 8, wherein a ratio of a length of the first zone, a length of the second zone and a length of the third zone is 1:1:1. 11. The EUV lithography device according to claim 8, wherein a length of the first zone is less than a length of the second zone, and a length of the third zone is equal to or less than a length of the second zone. 12. The EUV lithography device according to claim 8, wherein the first zone of the nozzle includes a piezoelectric actuator, the second zone of the nozzle includes a tin filter, and the third zone of the nozzle includes a connection tube connecting the tin filter to a reservoir of the droplet generator. 13. The EUV lithography device according to claim 7, wherein the plurality of coolers comprises water cooling tubes. 14. The EUV lithography device according to claim 13, wherein the water cooling tubes includes a first cooling tube surrounding the peripheral surface of the nozzle in a first zone of the plurality of zones, a second cooling tube surrounding the peripheral surface of the nozzle in a second zone of the plurality of zones, and a third cooling tube surrounding the peripheral surface of the nozzle in a third zone of the plurality of zones, wherein the first cooling tube, the second cooling tube and the third cooling tube are separated and unconnected from one another so that the cooling of the first cooling tube, the second cooling tube and the third cooling tube can be separately controlled. 15. A method of generating a series of droplets using a droplet generator comprising a nozzle having at least a first zone, a second zone and a third zone connected in sequence, and a plurality of heaters respectively located on a peripheral surface of the nozzle in the first zone, the second zone and the third zone, wherein the method comprises:
loading a reservoir of the droplet generator with solid tin; heating the droplet generator and the nozzle with the plurality of heaters to transform the solid tin into liquid tin, and transferring the liquid tin from the reservoir to the nozzle under supplied pressure to form tin droplets; and cooling down the nozzle by closing down the plurality of heaters located in the first zone at a first time point, closing down the plurality of heaters located in the second zone at a second time point later than the first time point, and closing down the plurality of heaters located in the third zone at a third time point later than the second time point. 16. The method according to claim 15, wherein the first time point and the second time point are spaced apart by a time range of 30 minutes to 50 minutes, and the third time point and the second time point are spaced apart by a time range of 30 minutes to 50 minutes. 17. The method according to claim 15, wherein the plurality of heaters located in the first zone, the second zone and the third zone are closed down in sequence in a way that a temperature difference between the first zone and the second zone is 30° C. to 50° C., and that a temperature difference between the second zone and the third zone is 30° C. to 50° C. 18. The method according to claim 15, wherein an oxygen source is supplied to the droplet generator when cooling down the nozzle. 19. The method according to claim 15, wherein the droplet generator is further equipped with a plurality of coolers respectively located on the peripheral surface of the nozzle in the first zone, the second zone and the third zone, and the method further comprises:
turning on the plurality of coolers located in the first zone after the first time point prior to the second time point; turning on the plurality of coolers located in the second zone after the second time point prior to the third time point; and turning on the plurality of coolers located in the third zone after the third time point. 20. The method according to claim 19, wherein the plurality of coolers is turned on in sequence so that the first zone, the second zone and the third zone of the nozzle are cooled down at a rate of 0.5° C./minute to 2° C./minute. | A droplet generator includes a steering system, a reservoir, a nozzle, a first heater, a second heater and a third heater. The steering system is used for controlling a position of droplet release of the droplet generator. The reservoir is held on the steering system for storing tin. The nozzle is connected with the reservoir for generating tin droplets, wherein the nozzle comprises at least a first zone, a second zone and a third zone connected in sequence. The first heater surrounds a peripheral surface of the nozzle in the first zone. The second heater surrounds a peripheral surface of the nozzle in the second zone. The third heater surrounds a peripheral surface of the nozzle in the third zone, wherein the heating of the first heater, the second heater and the third heater are separately controlled.1. A droplet generator, comprising:
a steering system for controlling a position of droplet release of the droplet generator; a reservoir held on the steering system for storing tin; a nozzle connected with the reservoir for generating tin droplets, wherein the nozzle comprises at least a first zone, a second zone and a third zone connected in sequence; a first heater surrounding a peripheral surface of the nozzle in the first zone; a second heater surrounding a peripheral surface of the nozzle in the second zone; and a third heater surrounding a peripheral surface of the nozzle in the third zone, wherein the heating of the first heater, the second heater and the third heater are separately controlled. 2. The droplet generator according to claim 1, wherein the first heater, the second heater and the third heater are heating coils. 3. The droplet generator according to claim 1, wherein the first zone of the nozzle includes a piezoelectric actuator, the second zone of the nozzle includes a tin filter, and the third zone of the nozzle includes a connection tube connected to the reservoir. 4. The droplet generator according to claim 1, wherein a ratio of a length of the first zone, a length of the second zone and a length of the third zone is 1:1:1. 5. The droplet generator according to claim 1, further comprising a first cooler surrounding the peripheral surface of the nozzle in the first zone, a second cooler surrounding the peripheral surface of the nozzle in the second zone, and a third cooler surrounding the peripheral surface of the nozzle in the third zone, wherein the cooling of the first cooler, the second cooler and the third cooler are separately controlled. 6. The droplet generator according to claim 5, wherein the first cooler, the second cooler and the third cooler are water cooling tubes. 7. An extreme ultraviolet (EUV) lithography device, comprising:
a radiation source, which comprises:
a droplet generator comprising a nozzle for generating tin droplets, wherein the nozzle is separated into a plurality of zones connected in sequence;
a plurality of heaters respectively located on a peripheral surface of the nozzle in the plurality of zones;
a plurality of coolers respectively located on the peripheral surface of the nozzle in the plurality of zones;
a vessel chamber where the tin droplets are processed into plasma, and further produces radiation light;
a catcher tank for collecting the tin droplets;
an illuminator for directing the radiation light to a reticle stage; and a projection module for projecting the radiation light from the reticle stage onto a wafer stage. 8. The EUV lithography device according to claim 7, wherein the plurality of heaters includes a first heating coil surrounding the peripheral surface of the nozzle in a first zone of the plurality of zones, a second heating coil surrounding the peripheral surface of the nozzle in a second zone of the plurality of zones, and a third heating coil surrounding the peripheral surface of the nozzle in a third zone of the plurality of zones, and the first heating coil, the second heating coil and the third heating coil are separated from one another. 9. The EUV lithography device according to claim 8, wherein the plurality of heaters further comprises a first electrical wiring connected to the first heating coil, a second electrical wiring connected to the second heating coil, and a third electrical wiring connected to the third heating coil, wherein the first electrical wiring, the second electrical wiring and the third electrical wiring are separated and unconnected from one another so that the heating of the first heating coil, the second heating coil and the third heating coil can be separately controlled. 10. The EUV lithography device according to claim 8, wherein a ratio of a length of the first zone, a length of the second zone and a length of the third zone is 1:1:1. 11. The EUV lithography device according to claim 8, wherein a length of the first zone is less than a length of the second zone, and a length of the third zone is equal to or less than a length of the second zone. 12. The EUV lithography device according to claim 8, wherein the first zone of the nozzle includes a piezoelectric actuator, the second zone of the nozzle includes a tin filter, and the third zone of the nozzle includes a connection tube connecting the tin filter to a reservoir of the droplet generator. 13. The EUV lithography device according to claim 7, wherein the plurality of coolers comprises water cooling tubes. 14. The EUV lithography device according to claim 13, wherein the water cooling tubes includes a first cooling tube surrounding the peripheral surface of the nozzle in a first zone of the plurality of zones, a second cooling tube surrounding the peripheral surface of the nozzle in a second zone of the plurality of zones, and a third cooling tube surrounding the peripheral surface of the nozzle in a third zone of the plurality of zones, wherein the first cooling tube, the second cooling tube and the third cooling tube are separated and unconnected from one another so that the cooling of the first cooling tube, the second cooling tube and the third cooling tube can be separately controlled. 15. A method of generating a series of droplets using a droplet generator comprising a nozzle having at least a first zone, a second zone and a third zone connected in sequence, and a plurality of heaters respectively located on a peripheral surface of the nozzle in the first zone, the second zone and the third zone, wherein the method comprises:
loading a reservoir of the droplet generator with solid tin; heating the droplet generator and the nozzle with the plurality of heaters to transform the solid tin into liquid tin, and transferring the liquid tin from the reservoir to the nozzle under supplied pressure to form tin droplets; and cooling down the nozzle by closing down the plurality of heaters located in the first zone at a first time point, closing down the plurality of heaters located in the second zone at a second time point later than the first time point, and closing down the plurality of heaters located in the third zone at a third time point later than the second time point. 16. The method according to claim 15, wherein the first time point and the second time point are spaced apart by a time range of 30 minutes to 50 minutes, and the third time point and the second time point are spaced apart by a time range of 30 minutes to 50 minutes. 17. The method according to claim 15, wherein the plurality of heaters located in the first zone, the second zone and the third zone are closed down in sequence in a way that a temperature difference between the first zone and the second zone is 30° C. to 50° C., and that a temperature difference between the second zone and the third zone is 30° C. to 50° C. 18. The method according to claim 15, wherein an oxygen source is supplied to the droplet generator when cooling down the nozzle. 19. The method according to claim 15, wherein the droplet generator is further equipped with a plurality of coolers respectively located on the peripheral surface of the nozzle in the first zone, the second zone and the third zone, and the method further comprises:
turning on the plurality of coolers located in the first zone after the first time point prior to the second time point; turning on the plurality of coolers located in the second zone after the second time point prior to the third time point; and turning on the plurality of coolers located in the third zone after the third time point. 20. The method according to claim 19, wherein the plurality of coolers is turned on in sequence so that the first zone, the second zone and the third zone of the nozzle are cooled down at a rate of 0.5° C./minute to 2° C./minute. | 2,600 |
348,357 | 16,643,843 | 2,637 | There is provided a technique for attaining a state in which communication can be made even when the same control program is used in controllers. Each of the controllers includes: a network setting including a corresponding relation between an IP address and an identifier; and a storage device that stores a control program for controlling a drive device. The control program includes a control instruction for controlling the drive device with the identifier being an input. Each of the controller includes: a generation module that generates an IP address to be different from an IP address of another controller on the same network, and that rewrites the IP address in the network setting; and a communication module that communicates with an information processing device on the same network in accordance with the IP address in the network setting. | 1. A control system comprising:
a plurality of controllers that each control a drive device serving as a control target; and an information processing device connected to the same network as a network to which each of the plurality of controllers is connected, wherein each of the plurality of controllers includes a storage device that stores a network setting and a control program for controlling the drive device serving as the control target, the network setting including a corresponding relation between an IP (Internet Protocol) address of the controller and an identifier serving as an alternative to the IP address, the control program includes a control instruction for controlling the drive device serving as the control target with the identifier indicated in the network setting of the controller being an input, each of the plurality of controllers further includes
a generation module that generates an IP address of the controller to be different from an IP address of an other controller and that rewrites, with the generated IP address, the IP address indicated in the network setting of the controller, and
a communication module that communicates with the information processing device in accordance with the IP address indicated in the network setting of the controller. 2. The control system according to claim 1, wherein the generation module generates the IP address of the controller based on identification information that is able to uniquely identify the controller. 3. The control system according to claim 1, wherein each of the plurality of controllers is connectable to an external storage medium, the external storage medium stores a setting value about an IP address, and the generation module reads the setting value stored in the external storage medium, and generates the IP address of the controller in accordance with the setting value. 4. The control system according to claim 1, wherein
the generation module makes an inquiry to the other controller about whether or not the generated IP address is already used by the other controller, and when there is no other controller that makes a response to the inquiry, the generation module rewrites the IP address indicated in the network setting of the controller with the generated IP address. 5. The control system according to claim 4, wherein when there is any other controller that makes a response to the inquiry, the generation module regenerates an IP address different from the IP address generated previously. 6. The control system according to claim 4, wherein when the other controller makes an inquiry about whether or not the IP address of the other controller is already set in the controller, the generation module generates the IP address of the controller so as not to be the same as the IP address of the other controller. 7. The control system according to claim 1, wherein the control program stored in the controller is a duplicate of a control program stored in an other controller. 8. A controller for controlling a drive device, the controller comprising:
a storage device that stores a network setting and a control program for controlling the drive device, the network setting including a corresponding relation between an IP address of the controller and an identifier serving as an alternative to the IP address, the control program including a control instruction for controlling the drive device with the identifier indicated in the network setting being an input; a generation module that generates an IP address of the controller to be different from an IP address of an other controller connected to the same network as a network to which the controller is connected and that rewrites, with the generated IP address, the IP address indicated in the network setting; and a communication module that communicates, in accordance with the IP address indicated in the network setting, with an information processing device connected to the same network as the network to which the controller is connected. 9. A method for controlling a controller for controlling a drive device, the method comprising:
obtaining, from a storage device of the controller, a network setting and a control program for controlling the drive device, the network setting including a corresponding relation between an IP address of the controller and an identifier serving as an alternative to the IP address, the control program including a control instruction for controlling the drive device with the identifier indicated in the network setting being an input; generating an IP address of the controller to be different from an IP address of an other controller connected to the same network as a network to which the controller is connected, and rewriting, with the generated IP address, the IP address indicated in the network setting; and communicating, in accordance with the IP address indicated in the network setting, with an information processing device connected to the same network as the network to which the controller is connected. | There is provided a technique for attaining a state in which communication can be made even when the same control program is used in controllers. Each of the controllers includes: a network setting including a corresponding relation between an IP address and an identifier; and a storage device that stores a control program for controlling a drive device. The control program includes a control instruction for controlling the drive device with the identifier being an input. Each of the controller includes: a generation module that generates an IP address to be different from an IP address of another controller on the same network, and that rewrites the IP address in the network setting; and a communication module that communicates with an information processing device on the same network in accordance with the IP address in the network setting.1. A control system comprising:
a plurality of controllers that each control a drive device serving as a control target; and an information processing device connected to the same network as a network to which each of the plurality of controllers is connected, wherein each of the plurality of controllers includes a storage device that stores a network setting and a control program for controlling the drive device serving as the control target, the network setting including a corresponding relation between an IP (Internet Protocol) address of the controller and an identifier serving as an alternative to the IP address, the control program includes a control instruction for controlling the drive device serving as the control target with the identifier indicated in the network setting of the controller being an input, each of the plurality of controllers further includes
a generation module that generates an IP address of the controller to be different from an IP address of an other controller and that rewrites, with the generated IP address, the IP address indicated in the network setting of the controller, and
a communication module that communicates with the information processing device in accordance with the IP address indicated in the network setting of the controller. 2. The control system according to claim 1, wherein the generation module generates the IP address of the controller based on identification information that is able to uniquely identify the controller. 3. The control system according to claim 1, wherein each of the plurality of controllers is connectable to an external storage medium, the external storage medium stores a setting value about an IP address, and the generation module reads the setting value stored in the external storage medium, and generates the IP address of the controller in accordance with the setting value. 4. The control system according to claim 1, wherein
the generation module makes an inquiry to the other controller about whether or not the generated IP address is already used by the other controller, and when there is no other controller that makes a response to the inquiry, the generation module rewrites the IP address indicated in the network setting of the controller with the generated IP address. 5. The control system according to claim 4, wherein when there is any other controller that makes a response to the inquiry, the generation module regenerates an IP address different from the IP address generated previously. 6. The control system according to claim 4, wherein when the other controller makes an inquiry about whether or not the IP address of the other controller is already set in the controller, the generation module generates the IP address of the controller so as not to be the same as the IP address of the other controller. 7. The control system according to claim 1, wherein the control program stored in the controller is a duplicate of a control program stored in an other controller. 8. A controller for controlling a drive device, the controller comprising:
a storage device that stores a network setting and a control program for controlling the drive device, the network setting including a corresponding relation between an IP address of the controller and an identifier serving as an alternative to the IP address, the control program including a control instruction for controlling the drive device with the identifier indicated in the network setting being an input; a generation module that generates an IP address of the controller to be different from an IP address of an other controller connected to the same network as a network to which the controller is connected and that rewrites, with the generated IP address, the IP address indicated in the network setting; and a communication module that communicates, in accordance with the IP address indicated in the network setting, with an information processing device connected to the same network as the network to which the controller is connected. 9. A method for controlling a controller for controlling a drive device, the method comprising:
obtaining, from a storage device of the controller, a network setting and a control program for controlling the drive device, the network setting including a corresponding relation between an IP address of the controller and an identifier serving as an alternative to the IP address, the control program including a control instruction for controlling the drive device with the identifier indicated in the network setting being an input; generating an IP address of the controller to be different from an IP address of an other controller connected to the same network as a network to which the controller is connected, and rewriting, with the generated IP address, the IP address indicated in the network setting; and communicating, in accordance with the IP address indicated in the network setting, with an information processing device connected to the same network as the network to which the controller is connected. | 2,600 |
348,358 | 16,805,857 | 2,637 | A lithography system and a cleaning method thereof are provided. The lithography system includes a light source generator. The light source generator includes a collector, a droplet generator and a droplet catcher. The droplet generator and the droplet catcher are facing each other, and disposed at a region surrounding the collector. The cleaning method includes: shifting the droplet generator out of the light source generator via a port of the light source generator; inserting a shove assembly into the light source generator via the port; using a borescope attached to the shovel assembly to identify a location of a deposit formed by droplets generated by the droplet generator; using the shovel assembly to remove and collect the deposit; and withdrawing the shovel assembly along with the borescope from the light source generator via the port. | 1. A cleaning method of a lithography system, wherein the lithography system comprises a light source generator, the light source generator comprises a collector, a droplet generator and a droplet catcher, the droplet generator and the droplet catcher are facing each other and disposed at a region surrounding the collector, and the cleaning method of the lithography system comprises:
shifting the droplet generator out of the light source generator via a port of the light source generator; inserting a shovel assembly into the light source generator via the port; using a borescope attached to the shovel assembly to identify a location of a deposit formed by droplets generated by the droplet generator; using the shovel assembly to remove and collect the deposit; and withdrawing the shovel assembly along with the borescope from the light source generator via the port. 2. The cleaning method of the lithography system according to claim 1, wherein the steps of shifting out the drop generator, inserting the shovel assembly, identifying the location of the deposit, removing the deposit and withdrawing the shovel assembly are performed without shifting the collector out of the light source generator. 3. The cleaning method of the lithography system according to claim 1, wherein the shovel assembly is operated manually. 4. The cleaning method of the lithography system according to claim 1, wherein the shovel assembly is operated by a robotic arm. 5. The cleaning method of the lithography system according to claim 1, wherein the shovel assembly comprises a handle bar and a shovel connected to the handle bar, and the shovel is configured to contact the deposit. 6. The cleaning method of the lithography system according to claim 5, wherein the shovel is coated with an adhesive material. 7. The cleaning method of the lithography system according to claim 5, wherein an edge portion of the shovel is covered by an elastic cover layer. 8. The cleaning method of the lithography system according to claim 5, wherein the shovel assembly further comprises a suction tube and a pump, the suction tube is attached to the handle bar, and the pump is configured to pump out the removed deposit through the suction tube. 9. The cleaning method of the lithography system according to claim 5, wherein the shovel assembly further comprises a heating device, the heating device is attached to the handle bar, and is configured to melt or soften the to-be-removed deposit. 10. The cleaning method of the lithography system according to claim 1, further comprising:
monitoring the removal of the deposit by using the borescope; and adjusting a movement of the shovel assembly according to an image shown by the borescope during the removal of the deposit. 11. The cleaning method of the lithography system according to claim 1, wherein the light source generator further has two additional ports through which the collector and the droplet catcher are installed and shifted out, respectively. 12-20. (canceled) 21. A cleaning method of a lithography system, comprising:
removing a droplet generator from a light source generator in the lithography system through a side port of the light source generator, such that a droplet catcher of the light source generator facing toward the side port is accessible through the side port; inserting a shovel assembly and a borescope into a chamber of the light source generator via the side port, wherein the borescope is attached to the shovel assembly; using the borescope to identify a location of a droplet deposit generated by the droplet generator and located in a vicinity of the droplet catcher, wherein movement of the borescope is realized by controlling the shovel assembly; removing and collecting the droplet deposit by moving the shovel assembly; and withdrawing the shovel assembly and the borescope from the chamber via the side port. 22. The cleaning method of the lithography system according to claim 21, wherein the lithography system is an extreme ultraviolet (EUV) lithography system. 23. The cleaning method of the lithography system according to claim 21, wherein a material of the droplet deposit comprises tin. 24. The cleaning method of the lithography system according to claim 21, wherein the droplet deposit is knocked off and held by the shovel assembly. 25. The cleaning method of the lithography system according to claim 21, wherein the droplet deposit is knocked off and pumped out of the chamber by the shovel assembly. 26. The cleaning method of the lithography system according to claim 21, wherein the droplet deposit is further melted or softened by the shovel assembly before being removed. 27. The cleaning method of the lithography system according to claim 21, wherein the light source generator further comprises an ellipsoidal reflector located between the side port and the droplet catcher, and the ellipsoidal reflector stays in the chamber during cleaning of the lithography system. 28. A cleaning method of a lithography system, comprising:
removing a droplet generator from a light source generator of the lithography system through a side port of the light source generator while a main port of the light source generator remains sealed, and the main port is greater than the side port in terms of size; and removing and collecting droplet deposits around a droplet catcher of the light source generator by a shovel assembly inserted into a chamber of the light source generator via the side port while the main port is still sealed. 29. The cleaning method of the lithography system according to claim 28, wherein a borescope is further inserted into the chamber along with the shovel assembly, and is configured to obtain internal image of the chamber. | A lithography system and a cleaning method thereof are provided. The lithography system includes a light source generator. The light source generator includes a collector, a droplet generator and a droplet catcher. The droplet generator and the droplet catcher are facing each other, and disposed at a region surrounding the collector. The cleaning method includes: shifting the droplet generator out of the light source generator via a port of the light source generator; inserting a shove assembly into the light source generator via the port; using a borescope attached to the shovel assembly to identify a location of a deposit formed by droplets generated by the droplet generator; using the shovel assembly to remove and collect the deposit; and withdrawing the shovel assembly along with the borescope from the light source generator via the port.1. A cleaning method of a lithography system, wherein the lithography system comprises a light source generator, the light source generator comprises a collector, a droplet generator and a droplet catcher, the droplet generator and the droplet catcher are facing each other and disposed at a region surrounding the collector, and the cleaning method of the lithography system comprises:
shifting the droplet generator out of the light source generator via a port of the light source generator; inserting a shovel assembly into the light source generator via the port; using a borescope attached to the shovel assembly to identify a location of a deposit formed by droplets generated by the droplet generator; using the shovel assembly to remove and collect the deposit; and withdrawing the shovel assembly along with the borescope from the light source generator via the port. 2. The cleaning method of the lithography system according to claim 1, wherein the steps of shifting out the drop generator, inserting the shovel assembly, identifying the location of the deposit, removing the deposit and withdrawing the shovel assembly are performed without shifting the collector out of the light source generator. 3. The cleaning method of the lithography system according to claim 1, wherein the shovel assembly is operated manually. 4. The cleaning method of the lithography system according to claim 1, wherein the shovel assembly is operated by a robotic arm. 5. The cleaning method of the lithography system according to claim 1, wherein the shovel assembly comprises a handle bar and a shovel connected to the handle bar, and the shovel is configured to contact the deposit. 6. The cleaning method of the lithography system according to claim 5, wherein the shovel is coated with an adhesive material. 7. The cleaning method of the lithography system according to claim 5, wherein an edge portion of the shovel is covered by an elastic cover layer. 8. The cleaning method of the lithography system according to claim 5, wherein the shovel assembly further comprises a suction tube and a pump, the suction tube is attached to the handle bar, and the pump is configured to pump out the removed deposit through the suction tube. 9. The cleaning method of the lithography system according to claim 5, wherein the shovel assembly further comprises a heating device, the heating device is attached to the handle bar, and is configured to melt or soften the to-be-removed deposit. 10. The cleaning method of the lithography system according to claim 1, further comprising:
monitoring the removal of the deposit by using the borescope; and adjusting a movement of the shovel assembly according to an image shown by the borescope during the removal of the deposit. 11. The cleaning method of the lithography system according to claim 1, wherein the light source generator further has two additional ports through which the collector and the droplet catcher are installed and shifted out, respectively. 12-20. (canceled) 21. A cleaning method of a lithography system, comprising:
removing a droplet generator from a light source generator in the lithography system through a side port of the light source generator, such that a droplet catcher of the light source generator facing toward the side port is accessible through the side port; inserting a shovel assembly and a borescope into a chamber of the light source generator via the side port, wherein the borescope is attached to the shovel assembly; using the borescope to identify a location of a droplet deposit generated by the droplet generator and located in a vicinity of the droplet catcher, wherein movement of the borescope is realized by controlling the shovel assembly; removing and collecting the droplet deposit by moving the shovel assembly; and withdrawing the shovel assembly and the borescope from the chamber via the side port. 22. The cleaning method of the lithography system according to claim 21, wherein the lithography system is an extreme ultraviolet (EUV) lithography system. 23. The cleaning method of the lithography system according to claim 21, wherein a material of the droplet deposit comprises tin. 24. The cleaning method of the lithography system according to claim 21, wherein the droplet deposit is knocked off and held by the shovel assembly. 25. The cleaning method of the lithography system according to claim 21, wherein the droplet deposit is knocked off and pumped out of the chamber by the shovel assembly. 26. The cleaning method of the lithography system according to claim 21, wherein the droplet deposit is further melted or softened by the shovel assembly before being removed. 27. The cleaning method of the lithography system according to claim 21, wherein the light source generator further comprises an ellipsoidal reflector located between the side port and the droplet catcher, and the ellipsoidal reflector stays in the chamber during cleaning of the lithography system. 28. A cleaning method of a lithography system, comprising:
removing a droplet generator from a light source generator of the lithography system through a side port of the light source generator while a main port of the light source generator remains sealed, and the main port is greater than the side port in terms of size; and removing and collecting droplet deposits around a droplet catcher of the light source generator by a shovel assembly inserted into a chamber of the light source generator via the side port while the main port is still sealed. 29. The cleaning method of the lithography system according to claim 28, wherein a borescope is further inserted into the chamber along with the shovel assembly, and is configured to obtain internal image of the chamber. | 2,600 |
348,359 | 16,643,842 | 3,746 | Power generation systems may be achieved by a variety of system, processes, and techniques. In one implementation, a power generation system may include a tank adapted to hold a liquid and a drive section submersed in the tank. The drive section may include a continuous, collapsible pressure container and a rotatable assembly around which the pressure container is routed. The rotatable assembly may contain an axis mounted to the tank. The drive section may also include a series of panels guided around the rotatable assembly to encourage the pressure container to expand and collapse as it circulates around the rotatable assembly. | 1. A power generation system, the system comprising:
a tank adapted to hold a liquid; and a drive section submersed in the tank, the drive section comprising:
a continuous, collapsible pressure container;
a rotatable assembly around which the pressure container is routed, the rotatable assembly containing an axis mounted to the tank; and
a series of panels guided around the rotatable assembly to encourage the pressure container to expand and collapse as it circulates around the rotatable assembly. 2. The system of claim 1, further comprising a second rotatable assembly around which the pressure container is also wrapped, the second rotatable assembly including an axis mounted to the tank. 3. The system of claim 1, wherein the first rotatable assembly and the second rotatable assembly are vertically aligned with each other and horizontally aligned. 4. The system of claim 2, wherein the axis of the second rotatable assembly contains an inertia wheel for starting the motor. 5. The system of claim 2, further comprising a panel between the rotatable assemblies along which the inner periphery of the pressure container may slide. 6. The system of claim 1, wherein the liquid comprises water. 7. The system of claim 1, wherein the panels are mounted inside the pressure container. 8. The system of claim 7, wherein the panels comprise one set of panels mounted on the inside of an inner periphery of pressure container and a second set of panels mounted on the inside of an outer periphery of the pressure container. 9. The system of claim 7, wherein the inner and outer panels are paired and the panels in each pair are connected to each other by a guide assembly. 10. The system of claim 9, further comprising a cam assembly to collapse the guide assemblies. 11. The system of claim 1, wherein the panels are mounted to the outside of the pressure container. 12. The system of claim 11, further comprising a track to guide the panels around the rotatable assembly. 13. The system of claim 1, further comprising a locking assembly configured to lock an outer portion of the pressure container to an inner portion of the pressure container when the pressure container is collapsed. 14. A power generation system, the system comprising:
an elongated tank adapted to hold a liquid; a first rotatable assembly mounted horizontally in the tank; a second rotatable assembly mounted horizontally in the tank, the second rotatable assembly spaced apart vertically from the first rotatable assembly; an elongated, inflatable/collapsible, continuous pressure container routed around the rotatable assemblies; and a series of panels mounted to the inside of an outer portion of the pressure container, the panels urging the expansion and collapse of the pressure container as it circulates around the rotatable assemblies. 14. The system of claim 13, further comprising:
a series of panels mounted to the inside of an inner portion of the pressure container, the inner panels and the outer panels being pair; and a guide assembly between each pair of inner panel and outer panels. 15. The system of claim 13, further comprising a locking assembly configured to lock an outer portion of the pressure container to an inner portion of the pressure container when the pressure container is collapsed. 16. A power generation system, the system comprising:
a tank adapted to hold a liquid, a divider separating the tank into a first portion and a second portion; a gas injection system adapted to inject gas into the first portion of the tank, the divider adapted to substantially prevent the gas from passing to the second tank portion; and a chamber mounted around a rotational axis such that one portion of the chamber is located in the first tank portion and a second portion of the chamber is located in the second tank portion; wherein the liquid in the first portion is less dense than the liquid in the second portion causing the chamber to rotate. | Power generation systems may be achieved by a variety of system, processes, and techniques. In one implementation, a power generation system may include a tank adapted to hold a liquid and a drive section submersed in the tank. The drive section may include a continuous, collapsible pressure container and a rotatable assembly around which the pressure container is routed. The rotatable assembly may contain an axis mounted to the tank. The drive section may also include a series of panels guided around the rotatable assembly to encourage the pressure container to expand and collapse as it circulates around the rotatable assembly.1. A power generation system, the system comprising:
a tank adapted to hold a liquid; and a drive section submersed in the tank, the drive section comprising:
a continuous, collapsible pressure container;
a rotatable assembly around which the pressure container is routed, the rotatable assembly containing an axis mounted to the tank; and
a series of panels guided around the rotatable assembly to encourage the pressure container to expand and collapse as it circulates around the rotatable assembly. 2. The system of claim 1, further comprising a second rotatable assembly around which the pressure container is also wrapped, the second rotatable assembly including an axis mounted to the tank. 3. The system of claim 1, wherein the first rotatable assembly and the second rotatable assembly are vertically aligned with each other and horizontally aligned. 4. The system of claim 2, wherein the axis of the second rotatable assembly contains an inertia wheel for starting the motor. 5. The system of claim 2, further comprising a panel between the rotatable assemblies along which the inner periphery of the pressure container may slide. 6. The system of claim 1, wherein the liquid comprises water. 7. The system of claim 1, wherein the panels are mounted inside the pressure container. 8. The system of claim 7, wherein the panels comprise one set of panels mounted on the inside of an inner periphery of pressure container and a second set of panels mounted on the inside of an outer periphery of the pressure container. 9. The system of claim 7, wherein the inner and outer panels are paired and the panels in each pair are connected to each other by a guide assembly. 10. The system of claim 9, further comprising a cam assembly to collapse the guide assemblies. 11. The system of claim 1, wherein the panels are mounted to the outside of the pressure container. 12. The system of claim 11, further comprising a track to guide the panels around the rotatable assembly. 13. The system of claim 1, further comprising a locking assembly configured to lock an outer portion of the pressure container to an inner portion of the pressure container when the pressure container is collapsed. 14. A power generation system, the system comprising:
an elongated tank adapted to hold a liquid; a first rotatable assembly mounted horizontally in the tank; a second rotatable assembly mounted horizontally in the tank, the second rotatable assembly spaced apart vertically from the first rotatable assembly; an elongated, inflatable/collapsible, continuous pressure container routed around the rotatable assemblies; and a series of panels mounted to the inside of an outer portion of the pressure container, the panels urging the expansion and collapse of the pressure container as it circulates around the rotatable assemblies. 14. The system of claim 13, further comprising:
a series of panels mounted to the inside of an inner portion of the pressure container, the inner panels and the outer panels being pair; and a guide assembly between each pair of inner panel and outer panels. 15. The system of claim 13, further comprising a locking assembly configured to lock an outer portion of the pressure container to an inner portion of the pressure container when the pressure container is collapsed. 16. A power generation system, the system comprising:
a tank adapted to hold a liquid, a divider separating the tank into a first portion and a second portion; a gas injection system adapted to inject gas into the first portion of the tank, the divider adapted to substantially prevent the gas from passing to the second tank portion; and a chamber mounted around a rotational axis such that one portion of the chamber is located in the first tank portion and a second portion of the chamber is located in the second tank portion; wherein the liquid in the first portion is less dense than the liquid in the second portion causing the chamber to rotate. | 3,700 |
348,360 | 16,805,837 | 3,746 | An apparatus and a method for forming alignment marks are disclosed. The method for forming alignment marks is a photolithography-free process and includes the following operations. A laser beam is provided. The laser beam is divided into a plurality of laser beams separated from each other. The plurality of laser beams is shaped into a plurality of patterned beams, so that the plurality of patterned beams is shaped with patterns corresponding to alignment marks. The plurality of patterned beams is projected onto a semiconductor wafer. | 1. A method for forming alignment marks, comprising:
providing a laser beam; dividing the laser beam into a plurality of laser beams separated from each other; shaping the plurality of laser beams into a plurality of patterned beams having patterns shaped corresponding to the alignment marks; and projecting the plurality of patterned beams onto a semiconductor wafer. 2. The method according to claim 1, wherein the method is a photolithography-free process. 3. The method according to claim 1, wherein the plurality of laser beams is shaped by a reticle. 4. The method according to claim 1, wherein the plurality of laser beams is shaped by a diffractive optical element (DOE). 5. The method according to claim 1, further comprising:
homogenizing the laser beam prior to dividing the laser beam into the plurality of laser beams. 6. The method according to claim 5, wherein after the laser beam is homogenized, the laser beam has a top-hat intensity distribution. 7. The method according to claim 1, wherein each of the plurality of laser beams has substantially identical light intensity. 8. The method according to claim 1, further comprising:
removing by-products from the semiconductor wafer. 9. A method for forming alignment marks, comprising:
providing a light source to emit a laser beam; providing a beam splitter to divide the laser beam into a first laser beam and a second laser beam traveling in different directions; providing a reflecting element to redirect at least one of the first laser beam or the second laser beam, so that the first laser beam and the second laser beam travel in the same direction; providing a pattern shaping element to shape the first laser beam and the second laser beam into a first patterned beam and a second patterned beam, wherein patterns of the first patterned beam and the second patterned beam correspond to alignment marks; and providing a projection lens to project the first patterned beam and the second patterned beam onto a semiconductor wafer to directly form the alignment marks. 10. The method according to claim 9, wherein providing a beam splitter comprises providing a reticle. 11. The method according to claim 9, wherein providing a beam splitter comprises providing a diffractive optical element (DOE). 12. The method according to claim 9, further comprising:
providing a homogenizer to homogenize the laser beam prior to providing the beam splitter to divide the laser beam into the first laser beam and the second laser beam. 13. The method according to claim 9, wherein a light intensity of the first laser beam is substantially equal to a light intensity of the second laser beam. 14. The method according to claim 9, wherein the method is a chemical-free process. 15. The method according to claim 9, further comprising:
providing a pump to remove by-products accompanying the formation of the alignment marks out of the semiconductor wafer. 16. An alignment mark, comprising:
two sets of first alignment lines arranged in a first direction and disposed diagonally symmetrical to a central pattern; and two sets of second alignment lines arranged in a second direction different from the first direction and disposed diagonally symmetrical to the central pattern, wherein the first and second alignment lines have tapered ends. 17. The alignment mark according to claim 16, wherein the alignment mark is defined by a photolithography-free process. 18. The alignment mark according to claim 16, wherein the first and second alignment lines have residues protruding from sidewalls thereof. 19. The alignment mark according to claim 18, wherein surface roughness of the sidewalls of the first and second alignment lines are in a range of about 2 nm to about 50 nm. 20. The alignment mark according to claim 18, wherein an included angle between each of the first and second alignment lines and a substrate is in a range of about 30 degrees to about 75 degrees. | An apparatus and a method for forming alignment marks are disclosed. The method for forming alignment marks is a photolithography-free process and includes the following operations. A laser beam is provided. The laser beam is divided into a plurality of laser beams separated from each other. The plurality of laser beams is shaped into a plurality of patterned beams, so that the plurality of patterned beams is shaped with patterns corresponding to alignment marks. The plurality of patterned beams is projected onto a semiconductor wafer.1. A method for forming alignment marks, comprising:
providing a laser beam; dividing the laser beam into a plurality of laser beams separated from each other; shaping the plurality of laser beams into a plurality of patterned beams having patterns shaped corresponding to the alignment marks; and projecting the plurality of patterned beams onto a semiconductor wafer. 2. The method according to claim 1, wherein the method is a photolithography-free process. 3. The method according to claim 1, wherein the plurality of laser beams is shaped by a reticle. 4. The method according to claim 1, wherein the plurality of laser beams is shaped by a diffractive optical element (DOE). 5. The method according to claim 1, further comprising:
homogenizing the laser beam prior to dividing the laser beam into the plurality of laser beams. 6. The method according to claim 5, wherein after the laser beam is homogenized, the laser beam has a top-hat intensity distribution. 7. The method according to claim 1, wherein each of the plurality of laser beams has substantially identical light intensity. 8. The method according to claim 1, further comprising:
removing by-products from the semiconductor wafer. 9. A method for forming alignment marks, comprising:
providing a light source to emit a laser beam; providing a beam splitter to divide the laser beam into a first laser beam and a second laser beam traveling in different directions; providing a reflecting element to redirect at least one of the first laser beam or the second laser beam, so that the first laser beam and the second laser beam travel in the same direction; providing a pattern shaping element to shape the first laser beam and the second laser beam into a first patterned beam and a second patterned beam, wherein patterns of the first patterned beam and the second patterned beam correspond to alignment marks; and providing a projection lens to project the first patterned beam and the second patterned beam onto a semiconductor wafer to directly form the alignment marks. 10. The method according to claim 9, wherein providing a beam splitter comprises providing a reticle. 11. The method according to claim 9, wherein providing a beam splitter comprises providing a diffractive optical element (DOE). 12. The method according to claim 9, further comprising:
providing a homogenizer to homogenize the laser beam prior to providing the beam splitter to divide the laser beam into the first laser beam and the second laser beam. 13. The method according to claim 9, wherein a light intensity of the first laser beam is substantially equal to a light intensity of the second laser beam. 14. The method according to claim 9, wherein the method is a chemical-free process. 15. The method according to claim 9, further comprising:
providing a pump to remove by-products accompanying the formation of the alignment marks out of the semiconductor wafer. 16. An alignment mark, comprising:
two sets of first alignment lines arranged in a first direction and disposed diagonally symmetrical to a central pattern; and two sets of second alignment lines arranged in a second direction different from the first direction and disposed diagonally symmetrical to the central pattern, wherein the first and second alignment lines have tapered ends. 17. The alignment mark according to claim 16, wherein the alignment mark is defined by a photolithography-free process. 18. The alignment mark according to claim 16, wherein the first and second alignment lines have residues protruding from sidewalls thereof. 19. The alignment mark according to claim 18, wherein surface roughness of the sidewalls of the first and second alignment lines are in a range of about 2 nm to about 50 nm. 20. The alignment mark according to claim 18, wherein an included angle between each of the first and second alignment lines and a substrate is in a range of about 30 degrees to about 75 degrees. | 3,700 |
348,361 | 16,805,843 | 3,746 | An air purification-aromatherapy machine includes a housing, an aromatherapy assembly, a filter screen, and an air extractor; the housing is provided with an air inlet and an air outlet; the aromatherapy assembly, the filter screen and the air extractor are placed in the housing; the air extractor comprises a fan, an air duct configured for guiding an airflow blown by the fan to the air outlet, and a sound absorber configured for absorbing noise of the airflow; an end of the air duct is extended to the air outlet, the sound absorber is placed in the air duct and corresponding to the air outlet. | 1. An air purification-aromatherapy machine, comprising:
a housing, defining therein an air inlet and an air outlet; an aromatherapy assembly, placed in the housing and configured to diffuse an essential oil for aromatherapy; a filter screen, disposed in the housing and configured for purifying air in the housing; and an air extractor, arranged in the housing; wherein the air extractor comprises a fan, an air duct configured for guiding an airflow blown by the fan to the air outlet, and a sound absorber configured for absorbing noise of the airflow; wherein an end of the air duct is extended to the air outlet, the sound absorber is placed inside the air duct corresponding to the air outlet, the sound absorber is configured to block the air outlet to form a ring-shaped air outlet port, the fan is placed inside the air duct, and the housing is provided with an aerosol exit orifice configured for spreading the diffused essential oil to an outward of the housing. 2. The air purification-aromatherapy machine of claim 1, wherein an external contour of the sound absorber is in a bowl shape, a smaller diameter end of the sound absorber is extended into the air duct, and a larger diameter end of the sound absorber is located at a position of the air duct adjacent to the air outlet. 3. The air purification-aromatherapy machine of claim 2, wherein an end of the air duct adjacent to the air outlet is provided with a tapered pipe section, an inner diameter of the tapered pipe section gradually decreasing toward the air outlet, and the sound absorber being placed in the tapered pipe section. 4. The air purification-aromatherapy machine of claim 1, wherein the filter screen is located at the air inlet. 5. The air purification-aromatherapy machine of claim 2, wherein the filter screen is a foldable filter screen. 6. The air purification-aromatherapy machine of claim 1, wherein the fan comprises an impeller and a driving motor configured for driving the impeller to rotate. 7. The air purification-aromatherapy machine of claim 1, wherein the aromatherapy assembly comprises an essential oil bottle configured for storing the essential oil, and an atomizing device configured for atomizing the essential oil in the essential oil bottle and spraying the atomized essential oil out; and the essential oil bottle and the atomizing device are placed in the housing. 8. The air purification-aromatherapy machine of claim 7, wherein the atomizing device comprises an air pump configured for providing an airflow, an atomizing cover provided with an atomizing cavity, and an air spraying nozzle placed in the atomizing cavity, an air pipe connecting the air pump and the air spraying nozzle, an oil suction pipe extending into the essential oil bottle, and an oil spraying nozzle connected to the oil suction pipe; wherein the atomizing cover is provided with a connection port configured for fittingly connecting with the essential oil bottle, the connection port is in communication with the atomizing cavity, an air outlet of the air spraying nozzle is located at a position corresponding to an oil outlet of the oil spraying nozzle, and the atomizing cavity is in communication with the aerosol exit orifice. 9. The air purification-aromatherapy machine of claim 8, wherein an outer sidewall of a bottleneck of the essential oil bottle is provided with an external thread, and an inner sidewall of the connection port is provided with an internal thread corresponding to the external thread. 10. The air purification-aromatherapy machine of claim 1, wherein the air inlet is provided therein with an air inlet grill configured for diffusing the airflow. 11. The air purification-aromatherapy machine of claim 2, wherein the air inlet is provided therein with an air inlet grill configured for diffusing the airflow. 12. The air purification-aromatherapy machine of claim 3, wherein the air inlet is provided therein with an air inlet grill configured for diffusing the airflow. 13. The air purification-aromatherapy machine of claim 4, wherein the air inlet is provided therein with an air inlet grill configured for diffusing the airflow. 14. The air purification-aromatherapy machine of claim 5, wherein the air inlet is provided therein with an air inlet grill configured for diffusing the airflow. 15. The air purification-aromatherapy machine of claim 6, wherein the air inlet is provided therein with an air inlet grill configured for diffusing the airflow. 16. The air purification-aromatherapy machine of claim 7, wherein the air inlet is provided therein with an air inlet grill configured for diffusing the airflow. 17. The air purification-aromatherapy machine of claim 8, wherein the air inlet is provided therein with an air inlet grill configured for diffusing the airflow. 18. The air purification-aromatherapy machine of claim 9, wherein the air inlet is provided therein with an air inlet grill configured for diffusing the airflow. | An air purification-aromatherapy machine includes a housing, an aromatherapy assembly, a filter screen, and an air extractor; the housing is provided with an air inlet and an air outlet; the aromatherapy assembly, the filter screen and the air extractor are placed in the housing; the air extractor comprises a fan, an air duct configured for guiding an airflow blown by the fan to the air outlet, and a sound absorber configured for absorbing noise of the airflow; an end of the air duct is extended to the air outlet, the sound absorber is placed in the air duct and corresponding to the air outlet.1. An air purification-aromatherapy machine, comprising:
a housing, defining therein an air inlet and an air outlet; an aromatherapy assembly, placed in the housing and configured to diffuse an essential oil for aromatherapy; a filter screen, disposed in the housing and configured for purifying air in the housing; and an air extractor, arranged in the housing; wherein the air extractor comprises a fan, an air duct configured for guiding an airflow blown by the fan to the air outlet, and a sound absorber configured for absorbing noise of the airflow; wherein an end of the air duct is extended to the air outlet, the sound absorber is placed inside the air duct corresponding to the air outlet, the sound absorber is configured to block the air outlet to form a ring-shaped air outlet port, the fan is placed inside the air duct, and the housing is provided with an aerosol exit orifice configured for spreading the diffused essential oil to an outward of the housing. 2. The air purification-aromatherapy machine of claim 1, wherein an external contour of the sound absorber is in a bowl shape, a smaller diameter end of the sound absorber is extended into the air duct, and a larger diameter end of the sound absorber is located at a position of the air duct adjacent to the air outlet. 3. The air purification-aromatherapy machine of claim 2, wherein an end of the air duct adjacent to the air outlet is provided with a tapered pipe section, an inner diameter of the tapered pipe section gradually decreasing toward the air outlet, and the sound absorber being placed in the tapered pipe section. 4. The air purification-aromatherapy machine of claim 1, wherein the filter screen is located at the air inlet. 5. The air purification-aromatherapy machine of claim 2, wherein the filter screen is a foldable filter screen. 6. The air purification-aromatherapy machine of claim 1, wherein the fan comprises an impeller and a driving motor configured for driving the impeller to rotate. 7. The air purification-aromatherapy machine of claim 1, wherein the aromatherapy assembly comprises an essential oil bottle configured for storing the essential oil, and an atomizing device configured for atomizing the essential oil in the essential oil bottle and spraying the atomized essential oil out; and the essential oil bottle and the atomizing device are placed in the housing. 8. The air purification-aromatherapy machine of claim 7, wherein the atomizing device comprises an air pump configured for providing an airflow, an atomizing cover provided with an atomizing cavity, and an air spraying nozzle placed in the atomizing cavity, an air pipe connecting the air pump and the air spraying nozzle, an oil suction pipe extending into the essential oil bottle, and an oil spraying nozzle connected to the oil suction pipe; wherein the atomizing cover is provided with a connection port configured for fittingly connecting with the essential oil bottle, the connection port is in communication with the atomizing cavity, an air outlet of the air spraying nozzle is located at a position corresponding to an oil outlet of the oil spraying nozzle, and the atomizing cavity is in communication with the aerosol exit orifice. 9. The air purification-aromatherapy machine of claim 8, wherein an outer sidewall of a bottleneck of the essential oil bottle is provided with an external thread, and an inner sidewall of the connection port is provided with an internal thread corresponding to the external thread. 10. The air purification-aromatherapy machine of claim 1, wherein the air inlet is provided therein with an air inlet grill configured for diffusing the airflow. 11. The air purification-aromatherapy machine of claim 2, wherein the air inlet is provided therein with an air inlet grill configured for diffusing the airflow. 12. The air purification-aromatherapy machine of claim 3, wherein the air inlet is provided therein with an air inlet grill configured for diffusing the airflow. 13. The air purification-aromatherapy machine of claim 4, wherein the air inlet is provided therein with an air inlet grill configured for diffusing the airflow. 14. The air purification-aromatherapy machine of claim 5, wherein the air inlet is provided therein with an air inlet grill configured for diffusing the airflow. 15. The air purification-aromatherapy machine of claim 6, wherein the air inlet is provided therein with an air inlet grill configured for diffusing the airflow. 16. The air purification-aromatherapy machine of claim 7, wherein the air inlet is provided therein with an air inlet grill configured for diffusing the airflow. 17. The air purification-aromatherapy machine of claim 8, wherein the air inlet is provided therein with an air inlet grill configured for diffusing the airflow. 18. The air purification-aromatherapy machine of claim 9, wherein the air inlet is provided therein with an air inlet grill configured for diffusing the airflow. | 3,700 |
348,362 | 16,643,835 | 3,746 | Based on graphene heterostructure in chip-scale silicon nitride microresonators, optoelectronic control and modulation in frequency combs via group velocity dispersion modulation can be demonstrated. By tuning graphene Fermi level from 0.50 eV to 0.65 eV via electric-field gating, deterministic in-cavity group velocity dispersion control from anomalous (−62 fs2/mm) to normal (+9 fs2/mm) can be achieved with Q factor remaining high at 106. Consequently, both the primary comb lines and the full comb spectra can be controllable dynamically with the on/off switching of the Cherenkov radiation, the tuning of the primary comb lines from 2.3 THz to 7.2 THz, and the comb span control from zero comb lines to ˜781 phase-locked comb lines, directly via the DC voltage. | 1. A microresonator comprising:
a silicon nitride ring cladded with silicon dioxide, wherein the silicon oxide defines a window above a region of the silicon nitride ring; a bus waveguide coupled to the silicon nitride ring; a graphene ion-gel heterostructure disposed within the window above the region of the silicon nitride ring, wherein the graphene/ion-gel heterostructure comprises:
a graphene monolayer;
source-drain electrodes integrated on the graphene monolayer; and
a layer of ionic liquid used as a gate dielectric;
wherein the microresonator is configured to form a frequency comb tunable through the modulation of the dispersion of the microresonator. 2. The microresonator of claim 1, wherein the modulation of the intracavity dispersion is achieved through the tuning of the Fermi level of the graphene monolayer using an applied gate voltage. 3. The microresonator of claim 2, wherein the Fermi level of the graphene monolayer can be tuned from about 0.45 eV to about 0.65 eV. 4. The microresonator of claim 2, wherein the dispersion of the microresonator can be tuned from about −62 fs2/mm anomalous dispersion to about +9 fs2/mm normal dispersion through tuning the gate voltage from about −2 V to about 0 V. 5. The microresonator of claim 2, wherein the microresonator is configured to form a frequency comb having a relative spectral location that is tunable from about 2.3 THz to about 7.2 THz. 6. The microresonator of claim 2, wherein the microresonator is configured to form a frequency comb having a relative spectral location that is tunable from about 2.3 THz to about 7.2 THz by tuning the applied gate voltage from about −1 V to about −1.5 V. 7. The microresonator of claim 1, wherein a fixed source-drain voltage of 10 mV is applied to the graphene ion-gel heterostructure. 8. The microresonator of claim 1, wherein the microresonator is capable of achieving multi-soliton states. 9. The microresonator of claim 1, wherein the microresonator is capable of achieving soliton states with soliton numbers of 12, 11, 9, 8, 6, 5, and 4. 10. The microresonator of claim 1, wherein the microresonator has a loaded quality factor of about 1.6×106. 11. The microresonator of claim 1, wherein the source-drain electrodes comprise Ti/Au. 12. The microresonator of claim 1, wherein the ionic liquid comprises diethylmethyl(2-methoxyethyl)ammonium bis(trifluoromethylsulfonyl)imide. 13. The microresonator of claim 1, wherein the layer of ionic liquid provides a capacitance of about 7.2 μF/cm2. 14. The microresonator of claim 1, wherein the graphene monolayer is disposed about 100 nm away from the silicon nitride ring. 15. The microresonator of claim 1, wherein the graphene monolayer is disposed about 300 nm away from the silicon nitride ring. 16. The microresonator of claim 1, wherein the graphene monolayer and the silicon nitride ring have a planar interaction arc length of about 80 μm. 17. The microresonator of claim 1, wherein the silicon nitride ring has a cross section of about 1200 nm×800 nm. 18. The microresonator of claim 1, wherein the silicon nitride ring has a diameter of about 350 μm. 19. The microresonator of claim 1, wherein the bus waveguide has a cross section of about 1000 nm×800 nm. 20. The microresonator of claim 1, wherein the bus waveguide is disposed about 600 nm away from the silicon nitride ring. | Based on graphene heterostructure in chip-scale silicon nitride microresonators, optoelectronic control and modulation in frequency combs via group velocity dispersion modulation can be demonstrated. By tuning graphene Fermi level from 0.50 eV to 0.65 eV via electric-field gating, deterministic in-cavity group velocity dispersion control from anomalous (−62 fs2/mm) to normal (+9 fs2/mm) can be achieved with Q factor remaining high at 106. Consequently, both the primary comb lines and the full comb spectra can be controllable dynamically with the on/off switching of the Cherenkov radiation, the tuning of the primary comb lines from 2.3 THz to 7.2 THz, and the comb span control from zero comb lines to ˜781 phase-locked comb lines, directly via the DC voltage.1. A microresonator comprising:
a silicon nitride ring cladded with silicon dioxide, wherein the silicon oxide defines a window above a region of the silicon nitride ring; a bus waveguide coupled to the silicon nitride ring; a graphene ion-gel heterostructure disposed within the window above the region of the silicon nitride ring, wherein the graphene/ion-gel heterostructure comprises:
a graphene monolayer;
source-drain electrodes integrated on the graphene monolayer; and
a layer of ionic liquid used as a gate dielectric;
wherein the microresonator is configured to form a frequency comb tunable through the modulation of the dispersion of the microresonator. 2. The microresonator of claim 1, wherein the modulation of the intracavity dispersion is achieved through the tuning of the Fermi level of the graphene monolayer using an applied gate voltage. 3. The microresonator of claim 2, wherein the Fermi level of the graphene monolayer can be tuned from about 0.45 eV to about 0.65 eV. 4. The microresonator of claim 2, wherein the dispersion of the microresonator can be tuned from about −62 fs2/mm anomalous dispersion to about +9 fs2/mm normal dispersion through tuning the gate voltage from about −2 V to about 0 V. 5. The microresonator of claim 2, wherein the microresonator is configured to form a frequency comb having a relative spectral location that is tunable from about 2.3 THz to about 7.2 THz. 6. The microresonator of claim 2, wherein the microresonator is configured to form a frequency comb having a relative spectral location that is tunable from about 2.3 THz to about 7.2 THz by tuning the applied gate voltage from about −1 V to about −1.5 V. 7. The microresonator of claim 1, wherein a fixed source-drain voltage of 10 mV is applied to the graphene ion-gel heterostructure. 8. The microresonator of claim 1, wherein the microresonator is capable of achieving multi-soliton states. 9. The microresonator of claim 1, wherein the microresonator is capable of achieving soliton states with soliton numbers of 12, 11, 9, 8, 6, 5, and 4. 10. The microresonator of claim 1, wherein the microresonator has a loaded quality factor of about 1.6×106. 11. The microresonator of claim 1, wherein the source-drain electrodes comprise Ti/Au. 12. The microresonator of claim 1, wherein the ionic liquid comprises diethylmethyl(2-methoxyethyl)ammonium bis(trifluoromethylsulfonyl)imide. 13. The microresonator of claim 1, wherein the layer of ionic liquid provides a capacitance of about 7.2 μF/cm2. 14. The microresonator of claim 1, wherein the graphene monolayer is disposed about 100 nm away from the silicon nitride ring. 15. The microresonator of claim 1, wherein the graphene monolayer is disposed about 300 nm away from the silicon nitride ring. 16. The microresonator of claim 1, wherein the graphene monolayer and the silicon nitride ring have a planar interaction arc length of about 80 μm. 17. The microresonator of claim 1, wherein the silicon nitride ring has a cross section of about 1200 nm×800 nm. 18. The microresonator of claim 1, wherein the silicon nitride ring has a diameter of about 350 μm. 19. The microresonator of claim 1, wherein the bus waveguide has a cross section of about 1000 nm×800 nm. 20. The microresonator of claim 1, wherein the bus waveguide is disposed about 600 nm away from the silicon nitride ring. | 3,700 |
348,363 | 16,805,856 | 3,746 | A semiconductor package includes a first semiconductor die, a second semiconductor die, a semiconductor bridge, an integrated passive device, a first redistribution layer, and connective terminals. The second semiconductor die is disposed beside the first semiconductor die. The semiconductor bridge electrically connects the first semiconductor die with the second semiconductor die. The integrated passive device is electrically connected to the first semiconductor die. The first redistribution layer is disposed over the semiconductor bridge. The connective terminals are disposed on the first redistribution layer, on an opposite side with respect to the semiconductor bridge. The first redistribution layer is interposed between the integrated passive device and the connective terminals. | 1. A semiconductor package, comprising:
a first semiconductor die; a second semiconductor die, disposed beside the first semiconductor die; a semiconductor bridge, electrically connecting the first semiconductor die with the second semiconductor die; an integrated passive device, electrically connected to the first semiconductor die; a first redistribution layer, disposed over the semiconductor bridge; and connective terminals, disposed on the first redistribution layer, on an opposite side with respect to the semiconductor bridge, wherein the first redistribution layer is interposed between the integrated passive device and the connective terminals. 2. The semiconductor package of claim 1, further comprising a second redistribution layer disposed between the semiconductor dies and the semiconductor bridge, wherein the semiconductor bridge is disposed between the first redistribution layer and the second redistribution layer. 3. The semiconductor package of claim 2, wherein the integrated passive device is disposed between the first redistribution layer and the second redistribution layer. 4. The semiconductor package of claim 1, wherein the integrated passive device is built into the semiconductor bridge. 5. The semiconductor package of claim 1, wherein the integrated passive device is disposed between the semiconductor bridge and the first redistribution layer. 6. The semiconductor package of claim 1, wherein the first semiconductor die, the second semiconductor die, and the integrated passive device are encapsulated by a common encapsulant. 7. The semiconductor package of claim 1, wherein the integrated passive device is disposed on the first semiconductor die. 8. A semiconductor package, comprising:
semiconductor dies; an encapsulant encapsulating the semiconductor dies; and a redistribution structure disposed on the encapsulant and the semiconductor dies, the redistribution structure comprising, in order:
an inner redistribution layer;
a bridging layer; and
an outer redistribution layer,
wherein the bridging layer comprises:
a semiconductor bridge interconnecting at least two semiconductor dies of the semiconductor dies, and
an integrated passive device electrically connected to at least one of the at least two semiconductor dies through the inner redistribution layer. 9. The semiconductor package of claim 8, wherein the integrated passive device comprises through semiconductor vias electrically connecting the inner redistribution layer with the outer redistribution layer. 10. The semiconductor package of claim 8, wherein the semiconductor bridge comprises through semiconductor vias electrically connecting the inner redistribution layer with the outer redistribution layer. 11. The semiconductor package of claim 8, wherein the bridging layer further comprises through interconnection vias electrically connecting the inner redistribution layer with the outer redistribution layer. 12. The semiconductor package of claim 11, wherein the bridging layer further comprises a molding compound encapsulating the semiconductor bridge, the integrated passive device and the through interconnection vias. 13. The semiconductor package of claim 11, wherein the integrated passive device is disposed on the semiconductor bridge and is electrically connected to the at least one semiconductor die via the outer redistribution structure, the through interconnection vias and the inner redistribution structure. 14. The semiconductor package of claim 8, wherein the integrated passive device is disposed on the semiconductor bridge and is electrically connected to the at least one semiconductor die via through semiconductor vias formed in the semiconductor bridge. 15. A manufacturing method of a semiconductor package, comprising:
providing semiconductor dies; forming an encapsulant encapsulating the semiconductor dies; providing a semiconductor bridge electrically connecting at least two semiconductor dies of the semiconductor dies; providing an integrated passive device, electrically connected to one semiconductor die of the at least two semiconductor dies; and forming a first redistribution layer over the integrated passive device and the semiconductor dies. 16. The manufacturing method of claim 15, further comprising forming connective terminals on the first redistribution layer on an opposite side with respect to the integrated passive device and the semiconductor dies. 17. The manufacturing method of claim 15, further comprising forming a second redistribution layer over the semiconductor dies, wherein the second redistribution layer is formed before providing the integrated passive device and before forming the first redistribution layer. 18. The manufacturing method of claim 17, further comprising forming through interconnection vias on the second redistribution layer before forming the first redistribution layer. 19. The manufacturing method of claim 17, further comprising:
forming a molding compound encapsulating the semiconductor bridge, the through interconnection vias and the integrated passive device; and planarizing the molding compound until the through interconnection vias are exposed, wherein portions of the integrated passive device and the semiconductor bridge are removed during planarization of the molding compound. 20. The manufacturing method of claim 15, wherein the integrated passive device is provided before forming the encapsulant, and the encapsulant further encapsulates the integrated passive device. | A semiconductor package includes a first semiconductor die, a second semiconductor die, a semiconductor bridge, an integrated passive device, a first redistribution layer, and connective terminals. The second semiconductor die is disposed beside the first semiconductor die. The semiconductor bridge electrically connects the first semiconductor die with the second semiconductor die. The integrated passive device is electrically connected to the first semiconductor die. The first redistribution layer is disposed over the semiconductor bridge. The connective terminals are disposed on the first redistribution layer, on an opposite side with respect to the semiconductor bridge. The first redistribution layer is interposed between the integrated passive device and the connective terminals.1. A semiconductor package, comprising:
a first semiconductor die; a second semiconductor die, disposed beside the first semiconductor die; a semiconductor bridge, electrically connecting the first semiconductor die with the second semiconductor die; an integrated passive device, electrically connected to the first semiconductor die; a first redistribution layer, disposed over the semiconductor bridge; and connective terminals, disposed on the first redistribution layer, on an opposite side with respect to the semiconductor bridge, wherein the first redistribution layer is interposed between the integrated passive device and the connective terminals. 2. The semiconductor package of claim 1, further comprising a second redistribution layer disposed between the semiconductor dies and the semiconductor bridge, wherein the semiconductor bridge is disposed between the first redistribution layer and the second redistribution layer. 3. The semiconductor package of claim 2, wherein the integrated passive device is disposed between the first redistribution layer and the second redistribution layer. 4. The semiconductor package of claim 1, wherein the integrated passive device is built into the semiconductor bridge. 5. The semiconductor package of claim 1, wherein the integrated passive device is disposed between the semiconductor bridge and the first redistribution layer. 6. The semiconductor package of claim 1, wherein the first semiconductor die, the second semiconductor die, and the integrated passive device are encapsulated by a common encapsulant. 7. The semiconductor package of claim 1, wherein the integrated passive device is disposed on the first semiconductor die. 8. A semiconductor package, comprising:
semiconductor dies; an encapsulant encapsulating the semiconductor dies; and a redistribution structure disposed on the encapsulant and the semiconductor dies, the redistribution structure comprising, in order:
an inner redistribution layer;
a bridging layer; and
an outer redistribution layer,
wherein the bridging layer comprises:
a semiconductor bridge interconnecting at least two semiconductor dies of the semiconductor dies, and
an integrated passive device electrically connected to at least one of the at least two semiconductor dies through the inner redistribution layer. 9. The semiconductor package of claim 8, wherein the integrated passive device comprises through semiconductor vias electrically connecting the inner redistribution layer with the outer redistribution layer. 10. The semiconductor package of claim 8, wherein the semiconductor bridge comprises through semiconductor vias electrically connecting the inner redistribution layer with the outer redistribution layer. 11. The semiconductor package of claim 8, wherein the bridging layer further comprises through interconnection vias electrically connecting the inner redistribution layer with the outer redistribution layer. 12. The semiconductor package of claim 11, wherein the bridging layer further comprises a molding compound encapsulating the semiconductor bridge, the integrated passive device and the through interconnection vias. 13. The semiconductor package of claim 11, wherein the integrated passive device is disposed on the semiconductor bridge and is electrically connected to the at least one semiconductor die via the outer redistribution structure, the through interconnection vias and the inner redistribution structure. 14. The semiconductor package of claim 8, wherein the integrated passive device is disposed on the semiconductor bridge and is electrically connected to the at least one semiconductor die via through semiconductor vias formed in the semiconductor bridge. 15. A manufacturing method of a semiconductor package, comprising:
providing semiconductor dies; forming an encapsulant encapsulating the semiconductor dies; providing a semiconductor bridge electrically connecting at least two semiconductor dies of the semiconductor dies; providing an integrated passive device, electrically connected to one semiconductor die of the at least two semiconductor dies; and forming a first redistribution layer over the integrated passive device and the semiconductor dies. 16. The manufacturing method of claim 15, further comprising forming connective terminals on the first redistribution layer on an opposite side with respect to the integrated passive device and the semiconductor dies. 17. The manufacturing method of claim 15, further comprising forming a second redistribution layer over the semiconductor dies, wherein the second redistribution layer is formed before providing the integrated passive device and before forming the first redistribution layer. 18. The manufacturing method of claim 17, further comprising forming through interconnection vias on the second redistribution layer before forming the first redistribution layer. 19. The manufacturing method of claim 17, further comprising:
forming a molding compound encapsulating the semiconductor bridge, the through interconnection vias and the integrated passive device; and planarizing the molding compound until the through interconnection vias are exposed, wherein portions of the integrated passive device and the semiconductor bridge are removed during planarization of the molding compound. 20. The manufacturing method of claim 15, wherein the integrated passive device is provided before forming the encapsulant, and the encapsulant further encapsulates the integrated passive device. | 3,700 |
348,364 | 16,805,875 | 3,723 | A ratchet wrench includes a through hole defined through the head of the wrench and a recess is defined in the inner periphery of the through hole. A circular hole is defined through the head and communicates with the recess. A ratchet wheel is rotatably received in the through hole of the head. A pawl is movably located in the recess and engaged with the ratchet wheel. A switch member is rotatable inserted into the circular hole and has a lever located outside of the head of the wrench. A slot is defined transversely in the switch member. The slot is located corresponding to the recess. The switch member receives one end of a spring which biases a tubular member contact the pawl. The pawl has a flange which is located in the slot such that the switch member does not drop from the circular hole. | 1. A ratchet wrench comprising:
a handle and a head which is formed to one end of the handle, the head including a through hole which forms a first opening and a second opening in two opposite sides of the head, a recess defined in an inner periphery of the through hole, a circular hole defined through the head and communicating with the recess; a ratchet wheel rotatably received in the through hole of the head and located corresponding to the first and second openings; a switch member having a head end, a tail end and a connection portion that is formed between the head end and the tail end, a lever extending from the head end, the tail end having an extension portion extending therefrom and toward the head end, the tail end, the extension portion and a portion of the connection portion being inserted into the circular hole and located in the recess, the head end and the lever located outside of the head, a first width of the head end being larger than a second width of the tail end, the connection portion being tapered from the head end toward the tail end, a gap formed between the connection portion and an inside of the recess; a pawl movably located in the recess, the pawl having a toothed front face and a curved-recessed back which is located opposite to the toothed front face, the pawl located between the ratchet wheel and the switch member, the toothed front face of the pawl engaged with the ratchet wheel, a flange extending from the curved-recessed back and located between the head end and the extension portion, the flange restricting the switch member from dropping from the circular hole, and a tubular member having a first end thereof contacting the curved-recessed back, a spring biased between a second end of the tubular member and the extension portion. 2. The ratchet wrench as claimed in claim 1, wherein the head end includes a central portion and a peripheral portion, the central portion is formed at a central portion of the peripheral portion, the central portion is formed with the connection portion, a diameter of the peripheral portion is larger than that of the circular hole. 3. The ratchet wrench as claimed in claim 1, wherein an outside of the connection portion is tapered from an outer edge of the head end toward the tail end. 4. The ratchet wrench as claimed in claim 1, wherein the second end of the tubular member has a reception hole, a dent is defined in an outside of the extension portion, the spring has one end thereof inserted in the dent. 5. The ratchet wrench as claimed in claim 1, wherein the head includes a fan-shaped recessed area which is formed to communicate with the circular hole, the head end and the lever are located in the fan-shaped recessed area, the lever is pivoted between two insides of the fan-shaped recessed area, the lever includes two stops respectively protruding from two sides thereof, when either of the stops contact the insides of the fan-shaped recessed area, the lever is stopped. 6. The ratchet wrench as claimed in claim 1, wherein a slot is formed between the extension portion and the head end, the flange of the pawl is located in the slot. 7. The ratchet wrench as claimed in claim 6, wherein the head end includes a protrusion which is located in the slot, the flange of the pawl is located between the protrusion and the extension portion. | A ratchet wrench includes a through hole defined through the head of the wrench and a recess is defined in the inner periphery of the through hole. A circular hole is defined through the head and communicates with the recess. A ratchet wheel is rotatably received in the through hole of the head. A pawl is movably located in the recess and engaged with the ratchet wheel. A switch member is rotatable inserted into the circular hole and has a lever located outside of the head of the wrench. A slot is defined transversely in the switch member. The slot is located corresponding to the recess. The switch member receives one end of a spring which biases a tubular member contact the pawl. The pawl has a flange which is located in the slot such that the switch member does not drop from the circular hole.1. A ratchet wrench comprising:
a handle and a head which is formed to one end of the handle, the head including a through hole which forms a first opening and a second opening in two opposite sides of the head, a recess defined in an inner periphery of the through hole, a circular hole defined through the head and communicating with the recess; a ratchet wheel rotatably received in the through hole of the head and located corresponding to the first and second openings; a switch member having a head end, a tail end and a connection portion that is formed between the head end and the tail end, a lever extending from the head end, the tail end having an extension portion extending therefrom and toward the head end, the tail end, the extension portion and a portion of the connection portion being inserted into the circular hole and located in the recess, the head end and the lever located outside of the head, a first width of the head end being larger than a second width of the tail end, the connection portion being tapered from the head end toward the tail end, a gap formed between the connection portion and an inside of the recess; a pawl movably located in the recess, the pawl having a toothed front face and a curved-recessed back which is located opposite to the toothed front face, the pawl located between the ratchet wheel and the switch member, the toothed front face of the pawl engaged with the ratchet wheel, a flange extending from the curved-recessed back and located between the head end and the extension portion, the flange restricting the switch member from dropping from the circular hole, and a tubular member having a first end thereof contacting the curved-recessed back, a spring biased between a second end of the tubular member and the extension portion. 2. The ratchet wrench as claimed in claim 1, wherein the head end includes a central portion and a peripheral portion, the central portion is formed at a central portion of the peripheral portion, the central portion is formed with the connection portion, a diameter of the peripheral portion is larger than that of the circular hole. 3. The ratchet wrench as claimed in claim 1, wherein an outside of the connection portion is tapered from an outer edge of the head end toward the tail end. 4. The ratchet wrench as claimed in claim 1, wherein the second end of the tubular member has a reception hole, a dent is defined in an outside of the extension portion, the spring has one end thereof inserted in the dent. 5. The ratchet wrench as claimed in claim 1, wherein the head includes a fan-shaped recessed area which is formed to communicate with the circular hole, the head end and the lever are located in the fan-shaped recessed area, the lever is pivoted between two insides of the fan-shaped recessed area, the lever includes two stops respectively protruding from two sides thereof, when either of the stops contact the insides of the fan-shaped recessed area, the lever is stopped. 6. The ratchet wrench as claimed in claim 1, wherein a slot is formed between the extension portion and the head end, the flange of the pawl is located in the slot. 7. The ratchet wrench as claimed in claim 6, wherein the head end includes a protrusion which is located in the slot, the flange of the pawl is located between the protrusion and the extension portion. | 3,700 |
348,365 | 16,805,849 | 3,723 | Wafer inspection apparatuses and methods are described. The wafer inspection apparatus includes an optical module, at least one wafer holder for carrying a plurality of wafers, and a plurality of optical sensors. The optical module is configured to emit a plurality of light beams for simultaneously scanning the plurality of wafers carried by the at least one wafer holder. The plurality of optical sensors is configured to receive the light beams reflected by the plurality of wafers. | 1. A wafer inspection apparatus, comprising:
a light source, configured to emit a light; a first optical splitting element, configured to split the light from the light source into a first light beam and a second light beam; a first wafer holder, comprising a first wafer stage for carrying a first wafer and a second wafer stage for carrying a second wafer, wherein the first wafer is configured to reflect the first light beam, and the second wafer is configured to reflect the second light beam; a first optical sensor, configured to receive the first light beam reflected by the first wafer carried by the first wafer stage; and a second optical sensor, configured to receive the second light beam reflected by the second wafer carried by the second wafer stage. 2. The wafer inspection apparatus of claim 1, wherein the first wafer stage comprises a first electrostatic chuck and the second wafer stage comprises a second electrostatic chuck, and the first wafer and the second wafer are carried by the first electrostatic chunk and the second electrostatic chuck through electrostatic forces, respectively. 3. The wafer inspection apparatus of claim 1, wherein the first wafer holder further comprises a connecting element, and the first wafer stage and the second wafer stage are connected to each other through the connecting element. 4. The wafer inspection apparatus of claim 1, wherein the first wafer holder is rotatable about a rotation axis along a direction parallel with receiving surfaces of the first wafer stage and second wafer stage. 5. The wafer inspection apparatus of claim 1, further comprising an optical directional element, wherein the optical directional element is configured to guide the light emitted from the light source to the first optical splitting element. 6. The wafer inspection apparatus of claim 5, wherein the optical directional element comprises at least one selected from a group of a reflector unit and an optical fiber. 7. The wafer inspection apparatus of claim 1, further comprising an optical amplifier configured to control intensities of the first light beam and the second light beam. 8. The wafer inspection apparatus of claim 1, further comprising a second wafer holder, wherein the second wafer holder comprises a third wafer stage for carrying a third wafer and a fourth wafer stage for carrying a fourth wafer, and the first optical splitting element is further configured to split the light into a third light beam directed to the third wafer and a fourth light beam directed to the fourth wafer. 9. The wafer inspection apparatus of claim 1, further comprising:
an optical directional splitting unit configured to split the light emitted from the light source into a first portion and a second portion and direct the first portion to the first optical splitting element and direct the second portion to a second optical splitting element, wherein the first portion is split by the first optical splitting element into the first light beam and the second light beam, and the second portion is split by the second optical splitting element into a third light beam and a fourth light beam; and a second wafer holder, comprising a third wafer stage for carrying a third wafer and a fourth wafer stage for carrying a fourth wafer, wherein the third wafer is configured to reflect the third light beam, and the fourth wafer is configured to reflect the fourth light beam; a third optical sensor, configured to receive the third light beam reflected by the third wafer; and a fourth optical sensor, configured to receive the fourth light beam reflected by the fourth wafer. 10. A wafer inspection apparatus, comprising:
an optical module; at least one wafer holder for carrying a plurality of wafers, wherein the optical module is configured to emit a plurality of light beams for simultaneously scanning the plurality of wafers carried by the at least one wafer holder; and a plurality of optical sensors, configured to receive the light beams reflected by the plurality of wafers. 11. The wafer inspection apparatus of claim 10, wherein each of the at least one wafer holder comprises two wafer stages connected to each other by a connecting element. 12. The wafer inspection apparatus of claim 11, wherein the two wafer stages are configured to be simultaneously movable. 13. The wafer inspection apparatus of claim 11, wherein receiving surfaces of the two wafer stages for receiving wafers are face to face. 14. The wafer inspection apparatus of claim 10, wherein the optical module comprises:
a light source, configured to emit a light; an optical splitting element, configured to split the light into the plurality of light beams directed toward the wafers carried by the at least one wafer holder; and at least one amplifier, configured to control intensities of the plurality of light beams. 15. The wafer inspection apparatus of claim 14, wherein the optical module further comprises an optical directional element, configured to guide the light emitted from the light source to the optical splitting element. 16. A wafer inspection method, comprising:
loading a plurality of wafers to at least one wafer holder; and inspecting the plurality of wafers simultaneously, comprising:
emitting a plurality of light beams from an optical module and the plurality of light beams are directed toward the plurality of wafers; and
receiving the plurality of light beams reflected by the wafers through a plurality of optical sensors. 17. The method of claim 16, wherein loading the plurality of wafers to the at least one wafer holder comprises:
loading a first wafer to a first wafer stage of a first wafer holder of the at least one wafer holder; rotating the first wafer holder, such that the first wafer holder is flipped upside down; and loading a second wafer to a second wafer stage of the first wafer holder. 18. The method of claim 16, wherein emitting the plurality of light beams from the optical module comprises:
emitting a light by a light source; splitting the light into the plurality of light beams by at least one optical splitting element; and
at least one of amplifying the light before splitting the light or amplifying the plurality of light beams after splitting the light. 19. The method of claim 16, wherein during inspecting the plurality of wafers, with the plurality of light beams shining on the plurality of wafers, the plurality of wafers move simultaneously as the at least one wafer holder moves. 20. The method of claim 16, further comprising polarizing at least one of the plurality of light beams before being directed toward a corresponding wafer of the plurality of wafers. | Wafer inspection apparatuses and methods are described. The wafer inspection apparatus includes an optical module, at least one wafer holder for carrying a plurality of wafers, and a plurality of optical sensors. The optical module is configured to emit a plurality of light beams for simultaneously scanning the plurality of wafers carried by the at least one wafer holder. The plurality of optical sensors is configured to receive the light beams reflected by the plurality of wafers.1. A wafer inspection apparatus, comprising:
a light source, configured to emit a light; a first optical splitting element, configured to split the light from the light source into a first light beam and a second light beam; a first wafer holder, comprising a first wafer stage for carrying a first wafer and a second wafer stage for carrying a second wafer, wherein the first wafer is configured to reflect the first light beam, and the second wafer is configured to reflect the second light beam; a first optical sensor, configured to receive the first light beam reflected by the first wafer carried by the first wafer stage; and a second optical sensor, configured to receive the second light beam reflected by the second wafer carried by the second wafer stage. 2. The wafer inspection apparatus of claim 1, wherein the first wafer stage comprises a first electrostatic chuck and the second wafer stage comprises a second electrostatic chuck, and the first wafer and the second wafer are carried by the first electrostatic chunk and the second electrostatic chuck through electrostatic forces, respectively. 3. The wafer inspection apparatus of claim 1, wherein the first wafer holder further comprises a connecting element, and the first wafer stage and the second wafer stage are connected to each other through the connecting element. 4. The wafer inspection apparatus of claim 1, wherein the first wafer holder is rotatable about a rotation axis along a direction parallel with receiving surfaces of the first wafer stage and second wafer stage. 5. The wafer inspection apparatus of claim 1, further comprising an optical directional element, wherein the optical directional element is configured to guide the light emitted from the light source to the first optical splitting element. 6. The wafer inspection apparatus of claim 5, wherein the optical directional element comprises at least one selected from a group of a reflector unit and an optical fiber. 7. The wafer inspection apparatus of claim 1, further comprising an optical amplifier configured to control intensities of the first light beam and the second light beam. 8. The wafer inspection apparatus of claim 1, further comprising a second wafer holder, wherein the second wafer holder comprises a third wafer stage for carrying a third wafer and a fourth wafer stage for carrying a fourth wafer, and the first optical splitting element is further configured to split the light into a third light beam directed to the third wafer and a fourth light beam directed to the fourth wafer. 9. The wafer inspection apparatus of claim 1, further comprising:
an optical directional splitting unit configured to split the light emitted from the light source into a first portion and a second portion and direct the first portion to the first optical splitting element and direct the second portion to a second optical splitting element, wherein the first portion is split by the first optical splitting element into the first light beam and the second light beam, and the second portion is split by the second optical splitting element into a third light beam and a fourth light beam; and a second wafer holder, comprising a third wafer stage for carrying a third wafer and a fourth wafer stage for carrying a fourth wafer, wherein the third wafer is configured to reflect the third light beam, and the fourth wafer is configured to reflect the fourth light beam; a third optical sensor, configured to receive the third light beam reflected by the third wafer; and a fourth optical sensor, configured to receive the fourth light beam reflected by the fourth wafer. 10. A wafer inspection apparatus, comprising:
an optical module; at least one wafer holder for carrying a plurality of wafers, wherein the optical module is configured to emit a plurality of light beams for simultaneously scanning the plurality of wafers carried by the at least one wafer holder; and a plurality of optical sensors, configured to receive the light beams reflected by the plurality of wafers. 11. The wafer inspection apparatus of claim 10, wherein each of the at least one wafer holder comprises two wafer stages connected to each other by a connecting element. 12. The wafer inspection apparatus of claim 11, wherein the two wafer stages are configured to be simultaneously movable. 13. The wafer inspection apparatus of claim 11, wherein receiving surfaces of the two wafer stages for receiving wafers are face to face. 14. The wafer inspection apparatus of claim 10, wherein the optical module comprises:
a light source, configured to emit a light; an optical splitting element, configured to split the light into the plurality of light beams directed toward the wafers carried by the at least one wafer holder; and at least one amplifier, configured to control intensities of the plurality of light beams. 15. The wafer inspection apparatus of claim 14, wherein the optical module further comprises an optical directional element, configured to guide the light emitted from the light source to the optical splitting element. 16. A wafer inspection method, comprising:
loading a plurality of wafers to at least one wafer holder; and inspecting the plurality of wafers simultaneously, comprising:
emitting a plurality of light beams from an optical module and the plurality of light beams are directed toward the plurality of wafers; and
receiving the plurality of light beams reflected by the wafers through a plurality of optical sensors. 17. The method of claim 16, wherein loading the plurality of wafers to the at least one wafer holder comprises:
loading a first wafer to a first wafer stage of a first wafer holder of the at least one wafer holder; rotating the first wafer holder, such that the first wafer holder is flipped upside down; and loading a second wafer to a second wafer stage of the first wafer holder. 18. The method of claim 16, wherein emitting the plurality of light beams from the optical module comprises:
emitting a light by a light source; splitting the light into the plurality of light beams by at least one optical splitting element; and
at least one of amplifying the light before splitting the light or amplifying the plurality of light beams after splitting the light. 19. The method of claim 16, wherein during inspecting the plurality of wafers, with the plurality of light beams shining on the plurality of wafers, the plurality of wafers move simultaneously as the at least one wafer holder moves. 20. The method of claim 16, further comprising polarizing at least one of the plurality of light beams before being directed toward a corresponding wafer of the plurality of wafers. | 3,700 |
348,366 | 16,805,851 | 2,819 | The present disclosure provides a chip packaging method and a package structure. The chip packaging method comprises: forming a wafer conductive layer on a wafer active surface of a wafer; forming a protective layer having certain material properties on the wafer conductive layer, the protective layer encapsulating the wafer conductive layer and exposing a front surface of the wafer conductive layer; separating (such as cutting) the wafer formed with the wafer conductive layer and the protective layer to form a die; attaching (such as adhering) the die onto a carrier; forming a molding layer having certain material properties on a die back surface of the die on the carrier; removing (such as stripping off) the carrier; forming a panel-level conductive layer electrically connected with the wafer conductive layer; and forming a dielectric layer. The package structure has a series of structural and material properties, so as to reduce warpage in the packaging process, lower a requirement on an accuracy of aligning the die, reduce a difficulty in the packaging process, and make the packaged chip more durable, and thus the present disclosure is especially suitable for large panel-level package and package of a thin chip with a large electric flux. | 1. A chip package structure, comprising:
at least one die, the at least one die including a die active surface and a die back surface; a conductive structure, including a wafer conductive layer and a panel-level conductive layer; a protective layer; a molding layer, the molding layer encapsulating the at least one die; and a dielectric layer. 2. The chip package structure according to claim 1, wherein
the wafer conductive layer includes wafer conductive traces and wafer conductive studs; the die active surface includes electrical connection pads; at least one of the wafer conductive traces are electrically connected with the electrical connection pads; and the wafer conductive studs are respectively provided on pads of the wafer conductive traces. 3. The chip package structure according to claim 2, wherein at least one of the wafer conductive traces respectively leads out the electrical connection pads. 4. The chip package structure according to claim 2, wherein at least one of the wafer conductive traces interconnects and leads out a plurality of electrical connection pads. 5. The chip package structure according to claim 1, wherein
the wafer conductive layer includes wafer conductive studs; the die active surface includes electrical connection pads; and at least one of the wafer conductive studs are electrically connected with the electrical connection pads. 6. The chip package structure according to claim 2, wherein
the panel-level conductive layer includes conductive traces and/or conductive studs; the panel-level conductive layer is electrically connected with the wafer conductive studs; and the panel-level conductive layer has one or more layers. 7. The chip package structure according to claim 2, wherein the protective layer comprises an organic/inorganic composite material. 8. The chip package structure according to claim 2, wherein the protective layer has a Young's modulus ranging from 1,000 MPa to 20,000 MPa. 9. The chip package structure according to claim 2, wherein the protective layer has a thickness ranging from 15 μm to 50 μm. 10. The chip package structure according to claim 2, wherein the protective layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K. 11. The chip package structure according to claim 2, wherein the molding layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K. 12. The chip package structure according to claim 2, wherein the protective layer and the molding layer have a substantially same coefficient of thermal expansion. 13. A chip packaging method, comprising:
forming a wafer conductive layer on a wafer active surface of a wafer to be packaged; forming a protective layer on the wafer conductive layer, the protective layer encapsulating the wafer conductive layer and exposing a front surface of the wafer conductive layer; separating the wafer formed with the wafer conductive layer and the protective layer to form a die; attaching the die onto a carrier, a die active surface of the die facing a carrier front surface of the carrier and a die back surface of the die facing away from the carrier front surface; forming a molding layer on the die back surface on the carrier; removing the carrier; forming a panel-level conductive layer electrically connected with the wafer conductive layer; and forming a dielectric layer. 14. The chip packaging method according to claim 13, wherein
the forming the wafer conductive layer comprises: forming wafer conductive traces and forming wafer conductive studs; and the wafer conductive traces are formed such that at least one of the wafer conductive traces respectively leads out electrical connection pads provided on the die and/or the at least one of the wafer conductive traces interconnects and leads out a plurality of electrical connection pads. 15. The chip packaging method according to claim 13, wherein
the forming the wafer conductive layer comprises: forming wafer conductive studs; and at least one of the wafer conductive studs are electrically connected with electrical connection pads provided on the die and leading out the electrical connection pads. 16. The chip packaging method according to claim 14, wherein
the forming the panel-level conductive layer comprises: forming conductive traces and/or conductive studs; and the panel-level conductive layer is formed to be electrically connected with the wafer conductive studs, and the panel-level conductive layer is formed to have one or more layers. 17. The chip packaging method according to claim 13, wherein
the protective layer comprises an organic/inorganic composite material. 18. The chip packaging method according to claim 13, wherein
the protective layer has a Young's modulus ranging from 1,000 MPa to 20,000 MPa. 19. The chip packaging method according to claim 13, wherein the protective layer has a thickness ranging from 15 μm to 50 μm. 20. The chip packaging method according to claim 13, wherein the protective layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K; and/or
the molding layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K. | The present disclosure provides a chip packaging method and a package structure. The chip packaging method comprises: forming a wafer conductive layer on a wafer active surface of a wafer; forming a protective layer having certain material properties on the wafer conductive layer, the protective layer encapsulating the wafer conductive layer and exposing a front surface of the wafer conductive layer; separating (such as cutting) the wafer formed with the wafer conductive layer and the protective layer to form a die; attaching (such as adhering) the die onto a carrier; forming a molding layer having certain material properties on a die back surface of the die on the carrier; removing (such as stripping off) the carrier; forming a panel-level conductive layer electrically connected with the wafer conductive layer; and forming a dielectric layer. The package structure has a series of structural and material properties, so as to reduce warpage in the packaging process, lower a requirement on an accuracy of aligning the die, reduce a difficulty in the packaging process, and make the packaged chip more durable, and thus the present disclosure is especially suitable for large panel-level package and package of a thin chip with a large electric flux.1. A chip package structure, comprising:
at least one die, the at least one die including a die active surface and a die back surface; a conductive structure, including a wafer conductive layer and a panel-level conductive layer; a protective layer; a molding layer, the molding layer encapsulating the at least one die; and a dielectric layer. 2. The chip package structure according to claim 1, wherein
the wafer conductive layer includes wafer conductive traces and wafer conductive studs; the die active surface includes electrical connection pads; at least one of the wafer conductive traces are electrically connected with the electrical connection pads; and the wafer conductive studs are respectively provided on pads of the wafer conductive traces. 3. The chip package structure according to claim 2, wherein at least one of the wafer conductive traces respectively leads out the electrical connection pads. 4. The chip package structure according to claim 2, wherein at least one of the wafer conductive traces interconnects and leads out a plurality of electrical connection pads. 5. The chip package structure according to claim 1, wherein
the wafer conductive layer includes wafer conductive studs; the die active surface includes electrical connection pads; and at least one of the wafer conductive studs are electrically connected with the electrical connection pads. 6. The chip package structure according to claim 2, wherein
the panel-level conductive layer includes conductive traces and/or conductive studs; the panel-level conductive layer is electrically connected with the wafer conductive studs; and the panel-level conductive layer has one or more layers. 7. The chip package structure according to claim 2, wherein the protective layer comprises an organic/inorganic composite material. 8. The chip package structure according to claim 2, wherein the protective layer has a Young's modulus ranging from 1,000 MPa to 20,000 MPa. 9. The chip package structure according to claim 2, wherein the protective layer has a thickness ranging from 15 μm to 50 μm. 10. The chip package structure according to claim 2, wherein the protective layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K. 11. The chip package structure according to claim 2, wherein the molding layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K. 12. The chip package structure according to claim 2, wherein the protective layer and the molding layer have a substantially same coefficient of thermal expansion. 13. A chip packaging method, comprising:
forming a wafer conductive layer on a wafer active surface of a wafer to be packaged; forming a protective layer on the wafer conductive layer, the protective layer encapsulating the wafer conductive layer and exposing a front surface of the wafer conductive layer; separating the wafer formed with the wafer conductive layer and the protective layer to form a die; attaching the die onto a carrier, a die active surface of the die facing a carrier front surface of the carrier and a die back surface of the die facing away from the carrier front surface; forming a molding layer on the die back surface on the carrier; removing the carrier; forming a panel-level conductive layer electrically connected with the wafer conductive layer; and forming a dielectric layer. 14. The chip packaging method according to claim 13, wherein
the forming the wafer conductive layer comprises: forming wafer conductive traces and forming wafer conductive studs; and the wafer conductive traces are formed such that at least one of the wafer conductive traces respectively leads out electrical connection pads provided on the die and/or the at least one of the wafer conductive traces interconnects and leads out a plurality of electrical connection pads. 15. The chip packaging method according to claim 13, wherein
the forming the wafer conductive layer comprises: forming wafer conductive studs; and at least one of the wafer conductive studs are electrically connected with electrical connection pads provided on the die and leading out the electrical connection pads. 16. The chip packaging method according to claim 14, wherein
the forming the panel-level conductive layer comprises: forming conductive traces and/or conductive studs; and the panel-level conductive layer is formed to be electrically connected with the wafer conductive studs, and the panel-level conductive layer is formed to have one or more layers. 17. The chip packaging method according to claim 13, wherein
the protective layer comprises an organic/inorganic composite material. 18. The chip packaging method according to claim 13, wherein
the protective layer has a Young's modulus ranging from 1,000 MPa to 20,000 MPa. 19. The chip packaging method according to claim 13, wherein the protective layer has a thickness ranging from 15 μm to 50 μm. 20. The chip packaging method according to claim 13, wherein the protective layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K; and/or
the molding layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K. | 2,800 |
348,367 | 16,805,873 | 2,132 | An in-guest agent in a virtual machine (VM) operates in conjunction with a replication module. The replication module performs continuous data protection (CDP) by saving images of the VM as checkpoints at a disaster recovery site over time. Concurrently, the in-guest agent monitors for behavior in the VM that may be indicative of the presence of malicious code. If the in-guest agent identifies behavior (at a particular point in time) at the VM that may be indicative of the presence of malicious code, the replication module can tag a checkpoint that corresponds to the same particular point in time as a security risk. One or more checkpoints generated prior to the particular time may be determined to be secure checkpoints that are usable for restoration of the VM. | 1. A method for a host in a virtualized computing environment to restore a virtual machine supported by the host, the method comprising:
performing, by the host, a replication process to store point-in-time images of the virtual machine at a storage location; performing, by the host, a monitoring process concurrently with the replication process to monitor operational behavior of the virtual machine; in response to the monitored operational behavior at a particular time being in violation of expected operational behavior of the virtual machine, identifying, by the host, a point-in-time image that corresponds to the particular time as an unsecure image with a security risk; performing, by the host, an action on the unsecure image to restrict use of the unsecure image for restoration of the virtual machine; and using, by the host, a point-in-time image generated prior to the particular time and determined as being secure for the restoration of the virtual machine. 2. The method of claim 1, wherein performing the action on the unsecure image includes at least one of: discarding the unsecure image or performing a virus scan on the unsecure image. 3. The method of claim 1, further comprising restricting use of at least one point-in-time image, generated after the unsecure image, for restoration of the virtual machine. 4. The method of claim 1, further comprising:
generating and sending, by the host to a manager, an alarm to indicate that the monitored operational behavior is in violation of the expected operational behavior; and receiving, by the host, a remediation instruction from the manager, in response to the manager having verified from at least the alarm that the virtual machine is infected with malicious code, wherein the point-in-time image is identified based on the particular time which is indicated in the received remediation instruction. 5. The method of claim 1, wherein performing the monitoring process includes, comparing, by the host, one or more operations performed by the virtual machine against a whitelist for compliance with operations identified in the whitelist. 6. The method of claim 1, further comprising performing a virus scan on a plurality of point-in-time images that are generated prior to the unsecure image, wherein the virus scan is performed on the plurality of point-in-time images in reverse time order in which the plurality of point-in-time images were generated, until a particular point-in-time image is determined by the virus scan to be the secure point-in-time image. 7. The method of claim 1, further comprising sending, by the host to a manager, report information whenever the monitoring process determines that the monitored operational behavior is compliant with the expected operational behavior, wherein the manager uses the report information to identify times that correspond to secure point-in-time images. 8. A non-transitory computer-readable medium having instructions stored thereon, which in response to execution by one or more processors, cause the one or more processors to perform or control performance of operations for a host in a virtualized computing environment to restore a virtual machine supported by the host, the operations comprising:
performing, by the host, a replication process to store point-in-time images of the virtual machine at a storage location; performing, by the host, a monitoring process concurrently with the replication process to monitor operational behavior of the virtual machine; in response to the monitored operational behavior at a particular time being in violation of expected operational behavior of the virtual machine, identifying, by the host, a point-in-time image that corresponds to the particular time as an unsecure image with a security risk; performing an action on the unsecure image to restrict use of the unsecure image for restoration of the virtual machine; and using a point-in-time image generated prior to the particular time and determined as being secure for the restoration of the virtual machine. 9. The non-transitory computer-readable medium of claim 8, wherein performing the action on the unsecure image includes at least one of: discarding the unsecure image or performing a virus scan on the unsecure image. 10. The non-transitory computer-readable medium of claim 8, wherein the operations further comprise:
restricting use of at least one point-in-time image, generated after the unsecure image, for restoration of the virtual machine. 11. The non-transitory computer-readable medium of claim 8, wherein the operations further comprise:
generating and sending, by the host to a manager, an alarm to indicate that the monitored operational behavior is in violation of the expected operational behavior; and receiving, by the host, a remediation instruction from the manager, in response to the manager having verified from at least the alarm that the virtual machine is infected with malicious code, wherein the point-in-time image is identified based on the particular time which is indicated in the received remediation instruction. 12. The non-transitory computer-readable medium of claim 8, wherein performing the monitoring process includes comparing, by the host, one or more operations performed by the virtual machine against a whitelist for compliance with operations identified in the whitelist. 13. The non-transitory computer-readable medium of claim 8, wherein the operations further comprise:
performing a virus scan on a plurality of point-in-time images that are generated prior to the unsecure image, wherein the virus scan is performed on the plurality of point-in-time images in reverse time order in which the plurality of point-in-time images were generated, until a particular point-in-time image is determined by the virus scan to be the secure point-in-time image. 14. The non-transitory computer-readable medium of claim 13, wherein the operations further comprise:
sending, by the host to a manager, report information whenever the monitoring process determines that the monitored operational behavior is compliant with the expected operational behavior, wherein the manager uses the report information to identify times that correspond to secure point-in-time images. 15. A host in a virtualized computing environment, the host comprising:
a processor; and
a non-transitory computer-readable medium coupled to the processor and having instructions stored thereon, which in response to execution by the processor, cause the processor to perform or control performance of operations for the host to restore a virtual machine supported by the host, wherein the operations include:
perform a replication process to store point-in-time images of the virtual machine at a storage location;
perform a monitoring process concurrently with the replication process to monitor operational behavior of the virtual machine;
in response to the monitored operational behavior at a particular time being in violation of expected operational behavior of the virtual machine, identify a point-in-time image that corresponds to the particular time as an unsecure image with a security risk;
perform an action on the unsecure image to restrict use of the unsecure image for restoration of the virtual machine; and
use a point-in-time image generated prior to the particular time and determined as being secure for the restoration of the virtual machine. 16. The host of claim 15, wherein the operation to perform the action on the unsecure image includes at least one operation to: discard the unsecure image or perform a virus scan on the unsecure image. 17. The host of claim 15, wherein the operations further include:
restrict use of at least one point-in-time image, generated after the unsecure image, for restoration of the virtual machine. 18. The host of claim 15, wherein the operations further comprise:
generate and send, by the host to a manager, an alarm to indicate that the monitored operational behavior is in violation of the expected operational behavior; and receive, by the host, a remediation instruction from the manager, in response to the manager having verified from at least the alarm that the virtual machine is infected with malicious code, wherein the point-in-time image is identified based on the particular time which is indicated in the received remediation instruction. 19. The host of claim 15, wherein performance of the monitoring process includes comparison, by the host, one or more operations performed by the virtual machine against a whitelist for compliance with operations identified in the whitelist. 20. The host of claim 15, wherein the operations further comprise:
perform a virus scan on a plurality of point-in-time images that are generated prior to the unsecure image, wherein the virus scan is performed on the plurality of point-in-time images in reverse time order in which the plurality of point-in-time images were generated, until a particular point-in-time image is determined by the virus scan to be the secure point-in-time image. 21. The host of claim 15, wherein the operations further comprise:
sending, by the host to a manager, report information whenever the monitoring process determines that the monitored operational behavior is compliant with the expected operational behavior, wherein the manager uses the report information to identify times that correspond to secure point-in-time images. | An in-guest agent in a virtual machine (VM) operates in conjunction with a replication module. The replication module performs continuous data protection (CDP) by saving images of the VM as checkpoints at a disaster recovery site over time. Concurrently, the in-guest agent monitors for behavior in the VM that may be indicative of the presence of malicious code. If the in-guest agent identifies behavior (at a particular point in time) at the VM that may be indicative of the presence of malicious code, the replication module can tag a checkpoint that corresponds to the same particular point in time as a security risk. One or more checkpoints generated prior to the particular time may be determined to be secure checkpoints that are usable for restoration of the VM.1. A method for a host in a virtualized computing environment to restore a virtual machine supported by the host, the method comprising:
performing, by the host, a replication process to store point-in-time images of the virtual machine at a storage location; performing, by the host, a monitoring process concurrently with the replication process to monitor operational behavior of the virtual machine; in response to the monitored operational behavior at a particular time being in violation of expected operational behavior of the virtual machine, identifying, by the host, a point-in-time image that corresponds to the particular time as an unsecure image with a security risk; performing, by the host, an action on the unsecure image to restrict use of the unsecure image for restoration of the virtual machine; and using, by the host, a point-in-time image generated prior to the particular time and determined as being secure for the restoration of the virtual machine. 2. The method of claim 1, wherein performing the action on the unsecure image includes at least one of: discarding the unsecure image or performing a virus scan on the unsecure image. 3. The method of claim 1, further comprising restricting use of at least one point-in-time image, generated after the unsecure image, for restoration of the virtual machine. 4. The method of claim 1, further comprising:
generating and sending, by the host to a manager, an alarm to indicate that the monitored operational behavior is in violation of the expected operational behavior; and receiving, by the host, a remediation instruction from the manager, in response to the manager having verified from at least the alarm that the virtual machine is infected with malicious code, wherein the point-in-time image is identified based on the particular time which is indicated in the received remediation instruction. 5. The method of claim 1, wherein performing the monitoring process includes, comparing, by the host, one or more operations performed by the virtual machine against a whitelist for compliance with operations identified in the whitelist. 6. The method of claim 1, further comprising performing a virus scan on a plurality of point-in-time images that are generated prior to the unsecure image, wherein the virus scan is performed on the plurality of point-in-time images in reverse time order in which the plurality of point-in-time images were generated, until a particular point-in-time image is determined by the virus scan to be the secure point-in-time image. 7. The method of claim 1, further comprising sending, by the host to a manager, report information whenever the monitoring process determines that the monitored operational behavior is compliant with the expected operational behavior, wherein the manager uses the report information to identify times that correspond to secure point-in-time images. 8. A non-transitory computer-readable medium having instructions stored thereon, which in response to execution by one or more processors, cause the one or more processors to perform or control performance of operations for a host in a virtualized computing environment to restore a virtual machine supported by the host, the operations comprising:
performing, by the host, a replication process to store point-in-time images of the virtual machine at a storage location; performing, by the host, a monitoring process concurrently with the replication process to monitor operational behavior of the virtual machine; in response to the monitored operational behavior at a particular time being in violation of expected operational behavior of the virtual machine, identifying, by the host, a point-in-time image that corresponds to the particular time as an unsecure image with a security risk; performing an action on the unsecure image to restrict use of the unsecure image for restoration of the virtual machine; and using a point-in-time image generated prior to the particular time and determined as being secure for the restoration of the virtual machine. 9. The non-transitory computer-readable medium of claim 8, wherein performing the action on the unsecure image includes at least one of: discarding the unsecure image or performing a virus scan on the unsecure image. 10. The non-transitory computer-readable medium of claim 8, wherein the operations further comprise:
restricting use of at least one point-in-time image, generated after the unsecure image, for restoration of the virtual machine. 11. The non-transitory computer-readable medium of claim 8, wherein the operations further comprise:
generating and sending, by the host to a manager, an alarm to indicate that the monitored operational behavior is in violation of the expected operational behavior; and receiving, by the host, a remediation instruction from the manager, in response to the manager having verified from at least the alarm that the virtual machine is infected with malicious code, wherein the point-in-time image is identified based on the particular time which is indicated in the received remediation instruction. 12. The non-transitory computer-readable medium of claim 8, wherein performing the monitoring process includes comparing, by the host, one or more operations performed by the virtual machine against a whitelist for compliance with operations identified in the whitelist. 13. The non-transitory computer-readable medium of claim 8, wherein the operations further comprise:
performing a virus scan on a plurality of point-in-time images that are generated prior to the unsecure image, wherein the virus scan is performed on the plurality of point-in-time images in reverse time order in which the plurality of point-in-time images were generated, until a particular point-in-time image is determined by the virus scan to be the secure point-in-time image. 14. The non-transitory computer-readable medium of claim 13, wherein the operations further comprise:
sending, by the host to a manager, report information whenever the monitoring process determines that the monitored operational behavior is compliant with the expected operational behavior, wherein the manager uses the report information to identify times that correspond to secure point-in-time images. 15. A host in a virtualized computing environment, the host comprising:
a processor; and
a non-transitory computer-readable medium coupled to the processor and having instructions stored thereon, which in response to execution by the processor, cause the processor to perform or control performance of operations for the host to restore a virtual machine supported by the host, wherein the operations include:
perform a replication process to store point-in-time images of the virtual machine at a storage location;
perform a monitoring process concurrently with the replication process to monitor operational behavior of the virtual machine;
in response to the monitored operational behavior at a particular time being in violation of expected operational behavior of the virtual machine, identify a point-in-time image that corresponds to the particular time as an unsecure image with a security risk;
perform an action on the unsecure image to restrict use of the unsecure image for restoration of the virtual machine; and
use a point-in-time image generated prior to the particular time and determined as being secure for the restoration of the virtual machine. 16. The host of claim 15, wherein the operation to perform the action on the unsecure image includes at least one operation to: discard the unsecure image or perform a virus scan on the unsecure image. 17. The host of claim 15, wherein the operations further include:
restrict use of at least one point-in-time image, generated after the unsecure image, for restoration of the virtual machine. 18. The host of claim 15, wherein the operations further comprise:
generate and send, by the host to a manager, an alarm to indicate that the monitored operational behavior is in violation of the expected operational behavior; and receive, by the host, a remediation instruction from the manager, in response to the manager having verified from at least the alarm that the virtual machine is infected with malicious code, wherein the point-in-time image is identified based on the particular time which is indicated in the received remediation instruction. 19. The host of claim 15, wherein performance of the monitoring process includes comparison, by the host, one or more operations performed by the virtual machine against a whitelist for compliance with operations identified in the whitelist. 20. The host of claim 15, wherein the operations further comprise:
perform a virus scan on a plurality of point-in-time images that are generated prior to the unsecure image, wherein the virus scan is performed on the plurality of point-in-time images in reverse time order in which the plurality of point-in-time images were generated, until a particular point-in-time image is determined by the virus scan to be the secure point-in-time image. 21. The host of claim 15, wherein the operations further comprise:
sending, by the host to a manager, report information whenever the monitoring process determines that the monitored operational behavior is compliant with the expected operational behavior, wherein the manager uses the report information to identify times that correspond to secure point-in-time images. | 2,100 |
348,368 | 16,643,813 | 2,132 | Techniques for wireless networking that include transmission and reception of signals using orthogonal time frequency space (OTFS) modulation techniques are disclosed. For example, a wireless access point may communicate with a station using OFDM modulated WiFi (OFDM-WiFi) at one time and using OTFS modulated WiFi (OTFS-WiFi) at another time. The OTFS-WiFi may provide improved throughput and coverage over OFDM-WiFi in static and mobile environments. | 1. A method of digital communication between a first wireless device and a second wireless device, the method implemented at the first wireless device, comprising:
transmitting, by the first wireless device, data for reception by the second wireless device in accordance with a conventional standard; determining, by the first wireless device a capability of the second wireless device, to communicate in accordance with an orthogonal time frequency space (OTFS) modulation scheme; and transmitting, to the second wireless device data in accordance with the OTFS modulation scheme, a preamble and one or more OTFS symbols, wherein one or more bits in the preamble indicate to the second wireless device that the one or more OTFS symbols have been sent in accordance with the OTFS modulation scheme. 2. The method of claim 1, wherein the first wireless device is an access point and the second wireless device is a station. 3. (canceled) 4. The method of claim 1, wherein the conventional standard uses an orthogonal frequency division multiplexing (OFDM) scheme. 5. (canceled) 6. The method of claim 1, wherein the preamble is formatted in accordance with IEEE 802.11n, and wherein a bit value in the preamble that is not used in IEEE 802.11n indicates the OTFS modulation scheme. 7. (canceled) 8. The method of claim 1, wherein the preamble is formatted in accordance with IEEE 802.11ac, and wherein a bit value in the preamble that is not used in IEEE 802.11ac indicates the OTFS modulation scheme. 9. (canceled) 10. The method of claim 1, wherein the first wireless device operates in accordance with an orthogonal frequency division multiplexing (OFDM) scheme in the conventional standard to communicate with a third wireless device and operates in accordance with the OTFS modulation scheme to communicate with the second wireless device. 11. (canceled) 12. A method of digital communication between a first wireless device and a second wireless device, the method implemented at the first wireless device, comprising:
receiving, by the first wireless device, data transmitted by the second wireless device wherein the receiving and the transmitted data are performed in accordance with a conventional standard; determining, based on a transmission received from the second wireless device using the conventional standard, a capability of the second wireless device to communicate in accordance with an orthogonal time frequency space (OTFS) modulation scheme; indicating to the second wireless device that the first wireless device is capable of communication using the OTFS modulation scheme; and receiving, from the second wireless device data in accordance with the OTFS modulation scheme, a preamble and one or more OTFS symbols, wherein one or more bits in the preamble indicate to the second wireless device that the one or more OTFS symbols have been sent in accordance with the OTFS modulation scheme. 13. (canceled) 14. The method of claim 12, wherein the first wireless device is a station and the second wireless device is an access point. 15. (canceled) 16. The method of claim 15, wherein the conventional standard uses an orthogonal frequency division multiplexing (OFDM) scheme. 17. The method of claim 12, wherein the preamble is formatted in accordance with IEEE 802.11n, and wherein a bit value in the preamble that is not used in IEEE 802.11n indicates the OTFS modulation scheme. 18. (canceled) 19. The method of claim 12, wherein the preamble is formatted in accordance with IEEE 802.11ac, and wherein a bit value in the preamble that is not used in IEEE 802.11ac indicates the OTFS modulation scheme. 20-36. (canceled) 37. A first wireless communication device comprising a processor, wherein the processor is configured to implement a method of digital communication between the first wireless device and a second wireless device, the method comprising:
transmitting, by the first wireless device, data for reception by the second wireless device in accordance with a conventional standard; determining, by the first wireless device a capability of the second wireless device, to communicate in accordance with an orthogonal time frequency space (OTFS) modulation scheme; and transmitting, to the second wireless device data in accordance with the OTFS modulation scheme, a preamble and one or more OTFS symbols, wherein one or more bits in the preamble indicate to the second wireless device that the one or more OTFS symbols have been sent in accordance with the OTFS modulation scheme. 38. The device of claim 37, wherein the first wireless device is an access point and the second wireless device is a station. 39. The device of claim 37, wherein the conventional standard uses an orthogonal frequency division multiplexing (OFDM) scheme. 40. The device of claim 37, wherein the preamble is formatted in accordance with IEEE 802.11n, and wherein a bit value in the preamble that is not used in IEEE 802.11n indicates the OTFS modulation scheme. 41. The device of claim 37, wherein the preamble is formatted in accordance with IEEE 802.11ac, and wherein a bit value in the preamble that is not used in IEEE 802.11ac indicates the OTFS modulation scheme. 42. The device of claim 37, wherein the first wireless device operates in accordance with an orthogonal frequency division multiplexing (OFDM) scheme in the conventional standard to communicate with a third wireless device and operates in accordance with the OTFS modulation scheme to communicate with the second wireless device. | Techniques for wireless networking that include transmission and reception of signals using orthogonal time frequency space (OTFS) modulation techniques are disclosed. For example, a wireless access point may communicate with a station using OFDM modulated WiFi (OFDM-WiFi) at one time and using OTFS modulated WiFi (OTFS-WiFi) at another time. The OTFS-WiFi may provide improved throughput and coverage over OFDM-WiFi in static and mobile environments.1. A method of digital communication between a first wireless device and a second wireless device, the method implemented at the first wireless device, comprising:
transmitting, by the first wireless device, data for reception by the second wireless device in accordance with a conventional standard; determining, by the first wireless device a capability of the second wireless device, to communicate in accordance with an orthogonal time frequency space (OTFS) modulation scheme; and transmitting, to the second wireless device data in accordance with the OTFS modulation scheme, a preamble and one or more OTFS symbols, wherein one or more bits in the preamble indicate to the second wireless device that the one or more OTFS symbols have been sent in accordance with the OTFS modulation scheme. 2. The method of claim 1, wherein the first wireless device is an access point and the second wireless device is a station. 3. (canceled) 4. The method of claim 1, wherein the conventional standard uses an orthogonal frequency division multiplexing (OFDM) scheme. 5. (canceled) 6. The method of claim 1, wherein the preamble is formatted in accordance with IEEE 802.11n, and wherein a bit value in the preamble that is not used in IEEE 802.11n indicates the OTFS modulation scheme. 7. (canceled) 8. The method of claim 1, wherein the preamble is formatted in accordance with IEEE 802.11ac, and wherein a bit value in the preamble that is not used in IEEE 802.11ac indicates the OTFS modulation scheme. 9. (canceled) 10. The method of claim 1, wherein the first wireless device operates in accordance with an orthogonal frequency division multiplexing (OFDM) scheme in the conventional standard to communicate with a third wireless device and operates in accordance with the OTFS modulation scheme to communicate with the second wireless device. 11. (canceled) 12. A method of digital communication between a first wireless device and a second wireless device, the method implemented at the first wireless device, comprising:
receiving, by the first wireless device, data transmitted by the second wireless device wherein the receiving and the transmitted data are performed in accordance with a conventional standard; determining, based on a transmission received from the second wireless device using the conventional standard, a capability of the second wireless device to communicate in accordance with an orthogonal time frequency space (OTFS) modulation scheme; indicating to the second wireless device that the first wireless device is capable of communication using the OTFS modulation scheme; and receiving, from the second wireless device data in accordance with the OTFS modulation scheme, a preamble and one or more OTFS symbols, wherein one or more bits in the preamble indicate to the second wireless device that the one or more OTFS symbols have been sent in accordance with the OTFS modulation scheme. 13. (canceled) 14. The method of claim 12, wherein the first wireless device is a station and the second wireless device is an access point. 15. (canceled) 16. The method of claim 15, wherein the conventional standard uses an orthogonal frequency division multiplexing (OFDM) scheme. 17. The method of claim 12, wherein the preamble is formatted in accordance with IEEE 802.11n, and wherein a bit value in the preamble that is not used in IEEE 802.11n indicates the OTFS modulation scheme. 18. (canceled) 19. The method of claim 12, wherein the preamble is formatted in accordance with IEEE 802.11ac, and wherein a bit value in the preamble that is not used in IEEE 802.11ac indicates the OTFS modulation scheme. 20-36. (canceled) 37. A first wireless communication device comprising a processor, wherein the processor is configured to implement a method of digital communication between the first wireless device and a second wireless device, the method comprising:
transmitting, by the first wireless device, data for reception by the second wireless device in accordance with a conventional standard; determining, by the first wireless device a capability of the second wireless device, to communicate in accordance with an orthogonal time frequency space (OTFS) modulation scheme; and transmitting, to the second wireless device data in accordance with the OTFS modulation scheme, a preamble and one or more OTFS symbols, wherein one or more bits in the preamble indicate to the second wireless device that the one or more OTFS symbols have been sent in accordance with the OTFS modulation scheme. 38. The device of claim 37, wherein the first wireless device is an access point and the second wireless device is a station. 39. The device of claim 37, wherein the conventional standard uses an orthogonal frequency division multiplexing (OFDM) scheme. 40. The device of claim 37, wherein the preamble is formatted in accordance with IEEE 802.11n, and wherein a bit value in the preamble that is not used in IEEE 802.11n indicates the OTFS modulation scheme. 41. The device of claim 37, wherein the preamble is formatted in accordance with IEEE 802.11ac, and wherein a bit value in the preamble that is not used in IEEE 802.11ac indicates the OTFS modulation scheme. 42. The device of claim 37, wherein the first wireless device operates in accordance with an orthogonal frequency division multiplexing (OFDM) scheme in the conventional standard to communicate with a third wireless device and operates in accordance with the OTFS modulation scheme to communicate with the second wireless device. | 2,100 |
348,369 | 16,805,846 | 2,819 | The present disclosure provides a chip packaging method and a package structure. The chip packaging method comprises: forming a protective layer having material properties on a die active surface of a die; attaching (such as adhering) the die in which the die active surface is formed with the protective layer onto a carrier, the die active surface facing the carrier, and a die back surface of the die facing away from the carrier; forming an encapsulation layer having material properties to encapsulate the die; removing (such as stripping off) the carrier to expose the protective layer; and forming a conductive layer and a dielectric layer. The chip packaging method reduces or eliminates warpage in the panel packaging process, lowers a requirement on an accuracy of aligning the die on the panel, reduces a difficulty in the panel packaging process, and makes the packaged chip structure more durable, and thus the present disclosure is especially suitable for large panel-level package and package of a thin chip with a large electric flux. | 1. A chip package structure, comprising:
at least one die; a protective layer, provided on a die active surface of the at least one die, wherein a plurality of conductive filled vias are provided in the protective layer, and at least one of the conductive filled vias are electrically connected with die pads provided on the die active surface; an encapsulation layer, the encapsulation layer encapsulating the at least one die; a conductive layer, at least partially provided on a surface of the protective layer, wherein at least a part of the conductive layer is electrically connected with the conductive filled vias; and a dielectric layer, provided on the conductive layer. 2. The package structure according to claim 1, wherein the protective layer has a Young's modulus ranging from 1,000 MPa to 20,000 MPa. 3. The package structure according to claim 1, wherein the protective layer comprises an organic/inorganic composite material. 4. The package structure according to claim 1, wherein the protective layer has a thickness ranging from 15 μm to 50 μm. 5. The package structure according to claim 1, wherein
the protective layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K; and/or the encapsulation layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K. 6. The package structure according to claim 1, wherein the protective layer and the encapsulation layer have a substantially same coefficient of thermal expansion. 7. The package structure according to claim 3, wherein
the protective layer comprises inorganic filler particles; and the inorganic filler particles have a diameter of less than 3 μm. 8. The package structure according to claim 1, wherein
the conductive filled vias are formed by filling protective layer openings with a conductive medium, and the protective layer openings are provided in the protective layer; and each filled via has a lower surface and an upper surface, and an area ratio of the lower surface of the filled via to the upper surface of the filled via is in a range of 60% to 90%. 9. The package structure according to claim 8, wherein
a gap is between the lower surface of the filled via and an insulating layer provided on the die active surface; and/or the lower surface of the filled via is located at a position close to a central portion of one of the die pads. 10. The package structure according to claim 1, wherein
the conductive layer comprises traces and/or studs; at least one of the traces that are closest to the die active surface are provided on the protective layer, and electrically connected with the conductive filled vias; and the conductive layer has one or more layers. 11. The package structure according to claim 1, wherein a conductive cover layer is provided on the die pads. 12. The package structure according to claim 1, wherein the at least one die comprises two or more dies, and the two or more dies are electrically connected together. 13. A chip packaging method, comprising:
forming a protective layer on a die active surface of a die; attaching the die with the protective layer onto a carrier, the die active surface facing the carrier, and a die back surface of the die facing away from the carrier; forming an encapsulation layer to encapsulate the die; and removing the carrier to expose the protective layer. 14. The packaging method according to claim 13, wherein the protective layer has a Young's modulus ranging from 1,000 MPa to 20,000 MPa. 15. The packaging method according to claim 13, wherein
the forming the protective layer on the die active surface includes: forming the protective layer on a wafer active surface of a wafer, and cutting the wafer formed with the protective layer to form a plurality of dies having the protective layer. 16. The packaging method according to claim 13, wherein the protective layer has a thickness ranging from 15 μm to 50 μm. 17. The packaging method according to claim 13, wherein
the protective layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K; and/or the encapsulation layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K. 18. The packaging method according to claim 13, wherein
the protective layer comprises inorganic filler particles; and the inorganic filler particles have a diameter of less than 3 μm. 19. The packaging method according to claim 13, further comprising: forming protective layer openings in the protective layer, wherein an area ratio of a lower surface of the protective layer opening to an upper surface of the protective layer opening is in a range of 60% to 90%. 20. The packaging method according to claim 13, further comprising: performing a chemical plating process on the wafer active surface to form a conductive cover layer on die pads provided on the die active surface. | The present disclosure provides a chip packaging method and a package structure. The chip packaging method comprises: forming a protective layer having material properties on a die active surface of a die; attaching (such as adhering) the die in which the die active surface is formed with the protective layer onto a carrier, the die active surface facing the carrier, and a die back surface of the die facing away from the carrier; forming an encapsulation layer having material properties to encapsulate the die; removing (such as stripping off) the carrier to expose the protective layer; and forming a conductive layer and a dielectric layer. The chip packaging method reduces or eliminates warpage in the panel packaging process, lowers a requirement on an accuracy of aligning the die on the panel, reduces a difficulty in the panel packaging process, and makes the packaged chip structure more durable, and thus the present disclosure is especially suitable for large panel-level package and package of a thin chip with a large electric flux.1. A chip package structure, comprising:
at least one die; a protective layer, provided on a die active surface of the at least one die, wherein a plurality of conductive filled vias are provided in the protective layer, and at least one of the conductive filled vias are electrically connected with die pads provided on the die active surface; an encapsulation layer, the encapsulation layer encapsulating the at least one die; a conductive layer, at least partially provided on a surface of the protective layer, wherein at least a part of the conductive layer is electrically connected with the conductive filled vias; and a dielectric layer, provided on the conductive layer. 2. The package structure according to claim 1, wherein the protective layer has a Young's modulus ranging from 1,000 MPa to 20,000 MPa. 3. The package structure according to claim 1, wherein the protective layer comprises an organic/inorganic composite material. 4. The package structure according to claim 1, wherein the protective layer has a thickness ranging from 15 μm to 50 μm. 5. The package structure according to claim 1, wherein
the protective layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K; and/or the encapsulation layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K. 6. The package structure according to claim 1, wherein the protective layer and the encapsulation layer have a substantially same coefficient of thermal expansion. 7. The package structure according to claim 3, wherein
the protective layer comprises inorganic filler particles; and the inorganic filler particles have a diameter of less than 3 μm. 8. The package structure according to claim 1, wherein
the conductive filled vias are formed by filling protective layer openings with a conductive medium, and the protective layer openings are provided in the protective layer; and each filled via has a lower surface and an upper surface, and an area ratio of the lower surface of the filled via to the upper surface of the filled via is in a range of 60% to 90%. 9. The package structure according to claim 8, wherein
a gap is between the lower surface of the filled via and an insulating layer provided on the die active surface; and/or the lower surface of the filled via is located at a position close to a central portion of one of the die pads. 10. The package structure according to claim 1, wherein
the conductive layer comprises traces and/or studs; at least one of the traces that are closest to the die active surface are provided on the protective layer, and electrically connected with the conductive filled vias; and the conductive layer has one or more layers. 11. The package structure according to claim 1, wherein a conductive cover layer is provided on the die pads. 12. The package structure according to claim 1, wherein the at least one die comprises two or more dies, and the two or more dies are electrically connected together. 13. A chip packaging method, comprising:
forming a protective layer on a die active surface of a die; attaching the die with the protective layer onto a carrier, the die active surface facing the carrier, and a die back surface of the die facing away from the carrier; forming an encapsulation layer to encapsulate the die; and removing the carrier to expose the protective layer. 14. The packaging method according to claim 13, wherein the protective layer has a Young's modulus ranging from 1,000 MPa to 20,000 MPa. 15. The packaging method according to claim 13, wherein
the forming the protective layer on the die active surface includes: forming the protective layer on a wafer active surface of a wafer, and cutting the wafer formed with the protective layer to form a plurality of dies having the protective layer. 16. The packaging method according to claim 13, wherein the protective layer has a thickness ranging from 15 μm to 50 μm. 17. The packaging method according to claim 13, wherein
the protective layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K; and/or the encapsulation layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K. 18. The packaging method according to claim 13, wherein
the protective layer comprises inorganic filler particles; and the inorganic filler particles have a diameter of less than 3 μm. 19. The packaging method according to claim 13, further comprising: forming protective layer openings in the protective layer, wherein an area ratio of a lower surface of the protective layer opening to an upper surface of the protective layer opening is in a range of 60% to 90%. 20. The packaging method according to claim 13, further comprising: performing a chemical plating process on the wafer active surface to form a conductive cover layer on die pads provided on the die active surface. | 2,800 |
348,370 | 16,805,858 | 2,819 | Provided are a deposition method, a semiconductor device and a method of fabricating the same. The semiconductor device includes a substrate and a dielectric structure. The substrate includes at least one fin thereon. The dielectric structure covers the at least one fin. A thickness of the dielectric structure located on a top surface of the at least one fin is greater than a thickness of the dielectric structure located on a sidewall of the at least one fin. The dielectric structure includes a first dielectric layer and a second dielectric layer. The first dielectric layer is conformally disposed on the at least one fin. The second dielectric layer is disposed on the first dielectric layer over the top surface of the at least one fin. A thickness of the second dielectric layer is greater than a thickness of the first dielectric layer. | 1. A semiconductor device, comprising:
a substrate comprising at least one fin thereon; and a dielectric structure covering the at least one fin, wherein a thickness of the dielectric structure located on a top surface of the at least one fin is greater than a thickness of the dielectric structure located on a sidewall of the at least one fin, the dielectric structure comprises a first dielectric layer and a second dielectric layer, the first dielectric layer is conformally disposed on the at least one fin, the second dielectric layer is disposed on the first dielectric layer over the top surface of the at least one fin, and a thickness of the second dielectric layer is greater than a thickness of the first dielectric layer. 2. The semiconductor device according to claim 1, wherein the thickness of the second dielectric layer is at least three times the thickness of the first dielectric layer. 3. The semiconductor device according to claim 1, wherein the thickness of the second dielectric layer is 3 to 6 times the thickness of the first dielectric layer. 4. The semiconductor device according to claim 1, wherein the second dielectric layer is further disposed on the first dielectric layer located on the sidewall of the at least one fin. 5. The semiconductor device according to claim 1, wherein a thickness of the second dielectric layer on the sidewall of the at least one fin is less than a thickness of the second dielectric layer on the top surface of the at least one fin. 6. The semiconductor device according to claim 1, wherein a sidewall of the second dielectric layer is substantially flush with a sidewall of the first dielectric layer. 7. The semiconductor device according to claim 1, wherein a top surface of the second dielectric layer is convex. 8. The semiconductor device according to claim 1, wherein the second dielectric layer has a chef hat shape or a helmet shape. 9. The semiconductor device according to claim 1, further comprising:
a gate disposed over the dielectric structure. 10. A method of fabricating a semiconductor device, comprising:
providing a substrate in a chamber, wherein the substrate comprises at least one fin thereon; conformally forming a first dielectric layer on the at least one fin; and forming a second dielectric layer on the first dielectric layer over a top surface of the at least one fin, wherein the forming the second dielectric layer comprises at least one cycle of:
introducing a precursor into the chamber, wherein the precursor is adsorbed on a surface of the first dielectric layer;
performing a first purging process by a purge gas;
performing a plasma treatment on the precursor adsorbed onto the top surface of the at least one fin; and
performing a second purging process, wherein
a pressure maintained in the chamber during the forming the second dielectric layer ranges from 3000 mTorr to 4000 mTorr, a first purge time of the first purging process ranges from 0.1 to 1 second, a time of the plasma treatment ranges from 0.1 to 0.5 second, and a second purge time of the second purging process ranges from 0.1 to 1 second. 11. The method of fabricating the semiconductor device according to claim 10, wherein the steps of the introducing the precursor, the first purging process, the plasma treatment, and the second purging process are performed sequentially. 12. The method of fabricating the semiconductor device according to claim 10, wherein the purge gas comprises an inert gas, N2, O2, NH3, or a combination thereof. 13. The method of fabricating the semiconductor device according to claim 12, wherein the inert gas comprises He, Ar, or a combination thereof. 14. The method of fabricating the semiconductor device according to claim 10, wherein the precursor comprises silicon (Si), nitrogen (N), carbon (C), and hydrogen (H). 15. The method of fabricating the semiconductor device according to claim 10, wherein the substrate comprises an I/O device region and a core device region, and the method of fabricating the semiconductor device further comprises removing the first dielectric layer and the second dielectric layer in the core device region. 16. The method of fabricating the semiconductor device according to claim 10, further comprising:
performing an oxidation process on the first dielectric layer and the second dielectric layer before the step of removing the first dielectric layer and the second dielectric layer in the core device region. 17. The method of fabricating the semiconductor device according to claim 10, further comprising:
forming a gate over the second dielectric layer. 18. A deposition method, comprising a plurality of cycles, and each cycle comprising:
introducing a precursor into a chamber; performing a first purging process by a purge gas; performing a plasma treatment on the precursor; and performing a second purging process, wherein a pressure maintained in the chamber is higher than or equal to 3000 mTorr, a first purge time of the first purging process is less than or equal to 1 second, a time of the plasma treatment ranges is less than or equal to 0.5 second, and a second purge time of the second purging process is less than or equal to 1 second. 19. The deposition method according to claim 18, wherein the steps of the introducing the precursor, the first purging process, the plasma treatment, and the second purging process are performed sequentially. 20. The deposition method according to claim 18, wherein the pressure maintained in the chamber ranges from 3000 mTorr to 4000 mTorr, the first purge time of the first purging process ranges from 0.1 to 1 second, the plasma treat time of the plasma treatment ranges from 0.1 to 0.5 second, and the second purge time of the second purging process ranges from 0.1 to 1 second. | Provided are a deposition method, a semiconductor device and a method of fabricating the same. The semiconductor device includes a substrate and a dielectric structure. The substrate includes at least one fin thereon. The dielectric structure covers the at least one fin. A thickness of the dielectric structure located on a top surface of the at least one fin is greater than a thickness of the dielectric structure located on a sidewall of the at least one fin. The dielectric structure includes a first dielectric layer and a second dielectric layer. The first dielectric layer is conformally disposed on the at least one fin. The second dielectric layer is disposed on the first dielectric layer over the top surface of the at least one fin. A thickness of the second dielectric layer is greater than a thickness of the first dielectric layer.1. A semiconductor device, comprising:
a substrate comprising at least one fin thereon; and a dielectric structure covering the at least one fin, wherein a thickness of the dielectric structure located on a top surface of the at least one fin is greater than a thickness of the dielectric structure located on a sidewall of the at least one fin, the dielectric structure comprises a first dielectric layer and a second dielectric layer, the first dielectric layer is conformally disposed on the at least one fin, the second dielectric layer is disposed on the first dielectric layer over the top surface of the at least one fin, and a thickness of the second dielectric layer is greater than a thickness of the first dielectric layer. 2. The semiconductor device according to claim 1, wherein the thickness of the second dielectric layer is at least three times the thickness of the first dielectric layer. 3. The semiconductor device according to claim 1, wherein the thickness of the second dielectric layer is 3 to 6 times the thickness of the first dielectric layer. 4. The semiconductor device according to claim 1, wherein the second dielectric layer is further disposed on the first dielectric layer located on the sidewall of the at least one fin. 5. The semiconductor device according to claim 1, wherein a thickness of the second dielectric layer on the sidewall of the at least one fin is less than a thickness of the second dielectric layer on the top surface of the at least one fin. 6. The semiconductor device according to claim 1, wherein a sidewall of the second dielectric layer is substantially flush with a sidewall of the first dielectric layer. 7. The semiconductor device according to claim 1, wherein a top surface of the second dielectric layer is convex. 8. The semiconductor device according to claim 1, wherein the second dielectric layer has a chef hat shape or a helmet shape. 9. The semiconductor device according to claim 1, further comprising:
a gate disposed over the dielectric structure. 10. A method of fabricating a semiconductor device, comprising:
providing a substrate in a chamber, wherein the substrate comprises at least one fin thereon; conformally forming a first dielectric layer on the at least one fin; and forming a second dielectric layer on the first dielectric layer over a top surface of the at least one fin, wherein the forming the second dielectric layer comprises at least one cycle of:
introducing a precursor into the chamber, wherein the precursor is adsorbed on a surface of the first dielectric layer;
performing a first purging process by a purge gas;
performing a plasma treatment on the precursor adsorbed onto the top surface of the at least one fin; and
performing a second purging process, wherein
a pressure maintained in the chamber during the forming the second dielectric layer ranges from 3000 mTorr to 4000 mTorr, a first purge time of the first purging process ranges from 0.1 to 1 second, a time of the plasma treatment ranges from 0.1 to 0.5 second, and a second purge time of the second purging process ranges from 0.1 to 1 second. 11. The method of fabricating the semiconductor device according to claim 10, wherein the steps of the introducing the precursor, the first purging process, the plasma treatment, and the second purging process are performed sequentially. 12. The method of fabricating the semiconductor device according to claim 10, wherein the purge gas comprises an inert gas, N2, O2, NH3, or a combination thereof. 13. The method of fabricating the semiconductor device according to claim 12, wherein the inert gas comprises He, Ar, or a combination thereof. 14. The method of fabricating the semiconductor device according to claim 10, wherein the precursor comprises silicon (Si), nitrogen (N), carbon (C), and hydrogen (H). 15. The method of fabricating the semiconductor device according to claim 10, wherein the substrate comprises an I/O device region and a core device region, and the method of fabricating the semiconductor device further comprises removing the first dielectric layer and the second dielectric layer in the core device region. 16. The method of fabricating the semiconductor device according to claim 10, further comprising:
performing an oxidation process on the first dielectric layer and the second dielectric layer before the step of removing the first dielectric layer and the second dielectric layer in the core device region. 17. The method of fabricating the semiconductor device according to claim 10, further comprising:
forming a gate over the second dielectric layer. 18. A deposition method, comprising a plurality of cycles, and each cycle comprising:
introducing a precursor into a chamber; performing a first purging process by a purge gas; performing a plasma treatment on the precursor; and performing a second purging process, wherein a pressure maintained in the chamber is higher than or equal to 3000 mTorr, a first purge time of the first purging process is less than or equal to 1 second, a time of the plasma treatment ranges is less than or equal to 0.5 second, and a second purge time of the second purging process is less than or equal to 1 second. 19. The deposition method according to claim 18, wherein the steps of the introducing the precursor, the first purging process, the plasma treatment, and the second purging process are performed sequentially. 20. The deposition method according to claim 18, wherein the pressure maintained in the chamber ranges from 3000 mTorr to 4000 mTorr, the first purge time of the first purging process ranges from 0.1 to 1 second, the plasma treat time of the plasma treatment ranges from 0.1 to 0.5 second, and the second purge time of the second purging process ranges from 0.1 to 1 second. | 2,800 |
348,371 | 16,805,855 | 2,819 | A power system includes: a first power circuit having a first battery; a second power circuit having a second battery, wherein a used voltage range of the second battery with respect to a closed circuit voltage overlaps with the first battery, and a static voltage of the second battery is lower than the first battery; a voltage converter, converting a voltage between the first and the second power circuit; a power converter, converting power between the first power circuit and a driving motor; a current sensor; a passing current control section, operating the voltage converter so that the passing current becomes a target current; and a failure determining section. The failure determining section determines the voltage converter fails in a case where the difference is greater than a threshold and the passing current flows from the side of the first power circuit to the side of the second power circuit. | 1. A power system, comprising:
a high voltage circuit, having a high voltage power source; a low voltage circuit, having a low voltage power source, wherein a used voltage range of the low voltage power source with respect to a closed circuit voltage overlaps with the high voltage power source, and a static voltage of the low voltage power source is lower than the high voltage power source; a voltage converter, converting a voltage between the high voltage circuit and the low voltage circuit; a power converter, converting power between the high voltage circuit and a driving motor; a passing current obtaining section, obtaining a passing current which is a current flowing through the voltage converter; a passing current control section, operating the voltage converter so that the passing current becomes a target current; and a failure determining section, determining failure of the voltage converter based on a difference between the passing current and the target current, wherein the failure determining section determines that the voltage converter fails in a case where the difference is greater than a predetermined value and the passing current flows from a side of the high voltage circuit to a side of the low voltage circuit. 2. A power system, comprising:
a high voltage circuit, having a high voltage power source; a low voltage circuit, having a low voltage power source, wherein a used voltage range of the low voltage power source with respect to a closed circuit voltage overlaps with the high voltage power source, and a static voltage of the low voltage power source is lower than the high voltage power source; a voltage converter, converting a voltage between the high voltage circuit and the low voltage circuit; a power converter, converting power between the high voltage circuit and a driving motor; a passing current obtaining section, obtaining a passing current which is a current flowing through the voltage converter; a passing current control section, operating the voltage converter so that the passing current becomes a target current; and a failure determining section, determining failure of the voltage converter based on a difference between the passing current and the target current, wherein the failure determining section determines that the voltage converter fails in a case where the difference is greater than a predetermined value and the passing current is greater than the target current. 3. The power system as claimed in claim 1, comprising:
wherein at least in a case where the passing current flows from the side of the low voltage circuit to the side of the high voltage circuit, the failure determining section determines that the voltage converter is normal. 4. The power system as claimed in claim 2, comprising:
wherein at least in a case where the passing current flows from the side of the low voltage circuit to the side of the high voltage circuit, the failure determining section determines that the voltage converter is normal. 5. The power system as claimed in claim 1, comprising:
wherein at least in a case where the passing current is smaller than the target current, the failure determining section determines that the voltage converter is normal. 6. The power system as claimed in claim 2, comprising:
wherein at least in a case where the passing current is smaller than the target current, the failure determining section determines that the voltage converter is normal. 7. The power system as claimed in claim 3, comprising:
wherein at least in a case where the passing current is smaller than the target current, the failure determining section determines that the voltage converter is normal. 8. The power system as claimed in claims4, comprising:
wherein at least in a case where the passing current is smaller than the target current, the failure determining section determines that the voltage converter is normal. 9. The power system as claimed in claim 1, comprising:
wherein the failure determining section determines that the voltage converter fails in a case where the difference is greater than the predetermined value, the passing current flows from the side of the high voltage circuit to the side of the low voltage circuit, and the passing current is greater than the target current. 10. The power system as claimed in claim 2, comprising:
wherein the failure determining section determines that the voltage converter fails in a case where the difference is greater than the predetermined value, the passing current flows from the side of the high voltage circuit to the side of the low voltage circuit, and the passing current is greater than the target current. 11. The power system as claimed claim 3, comprising:
wherein the failure determining section determines that the voltage converter fails in a case where the difference is greater than the predetermined value, the passing current flows from the side of the high voltage circuit to the side of the low voltage circuit, and the passing current is greater than the target current. 12. The power system as claimed in claim 4, comprising:
wherein the failure determining section determines that the voltage converter fails in a case where the difference is greater than the predetermined value, the passing current flows from the side of the high voltage circuit to the side of the low voltage circuit, and the passing current is greater than the target current. 13. The power system as claimed in claim 5, comprising:
wherein the failure determining section determines that the voltage converter fails in a case where the difference is greater than the predetermined value, the passing current flows from the side of the high voltage circuit to the side of the low voltage circuit, and the passing current is greater than the target current. 14. The power system as claimed in claim 6, comprising:
wherein the failure determining section determines that the voltage converter fails in a case where the difference is greater than the predetermined value, the passing current flows from the side of the high voltage circuit to the side of the low voltage circuit, and the passing current is greater than the target current. 15. The power system as claimed in claim 7, comprising:
wherein the failure determining section determines that the voltage converter fails in a case where the difference is greater than the predetermined value, the passing current flows from the side of the high voltage circuit to the side of the low voltage circuit, and the passing current is greater than the target current. 16. The power system as claimed in claim 8, comprising:
wherein the failure determining section determines that the voltage converter fails in a case where the difference is greater than the predetermined value, the passing current flows from the side of the high voltage circuit to the side of the low voltage circuit, and the passing current is greater than the target current. | A power system includes: a first power circuit having a first battery; a second power circuit having a second battery, wherein a used voltage range of the second battery with respect to a closed circuit voltage overlaps with the first battery, and a static voltage of the second battery is lower than the first battery; a voltage converter, converting a voltage between the first and the second power circuit; a power converter, converting power between the first power circuit and a driving motor; a current sensor; a passing current control section, operating the voltage converter so that the passing current becomes a target current; and a failure determining section. The failure determining section determines the voltage converter fails in a case where the difference is greater than a threshold and the passing current flows from the side of the first power circuit to the side of the second power circuit.1. A power system, comprising:
a high voltage circuit, having a high voltage power source; a low voltage circuit, having a low voltage power source, wherein a used voltage range of the low voltage power source with respect to a closed circuit voltage overlaps with the high voltage power source, and a static voltage of the low voltage power source is lower than the high voltage power source; a voltage converter, converting a voltage between the high voltage circuit and the low voltage circuit; a power converter, converting power between the high voltage circuit and a driving motor; a passing current obtaining section, obtaining a passing current which is a current flowing through the voltage converter; a passing current control section, operating the voltage converter so that the passing current becomes a target current; and a failure determining section, determining failure of the voltage converter based on a difference between the passing current and the target current, wherein the failure determining section determines that the voltage converter fails in a case where the difference is greater than a predetermined value and the passing current flows from a side of the high voltage circuit to a side of the low voltage circuit. 2. A power system, comprising:
a high voltage circuit, having a high voltage power source; a low voltage circuit, having a low voltage power source, wherein a used voltage range of the low voltage power source with respect to a closed circuit voltage overlaps with the high voltage power source, and a static voltage of the low voltage power source is lower than the high voltage power source; a voltage converter, converting a voltage between the high voltage circuit and the low voltage circuit; a power converter, converting power between the high voltage circuit and a driving motor; a passing current obtaining section, obtaining a passing current which is a current flowing through the voltage converter; a passing current control section, operating the voltage converter so that the passing current becomes a target current; and a failure determining section, determining failure of the voltage converter based on a difference between the passing current and the target current, wherein the failure determining section determines that the voltage converter fails in a case where the difference is greater than a predetermined value and the passing current is greater than the target current. 3. The power system as claimed in claim 1, comprising:
wherein at least in a case where the passing current flows from the side of the low voltage circuit to the side of the high voltage circuit, the failure determining section determines that the voltage converter is normal. 4. The power system as claimed in claim 2, comprising:
wherein at least in a case where the passing current flows from the side of the low voltage circuit to the side of the high voltage circuit, the failure determining section determines that the voltage converter is normal. 5. The power system as claimed in claim 1, comprising:
wherein at least in a case where the passing current is smaller than the target current, the failure determining section determines that the voltage converter is normal. 6. The power system as claimed in claim 2, comprising:
wherein at least in a case where the passing current is smaller than the target current, the failure determining section determines that the voltage converter is normal. 7. The power system as claimed in claim 3, comprising:
wherein at least in a case where the passing current is smaller than the target current, the failure determining section determines that the voltage converter is normal. 8. The power system as claimed in claims4, comprising:
wherein at least in a case where the passing current is smaller than the target current, the failure determining section determines that the voltage converter is normal. 9. The power system as claimed in claim 1, comprising:
wherein the failure determining section determines that the voltage converter fails in a case where the difference is greater than the predetermined value, the passing current flows from the side of the high voltage circuit to the side of the low voltage circuit, and the passing current is greater than the target current. 10. The power system as claimed in claim 2, comprising:
wherein the failure determining section determines that the voltage converter fails in a case where the difference is greater than the predetermined value, the passing current flows from the side of the high voltage circuit to the side of the low voltage circuit, and the passing current is greater than the target current. 11. The power system as claimed claim 3, comprising:
wherein the failure determining section determines that the voltage converter fails in a case where the difference is greater than the predetermined value, the passing current flows from the side of the high voltage circuit to the side of the low voltage circuit, and the passing current is greater than the target current. 12. The power system as claimed in claim 4, comprising:
wherein the failure determining section determines that the voltage converter fails in a case where the difference is greater than the predetermined value, the passing current flows from the side of the high voltage circuit to the side of the low voltage circuit, and the passing current is greater than the target current. 13. The power system as claimed in claim 5, comprising:
wherein the failure determining section determines that the voltage converter fails in a case where the difference is greater than the predetermined value, the passing current flows from the side of the high voltage circuit to the side of the low voltage circuit, and the passing current is greater than the target current. 14. The power system as claimed in claim 6, comprising:
wherein the failure determining section determines that the voltage converter fails in a case where the difference is greater than the predetermined value, the passing current flows from the side of the high voltage circuit to the side of the low voltage circuit, and the passing current is greater than the target current. 15. The power system as claimed in claim 7, comprising:
wherein the failure determining section determines that the voltage converter fails in a case where the difference is greater than the predetermined value, the passing current flows from the side of the high voltage circuit to the side of the low voltage circuit, and the passing current is greater than the target current. 16. The power system as claimed in claim 8, comprising:
wherein the failure determining section determines that the voltage converter fails in a case where the difference is greater than the predetermined value, the passing current flows from the side of the high voltage circuit to the side of the low voltage circuit, and the passing current is greater than the target current. | 2,800 |
348,372 | 16,643,841 | 2,819 | A robotic arm end effector for a laser head coupled to a robotic arm is disclosed. The end effector has a coupler to couple the end effector to the robotic arm and a first actuator assembly coupled to the first coupler. The first actuator has a first drive coupled to the laser cutting head and configured to move the laser cutting head along a first path. The first drive is coupled to a first counter mass and being configured to move the first counter mass along a second path in a direction opposite the first direction. The end effector also has a second actuator assembly coupled to the coupler. The second actuator has a second drive coupled to the laser head which is configured to move the laser head along a third path in a third direction. | 1. A robotic arm end effector for a laser head coupled to a robotic arm comprising:
a coupler to couple the end effector to the robotic arm; a first actuator assembly coupled to the first coupler, said first actuator having a first drive coupled to the laser cutting head and configured to move the laser cutting head along a first path, said first drive being coupled to a first counter mass and being configured to move the first counter mass along a second path in a direction opposite the first direction; and a second actuator assembly coupled to the coupler, said second actuator having a second drive coupled to the laser head and configured to move the laser head along a third path in a third direction, said second drive being coupled to a second counter mass and being configured to move the second counter mass in along a fourth path and in a direction opposite the third direction. 2. The robotic arm end effector according to claim 1 wherein the first drive comprises first and second linear actuators. 3. The robotic arm end effector according to claim 2 wherein the first and second linear actuators are ball-screw drives. 4. The robotic arm end effector according to claim 1 wherein the first and second drives comprise stepper motors. 5. The end effector according to claim 1 wherein the mass of the counter mass is equal to the mass of the laser cutting head. 6. The end effector according to claim 1 wherein the first and second paths are linear. 7. The end effector according to claim 1 wherein the first drive is configured to move the laser cutting head a first distance along the first path and the first drive is configured to move the first counter mass along the second path the first distance. 8. The end effector according to claim 1 wherein the first drive is configured to move the laser cutting head a first distance along the first path and the first drive is configured to move the first counter mass along the second path the second distance different than the first distance. 9. The end effector according to claim 1 wherein laser head module has a laser head center of gravity and the first counter mass has a first counter mass center of gravity, said laser head center of gravity and first counter mass center of gravity moving on a first motion plane when on the laser head is moving in the first direction and the counter weight is moving in the second direction. 10. The robotic arm end effector according to claim 1 wherein the laser head has a beam direction, said first, second, third, and fourth paths are perpendicular to the beam direction. 11. A robotic arm end effector comprising:
a laser head; a drive mechanism having a first actuator assembly, said first actuator assembly configured to move the laser head in along a first linear path in a first direction and further configured to move first counter mass in along a second linear path which is parallel to the first linear path in a second direction opposite first direction. 12. The robotic arm end effector according to claim 11 wherein the drive mechanism comprises a stepper motor and the first actuator assembly is one of a ball screw drive, a rack and pinion. 13. The robotic arm end effector according to claim 11 further comprising a second actuator assembly configured to move the laser cutting head along a third linear path which is perpendicular to the first linear path, said second actuator assembly being configured to move a second counter mass along a fourth linear path which is parallel to the third linear path. 14. The robotic arm end effector according to claim 13 further comprising a first side bearing disposed between the laser head and the first actuator assembly, said first side bearing configured to allow the laser cutting head to move relative to the first actuator assembly in a direction perpendicular to the first linear path. 15. The robotic arm end effector according to claim 14 wherein laser head has a laser head center of gravity and the first and second counter masses have a first and second counter mass center of gravities, said laser head center of gravity and first and second counter mass center of gravities move on a first motion plane when on the laser head is moving in the first direction and the counter weight is moving in the second direction. 16. The robotic arm end effector according to claim 14 further comprising a second side bearing disposed between the laser head and the second actuator assembly, said second side bearing configured to allow the laser cutting head to move relative to the second actuator assembly in a direction perpendicular to the third linear path. 17. The robotic arm end effector according to claim 13 further comprising a controller configured to apply a signal to the first and the second actuator assemblies to move the laser head in a circular path. 18. The robotic arm end effector according to claim 16 wherein the laser has a beam direction, said first, second, third, and fourth paths are perpendicular to the beam direction. 19. A robotic system comprising:
a robotic arm having ground, a first arm having a first end coupled to the ground with a coupling allowing at least two axis of rotation and a second end, a second arm having a third end coupled to the second end with a coupling allowing at least two axis of rotation and a fourth end, said robotic arm having a resonant frequency and amplitude; an end effector for a laser head coupled to the fourth end; a first actuator assembly coupled to the first coupler, said first actuator disposed between the laser head and the fourth end having a first linear drive configured to move the laser cutting head along a first path, said first drive being coupled to a first counter mass and being configured to move the first counter mass along a second path in a direction opposite the first direction; and a second actuator assembly disposed between the fourth end and the laser head, said second actuator having a second linear drive coupled to the laser head and configured to move the laser head along a third path in a third direction, said second drive being coupled to a second counter mass and being configured to move the second counter mass in along a fourth path and in a direction opposite the third direction. 20. The robotic system according to claim 19 wherein the first and second actuators comprise ball-screw drives, stepper motors. 21. The robotic system according to claim 19 herein the first and second counter masses each have a mass is equal to the mass of the laser cutting head. 22. The end effector according to claim 19 wherein the first and second paths are linear. 23. The end effector according to claim 19 wherein the first drive is configured to move the laser cutting head a first distance along the first path and the first drive is configured to move the first counter mass along the second path the second distance different than the first distance. 24. The robotic arm end effector according to claim 19 wherein the first and second actuators are variable speed actuators. 25. The robotic arm end effector according to claim 19 wherein the first and second actuators can be engaged simultaneously to move the laser head in a curvilinear path. | A robotic arm end effector for a laser head coupled to a robotic arm is disclosed. The end effector has a coupler to couple the end effector to the robotic arm and a first actuator assembly coupled to the first coupler. The first actuator has a first drive coupled to the laser cutting head and configured to move the laser cutting head along a first path. The first drive is coupled to a first counter mass and being configured to move the first counter mass along a second path in a direction opposite the first direction. The end effector also has a second actuator assembly coupled to the coupler. The second actuator has a second drive coupled to the laser head which is configured to move the laser head along a third path in a third direction.1. A robotic arm end effector for a laser head coupled to a robotic arm comprising:
a coupler to couple the end effector to the robotic arm; a first actuator assembly coupled to the first coupler, said first actuator having a first drive coupled to the laser cutting head and configured to move the laser cutting head along a first path, said first drive being coupled to a first counter mass and being configured to move the first counter mass along a second path in a direction opposite the first direction; and a second actuator assembly coupled to the coupler, said second actuator having a second drive coupled to the laser head and configured to move the laser head along a third path in a third direction, said second drive being coupled to a second counter mass and being configured to move the second counter mass in along a fourth path and in a direction opposite the third direction. 2. The robotic arm end effector according to claim 1 wherein the first drive comprises first and second linear actuators. 3. The robotic arm end effector according to claim 2 wherein the first and second linear actuators are ball-screw drives. 4. The robotic arm end effector according to claim 1 wherein the first and second drives comprise stepper motors. 5. The end effector according to claim 1 wherein the mass of the counter mass is equal to the mass of the laser cutting head. 6. The end effector according to claim 1 wherein the first and second paths are linear. 7. The end effector according to claim 1 wherein the first drive is configured to move the laser cutting head a first distance along the first path and the first drive is configured to move the first counter mass along the second path the first distance. 8. The end effector according to claim 1 wherein the first drive is configured to move the laser cutting head a first distance along the first path and the first drive is configured to move the first counter mass along the second path the second distance different than the first distance. 9. The end effector according to claim 1 wherein laser head module has a laser head center of gravity and the first counter mass has a first counter mass center of gravity, said laser head center of gravity and first counter mass center of gravity moving on a first motion plane when on the laser head is moving in the first direction and the counter weight is moving in the second direction. 10. The robotic arm end effector according to claim 1 wherein the laser head has a beam direction, said first, second, third, and fourth paths are perpendicular to the beam direction. 11. A robotic arm end effector comprising:
a laser head; a drive mechanism having a first actuator assembly, said first actuator assembly configured to move the laser head in along a first linear path in a first direction and further configured to move first counter mass in along a second linear path which is parallel to the first linear path in a second direction opposite first direction. 12. The robotic arm end effector according to claim 11 wherein the drive mechanism comprises a stepper motor and the first actuator assembly is one of a ball screw drive, a rack and pinion. 13. The robotic arm end effector according to claim 11 further comprising a second actuator assembly configured to move the laser cutting head along a third linear path which is perpendicular to the first linear path, said second actuator assembly being configured to move a second counter mass along a fourth linear path which is parallel to the third linear path. 14. The robotic arm end effector according to claim 13 further comprising a first side bearing disposed between the laser head and the first actuator assembly, said first side bearing configured to allow the laser cutting head to move relative to the first actuator assembly in a direction perpendicular to the first linear path. 15. The robotic arm end effector according to claim 14 wherein laser head has a laser head center of gravity and the first and second counter masses have a first and second counter mass center of gravities, said laser head center of gravity and first and second counter mass center of gravities move on a first motion plane when on the laser head is moving in the first direction and the counter weight is moving in the second direction. 16. The robotic arm end effector according to claim 14 further comprising a second side bearing disposed between the laser head and the second actuator assembly, said second side bearing configured to allow the laser cutting head to move relative to the second actuator assembly in a direction perpendicular to the third linear path. 17. The robotic arm end effector according to claim 13 further comprising a controller configured to apply a signal to the first and the second actuator assemblies to move the laser head in a circular path. 18. The robotic arm end effector according to claim 16 wherein the laser has a beam direction, said first, second, third, and fourth paths are perpendicular to the beam direction. 19. A robotic system comprising:
a robotic arm having ground, a first arm having a first end coupled to the ground with a coupling allowing at least two axis of rotation and a second end, a second arm having a third end coupled to the second end with a coupling allowing at least two axis of rotation and a fourth end, said robotic arm having a resonant frequency and amplitude; an end effector for a laser head coupled to the fourth end; a first actuator assembly coupled to the first coupler, said first actuator disposed between the laser head and the fourth end having a first linear drive configured to move the laser cutting head along a first path, said first drive being coupled to a first counter mass and being configured to move the first counter mass along a second path in a direction opposite the first direction; and a second actuator assembly disposed between the fourth end and the laser head, said second actuator having a second linear drive coupled to the laser head and configured to move the laser head along a third path in a third direction, said second drive being coupled to a second counter mass and being configured to move the second counter mass in along a fourth path and in a direction opposite the third direction. 20. The robotic system according to claim 19 wherein the first and second actuators comprise ball-screw drives, stepper motors. 21. The robotic system according to claim 19 herein the first and second counter masses each have a mass is equal to the mass of the laser cutting head. 22. The end effector according to claim 19 wherein the first and second paths are linear. 23. The end effector according to claim 19 wherein the first drive is configured to move the laser cutting head a first distance along the first path and the first drive is configured to move the first counter mass along the second path the second distance different than the first distance. 24. The robotic arm end effector according to claim 19 wherein the first and second actuators are variable speed actuators. 25. The robotic arm end effector according to claim 19 wherein the first and second actuators can be engaged simultaneously to move the laser head in a curvilinear path. | 2,800 |
348,373 | 16,805,847 | 2,698 | An image processing apparatus includes a processor configured to divide a captured image into a plurality of regions, calculate first white balance related information based on a pixel value of each of the plurality of regions, acquire second white balance related information set by a user for the captured image, and decide a priority region for which a condition of a dynamic range expansion process to be performed on the captured image is set based on brightness of the priority region. | 1. An image processing apparatus comprising:
a processor configured to acquire a captured image in which a subject is imaged, divide the captured image into a plurality of regions based on brightness information of the captured image, calculate first white balance related information for each of the divided plurality of regions, acquire second white balance related information set by a user for the captured image, and decide a priority region which is decided based on the first white balance related information and the second white balance related information and for which a condition of a dynamic range expansion process to be performed on the captured image is set based on brightness of the priority region. 2. The image processing apparatus according to claim 1,
wherein the processor configured to decide the region in which a difference between the first white balance related information and the second white balance related information is small as the priority region. 3. The image processing apparatus according to claim 1,
wherein the processor configured to divide the captured image into at least a highlight region and a shadow region, and decide the highlight region as the priority region in a case where a difference between the first white balance related information and the second white balance related information in the highlight region is smaller than the difference in the shadow region, and decide the shadow region as the priority region in a case where the difference in the shadow region is smaller than the difference in the highlight region. 4. The image processing apparatus according to claim 2,
wherein the processor configured to divide the captured image into at least a highlight region and a shadow region, and decide the highlight region as the priority region in a case where a difference between the first white balance related information and the second white balance related information in the highlight region is smaller than the difference in the shadow region, and decide the shadow region as the priority region in a case where the difference in the shadow region is smaller than the difference in the highlight region. 5. The image processing apparatus according to claim 1,
wherein the processor configured to decide a tone curve depending on the priority region. 6. The image processing apparatus according to claim 5,
wherein the processor configured to decide the tone curve that sets appropriate brightness of the priority region. 7. The image processing apparatus according to claim 1,
wherein the processor configured to divide the captured image into a plurality of blocks, and divide the captured image into the plurality of regions in units of blocks based on the brightness information of each of the plurality of blocks. 8. The image processing apparatus according to claim 7,
wherein the processor configured to calculate the first white balance related information by decreasing a reference weight of the block positioned at each boundary between the plurality of regions below a reference weight of the block not positioned at each boundary. 9. The image processing apparatus according to claim 1,
wherein the processor configured to perform a white balance correction process on the captured image. 10. The image processing apparatus according to claim 9,
wherein the processor configured to perform the white balance correction process on the captured image based on the second white balance related information. 11. The image processing apparatus according to claim 9,
wherein the processor configured to perform the white balance correction process based on the second white balance related information in the priority region among the plurality of regions, and performs the white balance correction process based on the first white balance related information in a region other than the priority region among the plurality of regions. 12. The image processing apparatus according to claim 9,
wherein the processor configured to perform the white balance correction process for each pixel constituting the captured image. 13. The image processing apparatus according to claim 12,
wherein the processor configured to perform the white balance correction process based on any one of the first white balance related information or the second white balance related information for each pixel constituting the captured image. 14. The image processing apparatus according to claim 1,
wherein the first white balance related information and the second white balance related information are information indicating a white balance correction amount, color temperature information, or a tint of a light source. 15. An imaging apparatus in which the image processing apparatus according to claim 1 is mounted,
wherein the processor configured to adjust exposure depending on the priority region. 16. The imaging apparatus according to claim 15,
wherein the processor configured to control the exposure depending on a white void pixel of the captured image in a case where the priority region is on a highlight side more than a threshold value, and control the exposure depending on a black solid pixel of the captured image in a case where the priority region is on a shadow side less than or equal to the threshold value. 17. An image processing method using the image processing apparatus according to claim 1, the method comprising:
an image acquisition step of acquiring the captured image in which the subject is imaged; a region division step of dividing the captured image into the plurality of regions based on the brightness information of the captured image; a first white balance related information calculation step of calculating the first white balance related information for each of the plurality of regions divided in the region division step; a second white balance related information acquisition step of acquiring the second white balance related information set by the user for the captured image; and a priority region decision step of deciding the priority region which is decided based on the first white balance related information and the second white balance related information and for which the condition of the dynamic range expansion process to be performed on the captured image is set based on the brightness of the priority region. 18. An imaging method using the imaging apparatus according to claim 15, the method comprising:
an image acquisition step of acquiring the captured image in which the subject is imaged; a region division step of dividing the captured image into the plurality of regions based on brightness information of the captured image; a first white balance related information calculation step of calculating the first white balance related information for each of the plurality of regions divided in the region division step; a second white balance related information acquisition step of acquiring the second white balance related information set by the user for the captured image; a priority region decision step of deciding the priority region which is decided based on the first white balance related information and the second white balance related information and for which the condition of the dynamic range expansion process to be performed on the captured image is set based on brightness of the priority region; and an exposure adjustment step of adjusting the exposure depending on the priority region. 19. A non-transitory computer readable recording medium storing a program causing a computer to execute an image processing method to function as the image processing apparatus according to claim 1, the method comprising:
an image acquisition step of acquiring the captured image in which the subject is imaged; a region division step of dividing the captured image into the plurality of regions based on brightness information of the captured image; a first white balance related information calculation step of calculating the first white balance related information for each of the plurality of regions divided in the region division step; a second white balance related information acquisition step of acquiring the second white balance related information set by the user for the captured image; and a priority region decision step of deciding the priority region which is decided based on the first white balance related information and the second white balance related information and for which the condition of the dynamic range expansion process to be performed on the captured image is set based on brightness of the priority region. 20. A non-transitory computer readable recording medium storing a program causing a computer to execute an imaging method to function as the imaging apparatus according to claim 15, the method comprising:
an image acquisition step of acquiring the captured image in which the subject is imaged; a region division step of dividing the captured image into the plurality of regions based on brightness information of the captured image; a first white balance related information calculation step of calculating the first white balance related information for each of the plurality of regions divided in the region division step; a second white balance related information acquisition step of acquiring the second white balance related information set by the user for the captured image; a priority region decision step of deciding the priority region which is decided based on the first white balance related information and the second white balance related information and for which the condition of the dynamic range expansion process to be performed on the captured image is set based on brightness of the priority region; and an exposure adjustment step of adjusting the exposure depending on the priority region. | An image processing apparatus includes a processor configured to divide a captured image into a plurality of regions, calculate first white balance related information based on a pixel value of each of the plurality of regions, acquire second white balance related information set by a user for the captured image, and decide a priority region for which a condition of a dynamic range expansion process to be performed on the captured image is set based on brightness of the priority region.1. An image processing apparatus comprising:
a processor configured to acquire a captured image in which a subject is imaged, divide the captured image into a plurality of regions based on brightness information of the captured image, calculate first white balance related information for each of the divided plurality of regions, acquire second white balance related information set by a user for the captured image, and decide a priority region which is decided based on the first white balance related information and the second white balance related information and for which a condition of a dynamic range expansion process to be performed on the captured image is set based on brightness of the priority region. 2. The image processing apparatus according to claim 1,
wherein the processor configured to decide the region in which a difference between the first white balance related information and the second white balance related information is small as the priority region. 3. The image processing apparatus according to claim 1,
wherein the processor configured to divide the captured image into at least a highlight region and a shadow region, and decide the highlight region as the priority region in a case where a difference between the first white balance related information and the second white balance related information in the highlight region is smaller than the difference in the shadow region, and decide the shadow region as the priority region in a case where the difference in the shadow region is smaller than the difference in the highlight region. 4. The image processing apparatus according to claim 2,
wherein the processor configured to divide the captured image into at least a highlight region and a shadow region, and decide the highlight region as the priority region in a case where a difference between the first white balance related information and the second white balance related information in the highlight region is smaller than the difference in the shadow region, and decide the shadow region as the priority region in a case where the difference in the shadow region is smaller than the difference in the highlight region. 5. The image processing apparatus according to claim 1,
wherein the processor configured to decide a tone curve depending on the priority region. 6. The image processing apparatus according to claim 5,
wherein the processor configured to decide the tone curve that sets appropriate brightness of the priority region. 7. The image processing apparatus according to claim 1,
wherein the processor configured to divide the captured image into a plurality of blocks, and divide the captured image into the plurality of regions in units of blocks based on the brightness information of each of the plurality of blocks. 8. The image processing apparatus according to claim 7,
wherein the processor configured to calculate the first white balance related information by decreasing a reference weight of the block positioned at each boundary between the plurality of regions below a reference weight of the block not positioned at each boundary. 9. The image processing apparatus according to claim 1,
wherein the processor configured to perform a white balance correction process on the captured image. 10. The image processing apparatus according to claim 9,
wherein the processor configured to perform the white balance correction process on the captured image based on the second white balance related information. 11. The image processing apparatus according to claim 9,
wherein the processor configured to perform the white balance correction process based on the second white balance related information in the priority region among the plurality of regions, and performs the white balance correction process based on the first white balance related information in a region other than the priority region among the plurality of regions. 12. The image processing apparatus according to claim 9,
wherein the processor configured to perform the white balance correction process for each pixel constituting the captured image. 13. The image processing apparatus according to claim 12,
wherein the processor configured to perform the white balance correction process based on any one of the first white balance related information or the second white balance related information for each pixel constituting the captured image. 14. The image processing apparatus according to claim 1,
wherein the first white balance related information and the second white balance related information are information indicating a white balance correction amount, color temperature information, or a tint of a light source. 15. An imaging apparatus in which the image processing apparatus according to claim 1 is mounted,
wherein the processor configured to adjust exposure depending on the priority region. 16. The imaging apparatus according to claim 15,
wherein the processor configured to control the exposure depending on a white void pixel of the captured image in a case where the priority region is on a highlight side more than a threshold value, and control the exposure depending on a black solid pixel of the captured image in a case where the priority region is on a shadow side less than or equal to the threshold value. 17. An image processing method using the image processing apparatus according to claim 1, the method comprising:
an image acquisition step of acquiring the captured image in which the subject is imaged; a region division step of dividing the captured image into the plurality of regions based on the brightness information of the captured image; a first white balance related information calculation step of calculating the first white balance related information for each of the plurality of regions divided in the region division step; a second white balance related information acquisition step of acquiring the second white balance related information set by the user for the captured image; and a priority region decision step of deciding the priority region which is decided based on the first white balance related information and the second white balance related information and for which the condition of the dynamic range expansion process to be performed on the captured image is set based on the brightness of the priority region. 18. An imaging method using the imaging apparatus according to claim 15, the method comprising:
an image acquisition step of acquiring the captured image in which the subject is imaged; a region division step of dividing the captured image into the plurality of regions based on brightness information of the captured image; a first white balance related information calculation step of calculating the first white balance related information for each of the plurality of regions divided in the region division step; a second white balance related information acquisition step of acquiring the second white balance related information set by the user for the captured image; a priority region decision step of deciding the priority region which is decided based on the first white balance related information and the second white balance related information and for which the condition of the dynamic range expansion process to be performed on the captured image is set based on brightness of the priority region; and an exposure adjustment step of adjusting the exposure depending on the priority region. 19. A non-transitory computer readable recording medium storing a program causing a computer to execute an image processing method to function as the image processing apparatus according to claim 1, the method comprising:
an image acquisition step of acquiring the captured image in which the subject is imaged; a region division step of dividing the captured image into the plurality of regions based on brightness information of the captured image; a first white balance related information calculation step of calculating the first white balance related information for each of the plurality of regions divided in the region division step; a second white balance related information acquisition step of acquiring the second white balance related information set by the user for the captured image; and a priority region decision step of deciding the priority region which is decided based on the first white balance related information and the second white balance related information and for which the condition of the dynamic range expansion process to be performed on the captured image is set based on brightness of the priority region. 20. A non-transitory computer readable recording medium storing a program causing a computer to execute an imaging method to function as the imaging apparatus according to claim 15, the method comprising:
an image acquisition step of acquiring the captured image in which the subject is imaged; a region division step of dividing the captured image into the plurality of regions based on brightness information of the captured image; a first white balance related information calculation step of calculating the first white balance related information for each of the plurality of regions divided in the region division step; a second white balance related information acquisition step of acquiring the second white balance related information set by the user for the captured image; a priority region decision step of deciding the priority region which is decided based on the first white balance related information and the second white balance related information and for which the condition of the dynamic range expansion process to be performed on the captured image is set based on brightness of the priority region; and an exposure adjustment step of adjusting the exposure depending on the priority region. | 2,600 |
348,374 | 16,805,848 | 2,698 | A clock and data recovery circuit, a memory storage device and a signal adjustment method are disclosed. The method includes: detecting a phase difference between a first signal and a clock signal; generating a vote signal according to the phase difference and a first clock frequency; sequentially outputting a plurality of adjustment signals according to the vote signal and a second clock frequency, wherein the first clock frequency is different from the second clock frequency; and generating the clock signal according to the sequentially output adjustment signals. | 1. A clock and data recovery circuit, comprising:
a phase detector, configured to detect a phase difference between a first signal and a clock signal; a voter circuit, coupled to the phase detector and configured to generate a vote signal according to the phase difference and a first clock frequency; a digital loop filter, coupled to the voter circuit and configured to sequentially output a plurality of adjustment signals according to the vote signal and a second clock frequency, wherein the first clock frequency is different from the second clock frequency; and a phase interpolator, coupled to the phase detector and the digital loop filter and configured to generate the clock signal according to the sequentially output adjustment signals. 2. The clock and data recovery circuit according to claim 1, wherein the first clock frequency is lower than the second clock frequency. 3. The clock and data recovery circuit according to claim 1, wherein the digital loop filter comprises:
an accumulator circuit; and a divider circuit, coupled to the accumulator circuit, wherein the accumulator circuit is configured to determine a first adjustment code according to the vote signal and the first clock frequency, and the divider circuit is configured to divide the first adjustment code into a plurality of second adjustment codes and generate the adjustment signals according to the second adjustment codes. 4. The clock and data recovery circuit according to claim 3, wherein the digital loop filter further comprises:
a multiplexer, coupled to the divider circuit and the phase interpolator and configured to sequentially output the adjustment signals to the phase interpolator according to the second clock frequency. 5. The clock and data recovery circuit according to claim 1, wherein the sequentially output adjustment signals are used to gradually adjust the clock signal to satisfy a target phase difference or a target frequency difference. 6. A memory storage device, comprising:
a connection interface unit, configured to couple to a host system; a rewritable non-volatile memory module; a memory control circuit unit, coupled to the connection interface unit and the rewritable non-volatile memory module, a clock and data recovery circuit, disposed in at least one of the connection interface unit, the rewritable non-volatile memory module and the memory control circuit unit, wherein the clock and data recovery circuit is configured to detect a phase difference between a first signal and a clock signal, the clock and data recovery circuit is further configured to generate a vote signal according to the phase difference and a first clock frequency, the clock and data recovery circuit is further configured to sequentially output a plurality of adjustment signals according to the vote signal and a second clock frequency, the clock and data recovery circuit is further configured to generate the clock signal according to the sequentially output adjustment signals, and the first clock frequency is different from the second clock frequency. 7. The memory storage device according to claim 6, wherein the first clock frequency is lower than the second clock frequency. 8. The memory storage device according to claim 6, wherein the clock and data recovery circuit comprises:
an accumulator circuit; and a divider circuit, coupled to the accumulator circuit; wherein the accumulator circuit is configured to determine a first adjustment code according to the vote signal and the first clock frequency, and the divider circuit is configured to divide the first adjustment code into a plurality of second adjustment codes and generate the adjustment signals according to the second adjustment codes. 9. The memory storage device according to claim 8, wherein the clock and data recovery circuit further comprises:
a multiplexer, coupled to the divider circuit and configured to sequentially output the adjustment signals to a phase interpolator in the clock and data recovery circuit according to the second clock frequency. 10. The memory storage device according to claim 6, wherein the sequentially output adjustment signals are used to gradually adjust the clock signal to satisfy a target phase difference or a target frequency difference. 11. A signal adjustment method for a memory storage device, the memory storage device having a rewritable non-volatile memory module, the signal adjustment method comprising:
detecting a phase difference between a first signal and a clock signal; generating a vote signal according to the phase difference and a first clock frequency; sequentially outputting a plurality of adjustment signals according to the vote signal and a second clock frequency, wherein the first clock frequency is different from the second clock frequency; and generating the clock signal according to the sequentially output adjustment signals. 12. The signal adjustment method according to claim 11, wherein the first clock frequency is lower than the second clock frequency. 13. The signal adjustment method according to claim 11, wherein the step of sequentially outputting the adjustment signals according to the vote signal and the second clock frequency comprises:
determining a first adjustment code according to the vote signal and the first clock frequency; and dividing the first adjustment code into a plurality of second adjustment codes and generating the adjustment signals according to the second adjustment codes. 14. The signal adjustment method according to claim 13, wherein the step of sequentially outputting the adjustment signals according to the vote signal and the second clock frequency further comprises:
sequentially outputting the adjustment signals to a phase interpolator in the memory storage device according to the second clock frequency. 15. The signal adjustment method according to claim 11, wherein the sequentially output adjustment signals are used to gradually adjust the clock signal to satisfy a target phase difference or a target frequency difference. 16. A clock and data recovery circuit, comprising:
a phase detector; a voter circuit, coupled to an output of the phase detector; a digital loop filter, coupled to an output of the voter circuit; and a phase interpolator, coupled to an output of the digital loop filter and the phase detector, wherein the voter circuit operates at first clock frequency, the digital loop filter operates at a second clock frequency, and the first clock frequency is different from the second clock frequency. 17. The clock and data recovery circuit according to claim 16, wherein the first clock frequency is lower than the second clock frequency. 18. A memory storage device, comprising:
a connection interface unit, configured to couple to a host system; a rewritable non-volatile memory module; a memory control circuit unit, coupled to the connection interface unit and the rewritable non-volatile memory module, a clock and data recovery circuit, disposed in at least one of the connection interface unit, the rewritable non-volatile memory module and the memory control circuit unit, wherein a voter circuit in the clock and data recovery circuit operates at first clock frequency, a digital loop filter in the clock and data recovery circuit operates at a second clock frequency, the digital loop filter is coupled to an output of the voter circuit, and the first clock frequency is different from the second clock frequency. 19. The clock and data recovery circuit according to claim 18, wherein the first clock frequency is lower than the second clock frequency. | A clock and data recovery circuit, a memory storage device and a signal adjustment method are disclosed. The method includes: detecting a phase difference between a first signal and a clock signal; generating a vote signal according to the phase difference and a first clock frequency; sequentially outputting a plurality of adjustment signals according to the vote signal and a second clock frequency, wherein the first clock frequency is different from the second clock frequency; and generating the clock signal according to the sequentially output adjustment signals.1. A clock and data recovery circuit, comprising:
a phase detector, configured to detect a phase difference between a first signal and a clock signal; a voter circuit, coupled to the phase detector and configured to generate a vote signal according to the phase difference and a first clock frequency; a digital loop filter, coupled to the voter circuit and configured to sequentially output a plurality of adjustment signals according to the vote signal and a second clock frequency, wherein the first clock frequency is different from the second clock frequency; and a phase interpolator, coupled to the phase detector and the digital loop filter and configured to generate the clock signal according to the sequentially output adjustment signals. 2. The clock and data recovery circuit according to claim 1, wherein the first clock frequency is lower than the second clock frequency. 3. The clock and data recovery circuit according to claim 1, wherein the digital loop filter comprises:
an accumulator circuit; and a divider circuit, coupled to the accumulator circuit, wherein the accumulator circuit is configured to determine a first adjustment code according to the vote signal and the first clock frequency, and the divider circuit is configured to divide the first adjustment code into a plurality of second adjustment codes and generate the adjustment signals according to the second adjustment codes. 4. The clock and data recovery circuit according to claim 3, wherein the digital loop filter further comprises:
a multiplexer, coupled to the divider circuit and the phase interpolator and configured to sequentially output the adjustment signals to the phase interpolator according to the second clock frequency. 5. The clock and data recovery circuit according to claim 1, wherein the sequentially output adjustment signals are used to gradually adjust the clock signal to satisfy a target phase difference or a target frequency difference. 6. A memory storage device, comprising:
a connection interface unit, configured to couple to a host system; a rewritable non-volatile memory module; a memory control circuit unit, coupled to the connection interface unit and the rewritable non-volatile memory module, a clock and data recovery circuit, disposed in at least one of the connection interface unit, the rewritable non-volatile memory module and the memory control circuit unit, wherein the clock and data recovery circuit is configured to detect a phase difference between a first signal and a clock signal, the clock and data recovery circuit is further configured to generate a vote signal according to the phase difference and a first clock frequency, the clock and data recovery circuit is further configured to sequentially output a plurality of adjustment signals according to the vote signal and a second clock frequency, the clock and data recovery circuit is further configured to generate the clock signal according to the sequentially output adjustment signals, and the first clock frequency is different from the second clock frequency. 7. The memory storage device according to claim 6, wherein the first clock frequency is lower than the second clock frequency. 8. The memory storage device according to claim 6, wherein the clock and data recovery circuit comprises:
an accumulator circuit; and a divider circuit, coupled to the accumulator circuit; wherein the accumulator circuit is configured to determine a first adjustment code according to the vote signal and the first clock frequency, and the divider circuit is configured to divide the first adjustment code into a plurality of second adjustment codes and generate the adjustment signals according to the second adjustment codes. 9. The memory storage device according to claim 8, wherein the clock and data recovery circuit further comprises:
a multiplexer, coupled to the divider circuit and configured to sequentially output the adjustment signals to a phase interpolator in the clock and data recovery circuit according to the second clock frequency. 10. The memory storage device according to claim 6, wherein the sequentially output adjustment signals are used to gradually adjust the clock signal to satisfy a target phase difference or a target frequency difference. 11. A signal adjustment method for a memory storage device, the memory storage device having a rewritable non-volatile memory module, the signal adjustment method comprising:
detecting a phase difference between a first signal and a clock signal; generating a vote signal according to the phase difference and a first clock frequency; sequentially outputting a plurality of adjustment signals according to the vote signal and a second clock frequency, wherein the first clock frequency is different from the second clock frequency; and generating the clock signal according to the sequentially output adjustment signals. 12. The signal adjustment method according to claim 11, wherein the first clock frequency is lower than the second clock frequency. 13. The signal adjustment method according to claim 11, wherein the step of sequentially outputting the adjustment signals according to the vote signal and the second clock frequency comprises:
determining a first adjustment code according to the vote signal and the first clock frequency; and dividing the first adjustment code into a plurality of second adjustment codes and generating the adjustment signals according to the second adjustment codes. 14. The signal adjustment method according to claim 13, wherein the step of sequentially outputting the adjustment signals according to the vote signal and the second clock frequency further comprises:
sequentially outputting the adjustment signals to a phase interpolator in the memory storage device according to the second clock frequency. 15. The signal adjustment method according to claim 11, wherein the sequentially output adjustment signals are used to gradually adjust the clock signal to satisfy a target phase difference or a target frequency difference. 16. A clock and data recovery circuit, comprising:
a phase detector; a voter circuit, coupled to an output of the phase detector; a digital loop filter, coupled to an output of the voter circuit; and a phase interpolator, coupled to an output of the digital loop filter and the phase detector, wherein the voter circuit operates at first clock frequency, the digital loop filter operates at a second clock frequency, and the first clock frequency is different from the second clock frequency. 17. The clock and data recovery circuit according to claim 16, wherein the first clock frequency is lower than the second clock frequency. 18. A memory storage device, comprising:
a connection interface unit, configured to couple to a host system; a rewritable non-volatile memory module; a memory control circuit unit, coupled to the connection interface unit and the rewritable non-volatile memory module, a clock and data recovery circuit, disposed in at least one of the connection interface unit, the rewritable non-volatile memory module and the memory control circuit unit, wherein a voter circuit in the clock and data recovery circuit operates at first clock frequency, a digital loop filter in the clock and data recovery circuit operates at a second clock frequency, the digital loop filter is coupled to an output of the voter circuit, and the first clock frequency is different from the second clock frequency. 19. The clock and data recovery circuit according to claim 18, wherein the first clock frequency is lower than the second clock frequency. | 2,600 |
348,375 | 16,805,838 | 2,698 | A package structure includes a first semiconductor die, a second semiconductor die, a redistribution circuit structure, and a semiconductor device. The redistribution circuit structure has a first surface and a second surface opposite to the first surface, where the first surface is in contact with the first semiconductor die and the second semiconductor die, and the redistribution circuit structure is disposed on and electrically connected to the first semiconductor die and the second semiconductor die. The redistribution circuit structure includes a recess extending from the second surface toward the first surface. The semiconductor device is located in the recess and electrically connected to the first semiconductor die and the second semiconductor die through the redistribution circuit structure. | 1. A package structure, comprising:
a first semiconductor die and a second semiconductor die; a redistribution circuit structure, having a first surface and a second surface opposite to the first surface, the first surface being in contact with the first semiconductor die and the second semiconductor die, wherein the redistribution circuit structure is disposed on and electrically connected to the first semiconductor die and the second semiconductor die and comprises a recess extending from the second surface toward the first surface; and a semiconductor device, located in the recess and electrically connected to the first semiconductor die and the second semiconductor die through the redistribution circuit structure. 2. The package structure of claim 1, wherein along a stacking direction of the redistribution circuit structure and the first semiconductor die, a thickness of the recess is less than a thickness of the redistribution circuit structure,
wherein a portion of the redistribution circuit structure is located between a bottom surface of the recess and the first semiconductor die and between the bottom surface of the recess and the second semiconductor die. 3. The package structure of claim 2, further comprising an underfill located in the recess, wherein the underfill covers the bottom surface of the recess. 4. The package structure of claim 3, wherein the underfill further covers at least a portion of a sidewall of the recess. 5. The package structure of claim 1, wherein a sidewall of the recess is a step-shaped surface or a flat surface. 6. The package structure of claim 1, further comprising:
an insulating encapsulation, laterally encapsulating the first semiconductor die and the second semiconductor die; conductive elements, located on the redistribution circuit structure, wherein the redistribution circuit structure is located between the insulating encapsulation and the conductive elements; and an under-ball metallurgy, located between the conductive elements and the redistribution circuit structure, and electrically connected the conductive elements and the redistribution circuit structure, wherein an electrical path distance between the semiconductor device to the first semiconductor die is less than an electrical path distance between the conductive elements to the first semiconductor die, and an electrical path distance measured between the semiconductor device to the second semiconductor die is less than an electrical path distance between the conductive elements to the second semiconductor die. 7. The package structure of claim 6, wherein the first semiconductor die comprises a plurality of first semiconductor dies, and the semiconductor device comprises one or more than one semiconductor device electrically connected to two of the first semiconductor dies and the second semiconductor die adjacent thereto through the redistribution circuit structure. 8. The package structure of claim 6, further comprising:
a circuit structure, wherein the redistribution circuit structure is bonded to the circuit structure through the conductive elements. 9. The package structure of claim 6, further comprising:
an interposer, having a plurality of conductive vias penetrating therethrough, bonded on and electrically connected to the redistribution circuit structure through the conductive elements; and a circuit substrate, bonded on and electrically connected to the interposer, wherein the interposer is located between the redistribution circuit structure and the circuit substrate. 10. The package structure of claim 1, wherein in a vertical projection on the redistribution circuit structure, a projection of the semiconductor device is overlapped with a portion of a projection of the first semiconductor die and a portion of a projection of the second semiconductor die. 11. A package structure, comprising:
an insulating encapsulation; a plurality of semiconductor dies, encapsulated in the insulating encapsulation; a redistribution circuit structure, located on the insulating encapsulation and having a first surface and a second surface opposite to the first surface, the first surface being in contact with and electrically connected to the plurality of semiconductor dies, wherein the redistribution circuit structure comprises a recess extending from the second surface toward the first surface; and at least one semiconductor device, located in the recess and electrically connected to the plurality of semiconductor dies through the redistribution circuit structure. 12. The package structure of claim 11, wherein in a vertical projection on the redistribution circuit structure, a projection of the at least one semiconductor device is overlapped with a portion of a projection of each of the plurality of the semiconductor dies. 13. The package structure of claim 11, wherein the at least one semiconductor device comprises two or more than two semiconductor devices,
wherein in a vertical projection on the redistribution circuit structure, a projection of each of the two or more than two semiconductor devices is overlapped with a portion of a projection of each of at least two semiconductor dies of the plurality of the semiconductor dies. 14. The package structure of claim 11, wherein a portion of the at least one semiconductor device is located outside the recess. 15. The package structure of claim 11, wherein a sidewall of the at least one semiconductor device is distant from a sidewall of the recess. 16. The package structure of claim 11, wherein along a stacking direction of the redistribution circuit structure and the insulating encapsulation, an opening of the recess is at a plane where the second surface of the redistribution circuit structure located at, and a bottom surface of the recess connecting to the opening through a sidewall of the recess is distant from the first surface of the redistribution circuit structure. 17. A method of manufacturing a package structure, comprising:
providing a plurality of semiconductor dies; encapsulating the plurality of semiconductor dies in an insulating encapsulation; forming a redistribution circuit structure with a recess formed therein on the insulating encapsulation, wherein a thickness of the recess is less than a thickness of the redistribution circuit structure as measured along a stacking direction of the redistribution circuit structure and the insulating encapsulation; disposing at least one semiconductor device in the recess on the redistribution circuit structure to electrically connect to at least two of the plurality of semiconductor dies through the redistribution circuit structure; forming an under-ball metallurgy on the redistribution circuit structure; and disposing conductive elements on the under-ball metallurgy. 18. The method of claim 17, wherein forming the redistribution circuit structure with the recess formed therein on the insulating encapsulation comprises:
forming a first dielectric layer with first openings on the insulating encapsulation; forming a first metallization layer on the first dielectric layer and extending into the first openings to electrically connect to the plurality of semiconductor dies; forming a second dielectric layer with second openings and holes on the first metallization layer; forming a second metallization layer on the second dielectric layer and extending into the second openings and the holes to electrically connect to the first metallization layer, wherein a portion of the second metallization layer corresponding to the holes comprises contact pads with the at least one semiconductor device disposed thereon; forming a third dielectric layer with third openings and a first trench on the second metallization layer, wherein the first trench corresponds to the holes and exposes the contact pads; forming a third metallization layer on the third dielectric layer outside the first trench and extending into the third openings to electrically connect to the second metallization layer; and forming a fourth dielectric layer with fourth openings and a second trench on the third metallization layer, wherein the first trench and the second trench are spatially communicated, and the second trench exposes the contact pads. 19. The method of claim 17, wherein forming the redistribution circuit structure with the recess formed therein on the insulating encapsulation comprises:
forming a first dielectric layer with first openings on the insulating encapsulation; forming a first metallization layer on the first dielectric layer and extending into the first openings to electrically connect to the plurality of semiconductor dies; forming a second dielectric layer with second openings on the first metallization layer; forming a second metallization layer on the second dielectric layer and extending into the second openings to electrically connect to the first metallization layer; forming a third dielectric layer with third openings and a first trench on the second metallization layer, wherein forming the third dielectric layer with the third openings and the first trench further comprises forming holes in the second dielectric layer to exposes the first metallization layer, and the first trench corresponds to and exposes the holes; forming a third metallization layer on the third dielectric layer and extending into the third openings to electrically connect to the second metallization layer, wherein a portion of the third metallization layer is formed on the second dielectric layer and extends into the holes exposed by the first trench to form contact pads with the at least one semiconductor device disposed thereon; and forming a fourth dielectric layer with fourth openings and a second trench on the third metallization layer, wherein the first trench and the second trench are spatially communicated, and the second trench exposes the contact pads. 20. The method of claim 17, further comprising:
forming an underfill in the recess by dispensing, wherein the underfill at least covers a portion of the redistribution circuit structure. | A package structure includes a first semiconductor die, a second semiconductor die, a redistribution circuit structure, and a semiconductor device. The redistribution circuit structure has a first surface and a second surface opposite to the first surface, where the first surface is in contact with the first semiconductor die and the second semiconductor die, and the redistribution circuit structure is disposed on and electrically connected to the first semiconductor die and the second semiconductor die. The redistribution circuit structure includes a recess extending from the second surface toward the first surface. The semiconductor device is located in the recess and electrically connected to the first semiconductor die and the second semiconductor die through the redistribution circuit structure.1. A package structure, comprising:
a first semiconductor die and a second semiconductor die; a redistribution circuit structure, having a first surface and a second surface opposite to the first surface, the first surface being in contact with the first semiconductor die and the second semiconductor die, wherein the redistribution circuit structure is disposed on and electrically connected to the first semiconductor die and the second semiconductor die and comprises a recess extending from the second surface toward the first surface; and a semiconductor device, located in the recess and electrically connected to the first semiconductor die and the second semiconductor die through the redistribution circuit structure. 2. The package structure of claim 1, wherein along a stacking direction of the redistribution circuit structure and the first semiconductor die, a thickness of the recess is less than a thickness of the redistribution circuit structure,
wherein a portion of the redistribution circuit structure is located between a bottom surface of the recess and the first semiconductor die and between the bottom surface of the recess and the second semiconductor die. 3. The package structure of claim 2, further comprising an underfill located in the recess, wherein the underfill covers the bottom surface of the recess. 4. The package structure of claim 3, wherein the underfill further covers at least a portion of a sidewall of the recess. 5. The package structure of claim 1, wherein a sidewall of the recess is a step-shaped surface or a flat surface. 6. The package structure of claim 1, further comprising:
an insulating encapsulation, laterally encapsulating the first semiconductor die and the second semiconductor die; conductive elements, located on the redistribution circuit structure, wherein the redistribution circuit structure is located between the insulating encapsulation and the conductive elements; and an under-ball metallurgy, located between the conductive elements and the redistribution circuit structure, and electrically connected the conductive elements and the redistribution circuit structure, wherein an electrical path distance between the semiconductor device to the first semiconductor die is less than an electrical path distance between the conductive elements to the first semiconductor die, and an electrical path distance measured between the semiconductor device to the second semiconductor die is less than an electrical path distance between the conductive elements to the second semiconductor die. 7. The package structure of claim 6, wherein the first semiconductor die comprises a plurality of first semiconductor dies, and the semiconductor device comprises one or more than one semiconductor device electrically connected to two of the first semiconductor dies and the second semiconductor die adjacent thereto through the redistribution circuit structure. 8. The package structure of claim 6, further comprising:
a circuit structure, wherein the redistribution circuit structure is bonded to the circuit structure through the conductive elements. 9. The package structure of claim 6, further comprising:
an interposer, having a plurality of conductive vias penetrating therethrough, bonded on and electrically connected to the redistribution circuit structure through the conductive elements; and a circuit substrate, bonded on and electrically connected to the interposer, wherein the interposer is located between the redistribution circuit structure and the circuit substrate. 10. The package structure of claim 1, wherein in a vertical projection on the redistribution circuit structure, a projection of the semiconductor device is overlapped with a portion of a projection of the first semiconductor die and a portion of a projection of the second semiconductor die. 11. A package structure, comprising:
an insulating encapsulation; a plurality of semiconductor dies, encapsulated in the insulating encapsulation; a redistribution circuit structure, located on the insulating encapsulation and having a first surface and a second surface opposite to the first surface, the first surface being in contact with and electrically connected to the plurality of semiconductor dies, wherein the redistribution circuit structure comprises a recess extending from the second surface toward the first surface; and at least one semiconductor device, located in the recess and electrically connected to the plurality of semiconductor dies through the redistribution circuit structure. 12. The package structure of claim 11, wherein in a vertical projection on the redistribution circuit structure, a projection of the at least one semiconductor device is overlapped with a portion of a projection of each of the plurality of the semiconductor dies. 13. The package structure of claim 11, wherein the at least one semiconductor device comprises two or more than two semiconductor devices,
wherein in a vertical projection on the redistribution circuit structure, a projection of each of the two or more than two semiconductor devices is overlapped with a portion of a projection of each of at least two semiconductor dies of the plurality of the semiconductor dies. 14. The package structure of claim 11, wherein a portion of the at least one semiconductor device is located outside the recess. 15. The package structure of claim 11, wherein a sidewall of the at least one semiconductor device is distant from a sidewall of the recess. 16. The package structure of claim 11, wherein along a stacking direction of the redistribution circuit structure and the insulating encapsulation, an opening of the recess is at a plane where the second surface of the redistribution circuit structure located at, and a bottom surface of the recess connecting to the opening through a sidewall of the recess is distant from the first surface of the redistribution circuit structure. 17. A method of manufacturing a package structure, comprising:
providing a plurality of semiconductor dies; encapsulating the plurality of semiconductor dies in an insulating encapsulation; forming a redistribution circuit structure with a recess formed therein on the insulating encapsulation, wherein a thickness of the recess is less than a thickness of the redistribution circuit structure as measured along a stacking direction of the redistribution circuit structure and the insulating encapsulation; disposing at least one semiconductor device in the recess on the redistribution circuit structure to electrically connect to at least two of the plurality of semiconductor dies through the redistribution circuit structure; forming an under-ball metallurgy on the redistribution circuit structure; and disposing conductive elements on the under-ball metallurgy. 18. The method of claim 17, wherein forming the redistribution circuit structure with the recess formed therein on the insulating encapsulation comprises:
forming a first dielectric layer with first openings on the insulating encapsulation; forming a first metallization layer on the first dielectric layer and extending into the first openings to electrically connect to the plurality of semiconductor dies; forming a second dielectric layer with second openings and holes on the first metallization layer; forming a second metallization layer on the second dielectric layer and extending into the second openings and the holes to electrically connect to the first metallization layer, wherein a portion of the second metallization layer corresponding to the holes comprises contact pads with the at least one semiconductor device disposed thereon; forming a third dielectric layer with third openings and a first trench on the second metallization layer, wherein the first trench corresponds to the holes and exposes the contact pads; forming a third metallization layer on the third dielectric layer outside the first trench and extending into the third openings to electrically connect to the second metallization layer; and forming a fourth dielectric layer with fourth openings and a second trench on the third metallization layer, wherein the first trench and the second trench are spatially communicated, and the second trench exposes the contact pads. 19. The method of claim 17, wherein forming the redistribution circuit structure with the recess formed therein on the insulating encapsulation comprises:
forming a first dielectric layer with first openings on the insulating encapsulation; forming a first metallization layer on the first dielectric layer and extending into the first openings to electrically connect to the plurality of semiconductor dies; forming a second dielectric layer with second openings on the first metallization layer; forming a second metallization layer on the second dielectric layer and extending into the second openings to electrically connect to the first metallization layer; forming a third dielectric layer with third openings and a first trench on the second metallization layer, wherein forming the third dielectric layer with the third openings and the first trench further comprises forming holes in the second dielectric layer to exposes the first metallization layer, and the first trench corresponds to and exposes the holes; forming a third metallization layer on the third dielectric layer and extending into the third openings to electrically connect to the second metallization layer, wherein a portion of the third metallization layer is formed on the second dielectric layer and extends into the holes exposed by the first trench to form contact pads with the at least one semiconductor device disposed thereon; and forming a fourth dielectric layer with fourth openings and a second trench on the third metallization layer, wherein the first trench and the second trench are spatially communicated, and the second trench exposes the contact pads. 20. The method of claim 17, further comprising:
forming an underfill in the recess by dispensing, wherein the underfill at least covers a portion of the redistribution circuit structure. | 2,600 |
348,376 | 16,643,825 | 2,698 | An n-type semiconductor layer (102), a multiplication layer (103), an electric field control layer (104), a light absorption layer (105), and a p-type semiconductor layer (106) are formed on a growth substrate (101), and the p-type semiconductor layer (106) is adhered on a transfer substrate (107). After that, the growth substrate (101) is removed, and the n-type semiconductor layer (102) is processed to have an area smaller than that of the multiplication layer (103). | 1. A method of manufacturing an avalanche photodiode including:
a p-type semiconductor layer formed on a transfer substrate and made of a p-type semiconductor; a light absorption layer formed on the p-type semiconductor layer and made of germanium; an electric field control layer formed on the light absorption layer and made of a p-type semiconductor; a multiplication layer formed on the electric field control layer and made of silicon; and an n-type semiconductor layer formed on the multiplication layer and made of n-type silicon, the method comprising: a first step of forming the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer on a growth substrate; a second step of transferring the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer formed on the growth substrate to the transfer substrate; and a third step of processing the n-type semiconductor layer to have an area smaller than that of the multiplication layer, after the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer are transferred to the transfer substrate. 2. The method of manufacturing an avalanche photodiode according to claim 1, wherein
the first step including forming the n-type semiconductor layer, the multiplication layer, the electric field control layer, the light absorption layer, and the p-type semiconductor layer in this order on the growth substrate, and the second step includes: a step of transferring the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer formed on the growth substrate to the transfer substrate by adhering the p-type semiconductor layer on the transfer substrate; and a step of removing the growth substrate after the p-type semiconductor layer is adhered on the transfer substrate. 3. The method of manufacturing an avalanche photodiode according to claim 1, wherein
the first step includes: a step of forming the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer in this order on the growth substrate; and a step of forming the p-type semiconductor layer on the transfer substrate, and the second step includes: a step of transferring the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer formed on the growth substrate to the transfer substrate by adhering the p-type semiconductor layer on the light absorption layer; and a step of removing the growth substrate after the p-type semiconductor layer is adhered on the light absorption layer. 4. The method of manufacturing an avalanche photodiode according to claim 1, further comprising a fourth step of processing the n-type semiconductor layer to have an area smaller than that of the multiplication layer, and forming an insulating layer on the multiplication layer around the n-type semiconductor layer thereafter. 5. The method of manufacturing an avalanche photodiode according to claim 1, further comprising a step of forming a p-type region on a side of the light absorption layer, which faces the p-type semiconductor layer. 6. The method of manufacturing an avalanche photodiode according to claim 1, wherein the second step includes:
a step of forming a metal layer on the transfer substrate; and a step of transferring the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer formed on the growth substrate to the transfer substrate on which the metal layer is formed. 7. An avalanche photodiode comprising:
a p-type semiconductor layer formed on a substrate and made of a p-type semiconductor; a light absorption layer formed on the p-type semiconductor layer and made of germanium; an electric field control layer formed on the light absorption layer and made of a p-type semiconductor; a multiplication layer formed on the electric field control layer and made of silicon; and an n-type semiconductor layer formed on the multiplication layer, made of n-type silicon, and having an area smaller than that of the multiplication layer. 8. The avalanche photodiode according to claim 7, further comprising an insulating layer formed on the multiplication layer around the n-type semiconductor layer. 9. The avalanche photodiode according to claim 7, wherein the light absorption layer includes a p-type region on a side of the p-type semiconductor layer. 10. The avalanche photodiode according to claim 7, further comprising a metal layer formed between the substrate and the p-type semiconductor layer. 11. The avalanche photodiode according to claim 8, wherein the light absorption layer includes a p-type region on a side of the p-type semiconductor layer. 12. The method of manufacturing an avalanche photodiode according to claim 2, further comprising a fourth step of processing the n-type semiconductor layer to have an area smaller than that of the multiplication layer, and forming an insulating layer on the multiplication layer around the n-type semiconductor layer thereafter. 13. The method of manufacturing an avalanche photodiode according to claim 3, further comprising a fourth step of processing the n-type semiconductor layer to have an area smaller than that of the multiplication layer, and forming an insulating layer on the multiplication layer around the n-type semiconductor layer thereafter. 14. The method of manufacturing an avalanche photodiode according to claim 2, further comprising a step of forming a p-type region on a side of the light absorption layer, which faces the p-type semiconductor layer. 15. The method of manufacturing an avalanche photodiode according to claim 3, further comprising a step of forming a p-type region on a side of the light absorption layer, which faces the p-type semiconductor layer. 16. The method of manufacturing an avalanche photodiode according to claim 4, further comprising a step of forming a p-type region on a side of the light absorption layer, which faces the p-type semiconductor layer. 17. The method of manufacturing an avalanche photodiode according to claim 2, wherein the second step includes:
a step of forming a metal layer on the transfer substrate; and a step of transferring the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer formed on the growth substrate to the transfer substrate on which the metal layer is formed. 18. The method of manufacturing an avalanche photodiode according to claim 3, wherein the second step includes:
a step of forming a metal layer on the transfer substrate; and a step of transferring the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer formed on the growth substrate to the transfer substrate on which the metal layer is formed. 19. The method of manufacturing an avalanche photodiode according to claim 4, wherein the second step includes:
a step of forming a metal layer on the transfer substrate; and a step of transferring the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer formed on the growth substrate to the transfer substrate on which the metal layer is formed. 20. The method of manufacturing an avalanche photodiode according to claim 5, wherein the second step includes:
a step of forming a metal layer on the transfer substrate; and a step of transferring the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer formed on the growth substrate to the transfer substrate on which the metal layer is formed. | An n-type semiconductor layer (102), a multiplication layer (103), an electric field control layer (104), a light absorption layer (105), and a p-type semiconductor layer (106) are formed on a growth substrate (101), and the p-type semiconductor layer (106) is adhered on a transfer substrate (107). After that, the growth substrate (101) is removed, and the n-type semiconductor layer (102) is processed to have an area smaller than that of the multiplication layer (103).1. A method of manufacturing an avalanche photodiode including:
a p-type semiconductor layer formed on a transfer substrate and made of a p-type semiconductor; a light absorption layer formed on the p-type semiconductor layer and made of germanium; an electric field control layer formed on the light absorption layer and made of a p-type semiconductor; a multiplication layer formed on the electric field control layer and made of silicon; and an n-type semiconductor layer formed on the multiplication layer and made of n-type silicon, the method comprising: a first step of forming the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer on a growth substrate; a second step of transferring the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer formed on the growth substrate to the transfer substrate; and a third step of processing the n-type semiconductor layer to have an area smaller than that of the multiplication layer, after the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer are transferred to the transfer substrate. 2. The method of manufacturing an avalanche photodiode according to claim 1, wherein
the first step including forming the n-type semiconductor layer, the multiplication layer, the electric field control layer, the light absorption layer, and the p-type semiconductor layer in this order on the growth substrate, and the second step includes: a step of transferring the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer formed on the growth substrate to the transfer substrate by adhering the p-type semiconductor layer on the transfer substrate; and a step of removing the growth substrate after the p-type semiconductor layer is adhered on the transfer substrate. 3. The method of manufacturing an avalanche photodiode according to claim 1, wherein
the first step includes: a step of forming the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer in this order on the growth substrate; and a step of forming the p-type semiconductor layer on the transfer substrate, and the second step includes: a step of transferring the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer formed on the growth substrate to the transfer substrate by adhering the p-type semiconductor layer on the light absorption layer; and a step of removing the growth substrate after the p-type semiconductor layer is adhered on the light absorption layer. 4. The method of manufacturing an avalanche photodiode according to claim 1, further comprising a fourth step of processing the n-type semiconductor layer to have an area smaller than that of the multiplication layer, and forming an insulating layer on the multiplication layer around the n-type semiconductor layer thereafter. 5. The method of manufacturing an avalanche photodiode according to claim 1, further comprising a step of forming a p-type region on a side of the light absorption layer, which faces the p-type semiconductor layer. 6. The method of manufacturing an avalanche photodiode according to claim 1, wherein the second step includes:
a step of forming a metal layer on the transfer substrate; and a step of transferring the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer formed on the growth substrate to the transfer substrate on which the metal layer is formed. 7. An avalanche photodiode comprising:
a p-type semiconductor layer formed on a substrate and made of a p-type semiconductor; a light absorption layer formed on the p-type semiconductor layer and made of germanium; an electric field control layer formed on the light absorption layer and made of a p-type semiconductor; a multiplication layer formed on the electric field control layer and made of silicon; and an n-type semiconductor layer formed on the multiplication layer, made of n-type silicon, and having an area smaller than that of the multiplication layer. 8. The avalanche photodiode according to claim 7, further comprising an insulating layer formed on the multiplication layer around the n-type semiconductor layer. 9. The avalanche photodiode according to claim 7, wherein the light absorption layer includes a p-type region on a side of the p-type semiconductor layer. 10. The avalanche photodiode according to claim 7, further comprising a metal layer formed between the substrate and the p-type semiconductor layer. 11. The avalanche photodiode according to claim 8, wherein the light absorption layer includes a p-type region on a side of the p-type semiconductor layer. 12. The method of manufacturing an avalanche photodiode according to claim 2, further comprising a fourth step of processing the n-type semiconductor layer to have an area smaller than that of the multiplication layer, and forming an insulating layer on the multiplication layer around the n-type semiconductor layer thereafter. 13. The method of manufacturing an avalanche photodiode according to claim 3, further comprising a fourth step of processing the n-type semiconductor layer to have an area smaller than that of the multiplication layer, and forming an insulating layer on the multiplication layer around the n-type semiconductor layer thereafter. 14. The method of manufacturing an avalanche photodiode according to claim 2, further comprising a step of forming a p-type region on a side of the light absorption layer, which faces the p-type semiconductor layer. 15. The method of manufacturing an avalanche photodiode according to claim 3, further comprising a step of forming a p-type region on a side of the light absorption layer, which faces the p-type semiconductor layer. 16. The method of manufacturing an avalanche photodiode according to claim 4, further comprising a step of forming a p-type region on a side of the light absorption layer, which faces the p-type semiconductor layer. 17. The method of manufacturing an avalanche photodiode according to claim 2, wherein the second step includes:
a step of forming a metal layer on the transfer substrate; and a step of transferring the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer formed on the growth substrate to the transfer substrate on which the metal layer is formed. 18. The method of manufacturing an avalanche photodiode according to claim 3, wherein the second step includes:
a step of forming a metal layer on the transfer substrate; and a step of transferring the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer formed on the growth substrate to the transfer substrate on which the metal layer is formed. 19. The method of manufacturing an avalanche photodiode according to claim 4, wherein the second step includes:
a step of forming a metal layer on the transfer substrate; and a step of transferring the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer formed on the growth substrate to the transfer substrate on which the metal layer is formed. 20. The method of manufacturing an avalanche photodiode according to claim 5, wherein the second step includes:
a step of forming a metal layer on the transfer substrate; and a step of transferring the n-type semiconductor layer, the multiplication layer, the electric field control layer, and the light absorption layer formed on the growth substrate to the transfer substrate on which the metal layer is formed. | 2,600 |
348,377 | 16,805,864 | 2,698 | A CMP slurry composition and a method of polishing a metal layer are provided. In some embodiments, the CMP slurry composition includes about 0.1 to 10 parts by weight of a metal oxide, and about 0.1 to 10 parts by weight of a chelator. The chelator includes a thiol compound or a thiolether compound. | 1. A CMP slurry composition, comprising:
0.1 to 10 parts by weight of a metal oxide; and 0.1 to 10 parts by weight of a chelator, comprising a thiol compound or a thiolether compound. 2. The CMP slurry composition of claim 1, wherein the chelator is represented by a formula of R1—S—R2, wherein R1 and R2 each independently represent hydrogen, substituted or unsubstituted C1-C15 alkyl, substituted or unsubstituted C1-C15 alkoxyl or substituted or unsubstituted C6-C30 aryl. 3. The CMP slurry composition of claim 1, wherein the metal oxide comprises cerium oxide, cerium hydroxide, cerium nitride, cerium fluoride or cerium sulfide. 4. The CMP slurry composition of claim 3, wherein an oxidizer is not present in the CMP slurry composition. 5. The CMP slurry composition of claim 1, wherein the metal oxide comprise TiO2 or SiO2. 6. The CMP slurry composition of claim 5, further comprising an oxidizer, wherein the oxidizer comprises H2O2, KIO3, KIO4, KClO3, KClO4 or a combination thereof. 7. The CMP slurry composition of claim 1, wherein the CMP slurry composition is for polishing RuCo, RuW, Ru, Mo, Rh or Ir. 8. The CMP slurry composition of claim 1, wherein a pH value of the CMP slurry composition is from 7 to 12. 9. A method of polishing a metal layer, comprising:
providing a substrate having a polishing stop layer and a target metal layer formed thereon; and polishing the target metal layer with a CMP slurry composition until the polishing stop layer is exposed, wherein the CMP slurry composition comprises a chelator, and the chelator comprises a thiol compound or a thiolether compound. 10. The method of claim 9, wherein the chelator is represented by a formula of R1—S—R2, wherein R1 and R2 each independently represent hydrogen, substituted or unsubstituted C1-C15 alkyl, substituted or unsubstituted C1-C15 alkoxyl or substituted or unsubstituted C6-C30 aryl. 11. The method of claim 9, wherein the polishing stop layer comprises SiN, SiCN, SiON, SiOCN, SiOC or SiC. 12. The method of claim 11, wherein the CMP slurry composition further comprises CeO2 particles. 13. The method of claim 12, wherein an oxidizer is not present in the CMP slurry composition. 14. The method of claim 9, wherein the polishing stop layer comprises SiO2. 15. The method of claim 14, wherein the CMP slurry composition further comprises TiO2 or SiO2 particles. 16. A method of polishing a metal layer, comprising:
providing a substrate having two gate structures and a metal stack between the gate structures, wherein each of the gate structures has a gate electrode and a dielectric helmet over the gate electrode; sequentially forming a first dielectric layer and a second dielectric layer over the gate structures and the metal stack; forming an opening that penetrates through the first dielectric layer and the second dielectric layer and exposes the metal stack; forming a metal layer over the second dielectric layer and filling in the opening, wherein the metal layer comprises Ru; performing a first polishing operation with a first polishing slurry composition until the second dielectric layer is exposed; performing a second polishing operation with a second polishing slurry composition until the first dielectric layer is exposed; and performing a third polishing operation with a third polishing slurry composition until the dielectric helmet is exposed. 17. The method of claim 16, wherein the first polishing slurry composition comprises:
TiO2 particles; and an oxidant. 18. The method of claim 16, wherein the second polishing slurry composition comprises:
CeO2 particles; and a chelator, comprising a thiol compound or a thiolether compound. 19. The method of claim 18, wherein an oxidizer is not present in the second polishing slurry composition. 20. The method of claim 16, wherein the third polishing slurry composition comprises:
SiO2 particles; and an oxidant. | A CMP slurry composition and a method of polishing a metal layer are provided. In some embodiments, the CMP slurry composition includes about 0.1 to 10 parts by weight of a metal oxide, and about 0.1 to 10 parts by weight of a chelator. The chelator includes a thiol compound or a thiolether compound.1. A CMP slurry composition, comprising:
0.1 to 10 parts by weight of a metal oxide; and 0.1 to 10 parts by weight of a chelator, comprising a thiol compound or a thiolether compound. 2. The CMP slurry composition of claim 1, wherein the chelator is represented by a formula of R1—S—R2, wherein R1 and R2 each independently represent hydrogen, substituted or unsubstituted C1-C15 alkyl, substituted or unsubstituted C1-C15 alkoxyl or substituted or unsubstituted C6-C30 aryl. 3. The CMP slurry composition of claim 1, wherein the metal oxide comprises cerium oxide, cerium hydroxide, cerium nitride, cerium fluoride or cerium sulfide. 4. The CMP slurry composition of claim 3, wherein an oxidizer is not present in the CMP slurry composition. 5. The CMP slurry composition of claim 1, wherein the metal oxide comprise TiO2 or SiO2. 6. The CMP slurry composition of claim 5, further comprising an oxidizer, wherein the oxidizer comprises H2O2, KIO3, KIO4, KClO3, KClO4 or a combination thereof. 7. The CMP slurry composition of claim 1, wherein the CMP slurry composition is for polishing RuCo, RuW, Ru, Mo, Rh or Ir. 8. The CMP slurry composition of claim 1, wherein a pH value of the CMP slurry composition is from 7 to 12. 9. A method of polishing a metal layer, comprising:
providing a substrate having a polishing stop layer and a target metal layer formed thereon; and polishing the target metal layer with a CMP slurry composition until the polishing stop layer is exposed, wherein the CMP slurry composition comprises a chelator, and the chelator comprises a thiol compound or a thiolether compound. 10. The method of claim 9, wherein the chelator is represented by a formula of R1—S—R2, wherein R1 and R2 each independently represent hydrogen, substituted or unsubstituted C1-C15 alkyl, substituted or unsubstituted C1-C15 alkoxyl or substituted or unsubstituted C6-C30 aryl. 11. The method of claim 9, wherein the polishing stop layer comprises SiN, SiCN, SiON, SiOCN, SiOC or SiC. 12. The method of claim 11, wherein the CMP slurry composition further comprises CeO2 particles. 13. The method of claim 12, wherein an oxidizer is not present in the CMP slurry composition. 14. The method of claim 9, wherein the polishing stop layer comprises SiO2. 15. The method of claim 14, wherein the CMP slurry composition further comprises TiO2 or SiO2 particles. 16. A method of polishing a metal layer, comprising:
providing a substrate having two gate structures and a metal stack between the gate structures, wherein each of the gate structures has a gate electrode and a dielectric helmet over the gate electrode; sequentially forming a first dielectric layer and a second dielectric layer over the gate structures and the metal stack; forming an opening that penetrates through the first dielectric layer and the second dielectric layer and exposes the metal stack; forming a metal layer over the second dielectric layer and filling in the opening, wherein the metal layer comprises Ru; performing a first polishing operation with a first polishing slurry composition until the second dielectric layer is exposed; performing a second polishing operation with a second polishing slurry composition until the first dielectric layer is exposed; and performing a third polishing operation with a third polishing slurry composition until the dielectric helmet is exposed. 17. The method of claim 16, wherein the first polishing slurry composition comprises:
TiO2 particles; and an oxidant. 18. The method of claim 16, wherein the second polishing slurry composition comprises:
CeO2 particles; and a chelator, comprising a thiol compound or a thiolether compound. 19. The method of claim 18, wherein an oxidizer is not present in the second polishing slurry composition. 20. The method of claim 16, wherein the third polishing slurry composition comprises:
SiO2 particles; and an oxidant. | 2,600 |
348,378 | 16,643,815 | 2,698 | Disclosed is an ultrasonic osteotome bit, which comprises a bit bar (1), a bit tip (2), and a liquid injection portion (3), one end of the liquid injection portion (3) being connected to the bit bar (1) and the other end of the liquid injection portion (3) being connected to the bit tip (2), wherein the ultrasonic osteotome bit further comprises a hollow liquid injection channel (10), the hollow liquid injection channel (10) penetrates from a tail end of the bit bar (1) to the liquid injection portion (3) along an axial direction of the bit bar (1), the liquid injection portion (3) is provided with a transverse liquid guide channel (20) penetrating transversely, i.e. substantially perpendicular to an axis of the bit bar (1), the transverse liquid guide channel (20) is in communication with the hollow liquid injection channel (10), and the transverse liquid guide channel (20) forms openings in lateral faces of the liquid injection portion (3). The ultrasonic osteotome bit allows a cooling liquid to sufficiently flow to the bit without being excited or scattered by ultrasonic vibration, thereby ensuring that the bit tip (2) is sufficiently cooled during use. | 1. An ultrasonic osteotome bit comprising:
a bit bar (1) having a hollow liquid injection channel (10) penetrating from a tail end of the bit bar (1) along an axis of the bit bar (1), a bit tip (2) having wide planes (23), and a liquid injection portion (3), one end of the liquid injection portion (3) being connected to the bit bar (1) and the other end of the liquid injection portion (3) being connected to the bit tip (2), wherein the liquid injection portion (3) is provided with a transverse liquid guide channel (20) penetrating substantially perpendicular to an axis of the bit bar (1), the transverse liquid guide channel (20) is in communication with the hollow liquid injection channel (10), and the transverse liquid guide channel (20) forms openings in lateral faces of the liquid injection portion (3), wherein the liquid injection portion (3) is provided with a large part (31) and a small part (32) sequentially running from the bit bar (1) to the bit tip (2), and two liquid discharge faces (5) substantially parallel to the wide planes (23) of the bit tip (2) are formed on the small part (32). 2. The ultrasonic osteotome bit according to claim 1, wherein
the liquid injection portion (3) and the bit tip (2) have different thicknesses, and the liquid injection portion (3) is connected transitionally with the bit tip (2) via a wedge-shaped transition portion (4). 3. The ultrasonic osteotome bit according to claim 2, wherein
the angle between an inclined plane of the wedge-shaped transition portion (4) and an axis direction of the bit tip (2) is less than 10 degrees. 4. The ultrasonic osteotome bit according to claim 3, wherein
the bit tip (2) is in the shape of a planar sheet with two wide planes (23) being substantially parallel to each other, or in the shape of a planar wedge with two wide planes (23) forming an angle. 5. The ultrasonic osteotome bit according to claim 4, wherein
a cutting portion (22) is formed at a front end of the bit tip (2), and the cutting portion (22) is in the shape of a semicircle, a quarter circle hook, or a triangle. 6. The ultrasonic osteotome bit according to claim 4, wherein
the bit tip (2) is bent toward one side in a direction perpendicular to the wide planes (23) of the bit tip (2). 7. The ultrasonic osteotome bit according to claim 6, wherein the angle between the bit tip (2) and a central axis of the bit bar (1) is 0 to 30°. 8. The ultrasonic osteotome bit according to claim 4, wherein
the bit tip (2) is bent toward one side in a direction parallel to the wide planes (23) of the bit tip (2). 9. The ultrasonic osteotome bit according to claim 8, wherein
the angle between the bit tip (2) and a central axis of the bit bar (1) is 0 to 45°. 10. The ultrasonic osteotome bit according to claim 1, wherein
cutting teeth (21) are formed on one side or two sides of a blade of the bit tip (2). 11. The ultrasonic osteotome bit according to claim 10, wherein the cutting teeth (21) are sharp teeth or square teeth, and tooth tips of the cutting teeth (21) all protrude forward in an axial direction of the bit bar (1). 12. (canceled) 13. The ultrasonic osteotome bit according to claim 1, wherein
the liquid injection portion (3) is cylindrical in shape. 14. The ultrasonic osteotome bit according to claim 1, wherein
the two liquid discharge faces (5) are substantially parallel to each other. 15. The ultrasonic osteotome bit according to claim 1, wherein
the transverse liquid guide channel (20) forms openings in the two liquid discharge faces (5) respectively. 16. The ultrasonic osteotome bit according to claim 4, wherein
lateral faces of the planar wedge that are perpendicular to the two wide planes (23) are isosceles trapezoidal or right-angled trapezoidal in shape. 17. The ultrasonic osteotome bit according to claim 7, wherein
the bent part of the bit tip (2) is at the wedge-shaped transition portion (4). 18. The ultrasonic osteotome bit according to claim 10, wherein
the bent part of the bit tip (2) is at the wedge-shaped transition portion (4). | Disclosed is an ultrasonic osteotome bit, which comprises a bit bar (1), a bit tip (2), and a liquid injection portion (3), one end of the liquid injection portion (3) being connected to the bit bar (1) and the other end of the liquid injection portion (3) being connected to the bit tip (2), wherein the ultrasonic osteotome bit further comprises a hollow liquid injection channel (10), the hollow liquid injection channel (10) penetrates from a tail end of the bit bar (1) to the liquid injection portion (3) along an axial direction of the bit bar (1), the liquid injection portion (3) is provided with a transverse liquid guide channel (20) penetrating transversely, i.e. substantially perpendicular to an axis of the bit bar (1), the transverse liquid guide channel (20) is in communication with the hollow liquid injection channel (10), and the transverse liquid guide channel (20) forms openings in lateral faces of the liquid injection portion (3). The ultrasonic osteotome bit allows a cooling liquid to sufficiently flow to the bit without being excited or scattered by ultrasonic vibration, thereby ensuring that the bit tip (2) is sufficiently cooled during use.1. An ultrasonic osteotome bit comprising:
a bit bar (1) having a hollow liquid injection channel (10) penetrating from a tail end of the bit bar (1) along an axis of the bit bar (1), a bit tip (2) having wide planes (23), and a liquid injection portion (3), one end of the liquid injection portion (3) being connected to the bit bar (1) and the other end of the liquid injection portion (3) being connected to the bit tip (2), wherein the liquid injection portion (3) is provided with a transverse liquid guide channel (20) penetrating substantially perpendicular to an axis of the bit bar (1), the transverse liquid guide channel (20) is in communication with the hollow liquid injection channel (10), and the transverse liquid guide channel (20) forms openings in lateral faces of the liquid injection portion (3), wherein the liquid injection portion (3) is provided with a large part (31) and a small part (32) sequentially running from the bit bar (1) to the bit tip (2), and two liquid discharge faces (5) substantially parallel to the wide planes (23) of the bit tip (2) are formed on the small part (32). 2. The ultrasonic osteotome bit according to claim 1, wherein
the liquid injection portion (3) and the bit tip (2) have different thicknesses, and the liquid injection portion (3) is connected transitionally with the bit tip (2) via a wedge-shaped transition portion (4). 3. The ultrasonic osteotome bit according to claim 2, wherein
the angle between an inclined plane of the wedge-shaped transition portion (4) and an axis direction of the bit tip (2) is less than 10 degrees. 4. The ultrasonic osteotome bit according to claim 3, wherein
the bit tip (2) is in the shape of a planar sheet with two wide planes (23) being substantially parallel to each other, or in the shape of a planar wedge with two wide planes (23) forming an angle. 5. The ultrasonic osteotome bit according to claim 4, wherein
a cutting portion (22) is formed at a front end of the bit tip (2), and the cutting portion (22) is in the shape of a semicircle, a quarter circle hook, or a triangle. 6. The ultrasonic osteotome bit according to claim 4, wherein
the bit tip (2) is bent toward one side in a direction perpendicular to the wide planes (23) of the bit tip (2). 7. The ultrasonic osteotome bit according to claim 6, wherein the angle between the bit tip (2) and a central axis of the bit bar (1) is 0 to 30°. 8. The ultrasonic osteotome bit according to claim 4, wherein
the bit tip (2) is bent toward one side in a direction parallel to the wide planes (23) of the bit tip (2). 9. The ultrasonic osteotome bit according to claim 8, wherein
the angle between the bit tip (2) and a central axis of the bit bar (1) is 0 to 45°. 10. The ultrasonic osteotome bit according to claim 1, wherein
cutting teeth (21) are formed on one side or two sides of a blade of the bit tip (2). 11. The ultrasonic osteotome bit according to claim 10, wherein the cutting teeth (21) are sharp teeth or square teeth, and tooth tips of the cutting teeth (21) all protrude forward in an axial direction of the bit bar (1). 12. (canceled) 13. The ultrasonic osteotome bit according to claim 1, wherein
the liquid injection portion (3) is cylindrical in shape. 14. The ultrasonic osteotome bit according to claim 1, wherein
the two liquid discharge faces (5) are substantially parallel to each other. 15. The ultrasonic osteotome bit according to claim 1, wherein
the transverse liquid guide channel (20) forms openings in the two liquid discharge faces (5) respectively. 16. The ultrasonic osteotome bit according to claim 4, wherein
lateral faces of the planar wedge that are perpendicular to the two wide planes (23) are isosceles trapezoidal or right-angled trapezoidal in shape. 17. The ultrasonic osteotome bit according to claim 7, wherein
the bent part of the bit tip (2) is at the wedge-shaped transition portion (4). 18. The ultrasonic osteotome bit according to claim 10, wherein
the bent part of the bit tip (2) is at the wedge-shaped transition portion (4). | 2,600 |
348,379 | 16,805,867 | 2,698 | A memory device that includes a memory array, a program circuit, a verify circuit and a controller is introduced. The program circuit is configured to apply a program pulse to at least one memory cell to set the at least one memory cell to a target state. The verify circuit is configured to perform a verify operation to determine whether the at least one memory cell reaches the predetermine threshold and to determine a number of failed memory cells among the at least one memory cell in response to determining that at least one of the at least one memory cell does not reach the target state. The controller is configured to adjust the program pulse according to the number of the failed memory cells to generate an adjusted program pulse that is applied to the failed memory cells to set the failed memory cells to the target state. | 1. An operating method of a memory device having a plurality of memory cells, the operating method comprising:
applying a program pulse to at least one memory cell among the plurality of memory cells to set the at least one memory cell to a target state; performing a verify operation to determine whether the at least one memory cell reaches the target state after applying the program pulse; determining a number of failed memory cells among the at least one memory cell in response to determining that at least one of the at least one memory cell does not reach the target state, wherein the failed memory cells are memory cells that do not reach the target state after applying the program pulse; adjusting the program pulse according to the number of the failed memory cells to generate an adjusted program pulse; and applying the adjusted program pulse to the failed memory cells to set the failed memory cells to the target state. 2. The operating method of claim 1, wherein adjusting the program pulse according to the number of the failed memory cells comprises:
adjusting at least one of a pulse-width of the program pulse and an amplitude of the program pulse according to the number of the failed memory cells to generate adjusted program pulse. 3. The operating method of claim 2, wherein adjusting the at least one of the pulse-width of the program pulse and the amplitude of the program pulse comprises:
increasing the at least one of the pulse width of the program pulse and the amplitude of the program pulse as the number of the failed memory cells increases, and decreasing the at least one of the pulse width of the program pulse and the amplitude of the program pulse as the number of the failed memory cells decreases. 4. The operating method of claim 1, wherein performing the verify operation and determining the number of failed memory cells comprise:
applying a single-level verify reference voltage to the at least one memory cell to determine whether the at least one memory cell reaches the target state and to count the number of the failed memory cells. 5. The operating method of claim 1, wherein performing the verify operation comprises:
applying a multi-level verify reference voltage to the at least one memory cell to determine whether the at least one memory cell reaches the target state and to count the number of the failed memory cells, wherein the method further comprising:
classifying the failed memory cells to a plurality of cell classification based on distances from the failed memory cells to the target state in response to determining that the at least one of the at least one memory cell does not reach the target state. 6. The operating method of claim 5, wherein adjusting the program pulse according to the number of the failed memory cells to generate an adjusted program pulse comprises:
adjusting the program pulse for the failed memory cells based on the number of failed cells and the distances from the failed memory cells to the target state. 7. The operating method of claim 5, wherein
the plurality of cell classifications includes a first cell classification and a second cell classification, and the program pulse is adjusted to generate a first adjusted program pulse for the first cell classification and a second adjusted program pulse for the second cell classification. 8. The operating method of claim 7, wherein
the first cell classification includes a first failed memory cell, the second cell classification includes a second failed memory cell, a first distance from the first failed memory cell to the target state is different from a second distance from the second failed memory cell to the target state, the first adjusted program pulse for the first cell classification is determined according to at least one of the number of failed memory cells and the first distance, and the second adjusted program pulse for the second cell classification is determined according to at least one of the number of failed memory cells the second distance. 9. An operating method of a memory device having a plurality of memory cells, the method comprising:
applying a program pulse to at least one memory cell among the plurality of memory cells to set the at least one memory cell to a target state; performing a verify operation to determine whether the at least one memory cell reaches the target state after applying the program pulse; classifying failed memory cells to a plurality of cell classifications based on distances from the failed memory cells to the target state in response to determining that at least one of the at least one memory cell does not reach the target state, wherein the failed memory cells are memory cells that do not reach the target state after applying the program pulse; adjusting the program pulse to generate an adjusted program pulse for each of the plurality of cell classifications according to the distances from the failed memory cells to the target state; and applying the adjusted program pulse that is generated for a cell classification among the plurality of cell classifications to the failed memory cells of the cell classification. 10. The operating method of claim 9, wherein adjusting the program pulse to generate the adjusted program pulse for each of the plurality of cell classifications according to the distances from the failed memory cells to the target state comprises:
adjusting at least one of a pulse-width of the program pulse and an amplitude of the program pulse according to the distances from the failed memory cells to the target state. 11. The operating method of claim 10, wherein adjusting the at least one of the pulse-width of the program pulse and the amplitude of the program pulse comprises:
increasing the at least one of the pulse width of the program pulse and the amplitude of the program pulse as distances from the failed memory cells to the target state increase; and decreasing the at least one of the pulse width of the program pulse and the amplitude of the program pulse as the distances from the failed memory cells to the target state decrease. 12. The operating method of claim 10, wherein the plurality of cell classification includes a first cell classification and a second cell classification, and
the program pulse is adjusted to generate a first adjusted program pulse for the first cell classification and a second adjusted program pulse for the second cell classification. 13. The operating method of claim 12, wherein
the first cell classification includes a first failed memory cell, the second cell classification includes a second failed memory cell, a first distance from the first failed memory cell to the target state is different from a second distance from the second failed memory cell to the target state, the first adjusted program pulse for the first cell classification is determined according to at least one of the number of failed memory cells and the first distance, and the second adjusted program pulse for the second cell classification is determined according to at least one of the number of failed memory cells the second distance. 14. The operating method of claim 12, further comprising:
counting a number of failed memory cells in the verify operation in response to determining that the at least one of the at least one memory cell does not reach the targets state, wherein the adjusted program pulse is generated based on distances from the failed memory cells to the target state and the number of failed memory cells. 15. A memory device, comprising:
a memory array, comprising a plurality of memory cells; a program circuit, coupled to the memory array, and configured to apply a program pulse to at least one memory cell among the plurality of memory cells to set the at least one memory cell to a target state; a verify circuit, coupled to the memory array, and configured to perform a verify operation to determine whether the at least one memory cell reaches the predetermine threshold and to determine a number of failed memory cells among the at least one memory cell in response to determining that at least one of the at least one memory cell does not reach the target state, wherein the failed memory cells are memory cells that do not reach the target state after applying the program pulse; and a controller, coupled to the verify circuit, configured to adjust the program pulse according to the number of the failed memory cells to generate an adjusted program pulse, wherein the program circuit is further configured to apply the adjusted program pulse to the failed memory cells to set the failed memory cells to the target state. 16. The memory device of claim 15, wherein
the controller is configured to adjust at least one of a pulse-width of the program pulse and an amplitude of the program pulse according to the number of the failed memory cells to generate adjusted program pulse. 17. The memory device of claim 15, wherein
the verify circuit is configured to apply a single-level verify reference voltage to the at least one memory cell to determine the number of the failed memory cells. 18. The memory device of claim 15, wherein
the verify circuit is configured to apply a multi-level verify reference voltage to the at least one memory cell, and the controller is configured to:
classify the failed memory cells to a plurality of cell classifications based on distances from the failed memory cells to the target state, and
adjust the program pulse for each of the cell classification based on at least one of the number of failed cells and the distances from the failed memory cells to the target state. 19. The memory device of claim 18, wherein
the plurality of cell classifications includes a first cell classification and a second cell classification, and the controller is configured to adjust the program pulse to generate a first adjusted program pulse for the first cell classification and a second adjusted program pulse for the second cell classification. 20. The memory device of claim 19, wherein
the first cell classification includes a first failed memory cell, the second cell classification includes a second failed memory cell, a first distance from the first failed memory cell to the target state is different from a second distance from the second failed memory cell to the target state, the first adjusted program pulse for the first cell classification is determined according to at least one of the number of failed memory cells and the first distance, and the second adjusted program pulse for the second cell classification is determined according to at least one of the number of failed memory cells the second distance. | A memory device that includes a memory array, a program circuit, a verify circuit and a controller is introduced. The program circuit is configured to apply a program pulse to at least one memory cell to set the at least one memory cell to a target state. The verify circuit is configured to perform a verify operation to determine whether the at least one memory cell reaches the predetermine threshold and to determine a number of failed memory cells among the at least one memory cell in response to determining that at least one of the at least one memory cell does not reach the target state. The controller is configured to adjust the program pulse according to the number of the failed memory cells to generate an adjusted program pulse that is applied to the failed memory cells to set the failed memory cells to the target state.1. An operating method of a memory device having a plurality of memory cells, the operating method comprising:
applying a program pulse to at least one memory cell among the plurality of memory cells to set the at least one memory cell to a target state; performing a verify operation to determine whether the at least one memory cell reaches the target state after applying the program pulse; determining a number of failed memory cells among the at least one memory cell in response to determining that at least one of the at least one memory cell does not reach the target state, wherein the failed memory cells are memory cells that do not reach the target state after applying the program pulse; adjusting the program pulse according to the number of the failed memory cells to generate an adjusted program pulse; and applying the adjusted program pulse to the failed memory cells to set the failed memory cells to the target state. 2. The operating method of claim 1, wherein adjusting the program pulse according to the number of the failed memory cells comprises:
adjusting at least one of a pulse-width of the program pulse and an amplitude of the program pulse according to the number of the failed memory cells to generate adjusted program pulse. 3. The operating method of claim 2, wherein adjusting the at least one of the pulse-width of the program pulse and the amplitude of the program pulse comprises:
increasing the at least one of the pulse width of the program pulse and the amplitude of the program pulse as the number of the failed memory cells increases, and decreasing the at least one of the pulse width of the program pulse and the amplitude of the program pulse as the number of the failed memory cells decreases. 4. The operating method of claim 1, wherein performing the verify operation and determining the number of failed memory cells comprise:
applying a single-level verify reference voltage to the at least one memory cell to determine whether the at least one memory cell reaches the target state and to count the number of the failed memory cells. 5. The operating method of claim 1, wherein performing the verify operation comprises:
applying a multi-level verify reference voltage to the at least one memory cell to determine whether the at least one memory cell reaches the target state and to count the number of the failed memory cells, wherein the method further comprising:
classifying the failed memory cells to a plurality of cell classification based on distances from the failed memory cells to the target state in response to determining that the at least one of the at least one memory cell does not reach the target state. 6. The operating method of claim 5, wherein adjusting the program pulse according to the number of the failed memory cells to generate an adjusted program pulse comprises:
adjusting the program pulse for the failed memory cells based on the number of failed cells and the distances from the failed memory cells to the target state. 7. The operating method of claim 5, wherein
the plurality of cell classifications includes a first cell classification and a second cell classification, and the program pulse is adjusted to generate a first adjusted program pulse for the first cell classification and a second adjusted program pulse for the second cell classification. 8. The operating method of claim 7, wherein
the first cell classification includes a first failed memory cell, the second cell classification includes a second failed memory cell, a first distance from the first failed memory cell to the target state is different from a second distance from the second failed memory cell to the target state, the first adjusted program pulse for the first cell classification is determined according to at least one of the number of failed memory cells and the first distance, and the second adjusted program pulse for the second cell classification is determined according to at least one of the number of failed memory cells the second distance. 9. An operating method of a memory device having a plurality of memory cells, the method comprising:
applying a program pulse to at least one memory cell among the plurality of memory cells to set the at least one memory cell to a target state; performing a verify operation to determine whether the at least one memory cell reaches the target state after applying the program pulse; classifying failed memory cells to a plurality of cell classifications based on distances from the failed memory cells to the target state in response to determining that at least one of the at least one memory cell does not reach the target state, wherein the failed memory cells are memory cells that do not reach the target state after applying the program pulse; adjusting the program pulse to generate an adjusted program pulse for each of the plurality of cell classifications according to the distances from the failed memory cells to the target state; and applying the adjusted program pulse that is generated for a cell classification among the plurality of cell classifications to the failed memory cells of the cell classification. 10. The operating method of claim 9, wherein adjusting the program pulse to generate the adjusted program pulse for each of the plurality of cell classifications according to the distances from the failed memory cells to the target state comprises:
adjusting at least one of a pulse-width of the program pulse and an amplitude of the program pulse according to the distances from the failed memory cells to the target state. 11. The operating method of claim 10, wherein adjusting the at least one of the pulse-width of the program pulse and the amplitude of the program pulse comprises:
increasing the at least one of the pulse width of the program pulse and the amplitude of the program pulse as distances from the failed memory cells to the target state increase; and decreasing the at least one of the pulse width of the program pulse and the amplitude of the program pulse as the distances from the failed memory cells to the target state decrease. 12. The operating method of claim 10, wherein the plurality of cell classification includes a first cell classification and a second cell classification, and
the program pulse is adjusted to generate a first adjusted program pulse for the first cell classification and a second adjusted program pulse for the second cell classification. 13. The operating method of claim 12, wherein
the first cell classification includes a first failed memory cell, the second cell classification includes a second failed memory cell, a first distance from the first failed memory cell to the target state is different from a second distance from the second failed memory cell to the target state, the first adjusted program pulse for the first cell classification is determined according to at least one of the number of failed memory cells and the first distance, and the second adjusted program pulse for the second cell classification is determined according to at least one of the number of failed memory cells the second distance. 14. The operating method of claim 12, further comprising:
counting a number of failed memory cells in the verify operation in response to determining that the at least one of the at least one memory cell does not reach the targets state, wherein the adjusted program pulse is generated based on distances from the failed memory cells to the target state and the number of failed memory cells. 15. A memory device, comprising:
a memory array, comprising a plurality of memory cells; a program circuit, coupled to the memory array, and configured to apply a program pulse to at least one memory cell among the plurality of memory cells to set the at least one memory cell to a target state; a verify circuit, coupled to the memory array, and configured to perform a verify operation to determine whether the at least one memory cell reaches the predetermine threshold and to determine a number of failed memory cells among the at least one memory cell in response to determining that at least one of the at least one memory cell does not reach the target state, wherein the failed memory cells are memory cells that do not reach the target state after applying the program pulse; and a controller, coupled to the verify circuit, configured to adjust the program pulse according to the number of the failed memory cells to generate an adjusted program pulse, wherein the program circuit is further configured to apply the adjusted program pulse to the failed memory cells to set the failed memory cells to the target state. 16. The memory device of claim 15, wherein
the controller is configured to adjust at least one of a pulse-width of the program pulse and an amplitude of the program pulse according to the number of the failed memory cells to generate adjusted program pulse. 17. The memory device of claim 15, wherein
the verify circuit is configured to apply a single-level verify reference voltage to the at least one memory cell to determine the number of the failed memory cells. 18. The memory device of claim 15, wherein
the verify circuit is configured to apply a multi-level verify reference voltage to the at least one memory cell, and the controller is configured to:
classify the failed memory cells to a plurality of cell classifications based on distances from the failed memory cells to the target state, and
adjust the program pulse for each of the cell classification based on at least one of the number of failed cells and the distances from the failed memory cells to the target state. 19. The memory device of claim 18, wherein
the plurality of cell classifications includes a first cell classification and a second cell classification, and the controller is configured to adjust the program pulse to generate a first adjusted program pulse for the first cell classification and a second adjusted program pulse for the second cell classification. 20. The memory device of claim 19, wherein
the first cell classification includes a first failed memory cell, the second cell classification includes a second failed memory cell, a first distance from the first failed memory cell to the target state is different from a second distance from the second failed memory cell to the target state, the first adjusted program pulse for the first cell classification is determined according to at least one of the number of failed memory cells and the first distance, and the second adjusted program pulse for the second cell classification is determined according to at least one of the number of failed memory cells the second distance. | 2,600 |
348,380 | 16,805,842 | 2,698 | The present invention relates to a superhydrophobic coating film in which an aerogel nanocomposite is coated on a substrate to maximize water-repellent properties and durability, and a producing method thereof. According to one embodiment of the present invention, the method of producing the superhydrophobic coating film using the aerogel nanocomposite includes (a) preparing a hydrophobic aerogel, (b) preparing a water-repellent solution by dissolving the hydrophobic aerogel in a hydrophobic inorganic nano-sol, (c) applying the water-repellent solution on at least one surface of a substrate, and (d) drying the substrate. | 1. A method of producing a superhydrophobic coating film, the method comprising the steps of:
(a) preparing a hydrophobic aerogel; (b) preparing a water-repellent solution by dissolving the hydrophobic aerogel in a hydrophobic inorganic nano-sol; (c) applying the water-repellent solution on at least one surface of a substrate; and (d) drying the substrate. 2. The method of claim 1, wherein step (a) comprises the steps of:
(a1) performing surface treatment to modify a surface of a hydrophilic wet gel; and (a2) drying the surface-modified wet gel under atmospheric pressure. 3. The method of claim 2, wherein the surface treatment is performed using at least one surface treating agent selected from the group consisting of a silane group compound, a siloxane group compound, a silanol group compound, a silazane group compound, and the like. 4. The method of claim 2, wherein the content of the hydrophobic aerogel ranges from 3 wt % to 17.5 wt %. 5. The method of claim 2, wherein the content of the hydrophobic aerogel ranges from 7.5 wt % to 17.5 wt %. 6. The method of claim 1, wherein step (a) includes a step of drying a hydrophilic aerogel using a supercritical fluid. 7. The method of claim 6, wherein the content of the hydrophobic aerogel ranges from 7.5 wt % to 17.5 wt %. 8. The method of claim 1, wherein step (c) comprises the steps of:
(c1) forming a primer layer by applying a hydrophobic inorganic nano-sol on at least one surface of the substrate; and (c2) applying the water-repellent solution on a top of the primer layer. 9. The method of claim 1, wherein step (c) is performed using one or more methods out of brush coating, spin coating, spray coating, and dip coating. 10. The method of claim 1, wherein the hydrophobic inorganic nano-sol is a silica sol or a silica-hybrid sol. 11. The method of claim 1, wherein the hydrophobic inorganic nano-sol includes one or more of methyltrimethoxysilane, methyltrichlorosilane, ethyltrimethoxysilane, ethyltriethoxysilane, phenyltrimethoxysilane), phenyltrichlorosilane, phenylaminopropyltrimethoxysilane, octyltrimethoxysilane, octyltrichlorosilane, octadecyltrimethoxysilane, octadecyltrichlorosilane, propyltrimethoxysilane, n-propyltriethoxysilane, isopropyltriethoxysilane, isobutyltrimethoxysilane, vinyltriethyloxy silane, vinyltrimethoxysilane, and allyltrimethoxysilane. 12. The method of claim 1, wherein the substrate is made of wood, glass, aluminum foil, copper plate, or polyethylene terephthalate (PET). 13. The method of claim 1, further comprising, before step (c), a step of pretreating the substrate. 14. The method of claim 1, wherein step (d) is performed in a temperature range of 20 to 150° C. for 1 hour. 15. The method of claim 1, further comprising, after step (d), a step of removing particles that are not fixed to the surface of the substrate. 16. The method of claim 1, wherein a specific surface area of the hydrophobic aerogel ranges from 100 m2/g to 1200 m2/g. 17. A superhydrophobic coating film produced by the method of claim 1, comprising:
a substrate; and a water-repellent layer which is formed on at least one surface of the substrate and includes a hydrophobic aerogel and a hydrophobic inorganic nano-sol. 18. The superhydrophobic coating film of claim 17, wherein a contact angle of the water-repellent layer ranges from 100° to 160°. 19. The superhydrophobic coating film of claim 18, wherein the contact angle of the water-repellent layer remains in the range from 100° to 160° after being heated by flame at a temperature of 550° C. or higher. 20. The superhydrophobic coating film of claim 17, wherein a thickness of the water-repellent layer ranges from 1 μm to 100 μm. 21. The superhydrophobic coating film of claim 17, further comprising a primer layer which is formed by curing the hydrophobic inorganic nano-sol on the at least one surface of the substrate,
wherein the water-repellent layer is formed on a top of the primer layer. | The present invention relates to a superhydrophobic coating film in which an aerogel nanocomposite is coated on a substrate to maximize water-repellent properties and durability, and a producing method thereof. According to one embodiment of the present invention, the method of producing the superhydrophobic coating film using the aerogel nanocomposite includes (a) preparing a hydrophobic aerogel, (b) preparing a water-repellent solution by dissolving the hydrophobic aerogel in a hydrophobic inorganic nano-sol, (c) applying the water-repellent solution on at least one surface of a substrate, and (d) drying the substrate.1. A method of producing a superhydrophobic coating film, the method comprising the steps of:
(a) preparing a hydrophobic aerogel; (b) preparing a water-repellent solution by dissolving the hydrophobic aerogel in a hydrophobic inorganic nano-sol; (c) applying the water-repellent solution on at least one surface of a substrate; and (d) drying the substrate. 2. The method of claim 1, wherein step (a) comprises the steps of:
(a1) performing surface treatment to modify a surface of a hydrophilic wet gel; and (a2) drying the surface-modified wet gel under atmospheric pressure. 3. The method of claim 2, wherein the surface treatment is performed using at least one surface treating agent selected from the group consisting of a silane group compound, a siloxane group compound, a silanol group compound, a silazane group compound, and the like. 4. The method of claim 2, wherein the content of the hydrophobic aerogel ranges from 3 wt % to 17.5 wt %. 5. The method of claim 2, wherein the content of the hydrophobic aerogel ranges from 7.5 wt % to 17.5 wt %. 6. The method of claim 1, wherein step (a) includes a step of drying a hydrophilic aerogel using a supercritical fluid. 7. The method of claim 6, wherein the content of the hydrophobic aerogel ranges from 7.5 wt % to 17.5 wt %. 8. The method of claim 1, wherein step (c) comprises the steps of:
(c1) forming a primer layer by applying a hydrophobic inorganic nano-sol on at least one surface of the substrate; and (c2) applying the water-repellent solution on a top of the primer layer. 9. The method of claim 1, wherein step (c) is performed using one or more methods out of brush coating, spin coating, spray coating, and dip coating. 10. The method of claim 1, wherein the hydrophobic inorganic nano-sol is a silica sol or a silica-hybrid sol. 11. The method of claim 1, wherein the hydrophobic inorganic nano-sol includes one or more of methyltrimethoxysilane, methyltrichlorosilane, ethyltrimethoxysilane, ethyltriethoxysilane, phenyltrimethoxysilane), phenyltrichlorosilane, phenylaminopropyltrimethoxysilane, octyltrimethoxysilane, octyltrichlorosilane, octadecyltrimethoxysilane, octadecyltrichlorosilane, propyltrimethoxysilane, n-propyltriethoxysilane, isopropyltriethoxysilane, isobutyltrimethoxysilane, vinyltriethyloxy silane, vinyltrimethoxysilane, and allyltrimethoxysilane. 12. The method of claim 1, wherein the substrate is made of wood, glass, aluminum foil, copper plate, or polyethylene terephthalate (PET). 13. The method of claim 1, further comprising, before step (c), a step of pretreating the substrate. 14. The method of claim 1, wherein step (d) is performed in a temperature range of 20 to 150° C. for 1 hour. 15. The method of claim 1, further comprising, after step (d), a step of removing particles that are not fixed to the surface of the substrate. 16. The method of claim 1, wherein a specific surface area of the hydrophobic aerogel ranges from 100 m2/g to 1200 m2/g. 17. A superhydrophobic coating film produced by the method of claim 1, comprising:
a substrate; and a water-repellent layer which is formed on at least one surface of the substrate and includes a hydrophobic aerogel and a hydrophobic inorganic nano-sol. 18. The superhydrophobic coating film of claim 17, wherein a contact angle of the water-repellent layer ranges from 100° to 160°. 19. The superhydrophobic coating film of claim 18, wherein the contact angle of the water-repellent layer remains in the range from 100° to 160° after being heated by flame at a temperature of 550° C. or higher. 20. The superhydrophobic coating film of claim 17, wherein a thickness of the water-repellent layer ranges from 1 μm to 100 μm. 21. The superhydrophobic coating film of claim 17, further comprising a primer layer which is formed by curing the hydrophobic inorganic nano-sol on the at least one surface of the substrate,
wherein the water-repellent layer is formed on a top of the primer layer. | 2,600 |
348,381 | 16,805,871 | 2,698 | A DC-DC converter and a DC-DC converter operation method are provided. The DC-DC converter includes a power stage, an error amplifier, a pulse width modulation (PWM) generator, and a gate controller. The power stage includes a first transistor and a second transistor. The power stage is configured to generate an output at a first node. The error amplifier is configured to receive the output from the first node and generates an error signal. The PWM generator is configured to receive the error signal from the error amplifier and generates a pulse width modulation signal. The gate controller includes a plurality of voltage dividers and a comparator. The voltage dividers are configured to perform a voltage division on the first node and a second node to generate a first voltage and a second voltage. The first node is an output node of the DC-DC converter and the second node is a node between the first transistor and the second transistor of the DC-DC converter. The comparator is configured to compare the first voltage and the second voltage to generate a turn-on time signal of the first transistor according to a comparison result. | 1. A DC-DC converter comprising:
a power stage, comprises a first transistor and a second transistor, wherein the power stage is configured to generate an output at a first node; an error amplifier, configured to receive the output from the first node and generates an error signal; a pulse width modulation (PWM) generator, configured to receive the error signal from the error amplifier and generates a pulse width modulation signal; and a gate controller comprising:
a plurality of voltage dividers, configured to perform a voltage division on the first node and a second node to generate a first voltage and a second voltage,
wherein the first node is an output node of the DC-DC converter and the second node is a node between the first transistor and the second transistor of the DC-DC converter; and
a comparator, configured to compare the first voltage and the second voltage to generate a turn-on time signal of the first transistor according to a comparison result. 2. The DC-DC converter of claim 1, wherein the turn-on time signal of the first transistor is generated when the second voltage is higher than the first voltage. 3. The DC-DC converter of claim 1, wherein the turn-on time signal of the first transistor is generated when a voltage at the second node is higher than the product of a voltage at the first node and a predetermined value. 4. The DC-DC converter of claim 1, further comprising:
a logic circuit, configured to receive the comparison result of the comparator and a first non-overlap signal from the DC-DC converter to generate the turn-on time signal of the first transistor. 5. The DC-DC converter of claim 1, further comprising:
an inverter configured to receive the first pulse width modulated signal and generates a delay signal; and a logic circuit, configured to receive the comparison result of the comparator and the delay signal from the inverter to generate the turn-on time signal of the first transistor. 6. The DC-DC converter of claim 1, wherein the first transistor is a high-side power transistor of the DC-DC converter and the second transistor is a low-side power transistor of the DC-DC converter. 7. A DC-DC converter operation method comprising:
receiving an output from a first node and generates an error signal; after receiving the error signal, generating a pulse width modulation signal; after receiving the pulse width modulation signal, performing a voltage division on the first node and a second node to generate a first voltage and a second voltage by a plurality of voltage dividers; and comparing the first voltage and the second voltage to generate a turn-on time signal of a first transistor according to a comparison result and the pulse width modulation signal. 8. The method of claim 7, further comprising:
generating the turn-on time signal of the first transistor when the second voltage is higher than the first voltage. 9. The method of claim 7, further comprising:
generating the turn-on time signal of the first transistor when a voltage at the second node is higher than the product of a voltage at the first node and a predetermined value. 10. The method of claim 7, further comprising:
receiving the pulse width modulation signal and generates a first non-overlap signal to the first transistor and a second non-overlap signal to the second transistor by a non-overlap circuit, wherein the first non-overlap signal and the second non-overlap signal do not overlap each other. 11. The method of claim 7, further comprising:
receiving the comparison result and a first non-overlap signal to generate the turn-on time signal of the first transistor by a logic circuit. 12. The method of claim 7, further comprising:
receiving the pulse width modulation signal and generates a delay signal for the first transistor by an inverter; and receiving the comparison result and the delay signal to generate the turn-on time signal of the first transistor by a logic circuit. 13. The method of claim 7, wherein the first transistor is a high side power transistor of the DC-DC converter. 14. A DC-DC converter comprising:
a power stage comprises a first transistor and a second transistor, wherein the power stage is configured to generate an output at a first node; an error amplifier, configured to receive the output from the first node and generates an error signal; a pulse width modulation (PWM) generator, configured to receive the error signal from the error amplifier and generates a pulse width modulation signal; and a gate controller comprising:
a current mirror, configured to sense a first current on a node between a first transistor and a second transistor of the DC-DC converter and compares the first current and an output current to generate a turn-on time signal of the first transistor according to a comparison result. 15. The DC-DC converter of claim 14, wherein the turn-on time signal of the first transistor is generated when the first current is higher than the output current. 16. The DC-DC converter of claim 15, wherein when the second voltage is higher than the first voltage,
the first terminal of the diode is clamped to a first predetermined voltage to generate the turn-on time signal of the first transistor. 17. The DC-DC converter of claim 14, further comprising:
a first diode, comprising a first terminal and a second terminal, wherein the first terminal is coupled to an output node of the comparator and the second terminal is coupled to a ground. 18. The DC-DC converter of claim 17, wherein when the second voltage is higher than the first voltage,
the first terminal of the diode is clamped to a first predetermined voltage to generate the turn-on time signal of the first transistor. 19. The DC-DC converter of claim 17, wherein the first diode is a Zener diode. 20. The DC-DC converter of claim 14, wherein the turn-on time signal of the first transistor is generated when a voltage at the node is higher than the product of the predetermined reference current and a first resistor. | A DC-DC converter and a DC-DC converter operation method are provided. The DC-DC converter includes a power stage, an error amplifier, a pulse width modulation (PWM) generator, and a gate controller. The power stage includes a first transistor and a second transistor. The power stage is configured to generate an output at a first node. The error amplifier is configured to receive the output from the first node and generates an error signal. The PWM generator is configured to receive the error signal from the error amplifier and generates a pulse width modulation signal. The gate controller includes a plurality of voltage dividers and a comparator. The voltage dividers are configured to perform a voltage division on the first node and a second node to generate a first voltage and a second voltage. The first node is an output node of the DC-DC converter and the second node is a node between the first transistor and the second transistor of the DC-DC converter. The comparator is configured to compare the first voltage and the second voltage to generate a turn-on time signal of the first transistor according to a comparison result.1. A DC-DC converter comprising:
a power stage, comprises a first transistor and a second transistor, wherein the power stage is configured to generate an output at a first node; an error amplifier, configured to receive the output from the first node and generates an error signal; a pulse width modulation (PWM) generator, configured to receive the error signal from the error amplifier and generates a pulse width modulation signal; and a gate controller comprising:
a plurality of voltage dividers, configured to perform a voltage division on the first node and a second node to generate a first voltage and a second voltage,
wherein the first node is an output node of the DC-DC converter and the second node is a node between the first transistor and the second transistor of the DC-DC converter; and
a comparator, configured to compare the first voltage and the second voltage to generate a turn-on time signal of the first transistor according to a comparison result. 2. The DC-DC converter of claim 1, wherein the turn-on time signal of the first transistor is generated when the second voltage is higher than the first voltage. 3. The DC-DC converter of claim 1, wherein the turn-on time signal of the first transistor is generated when a voltage at the second node is higher than the product of a voltage at the first node and a predetermined value. 4. The DC-DC converter of claim 1, further comprising:
a logic circuit, configured to receive the comparison result of the comparator and a first non-overlap signal from the DC-DC converter to generate the turn-on time signal of the first transistor. 5. The DC-DC converter of claim 1, further comprising:
an inverter configured to receive the first pulse width modulated signal and generates a delay signal; and a logic circuit, configured to receive the comparison result of the comparator and the delay signal from the inverter to generate the turn-on time signal of the first transistor. 6. The DC-DC converter of claim 1, wherein the first transistor is a high-side power transistor of the DC-DC converter and the second transistor is a low-side power transistor of the DC-DC converter. 7. A DC-DC converter operation method comprising:
receiving an output from a first node and generates an error signal; after receiving the error signal, generating a pulse width modulation signal; after receiving the pulse width modulation signal, performing a voltage division on the first node and a second node to generate a first voltage and a second voltage by a plurality of voltage dividers; and comparing the first voltage and the second voltage to generate a turn-on time signal of a first transistor according to a comparison result and the pulse width modulation signal. 8. The method of claim 7, further comprising:
generating the turn-on time signal of the first transistor when the second voltage is higher than the first voltage. 9. The method of claim 7, further comprising:
generating the turn-on time signal of the first transistor when a voltage at the second node is higher than the product of a voltage at the first node and a predetermined value. 10. The method of claim 7, further comprising:
receiving the pulse width modulation signal and generates a first non-overlap signal to the first transistor and a second non-overlap signal to the second transistor by a non-overlap circuit, wherein the first non-overlap signal and the second non-overlap signal do not overlap each other. 11. The method of claim 7, further comprising:
receiving the comparison result and a first non-overlap signal to generate the turn-on time signal of the first transistor by a logic circuit. 12. The method of claim 7, further comprising:
receiving the pulse width modulation signal and generates a delay signal for the first transistor by an inverter; and receiving the comparison result and the delay signal to generate the turn-on time signal of the first transistor by a logic circuit. 13. The method of claim 7, wherein the first transistor is a high side power transistor of the DC-DC converter. 14. A DC-DC converter comprising:
a power stage comprises a first transistor and a second transistor, wherein the power stage is configured to generate an output at a first node; an error amplifier, configured to receive the output from the first node and generates an error signal; a pulse width modulation (PWM) generator, configured to receive the error signal from the error amplifier and generates a pulse width modulation signal; and a gate controller comprising:
a current mirror, configured to sense a first current on a node between a first transistor and a second transistor of the DC-DC converter and compares the first current and an output current to generate a turn-on time signal of the first transistor according to a comparison result. 15. The DC-DC converter of claim 14, wherein the turn-on time signal of the first transistor is generated when the first current is higher than the output current. 16. The DC-DC converter of claim 15, wherein when the second voltage is higher than the first voltage,
the first terminal of the diode is clamped to a first predetermined voltage to generate the turn-on time signal of the first transistor. 17. The DC-DC converter of claim 14, further comprising:
a first diode, comprising a first terminal and a second terminal, wherein the first terminal is coupled to an output node of the comparator and the second terminal is coupled to a ground. 18. The DC-DC converter of claim 17, wherein when the second voltage is higher than the first voltage,
the first terminal of the diode is clamped to a first predetermined voltage to generate the turn-on time signal of the first transistor. 19. The DC-DC converter of claim 17, wherein the first diode is a Zener diode. 20. The DC-DC converter of claim 14, wherein the turn-on time signal of the first transistor is generated when a voltage at the node is higher than the product of the predetermined reference current and a first resistor. | 2,600 |
348,382 | 16,805,859 | 2,698 | Data privacy information pertaining to particular data hosted by a first workload provisioned to a first location can be received. The first workload can be monitored to determine whether the first workload is accessed by a second workload, determine whether the second workload is indicated as being authorized, in the data privacy information, to access the particular data hosted by first workload, and determine whether the second workload has access to the particular data hosted by the first workload. If so, information identifying the second workload and a manner in which the second workload accessed the particular data hosted by the first workload can be stored to a data storage. | 1-20. (canceled) 21. A method, comprising:
receiving data privacy information pertaining to particular data hosted by a first workload provisioned to a first location; monitoring the first workload, the monitoring the first workload comprising:
determining whether the first workload is accessed by a second workload;
responsive to determining that the first workload is accessed by the second workload, determining whether the second workload is indicated as being authorized, in the data privacy information, to access the particular data hosted by first workload; and
responsive to determining that the second workload is not indicated as being authorized to access the particular data hosted by the first workload, determining whether the second workload has access to the particular data hosted by the first workload; and
responsive to determining that the second workload has access to the particular data hosted by the first workload, automatically storing, using a processor, to a data storage information identifying the second workload and a manner in which the second workload accessed the particular data hosted by the first workload. 22. The method of claim 21, further comprising:
responsive to determining that the second workload has access to the data hosted by the first workload, automatically communicating a notification to a system or compliance administrator indicating that the first workload has been accessed by an unauthorized workload, the notification comprising the information identifying the second workload and the manner in which the second workload accessed the particular data hosted by the first workload. 23. The method of claim 21, further comprising:
determining, based on the data privacy information, whether the first location is a location where the first workload is allowed to be provisioned; responsive to determining that the first location is a location where the first workload is not allowed to be provisioned, determining whether there is an issue with the first workload regarding data privacy; and responsive to determining that there is an issue with the first workload regarding the data privacy, automatically storing to the data storage information identifying the issue with the first workload regarding the data privacy. 24. The method of claim 21, further comprising:
determining, based on the data privacy information, whether the first location is a location where the first workload is allowed to be provisioned; responsive to determining that the first location is a location where the first workload is not allowed to be provisioned, determining whether there is an issue with the first workload regarding data privacy; and responsive to determining that there is an issue with the first workload regarding the data privacy, automatically communicating a notification to a system or compliance administrator indicating the issue with the first workload regarding the data privacy. 25. The method of claim 24, wherein the notification indicates that the first workload has been provisioned to a location that is not a location where the workload is allowed to be provisioned. 26. The method of claim 21, further comprising:
determining, based on the data privacy information, whether the first location is a location where the first workload is allowed to be provisioned; and responsive to determining that the first location is a location where the first workload is not allowed to be provisioned, automatically provisioning the first workload to a second location to which provisioning of the first workload is allowed based on the data privacy information. 27. The method of claim 26, further comprising:
communicating the data privacy information pertaining to the particular data to a data privacy advisor application; responsive to the communicating the data privacy information pertaining to the particular data to the data privacy advisor application, receiving from the data privacy advisor application locations allowed information and identifying, as candidate locations, locations indicated in the locations allowed information; and selecting the second location from the candidate locations. 28. A system, comprising:
a processor programmed to initiate executable operations comprising: receiving data privacy information pertaining to particular data hosted by a first workload provisioned to a first location; monitoring the first workload, the monitoring the first workload comprising:
determining whether the first workload is accessed by a second workload;
responsive to determining that the first workload is accessed by the second workload, determining whether the second workload is indicated as being authorized, in the data privacy information, to access the particular data hosted by first workload; and
responsive to determining that the second workload is not indicated as being authorized to access the particular data hosted by the first workload, determining whether the second workload has access to the particular data hosted by the first workload; and
responsive to determining that the second workload has access to the particular data hosted by the first workload, automatically storing a data storage information identifying the second workload and a manner in which the second workload accessed the particular data hosted by the first workload. 29. The system of claim 28, the executable operations further comprising:
responsive to determining that the second workload has access to the data hosted by the first workload, automatically communicating a notification to a system or compliance administrator indicating that the first workload has been accessed by an unauthorized workload, the notification comprising the information identifying the second workload and the manner in which the second workload accessed the particular data hosted by the first workload. 30. The system of claim 28, the executable operations further comprising:
determining, based on the data privacy information, whether the first location is a location where the first workload is allowed to be provisioned; responsive to determining that the first location is a location where the first workload is not allowed to be provisioned, determining whether there is an issue with the first workload regarding data privacy; and responsive to determining that there is an issue with the first workload regarding the data privacy, automatically storing to the data storage information identifying the issue with the first workload regarding the data privacy. 31. The system of claim 28, the executable operations further comprising:
determining, based on the data privacy information, whether the first location is a location where the first workload is allowed to be provisioned; responsive to determining that the first location is a location where the first workload is not allowed to be provisioned, determining whether there is an issue with the first workload regarding data privacy; and responsive to determining that there is an issue with the first workload regarding the data privacy, automatically communicating a notification to a system or compliance administrator indicating the issue with the first workload regarding the data privacy. 32. The system of claim 31, wherein the notification indicates that the first workload has been provisioned to a location that is not a location where the workload is allowed to be provisioned. 33. The system of claim 28, the executable operations further comprising:
determining, based on the data privacy information, whether the first location is a location where the first workload is allowed to be provisioned; and responsive to determining that the first location is a location where the first workload is not allowed to be provisioned, automatically provisioning the first workload to a second location to which provisioning of the first workload is allowed based on the data privacy information. 34. The system of claim 33, the executable operations further comprising:
communicating the data privacy information pertaining to the particular data to a data privacy advisor application; responsive to the communicating the data privacy information pertaining to the particular data to the data privacy advisor application, receiving from the data privacy advisor application locations allowed information and identifying, as candidate locations, locations indicated in the locations allowed information; and selecting the second location from the candidate locations. 35. A computer program product, comprising:
a computer readable storage medium having program code stored thereon, the program code executable by a data processing system to initiate operations including: 36. The computer program product of claim 35, wherein the program code is executable by the data processing system to initiate operations further comprising:
responsive to determining that the second workload has access to the data hosted by the first workload, automatically communicating a notification to a system or compliance administrator indicating that the first workload has been accessed by an unauthorized workload, the notification comprising the information identifying the second workload and the manner in which the second workload accessed the particular data hosted by the first workload. 37. The computer program product of claim 35, wherein the program code is executable by the data processing system to initiate operations further comprising:
determining, based on the data privacy information, whether the first location is a location where the first workload is allowed to be provisioned; responsive to determining that the first location is a location where the first workload is not allowed to be provisioned, determining whether there is an issue with the first workload regarding data privacy; and responsive to determining that there is an issue with the first workload regarding the data privacy, automatically storing to the data storage information identifying the issue with the first workload regarding the data privacy. 38. The computer program product of claim 35, wherein the program code is executable by the data processing system to initiate operations further comprising:
determining, based on the data privacy information, whether the first location is a location where the first workload is allowed to be provisioned; responsive to determining that the first location is a location where the first workload is not allowed to be provisioned, determining whether there is an issue with the first workload regarding data privacy; and responsive to determining that there is an issue with the first workload regarding the data privacy, automatically communicating a notification to a system or compliance administrator indicating the issue with the first workload regarding the data privacy. 39. The computer program product of claim 35, wherein the program code is executable by the data processing system to initiate operations further comprising:
determining, based on the data privacy information, whether the first location is a location where the first workload is allowed to be provisioned; and responsive to determining that the first location is a location where the first workload is not allowed to be provisioned, automatically provisioning the first workload to a second location to which provisioning of the first workload is allowed based on the data privacy information. 40. The computer program product of claim 39, wherein the program code is executable by the data processing system to initiate operations further comprising:
communicating the data privacy information pertaining to the particular data to a data privacy advisor application; responsive to the communicating the data privacy information pertaining to the particular data to the data privacy advisor application, receiving from the data privacy advisor application locations allowed information and identifying, as candidate locations, locations indicated in the locations allowed information; and selecting the second location from the candidate locations. | Data privacy information pertaining to particular data hosted by a first workload provisioned to a first location can be received. The first workload can be monitored to determine whether the first workload is accessed by a second workload, determine whether the second workload is indicated as being authorized, in the data privacy information, to access the particular data hosted by first workload, and determine whether the second workload has access to the particular data hosted by the first workload. If so, information identifying the second workload and a manner in which the second workload accessed the particular data hosted by the first workload can be stored to a data storage.1-20. (canceled) 21. A method, comprising:
receiving data privacy information pertaining to particular data hosted by a first workload provisioned to a first location; monitoring the first workload, the monitoring the first workload comprising:
determining whether the first workload is accessed by a second workload;
responsive to determining that the first workload is accessed by the second workload, determining whether the second workload is indicated as being authorized, in the data privacy information, to access the particular data hosted by first workload; and
responsive to determining that the second workload is not indicated as being authorized to access the particular data hosted by the first workload, determining whether the second workload has access to the particular data hosted by the first workload; and
responsive to determining that the second workload has access to the particular data hosted by the first workload, automatically storing, using a processor, to a data storage information identifying the second workload and a manner in which the second workload accessed the particular data hosted by the first workload. 22. The method of claim 21, further comprising:
responsive to determining that the second workload has access to the data hosted by the first workload, automatically communicating a notification to a system or compliance administrator indicating that the first workload has been accessed by an unauthorized workload, the notification comprising the information identifying the second workload and the manner in which the second workload accessed the particular data hosted by the first workload. 23. The method of claim 21, further comprising:
determining, based on the data privacy information, whether the first location is a location where the first workload is allowed to be provisioned; responsive to determining that the first location is a location where the first workload is not allowed to be provisioned, determining whether there is an issue with the first workload regarding data privacy; and responsive to determining that there is an issue with the first workload regarding the data privacy, automatically storing to the data storage information identifying the issue with the first workload regarding the data privacy. 24. The method of claim 21, further comprising:
determining, based on the data privacy information, whether the first location is a location where the first workload is allowed to be provisioned; responsive to determining that the first location is a location where the first workload is not allowed to be provisioned, determining whether there is an issue with the first workload regarding data privacy; and responsive to determining that there is an issue with the first workload regarding the data privacy, automatically communicating a notification to a system or compliance administrator indicating the issue with the first workload regarding the data privacy. 25. The method of claim 24, wherein the notification indicates that the first workload has been provisioned to a location that is not a location where the workload is allowed to be provisioned. 26. The method of claim 21, further comprising:
determining, based on the data privacy information, whether the first location is a location where the first workload is allowed to be provisioned; and responsive to determining that the first location is a location where the first workload is not allowed to be provisioned, automatically provisioning the first workload to a second location to which provisioning of the first workload is allowed based on the data privacy information. 27. The method of claim 26, further comprising:
communicating the data privacy information pertaining to the particular data to a data privacy advisor application; responsive to the communicating the data privacy information pertaining to the particular data to the data privacy advisor application, receiving from the data privacy advisor application locations allowed information and identifying, as candidate locations, locations indicated in the locations allowed information; and selecting the second location from the candidate locations. 28. A system, comprising:
a processor programmed to initiate executable operations comprising: receiving data privacy information pertaining to particular data hosted by a first workload provisioned to a first location; monitoring the first workload, the monitoring the first workload comprising:
determining whether the first workload is accessed by a second workload;
responsive to determining that the first workload is accessed by the second workload, determining whether the second workload is indicated as being authorized, in the data privacy information, to access the particular data hosted by first workload; and
responsive to determining that the second workload is not indicated as being authorized to access the particular data hosted by the first workload, determining whether the second workload has access to the particular data hosted by the first workload; and
responsive to determining that the second workload has access to the particular data hosted by the first workload, automatically storing a data storage information identifying the second workload and a manner in which the second workload accessed the particular data hosted by the first workload. 29. The system of claim 28, the executable operations further comprising:
responsive to determining that the second workload has access to the data hosted by the first workload, automatically communicating a notification to a system or compliance administrator indicating that the first workload has been accessed by an unauthorized workload, the notification comprising the information identifying the second workload and the manner in which the second workload accessed the particular data hosted by the first workload. 30. The system of claim 28, the executable operations further comprising:
determining, based on the data privacy information, whether the first location is a location where the first workload is allowed to be provisioned; responsive to determining that the first location is a location where the first workload is not allowed to be provisioned, determining whether there is an issue with the first workload regarding data privacy; and responsive to determining that there is an issue with the first workload regarding the data privacy, automatically storing to the data storage information identifying the issue with the first workload regarding the data privacy. 31. The system of claim 28, the executable operations further comprising:
determining, based on the data privacy information, whether the first location is a location where the first workload is allowed to be provisioned; responsive to determining that the first location is a location where the first workload is not allowed to be provisioned, determining whether there is an issue with the first workload regarding data privacy; and responsive to determining that there is an issue with the first workload regarding the data privacy, automatically communicating a notification to a system or compliance administrator indicating the issue with the first workload regarding the data privacy. 32. The system of claim 31, wherein the notification indicates that the first workload has been provisioned to a location that is not a location where the workload is allowed to be provisioned. 33. The system of claim 28, the executable operations further comprising:
determining, based on the data privacy information, whether the first location is a location where the first workload is allowed to be provisioned; and responsive to determining that the first location is a location where the first workload is not allowed to be provisioned, automatically provisioning the first workload to a second location to which provisioning of the first workload is allowed based on the data privacy information. 34. The system of claim 33, the executable operations further comprising:
communicating the data privacy information pertaining to the particular data to a data privacy advisor application; responsive to the communicating the data privacy information pertaining to the particular data to the data privacy advisor application, receiving from the data privacy advisor application locations allowed information and identifying, as candidate locations, locations indicated in the locations allowed information; and selecting the second location from the candidate locations. 35. A computer program product, comprising:
a computer readable storage medium having program code stored thereon, the program code executable by a data processing system to initiate operations including: 36. The computer program product of claim 35, wherein the program code is executable by the data processing system to initiate operations further comprising:
responsive to determining that the second workload has access to the data hosted by the first workload, automatically communicating a notification to a system or compliance administrator indicating that the first workload has been accessed by an unauthorized workload, the notification comprising the information identifying the second workload and the manner in which the second workload accessed the particular data hosted by the first workload. 37. The computer program product of claim 35, wherein the program code is executable by the data processing system to initiate operations further comprising:
determining, based on the data privacy information, whether the first location is a location where the first workload is allowed to be provisioned; responsive to determining that the first location is a location where the first workload is not allowed to be provisioned, determining whether there is an issue with the first workload regarding data privacy; and responsive to determining that there is an issue with the first workload regarding the data privacy, automatically storing to the data storage information identifying the issue with the first workload regarding the data privacy. 38. The computer program product of claim 35, wherein the program code is executable by the data processing system to initiate operations further comprising:
determining, based on the data privacy information, whether the first location is a location where the first workload is allowed to be provisioned; responsive to determining that the first location is a location where the first workload is not allowed to be provisioned, determining whether there is an issue with the first workload regarding data privacy; and responsive to determining that there is an issue with the first workload regarding the data privacy, automatically communicating a notification to a system or compliance administrator indicating the issue with the first workload regarding the data privacy. 39. The computer program product of claim 35, wherein the program code is executable by the data processing system to initiate operations further comprising:
determining, based on the data privacy information, whether the first location is a location where the first workload is allowed to be provisioned; and responsive to determining that the first location is a location where the first workload is not allowed to be provisioned, automatically provisioning the first workload to a second location to which provisioning of the first workload is allowed based on the data privacy information. 40. The computer program product of claim 39, wherein the program code is executable by the data processing system to initiate operations further comprising:
communicating the data privacy information pertaining to the particular data to a data privacy advisor application; responsive to the communicating the data privacy information pertaining to the particular data to the data privacy advisor application, receiving from the data privacy advisor application locations allowed information and identifying, as candidate locations, locations indicated in the locations allowed information; and selecting the second location from the candidate locations. | 2,600 |
348,383 | 16,805,870 | 2,698 | A first user device can receive a communication certificate associated with a user of the first user device. The communication certificate can allow the first user device to exchange certain information with a second user device that also possesses the communication certificate. The first user device can receive a notification. The first user device can also determine that a second user device associated with the user did not receive the notification. The first user device can initiate a direct connection with the second user device. The first use device can verify that the second device possesses the communication certificate. After verification, the first user device can send the notification to the second user device. | 1. A method for peer-to-peer (“P2P”) notification exchange, comprising:
receiving, at a first user device, a communication certificate associated with a user of the first user device;
receiving a notification at the first user device;
initiating a direct connection with a second user device associated with the user;
verifying, based on the communication certificate, that the second user device is authorized to receive the notification; and
transmitting the notification to the second user device. 2. The method of claim 1, further comprising determining that the second user device has not received the notification prior to transmitting the notification. 3. The method of claim 1, wherein verifying that the second user device is authorized to receive the notification comprises confirming that the second user device is in possession of the communication certificate. 4. The method of claim 1, further comprising:
prior to transmitting the notification, placing the notification in a queue; receiving a confirmation from the device that the notification was received; and in an instance in which confirmation is received, removing the notification from the queue. 5. The method of claim 4, further comprising syncing the queue with a third user device. 6. The method of claim 5, further comprising verifying, based on the third user device being in possession of the communication certificate, that the third user device is authorized to sync the queue. 7. The method of claim 1, wherein the direct connection is established using WI-FI or BLUETOOTH. 8. A non-transitory, computer-readable medium containing instructions that, when executed by a hardware-based processor, performs stages for peer-to-peer (“P2P”) notification exchange, the stages comprising:
receiving, at a first user device, a communication certificate associated with a user of the first user device;
receiving a notification at the first user device;
initiating a direct connection with a second user device associated with the user;
verifying, based on the communication certificate, that the second user device is authorized to receive the notification; and
transmitting the notification to the second user device. 9. The non-transitory, computer-readable medium of claim 8, the stages further comprising determining that the second user device has not received the notification prior to transmitting the notification. 10. The non-transitory, computer-readable medium of claim 8, wherein verifying that the second user device is authorized to receive the notification comprises confirming that the second user device is in possession of the communication certificate. 11. The non-transitory, computer-readable medium of claim 8, the stages further comprising:
prior to transmitting the notification, placing the notification in a queue; receiving a confirmation from the device that the notification was received; and in an instance in which confirmation is received, removing the notification from the queue. 12. The non-transitory, computer-readable medium of claim 11, the stages further comprising syncing the queue with a third user device. 13. The non-transitory, computer-readable medium of claim 12, the stages further comprising verifying, based on the third user device being in possession of the communication certificate, that the third user device is authorized to sync the queue. 14. The non-transitory, computer-readable medium of claim 8, wherein the direct connection is established using WI-FI or BLUETOOTH. 15. A system for peer-to-peer (“P2P”) notification exchange, comprising:
a memory storage including a non-transitory, computer-readable medium comprising instructions; and
a computing device including a hardware-based processor that executes the instructions to carry out stages comprising:
receiving, at a first user device, a communication certificate associated with a user of the first user device;
receiving a notification at the first user device;
initiating a direct connection with a second user device associated with the user;
verifying, based on the communication certificate, that the second user device is authorized to receive the notification; and
transmitting the notification to the second user device. 16. The system of claim 15, the stages further comprising determining that the second user device has not received the notification prior to transmitting the notification. 17. The system of claim 15, wherein verifying that the second user device is authorized to receive the notification comprises confirming that the second user device is in possession of the communication certificate. 18. The system of claim 15, the stages further comprising:
prior to transmitting the notification, placing the notification in a queue; receiving a confirmation from the device that the notification was received; and in an instance in which confirmation is received, removing the notification from the queue. 19. The system of claim 18, the stages further comprising syncing the queue with a third user device. 20. The system of claim 19, the stages further comprising verifying, based on the third user device being in possession of the communication certificate, that the third user device is authorized to sync the queue. | A first user device can receive a communication certificate associated with a user of the first user device. The communication certificate can allow the first user device to exchange certain information with a second user device that also possesses the communication certificate. The first user device can receive a notification. The first user device can also determine that a second user device associated with the user did not receive the notification. The first user device can initiate a direct connection with the second user device. The first use device can verify that the second device possesses the communication certificate. After verification, the first user device can send the notification to the second user device.1. A method for peer-to-peer (“P2P”) notification exchange, comprising:
receiving, at a first user device, a communication certificate associated with a user of the first user device;
receiving a notification at the first user device;
initiating a direct connection with a second user device associated with the user;
verifying, based on the communication certificate, that the second user device is authorized to receive the notification; and
transmitting the notification to the second user device. 2. The method of claim 1, further comprising determining that the second user device has not received the notification prior to transmitting the notification. 3. The method of claim 1, wherein verifying that the second user device is authorized to receive the notification comprises confirming that the second user device is in possession of the communication certificate. 4. The method of claim 1, further comprising:
prior to transmitting the notification, placing the notification in a queue; receiving a confirmation from the device that the notification was received; and in an instance in which confirmation is received, removing the notification from the queue. 5. The method of claim 4, further comprising syncing the queue with a third user device. 6. The method of claim 5, further comprising verifying, based on the third user device being in possession of the communication certificate, that the third user device is authorized to sync the queue. 7. The method of claim 1, wherein the direct connection is established using WI-FI or BLUETOOTH. 8. A non-transitory, computer-readable medium containing instructions that, when executed by a hardware-based processor, performs stages for peer-to-peer (“P2P”) notification exchange, the stages comprising:
receiving, at a first user device, a communication certificate associated with a user of the first user device;
receiving a notification at the first user device;
initiating a direct connection with a second user device associated with the user;
verifying, based on the communication certificate, that the second user device is authorized to receive the notification; and
transmitting the notification to the second user device. 9. The non-transitory, computer-readable medium of claim 8, the stages further comprising determining that the second user device has not received the notification prior to transmitting the notification. 10. The non-transitory, computer-readable medium of claim 8, wherein verifying that the second user device is authorized to receive the notification comprises confirming that the second user device is in possession of the communication certificate. 11. The non-transitory, computer-readable medium of claim 8, the stages further comprising:
prior to transmitting the notification, placing the notification in a queue; receiving a confirmation from the device that the notification was received; and in an instance in which confirmation is received, removing the notification from the queue. 12. The non-transitory, computer-readable medium of claim 11, the stages further comprising syncing the queue with a third user device. 13. The non-transitory, computer-readable medium of claim 12, the stages further comprising verifying, based on the third user device being in possession of the communication certificate, that the third user device is authorized to sync the queue. 14. The non-transitory, computer-readable medium of claim 8, wherein the direct connection is established using WI-FI or BLUETOOTH. 15. A system for peer-to-peer (“P2P”) notification exchange, comprising:
a memory storage including a non-transitory, computer-readable medium comprising instructions; and
a computing device including a hardware-based processor that executes the instructions to carry out stages comprising:
receiving, at a first user device, a communication certificate associated with a user of the first user device;
receiving a notification at the first user device;
initiating a direct connection with a second user device associated with the user;
verifying, based on the communication certificate, that the second user device is authorized to receive the notification; and
transmitting the notification to the second user device. 16. The system of claim 15, the stages further comprising determining that the second user device has not received the notification prior to transmitting the notification. 17. The system of claim 15, wherein verifying that the second user device is authorized to receive the notification comprises confirming that the second user device is in possession of the communication certificate. 18. The system of claim 15, the stages further comprising:
prior to transmitting the notification, placing the notification in a queue; receiving a confirmation from the device that the notification was received; and in an instance in which confirmation is received, removing the notification from the queue. 19. The system of claim 18, the stages further comprising syncing the queue with a third user device. 20. The system of claim 19, the stages further comprising verifying, based on the third user device being in possession of the communication certificate, that the third user device is authorized to sync the queue. | 2,600 |
348,384 | 16,805,880 | 2,698 | A light emitting device includes: a base substrate; a plurality of unit regions provided on the base substrate; a barrier disposed at a boundary of the unit regions to surround each of the unit regions; a dam disposed in each of the unit regions to be spaced apart from the barrier; a first electrode provided in each of unit light emitting regions surrounded by the dam; a second electrode disposed in each of the unit light emitting regions, the second electrode of which at least one region is provided opposite to the first electrode; and one or more LEDs provided in each of the unit light emitting regions, the one or more LEDs being electrically connected between the first electrode and the second electrode. | 1. A light emitting device comprising:
a base substrate including a light emitting region; a dam on the base substrate and defining the light emitting region; a first electrode disposed on the light emitting region; a second electrode disposed on the light emitting region, the second electrode spaced apart from the first electrode; LEDs on the light emitting region and electrically connected to the first electrode and the second electrode; and a third electrode disposed on the light emitting region and directly in contact with one of the first and second electrodes. 2. The light emitting device of claim 1, wherein one of the plurality of LEDs is electrically connected to one of the first electrode and the second electrode by the third electrode. 3. The light emitting device of claim 1, further comprising:
a fourth electrode disposed in the same layer as the third electrode and spaced apart from the third electrode; wherein the third electrode is in contact with a first end of at least one of the LEDs, and wherein the fourth electrode is in contact with a second end of the at least one of the LEDs. 4. The light emitting device of claim 1, wherein a length of each of the LEDs is equal to or greater than a shortest length between the first electrode and the second electrode. 5. The light emitting device of claim 4, wherein a first end and a second end of at least one of the LEDs are disposed on the first electrode and the second electrode, respectively, and
wherein the third electrode is disposed on one of the first end and the second end of the at least one of the LEDs. 6. The light emitting device of claim 1, further comprising a barrier on the base substrate and surrounding the dam, and
wherein the dam is spaced apart from the barrier. 7. The light emitting device of claim 6, wherein at least a surface of the dam has hydrophilicity. 8. The light emitting device of claim 6, wherein at least a surface of the barrier has hydrophobicity. 9. The light emitting device of claim 6, wherein a height of the dam is equal to or greater than that of the barrier. 10. The light emitting device of claim 1, wherein at least one region of at least one of the first and second electrodes overlaps the dam in a plan view. 11. The light emitting device of claim 1, wherein the dam is configured as a closed sidewall of which at least one region has a flat or curved surface. 12. The light emitting device of claim 1, further comprising a filler provided in the light emitting region to fill in at least the dam, the filler including scattering particles. 13. A light emitting device comprising:
a base substrate including light emitting regions spaced apart from one another; at least one dam on the base substrate and defining the light emitting regions; pixels respectively provided on the light emitting regions of the base substrate, wherein each of the pixels comprises: first electrodes; second electrodes spaced apart from each of the first electrode; LEDs electrically connected to one of the first electrode and one of the second electrode; and third electrodes directly in contact with at least one of the first and second electrodes. 14. The light emitting device of claim 13, wherein one of the third electrodes is in contact with a first end of at least one of the LEDs, and
wherein another of third electrodes is in contact with a second end of the at least one of the LEDs. 15. The light emitting device of claim 13, wherein the first electrodes are electrically connected to each other, and the second electrodes are electrically connected to each other. 16. The light emitting device of claim 13, wherein the first electrodes and the second electrodes are disposed in the same layer, and
wherein one of the first electrodes and one of the second electrodes are disposed to form a pair such that at least one region of the one of the first electrodes and at least one region of the one of the second electrodes are opposite to each other. 17. The light emitting device of claim 16, wherein the first electrodes and the second electrodes are alternately disposed. 18. The light emitting device of claim 13, wherein at least one dam include dams respectively surrounding the light emitting regions,
wherein the dams have the same height. | A light emitting device includes: a base substrate; a plurality of unit regions provided on the base substrate; a barrier disposed at a boundary of the unit regions to surround each of the unit regions; a dam disposed in each of the unit regions to be spaced apart from the barrier; a first electrode provided in each of unit light emitting regions surrounded by the dam; a second electrode disposed in each of the unit light emitting regions, the second electrode of which at least one region is provided opposite to the first electrode; and one or more LEDs provided in each of the unit light emitting regions, the one or more LEDs being electrically connected between the first electrode and the second electrode.1. A light emitting device comprising:
a base substrate including a light emitting region; a dam on the base substrate and defining the light emitting region; a first electrode disposed on the light emitting region; a second electrode disposed on the light emitting region, the second electrode spaced apart from the first electrode; LEDs on the light emitting region and electrically connected to the first electrode and the second electrode; and a third electrode disposed on the light emitting region and directly in contact with one of the first and second electrodes. 2. The light emitting device of claim 1, wherein one of the plurality of LEDs is electrically connected to one of the first electrode and the second electrode by the third electrode. 3. The light emitting device of claim 1, further comprising:
a fourth electrode disposed in the same layer as the third electrode and spaced apart from the third electrode; wherein the third electrode is in contact with a first end of at least one of the LEDs, and wherein the fourth electrode is in contact with a second end of the at least one of the LEDs. 4. The light emitting device of claim 1, wherein a length of each of the LEDs is equal to or greater than a shortest length between the first electrode and the second electrode. 5. The light emitting device of claim 4, wherein a first end and a second end of at least one of the LEDs are disposed on the first electrode and the second electrode, respectively, and
wherein the third electrode is disposed on one of the first end and the second end of the at least one of the LEDs. 6. The light emitting device of claim 1, further comprising a barrier on the base substrate and surrounding the dam, and
wherein the dam is spaced apart from the barrier. 7. The light emitting device of claim 6, wherein at least a surface of the dam has hydrophilicity. 8. The light emitting device of claim 6, wherein at least a surface of the barrier has hydrophobicity. 9. The light emitting device of claim 6, wherein a height of the dam is equal to or greater than that of the barrier. 10. The light emitting device of claim 1, wherein at least one region of at least one of the first and second electrodes overlaps the dam in a plan view. 11. The light emitting device of claim 1, wherein the dam is configured as a closed sidewall of which at least one region has a flat or curved surface. 12. The light emitting device of claim 1, further comprising a filler provided in the light emitting region to fill in at least the dam, the filler including scattering particles. 13. A light emitting device comprising:
a base substrate including light emitting regions spaced apart from one another; at least one dam on the base substrate and defining the light emitting regions; pixels respectively provided on the light emitting regions of the base substrate, wherein each of the pixels comprises: first electrodes; second electrodes spaced apart from each of the first electrode; LEDs electrically connected to one of the first electrode and one of the second electrode; and third electrodes directly in contact with at least one of the first and second electrodes. 14. The light emitting device of claim 13, wherein one of the third electrodes is in contact with a first end of at least one of the LEDs, and
wherein another of third electrodes is in contact with a second end of the at least one of the LEDs. 15. The light emitting device of claim 13, wherein the first electrodes are electrically connected to each other, and the second electrodes are electrically connected to each other. 16. The light emitting device of claim 13, wherein the first electrodes and the second electrodes are disposed in the same layer, and
wherein one of the first electrodes and one of the second electrodes are disposed to form a pair such that at least one region of the one of the first electrodes and at least one region of the one of the second electrodes are opposite to each other. 17. The light emitting device of claim 16, wherein the first electrodes and the second electrodes are alternately disposed. 18. The light emitting device of claim 13, wherein at least one dam include dams respectively surrounding the light emitting regions,
wherein the dams have the same height. | 2,600 |
348,385 | 16,805,862 | 2,698 | A semiconductor device includes a substrate, a gate structure on the substrate, a source/drain (S/D) region and a contact. The S/D region is located in the substrate and on a side of the gate structure. The contact lands on and connected to the S/D region. The contact wraps around the S/D region. | 1. A semiconductor device, comprising:
a substrate; a gate structure on the substrate; a source/drain (S/D) region, located in the substrate and on a side of the gate structure; and a contact landing on and connected to the S/D region, wherein the contact wraps around the S/D region. 2. The semiconductor device of claim 1, wherein a landing area of the contact on the S/D region is substantially equal to a surface area of a portion of the S/D region not covered by the substrate and the gate structure. 3. The semiconductor device of claim 1, wherein a portion of the contact is laterally aside the S/D region to cover a sidewall of the S/D region. 4. The semiconductor device of claim 1, wherein the contact is in contact with a sidewall and/or a top surface of the gate structure. 5. The semiconductor device of claim 1, further comprising an interlayer dielectric layer on the substrate and laterally aside the gate structure, wherein the contact is spaced from the interlayer dielectric layer by an additional dielectric layer therebetween. 6. The semiconductor device of claim 5, further comprising a protection layer disposed between the additional dielectric layer and the substrate. 7. The semiconductor device of claim 6, wherein the protection layer is further disposed laterally between the additional dielectric layer and the contact. 8. The semiconductor device of claim 1, wherein a bottom width of the contact is larger than a top width of the contact. 9. A fin-type field effect transistor (FinFET) device, comprising:
a substrate having a fin and an isolation structure aside the fin; a gate structure on the substrate and across the fin; a source/drain (S/D) region in and/or on the fin of the substrate, and on a side of the gate structure; a contact laterally aside the gate structure and landing on the S/D region; an interlayer dielectric layer on the substrate and laterally aside the contact; and an additional dielectric layer laterally between the contact and the interlayer dielectric layer. 10. The FinFET device of claim 9, wherein a density of the additional dielectric layer is different from a density of the interlayer dielectric layer. 11. The FinFET device of claim 9, further comprising an contact etch stop layer (CESL) between the interlayer dielectric layer and the substrate, wherein the contact etch stop layer is separated from the contact by the additional dielectric layer therebetween. 12. The FinFET device of claim 9, further comprising a protection layer between the additional dielectric layer and the substrate, and between the additional dielectric layer and the interlayer dielectric layer. 13. The FinFET device of claim 12, wherein the protection layer is further disposed on sidewalls of the contact and laterally sandwiched between the contact and the additional dielectric layer. 14. The FinFET device of claim 9, wherein the additional dielectric layer covers a top surface of the interlayer dielectric layer. 15. The FinFET device of claim 9, wherein a top surface of the additional dielectric layer is substantially coplanar with a top surface of the contact and a top surface of the interlayer dielectric layer. 16. The FinFET device of claim 9, wherein the contact covers a portion of a top surface of the isolation structure. 17. A method of forming a semiconductor device, comprising:
providing a substrate having a fin and an isolation structure aside the fin; forming a gate structure across the fin; forming a S/D region in and/or on the fin and aside the gate structure; forming an interlayer dielectric layer on the substrate to cover the gate structure and the S/D region; removing a portion of the interlayer dielectric layer to form an opening exposing the S/D region and the isolation structure adjacent to the S/D region; forming a dummy contact to cover the S/D region exposed by the opening; forming an additional dielectric layer to fill the opening and laterally aside the dummy contact; and replacing the dummy contact with a contact. 18. The method of claim 17, wherein replacing the dummy contact with the contact comprises:
removing the dummy contact, so as to form a contact hole in the additional dielectric layer; and forming the contact in the contact hole to connect to the S/D region. 19. The method of claim 17, wherein before forming the additional dielectric layer, further comprising forming a protection layer to cover the dummy contact. 20. The method of claim 19, further comprising removing a portion of the protection layer previously covering sidewalls of the dummy contact after removing the dummy contact. | A semiconductor device includes a substrate, a gate structure on the substrate, a source/drain (S/D) region and a contact. The S/D region is located in the substrate and on a side of the gate structure. The contact lands on and connected to the S/D region. The contact wraps around the S/D region.1. A semiconductor device, comprising:
a substrate; a gate structure on the substrate; a source/drain (S/D) region, located in the substrate and on a side of the gate structure; and a contact landing on and connected to the S/D region, wherein the contact wraps around the S/D region. 2. The semiconductor device of claim 1, wherein a landing area of the contact on the S/D region is substantially equal to a surface area of a portion of the S/D region not covered by the substrate and the gate structure. 3. The semiconductor device of claim 1, wherein a portion of the contact is laterally aside the S/D region to cover a sidewall of the S/D region. 4. The semiconductor device of claim 1, wherein the contact is in contact with a sidewall and/or a top surface of the gate structure. 5. The semiconductor device of claim 1, further comprising an interlayer dielectric layer on the substrate and laterally aside the gate structure, wherein the contact is spaced from the interlayer dielectric layer by an additional dielectric layer therebetween. 6. The semiconductor device of claim 5, further comprising a protection layer disposed between the additional dielectric layer and the substrate. 7. The semiconductor device of claim 6, wherein the protection layer is further disposed laterally between the additional dielectric layer and the contact. 8. The semiconductor device of claim 1, wherein a bottom width of the contact is larger than a top width of the contact. 9. A fin-type field effect transistor (FinFET) device, comprising:
a substrate having a fin and an isolation structure aside the fin; a gate structure on the substrate and across the fin; a source/drain (S/D) region in and/or on the fin of the substrate, and on a side of the gate structure; a contact laterally aside the gate structure and landing on the S/D region; an interlayer dielectric layer on the substrate and laterally aside the contact; and an additional dielectric layer laterally between the contact and the interlayer dielectric layer. 10. The FinFET device of claim 9, wherein a density of the additional dielectric layer is different from a density of the interlayer dielectric layer. 11. The FinFET device of claim 9, further comprising an contact etch stop layer (CESL) between the interlayer dielectric layer and the substrate, wherein the contact etch stop layer is separated from the contact by the additional dielectric layer therebetween. 12. The FinFET device of claim 9, further comprising a protection layer between the additional dielectric layer and the substrate, and between the additional dielectric layer and the interlayer dielectric layer. 13. The FinFET device of claim 12, wherein the protection layer is further disposed on sidewalls of the contact and laterally sandwiched between the contact and the additional dielectric layer. 14. The FinFET device of claim 9, wherein the additional dielectric layer covers a top surface of the interlayer dielectric layer. 15. The FinFET device of claim 9, wherein a top surface of the additional dielectric layer is substantially coplanar with a top surface of the contact and a top surface of the interlayer dielectric layer. 16. The FinFET device of claim 9, wherein the contact covers a portion of a top surface of the isolation structure. 17. A method of forming a semiconductor device, comprising:
providing a substrate having a fin and an isolation structure aside the fin; forming a gate structure across the fin; forming a S/D region in and/or on the fin and aside the gate structure; forming an interlayer dielectric layer on the substrate to cover the gate structure and the S/D region; removing a portion of the interlayer dielectric layer to form an opening exposing the S/D region and the isolation structure adjacent to the S/D region; forming a dummy contact to cover the S/D region exposed by the opening; forming an additional dielectric layer to fill the opening and laterally aside the dummy contact; and replacing the dummy contact with a contact. 18. The method of claim 17, wherein replacing the dummy contact with the contact comprises:
removing the dummy contact, so as to form a contact hole in the additional dielectric layer; and forming the contact in the contact hole to connect to the S/D region. 19. The method of claim 17, wherein before forming the additional dielectric layer, further comprising forming a protection layer to cover the dummy contact. 20. The method of claim 19, further comprising removing a portion of the protection layer previously covering sidewalls of the dummy contact after removing the dummy contact. | 2,600 |
348,386 | 16,805,860 | 2,698 | A photosensing pixel includes a substrate, a photosensing region, a floating diffusion region, a transfer gate and a control electrode. The photosensing region is located within the substrate. The floating diffusion region is located within the substrate aside the photosensing region. The transfer gate is disposed on the substrate and extending into the photosensing region. The control electrode is located on the substrate and extending into the floating diffusion region. | 1. A photosensing pixel comprising:
a substrate; a photosensing region located within the substrate; a floating diffusion region located within the substrate aside the photosensing region; a transfer gate disposed on the substrate and extending into the photosensing region; and a control electrode located on the substrate and extending into the floating diffusion region. 2. The photosensing pixel according to claim 1, wherein the floating diffusion region is located on a first doped well of the substrate having a first conductivity type, and the floating diffusion region comprises a lightly doped well of a second conductivity type located on the first doped well, and a heavily doped well of the second conductivity type located on the lightly doped well. 3. The photosensing pixel according to claim 2, wherein the control electrode extends into the floating diffusion region and into the substrate so that it is surrounded by the first doped well, the lightly doped well and the heavily doped well. 4. The photosensing pixel according to claim 2, further comprising a dielectric layer located within the substrate separating the control electrode from the floating diffusion region, wherein the control electrode is capacitively coupled to the floating diffusion region. 5. The image sensor according to claim 1, further comprising a gate dielectric located within the substrate separating the transfer gate from the photosensing region of the substrate. 6. The image sensor according to claim 1 wherein a depth of the transfer gate extending into the photosensing region is substantially equal to a depth of the control electrode extending into the floating diffusion region. 7. The image sensor according to claim 1, further comprising a reset transistor, a selection transistor and a source follower transistor located on the substrate adjacent to the transfer gate. 8. An image sensor comprising a plurality of pixels, and at least one pixel among the plurality of pixels comprises:
a first photosensing region located within a substrate; a first transfer gate disposed on a frontside the substrate and extending into the first photosensing region; a second photosensing region located within the substrate; a second transfer gate disposed on the frontside of the substrate and extending into the second photosensing region; a floating diffusion region disposed from the frontside of the substrate to a position within the substrate, wherein the floating diffusion region is shared between the first photosensing region and the second photosensing region; and a control electrode located on the frontside of the substrate and extending into the floating diffusion region. 9. The image sensor according to claim 8, wherein the at least one pixel among the plurality of pixels further comprises:
a third photosensing region located within the substrate; a third transfer gate disposed on the substrate and extending into the third photosensing region; a fourth photosensing region located within the substrate; and a fourth transfer gate disposed on the substrate and extending into the fourth photosensing region, wherein the floating diffusion region is shared between the first photosensing region, the second photosensing region, the third photosensing region and the fourth photosensing region. 10. The image sensor according to claim 8, further comprising:
a first gate dielectric located within the substrate separating the first transfer gate from the first photosensing region, and a second gate dielectric located within the substrate separating the second transfer gate from the second photosensing region. 11. The image sensor according to claim 8, wherein the floating diffusion region is located on a first doped well of the substrate having a first conductivity type, and the floating diffusion region comprises a lightly doped well of a second conductivity type located on the first doped well, and a heavily doped well of the second conductivity type located on the lightly doped well. 12. The image sensor according to claim 11, wherein the control electrode extends into the floating diffusion region and into the substrate so that it is surrounded by the first doped well, the lightly doped well and the heavily doped well. 13. The image sensor according to claim 11, further comprising a dielectric layer located within the substrate separating the control electrode from the floating diffusion region, wherein the control electrode is capacitively coupled to the floating diffusion region. 14. The image sensor according to claim 8, further comprising color filters and micro-lenses located on a backside of the substrate opposite to the frontside. 15. A method of fabricating an image sensor, comprising:
doping a substrate with a first dopant; forming a first photosensing region within the substrate by doping the substrate with a second dopant different than the first dopant; forming a floating diffusion region within the substrate aside the first photosensing region; forming a first transfer gate on a frontside of the substrate and extending into the first photosensing region; and forming a control electrode on the frontside of the substrate and extending into the floating diffusion region. 16. The method according to claim 15, wherein forming the first transfer gate comprises:
forming an opening that extends into the first photosensing region of the substrate; forming a first gate dielectric located on sidewalls of the opening to cover the first photosensing region; and forming the first transfer gate in the opening and over the substrate, wherein the first transfer gate is surrounded by the first gate dielectric. 17. The method according to claim 15, wherein forming the control electrode comprises:
forming an opening that extends into the floating diffusion region of the substrate; forming a dielectric layer located on sidewalls of the opening to cover the floating diffusion region; and forming the control electrode in the opening and over the substrate, wherein the control electrode is surrounded by the control dielectric layer. 18. The method according to claim 15, wherein forming the floating diffusion comprises:
forming a lightly doped well of a second conductivity type on a first doped well of the substrate having a first conductivity type; and forming a heavily doped well of the second conductivity type located on the lightly doped well, wherein the control electrode extends into the floating diffusion region and into the substrate so that it is surrounded by the first doped well, the lightly doped well and the heavily doped well. 19. The method according to claim 15, further comprising:
forming a second photosensing region within the substrate by doping the substrate with the second dopant; and forming a second transfer gate on a frontside of the substrate and extending into the second photosensing region, wherein the floating diffusion region is shared between the first photosensing region and the second photosensing region. 20. The method according to claim 15, further comprising:
providing color filters and micro-lenses located on a backside of the substrate opposite to the frontside. | A photosensing pixel includes a substrate, a photosensing region, a floating diffusion region, a transfer gate and a control electrode. The photosensing region is located within the substrate. The floating diffusion region is located within the substrate aside the photosensing region. The transfer gate is disposed on the substrate and extending into the photosensing region. The control electrode is located on the substrate and extending into the floating diffusion region.1. A photosensing pixel comprising:
a substrate; a photosensing region located within the substrate; a floating diffusion region located within the substrate aside the photosensing region; a transfer gate disposed on the substrate and extending into the photosensing region; and a control electrode located on the substrate and extending into the floating diffusion region. 2. The photosensing pixel according to claim 1, wherein the floating diffusion region is located on a first doped well of the substrate having a first conductivity type, and the floating diffusion region comprises a lightly doped well of a second conductivity type located on the first doped well, and a heavily doped well of the second conductivity type located on the lightly doped well. 3. The photosensing pixel according to claim 2, wherein the control electrode extends into the floating diffusion region and into the substrate so that it is surrounded by the first doped well, the lightly doped well and the heavily doped well. 4. The photosensing pixel according to claim 2, further comprising a dielectric layer located within the substrate separating the control electrode from the floating diffusion region, wherein the control electrode is capacitively coupled to the floating diffusion region. 5. The image sensor according to claim 1, further comprising a gate dielectric located within the substrate separating the transfer gate from the photosensing region of the substrate. 6. The image sensor according to claim 1 wherein a depth of the transfer gate extending into the photosensing region is substantially equal to a depth of the control electrode extending into the floating diffusion region. 7. The image sensor according to claim 1, further comprising a reset transistor, a selection transistor and a source follower transistor located on the substrate adjacent to the transfer gate. 8. An image sensor comprising a plurality of pixels, and at least one pixel among the plurality of pixels comprises:
a first photosensing region located within a substrate; a first transfer gate disposed on a frontside the substrate and extending into the first photosensing region; a second photosensing region located within the substrate; a second transfer gate disposed on the frontside of the substrate and extending into the second photosensing region; a floating diffusion region disposed from the frontside of the substrate to a position within the substrate, wherein the floating diffusion region is shared between the first photosensing region and the second photosensing region; and a control electrode located on the frontside of the substrate and extending into the floating diffusion region. 9. The image sensor according to claim 8, wherein the at least one pixel among the plurality of pixels further comprises:
a third photosensing region located within the substrate; a third transfer gate disposed on the substrate and extending into the third photosensing region; a fourth photosensing region located within the substrate; and a fourth transfer gate disposed on the substrate and extending into the fourth photosensing region, wherein the floating diffusion region is shared between the first photosensing region, the second photosensing region, the third photosensing region and the fourth photosensing region. 10. The image sensor according to claim 8, further comprising:
a first gate dielectric located within the substrate separating the first transfer gate from the first photosensing region, and a second gate dielectric located within the substrate separating the second transfer gate from the second photosensing region. 11. The image sensor according to claim 8, wherein the floating diffusion region is located on a first doped well of the substrate having a first conductivity type, and the floating diffusion region comprises a lightly doped well of a second conductivity type located on the first doped well, and a heavily doped well of the second conductivity type located on the lightly doped well. 12. The image sensor according to claim 11, wherein the control electrode extends into the floating diffusion region and into the substrate so that it is surrounded by the first doped well, the lightly doped well and the heavily doped well. 13. The image sensor according to claim 11, further comprising a dielectric layer located within the substrate separating the control electrode from the floating diffusion region, wherein the control electrode is capacitively coupled to the floating diffusion region. 14. The image sensor according to claim 8, further comprising color filters and micro-lenses located on a backside of the substrate opposite to the frontside. 15. A method of fabricating an image sensor, comprising:
doping a substrate with a first dopant; forming a first photosensing region within the substrate by doping the substrate with a second dopant different than the first dopant; forming a floating diffusion region within the substrate aside the first photosensing region; forming a first transfer gate on a frontside of the substrate and extending into the first photosensing region; and forming a control electrode on the frontside of the substrate and extending into the floating diffusion region. 16. The method according to claim 15, wherein forming the first transfer gate comprises:
forming an opening that extends into the first photosensing region of the substrate; forming a first gate dielectric located on sidewalls of the opening to cover the first photosensing region; and forming the first transfer gate in the opening and over the substrate, wherein the first transfer gate is surrounded by the first gate dielectric. 17. The method according to claim 15, wherein forming the control electrode comprises:
forming an opening that extends into the floating diffusion region of the substrate; forming a dielectric layer located on sidewalls of the opening to cover the floating diffusion region; and forming the control electrode in the opening and over the substrate, wherein the control electrode is surrounded by the control dielectric layer. 18. The method according to claim 15, wherein forming the floating diffusion comprises:
forming a lightly doped well of a second conductivity type on a first doped well of the substrate having a first conductivity type; and forming a heavily doped well of the second conductivity type located on the lightly doped well, wherein the control electrode extends into the floating diffusion region and into the substrate so that it is surrounded by the first doped well, the lightly doped well and the heavily doped well. 19. The method according to claim 15, further comprising:
forming a second photosensing region within the substrate by doping the substrate with the second dopant; and forming a second transfer gate on a frontside of the substrate and extending into the second photosensing region, wherein the floating diffusion region is shared between the first photosensing region and the second photosensing region. 20. The method according to claim 15, further comprising:
providing color filters and micro-lenses located on a backside of the substrate opposite to the frontside. | 2,600 |
348,387 | 16,805,866 | 2,698 | An information processing apparatus includes an acquisition section that acquires first information indicating whether or not a target person performs a specific behavior on a target object disposed in plural places and second information indicating a behavior of the target person and including a stay time in the plural places, for each target person, a calculation section that calculates an evaluation value indicating a probability of the target person who has not performed the specific behavior performing the specific behavior on the target object, based on the acquired first information, and an estimation section that extracts data on the target object disposed in the place having a stay time which is smaller than a predetermined value, based on the acquired second information, and estimates an opportunity loss for the target object based on the evaluation value calculated for the target object. | 1. An information processing apparatus comprising:
an estimation section that estimates an opportunity loss for a target object being disposed in a certain place based on a probability of a target person performing a specific behavior on the target object without performing the specific behavior and an information related to a stay time of the target person in the place. 2. The information processing apparatus according to claim 1,
wherein the estimation section estimates a number of target persons counted for each evaluation value, as the opportunity loss for the target object. 3. The information processing apparatus according to claim 2,
wherein the estimation section estimates the number of target persons counted for each evaluation value which is equal to or greater than a predetermined value in the number of target persons counted for each evaluation value, as the opportunity loss for the target object. 4. The information processing apparatus according to claim 2,
wherein the estimation section estimates a ratio of the number of target persons who perform the specific behavior on the target object at a predetermined probability to the number of target persons coming into an area in which a plurality of places are provided, as the opportunity loss for the target object. 5. The information processing apparatus according to claim 1,
wherein a calculation section calculates an evaluation value by using collaborative filtering. 6. The information processing apparatus according to claim 2,
wherein a calculation section calculates an evaluation value by using collaborative filtering. 7. The information processing apparatus according to claim 3,
wherein a calculation section calculates an evaluation value by using collaborative filtering. 8. The information processing apparatus according to claim 4,
wherein a calculation section calculates an evaluation value by using collaborative filtering. 9. The information processing apparatus according to claim 1,
wherein the stay time in an information is obtained by excluding a time during which the target person is not directed toward the target object. 10. The information processing apparatus according to claim 2,
wherein the stay time in an information is obtained by excluding a time during which the target person is not directed toward the target object. 11. The information processing apparatus according to claim 3,
wherein the stay time in an information is obtained by excluding a time during which the target person is not directed toward the target object. 12. The information processing apparatus according to claim 4,
wherein the stay time in an information is obtained by excluding a time during which the target person is not directed toward the target object. 13. The information processing apparatus according to claim 5,
wherein the stay time in an information is obtained by excluding a time during which the target person is not directed toward the target object. 14. The information processing apparatus according to claim 6,
wherein the stay time in an information is obtained by excluding a time during which the target person is not directed toward the target object. 15. The information processing apparatus according to claim 7,
wherein the stay time in an information is obtained by excluding a time during which the target person is not directed toward the target object. 16. The information processing apparatus according to claim 8,
wherein the stay time in an information is obtained by excluding a time during which the target person is not directed toward the target object. 17. The information processing apparatus according to claim 9,
wherein it is determined whether or not the target person is directed toward the target object, based on an image obtained by imaging. 18. The information processing apparatus according to claim 10,
wherein it is determined whether or not the target person is directed toward the target object, based on an image obtained by imaging. 19. The information processing apparatus according to claim 1,
wherein the specific behavior is a behavior of purchasing the target object. 20. The information processing apparatus according to claim 1,
wherein the specific behavior is a behavior of showing an interest in the target object as an exhibit. | An information processing apparatus includes an acquisition section that acquires first information indicating whether or not a target person performs a specific behavior on a target object disposed in plural places and second information indicating a behavior of the target person and including a stay time in the plural places, for each target person, a calculation section that calculates an evaluation value indicating a probability of the target person who has not performed the specific behavior performing the specific behavior on the target object, based on the acquired first information, and an estimation section that extracts data on the target object disposed in the place having a stay time which is smaller than a predetermined value, based on the acquired second information, and estimates an opportunity loss for the target object based on the evaluation value calculated for the target object.1. An information processing apparatus comprising:
an estimation section that estimates an opportunity loss for a target object being disposed in a certain place based on a probability of a target person performing a specific behavior on the target object without performing the specific behavior and an information related to a stay time of the target person in the place. 2. The information processing apparatus according to claim 1,
wherein the estimation section estimates a number of target persons counted for each evaluation value, as the opportunity loss for the target object. 3. The information processing apparatus according to claim 2,
wherein the estimation section estimates the number of target persons counted for each evaluation value which is equal to or greater than a predetermined value in the number of target persons counted for each evaluation value, as the opportunity loss for the target object. 4. The information processing apparatus according to claim 2,
wherein the estimation section estimates a ratio of the number of target persons who perform the specific behavior on the target object at a predetermined probability to the number of target persons coming into an area in which a plurality of places are provided, as the opportunity loss for the target object. 5. The information processing apparatus according to claim 1,
wherein a calculation section calculates an evaluation value by using collaborative filtering. 6. The information processing apparatus according to claim 2,
wherein a calculation section calculates an evaluation value by using collaborative filtering. 7. The information processing apparatus according to claim 3,
wherein a calculation section calculates an evaluation value by using collaborative filtering. 8. The information processing apparatus according to claim 4,
wherein a calculation section calculates an evaluation value by using collaborative filtering. 9. The information processing apparatus according to claim 1,
wherein the stay time in an information is obtained by excluding a time during which the target person is not directed toward the target object. 10. The information processing apparatus according to claim 2,
wherein the stay time in an information is obtained by excluding a time during which the target person is not directed toward the target object. 11. The information processing apparatus according to claim 3,
wherein the stay time in an information is obtained by excluding a time during which the target person is not directed toward the target object. 12. The information processing apparatus according to claim 4,
wherein the stay time in an information is obtained by excluding a time during which the target person is not directed toward the target object. 13. The information processing apparatus according to claim 5,
wherein the stay time in an information is obtained by excluding a time during which the target person is not directed toward the target object. 14. The information processing apparatus according to claim 6,
wherein the stay time in an information is obtained by excluding a time during which the target person is not directed toward the target object. 15. The information processing apparatus according to claim 7,
wherein the stay time in an information is obtained by excluding a time during which the target person is not directed toward the target object. 16. The information processing apparatus according to claim 8,
wherein the stay time in an information is obtained by excluding a time during which the target person is not directed toward the target object. 17. The information processing apparatus according to claim 9,
wherein it is determined whether or not the target person is directed toward the target object, based on an image obtained by imaging. 18. The information processing apparatus according to claim 10,
wherein it is determined whether or not the target person is directed toward the target object, based on an image obtained by imaging. 19. The information processing apparatus according to claim 1,
wherein the specific behavior is a behavior of purchasing the target object. 20. The information processing apparatus according to claim 1,
wherein the specific behavior is a behavior of showing an interest in the target object as an exhibit. | 2,600 |
348,388 | 16,805,874 | 2,698 | A testing module for a semiconductor wafer-form package includes a circuit board structure, first connectors, a first connecting structure, second connectors, third connectors and a first bridge connector. The circuit board structure includes two edge regions and a main region located therebetween. The first connectors are located over the edge regions and connected to the circuit board structure. The first connecting structure is located over and distant from the circuit board structure. The second connectors and third connectors are located over and connected to the first connecting structure, where the third connectors are configured to transmit electric signals for testing the semiconductor wafer-form package being placed over the main region. The first bridge connector is electrically coupling the circuit board structure and the first connecting structure by connecting the second connectors and the first connectors. | 1. A testing module for a semiconductor wafer-form package, comprising:
a circuit board structure, comprising two edge regions and a main region located therebetween; first connectors, located over the edge regions and connected to the circuit board structure; a first connecting structure, located over and distant from the circuit board structure; second connectors and third connectors, located over and connected to the first connecting structure, wherein the third connectors are configured to transmit electric signals for testing the semiconductor wafer-form package being placed over the main region; and a first bridge connector, electrically coupling the circuit board structure and the first connecting structure by connecting the second connectors and the first connectors. 2. The testing module of claim 1, wherein the first bridge connector comprises a plurality of parallel conductive wires. 3. The testing module of claim 1, wherein the first connecting structure comprises a circuit board or a plurality of parallel conductive wires. 4. The testing module of claim 1, wherein the semiconductor wafer-form package is located in an accommodating space confined by the first connecting structure, the first bridge connector and the circuit board structure and comprises fourth connectors and at least one socket sounded by the fourth connectors, and
wherein the third conductors are electrically coupled to the fourth conductors for forming a temporarily electrical connection with the semiconductor wafer-form package, and the third connectors independently are overlapped with a respective one of the fourth connectors along a stacking direction of the semiconductor wafer-form package and the testing module. 5. The testing module of claim 4, further comprising:
a second bridge connector, located over the main region and electrically connected to the first connecting structure through the semiconductor wafer-form package, wherein the second bridge connector comprises a substrate with a circuitry embedded therein and a plurality of pins located over the substrate and electrically connected to the circuitry. 6. The testing module of claim 5, wherein the second bridge connector is removably installed into the at least one socket of the semiconductor wafer-form package, and the pins are respectively inserted into through holes of the at least one socket for forming a further temporarily electrical connection with the semiconductor wafer-form package. 7. The testing module of claim 1, further comprising:
an elastic element, located over the main region, wherein the elastic element is sandwiched between the semiconductor wafer-form package and the circuit board structure. 8. A testing system, comprising:
two or more than two testing modules as claimed in claim 1, wherein two or more than two semiconductor wafer-form packages respectively corresponding to the two or more than two testing modules are electrically connected to each other through a third bridge connector, and the two or more than two testing modules are electrically coupled through the two or more than two semiconductor wafer-form packages, or wherein two or more than two testing modules are electrically connected to each other through a third bridge connector. 9. The testing system of claim 8, wherein the third bridge connector comprises a printed circuit board or a plurality of parallel conductive wires. 10. A testing method for a semiconductor wafer-form package, comprising:
providing a testing module comprising a circuit board structure having a main region and an edge region adjacent thereto, first connectors connected to the circuit board structure and distributed over the edge region, an first connecting structure located over and distant from the circuit board structure, second connectors and third connectors located over and connected to the first connecting structure, and a first bridge connector connecting the second connectors and the first connectors; placing the semiconductor wafer-form package on the circuit board structure over the main region, the semiconductor wafer-form package comprising a semiconductor die, a redistribution circuit structure electrically connected to the semiconductor die, and at least one socket and fourth connectors electrically connected to the semiconductor die through the redistribution circuit structure; and performing an automated test sequence on the semiconductor wafer-form package through the testing module. 11. The testing method of claim 10, before performing the automated test sequence on the semiconductor wafer-form package, further comprising:
electrically connecting the testing module and the semiconductor wafer-form package through engaging the third connectors and the fourth connectors to form an electrical connection path at least constituted by the circuit board structure, the first connectors, the first bridge connector, the second connectors, the first connecting structure and the third connectors of the testing module and the fourth connectors of the semiconductor wafer-form package, wherein performing the automated test sequence on the semiconductor wafer-form package through the testing module comprising: sending a testing signal from the testing module to the semiconductor wafer-form package through the electrical connection path; and receiving, by the testing module, a responsive signal from the semiconductor wafer-form package through the electrical connection path. 12. The testing method of claim 11, wherein performing the automated test sequence on the semiconductor wafer-form package through the testing module further comprises:
generating the testing signal, via a controller electrically connected to the circuit board structure, before sending the testing signal; and evaluating the responsive signal via the controller after receiving the responsive signal. 13. A testing method using at least one testing module for a semiconductor wafer-form package, wherein the semiconductor wafer-form package is removably installed to and electrically connected to the at least one testing module, and the at least one testing module comprises a circuit board structure with first connectors located thereon and electrically connected thereto, an first connecting structure with second connectors and third connectors located thereon and electrically connected thereto, a first bridge connector electrically connecting the circuit board structure and the first connecting structure, and a second bridge connector overlapped with and electrically connected to the circuit board structure through the semiconductor wafer-form package, and wherein the testing method comprises:
sending, via a first electrical transmitting path comprising the circuit board structure, the first connectors, the first bridge connector, the second connectors, the first connecting structure and the third connectors comprised in the at least one testing module and fourth connectors and a redistribution circuit structure comprised in the semiconductor wafer-form package, a first testing signal to the semiconductor wafer-form package; and receiving a first responsive signal from the semiconductor wafer-form package. 14. The testing method of claim 13, wherein the semiconductor wafer-form package comprises a plurality of semiconductor dies electrically connected to the fourth connectors, the redistribution circuit structure electrically connected to the fourth connectors and the semiconductor dies, and a socket electrically connected to the redistribution circuit structure and the semiconductor dies and located aside of the fourth connectors, wherein the redistribution circuit structure is between the semiconductor dies and the socket and between the semiconductor dies and the fourth connectors,
wherein the testing method further comprises: sending, via a second electrical transmitting path comprising the circuit board structure, the first connectors, the first bridge connector, the second connectors, the first connecting structure and the third connectors comprised in the at least one testing module and the fourth connectors and the redistribution circuit structure comprised in the semiconductor wafer-form package, a second testing signal to the socket along with the second bridge connector; and receiving a second responsive signal from the semiconductor wafer-form package. 15. The testing method of claim 14, further comprises:
sending, via a third electrical transmitting path comprising the circuit board structure, the first connectors, the first bridge connector, the second connectors, the first connecting structure and the third connectors comprised in the at least one testing module and the fourth connectors and the redistribution circuit structure comprised in the semiconductor wafer-form package, a third testing signal to one of the semiconductor dies; and receiving a third responsive signal from the semiconductor wafer-form package. 16. The testing method of claim 15, wherein the third electrical transmitting path further comprises the socket and the second bridge connector. 17. The testing method of claim 14, further comprises:
sending, via a fourth electrical transmitting path comprising the circuit board structure, the first connectors, the first bridge connector, the second connectors, the first connecting structure and the third connectors comprised in the at least one testing module and the fourth connectors and the redistribution circuit structure comprised in the semiconductor wafer-form package, a fourth testing signal to a first semiconductor die of the semiconductor dies; transmitting the fourth testing signal from the first semiconductor die to a second semiconductor die of the semiconductor dies via the redistribution circuit structure; and receiving a fourth responsive signal from the semiconductor wafer-form package. 18. The testing method of claim 17, wherein the fourth electrical transmitting path further comprises the socket and the second bridge connector. 19. The testing method of claim 14, wherein the at least one testing module comprises a first testing module for a first semiconductor wafer-form package and a second testing module for a second semiconductor wafer-form package, and the testing method further comprises:
sending, via a first portion of a fifth electrical transmitting path comprising the circuit board structure, the first connectors, the first bridge connector, the second connectors, the first connecting structure and the third connectors comprised in the first testing module and the fourth connectors comprised in the first semiconductor wafer-form package, a fifth testing signal to the first semiconductor wafer-form package; transmitting, via a second portion of the fifth electrical transmitting path comprising the fourth connectors comprised in the first semiconductor wafer-form package, the third connectors comprised in the first testing module, a third bridge connector connecting the first and second testing modules, the third connectors comprised in the second testing module, and the fourth connectors comprised in the second semiconductor wafer-form package, the fifth testing signal from the first semiconductor wafer-form package to the second semiconductor wafer-form package; and receiving a fifth responsive signal from the second semiconductor wafer-form package. 20. The testing method of claim 19, wherein the fifth electrical transmitting path further comprises at least one of the following:
the first portion of the fifth electrical transmitting path further comprises the socket and the second bridge connector comprised in the first testing module; and the second portion of the fifth electrical transmitting path further comprises the socket and the second bridge connector comprised in the second testing module. | A testing module for a semiconductor wafer-form package includes a circuit board structure, first connectors, a first connecting structure, second connectors, third connectors and a first bridge connector. The circuit board structure includes two edge regions and a main region located therebetween. The first connectors are located over the edge regions and connected to the circuit board structure. The first connecting structure is located over and distant from the circuit board structure. The second connectors and third connectors are located over and connected to the first connecting structure, where the third connectors are configured to transmit electric signals for testing the semiconductor wafer-form package being placed over the main region. The first bridge connector is electrically coupling the circuit board structure and the first connecting structure by connecting the second connectors and the first connectors.1. A testing module for a semiconductor wafer-form package, comprising:
a circuit board structure, comprising two edge regions and a main region located therebetween; first connectors, located over the edge regions and connected to the circuit board structure; a first connecting structure, located over and distant from the circuit board structure; second connectors and third connectors, located over and connected to the first connecting structure, wherein the third connectors are configured to transmit electric signals for testing the semiconductor wafer-form package being placed over the main region; and a first bridge connector, electrically coupling the circuit board structure and the first connecting structure by connecting the second connectors and the first connectors. 2. The testing module of claim 1, wherein the first bridge connector comprises a plurality of parallel conductive wires. 3. The testing module of claim 1, wherein the first connecting structure comprises a circuit board or a plurality of parallel conductive wires. 4. The testing module of claim 1, wherein the semiconductor wafer-form package is located in an accommodating space confined by the first connecting structure, the first bridge connector and the circuit board structure and comprises fourth connectors and at least one socket sounded by the fourth connectors, and
wherein the third conductors are electrically coupled to the fourth conductors for forming a temporarily electrical connection with the semiconductor wafer-form package, and the third connectors independently are overlapped with a respective one of the fourth connectors along a stacking direction of the semiconductor wafer-form package and the testing module. 5. The testing module of claim 4, further comprising:
a second bridge connector, located over the main region and electrically connected to the first connecting structure through the semiconductor wafer-form package, wherein the second bridge connector comprises a substrate with a circuitry embedded therein and a plurality of pins located over the substrate and electrically connected to the circuitry. 6. The testing module of claim 5, wherein the second bridge connector is removably installed into the at least one socket of the semiconductor wafer-form package, and the pins are respectively inserted into through holes of the at least one socket for forming a further temporarily electrical connection with the semiconductor wafer-form package. 7. The testing module of claim 1, further comprising:
an elastic element, located over the main region, wherein the elastic element is sandwiched between the semiconductor wafer-form package and the circuit board structure. 8. A testing system, comprising:
two or more than two testing modules as claimed in claim 1, wherein two or more than two semiconductor wafer-form packages respectively corresponding to the two or more than two testing modules are electrically connected to each other through a third bridge connector, and the two or more than two testing modules are electrically coupled through the two or more than two semiconductor wafer-form packages, or wherein two or more than two testing modules are electrically connected to each other through a third bridge connector. 9. The testing system of claim 8, wherein the third bridge connector comprises a printed circuit board or a plurality of parallel conductive wires. 10. A testing method for a semiconductor wafer-form package, comprising:
providing a testing module comprising a circuit board structure having a main region and an edge region adjacent thereto, first connectors connected to the circuit board structure and distributed over the edge region, an first connecting structure located over and distant from the circuit board structure, second connectors and third connectors located over and connected to the first connecting structure, and a first bridge connector connecting the second connectors and the first connectors; placing the semiconductor wafer-form package on the circuit board structure over the main region, the semiconductor wafer-form package comprising a semiconductor die, a redistribution circuit structure electrically connected to the semiconductor die, and at least one socket and fourth connectors electrically connected to the semiconductor die through the redistribution circuit structure; and performing an automated test sequence on the semiconductor wafer-form package through the testing module. 11. The testing method of claim 10, before performing the automated test sequence on the semiconductor wafer-form package, further comprising:
electrically connecting the testing module and the semiconductor wafer-form package through engaging the third connectors and the fourth connectors to form an electrical connection path at least constituted by the circuit board structure, the first connectors, the first bridge connector, the second connectors, the first connecting structure and the third connectors of the testing module and the fourth connectors of the semiconductor wafer-form package, wherein performing the automated test sequence on the semiconductor wafer-form package through the testing module comprising: sending a testing signal from the testing module to the semiconductor wafer-form package through the electrical connection path; and receiving, by the testing module, a responsive signal from the semiconductor wafer-form package through the electrical connection path. 12. The testing method of claim 11, wherein performing the automated test sequence on the semiconductor wafer-form package through the testing module further comprises:
generating the testing signal, via a controller electrically connected to the circuit board structure, before sending the testing signal; and evaluating the responsive signal via the controller after receiving the responsive signal. 13. A testing method using at least one testing module for a semiconductor wafer-form package, wherein the semiconductor wafer-form package is removably installed to and electrically connected to the at least one testing module, and the at least one testing module comprises a circuit board structure with first connectors located thereon and electrically connected thereto, an first connecting structure with second connectors and third connectors located thereon and electrically connected thereto, a first bridge connector electrically connecting the circuit board structure and the first connecting structure, and a second bridge connector overlapped with and electrically connected to the circuit board structure through the semiconductor wafer-form package, and wherein the testing method comprises:
sending, via a first electrical transmitting path comprising the circuit board structure, the first connectors, the first bridge connector, the second connectors, the first connecting structure and the third connectors comprised in the at least one testing module and fourth connectors and a redistribution circuit structure comprised in the semiconductor wafer-form package, a first testing signal to the semiconductor wafer-form package; and receiving a first responsive signal from the semiconductor wafer-form package. 14. The testing method of claim 13, wherein the semiconductor wafer-form package comprises a plurality of semiconductor dies electrically connected to the fourth connectors, the redistribution circuit structure electrically connected to the fourth connectors and the semiconductor dies, and a socket electrically connected to the redistribution circuit structure and the semiconductor dies and located aside of the fourth connectors, wherein the redistribution circuit structure is between the semiconductor dies and the socket and between the semiconductor dies and the fourth connectors,
wherein the testing method further comprises: sending, via a second electrical transmitting path comprising the circuit board structure, the first connectors, the first bridge connector, the second connectors, the first connecting structure and the third connectors comprised in the at least one testing module and the fourth connectors and the redistribution circuit structure comprised in the semiconductor wafer-form package, a second testing signal to the socket along with the second bridge connector; and receiving a second responsive signal from the semiconductor wafer-form package. 15. The testing method of claim 14, further comprises:
sending, via a third electrical transmitting path comprising the circuit board structure, the first connectors, the first bridge connector, the second connectors, the first connecting structure and the third connectors comprised in the at least one testing module and the fourth connectors and the redistribution circuit structure comprised in the semiconductor wafer-form package, a third testing signal to one of the semiconductor dies; and receiving a third responsive signal from the semiconductor wafer-form package. 16. The testing method of claim 15, wherein the third electrical transmitting path further comprises the socket and the second bridge connector. 17. The testing method of claim 14, further comprises:
sending, via a fourth electrical transmitting path comprising the circuit board structure, the first connectors, the first bridge connector, the second connectors, the first connecting structure and the third connectors comprised in the at least one testing module and the fourth connectors and the redistribution circuit structure comprised in the semiconductor wafer-form package, a fourth testing signal to a first semiconductor die of the semiconductor dies; transmitting the fourth testing signal from the first semiconductor die to a second semiconductor die of the semiconductor dies via the redistribution circuit structure; and receiving a fourth responsive signal from the semiconductor wafer-form package. 18. The testing method of claim 17, wherein the fourth electrical transmitting path further comprises the socket and the second bridge connector. 19. The testing method of claim 14, wherein the at least one testing module comprises a first testing module for a first semiconductor wafer-form package and a second testing module for a second semiconductor wafer-form package, and the testing method further comprises:
sending, via a first portion of a fifth electrical transmitting path comprising the circuit board structure, the first connectors, the first bridge connector, the second connectors, the first connecting structure and the third connectors comprised in the first testing module and the fourth connectors comprised in the first semiconductor wafer-form package, a fifth testing signal to the first semiconductor wafer-form package; transmitting, via a second portion of the fifth electrical transmitting path comprising the fourth connectors comprised in the first semiconductor wafer-form package, the third connectors comprised in the first testing module, a third bridge connector connecting the first and second testing modules, the third connectors comprised in the second testing module, and the fourth connectors comprised in the second semiconductor wafer-form package, the fifth testing signal from the first semiconductor wafer-form package to the second semiconductor wafer-form package; and receiving a fifth responsive signal from the second semiconductor wafer-form package. 20. The testing method of claim 19, wherein the fifth electrical transmitting path further comprises at least one of the following:
the first portion of the fifth electrical transmitting path further comprises the socket and the second bridge connector comprised in the first testing module; and the second portion of the fifth electrical transmitting path further comprises the socket and the second bridge connector comprised in the second testing module. | 2,600 |
348,389 | 16,805,882 | 2,698 | The present invention provides a bubble machine, which comprises, a film forming nozzle, a fan and a liquid storage, chamber. The fan is used to make airstream flow to the film forming nozzle. The bubble machine further comprises a film coating body, a rotation driving device and a bubble liquid flow path, the bubble liquid in the liquid storage chamber is capable of flowing to the film forming nozzle through the bubble liquid flow path, the film coating body is driven to rotate by the rotation driving device, and the axis of rotation of the film coating body is located on one side of the film forming nozzle and the film coating body is attached to the surface of the film forming nozzle. The film coating body causes the bubble to form a film on the film forming nozzle during rotation. | 1. A bubble machine, comprising a film forming nozzle, a fan and a liquid storage chamber, wherein the fan is used to make airstream flow to the film forming nozzle, wherein the bubble machine further comprises a film coating body, a rotation driving device and a bubble liquid flow path, the bubble liquid in the liquid storage chamber is capable of flowing to the film forming nozzle through the bubble liquid flow path, the film coating body is driven to rotate by the rotation driving device, and the axis of rotation of the film coating, body is located on one side of the film forming nozzle and the film coating body is attached to the surface of the film forming nozzle, and the film coating body causes the bubble to form a film on the film forming nozzle during rotation. 2. The bubble machine according to claim 1, further comprising a smoke generator, and the airstream generated by the fan makes the smoke generated by the smoke generator flow to the windward side. 3. The bubble machine according to claim 2, wherein a smoke storage tank for storing the smoke generated by the smoke generator is further installed on the air flow path. 4. The bubble machine according, to claim 1, wherein the film coating body is affixed to the leeward side of the film forming nozzle. 5. The bubble machine according to claim 1, wherein the bubble liquid flow path comprises a liquid flow tube, the liquid flow tube is provided between the first gear and the second gear that mesh with each other, the rotation direction of the first gear is fixed, and the first gear and the second gear squeeze the liquid flow tube to suck the bubble in the liquid storage chamber to the film forming nozzle. 6. The bubble machine according to claim 5, wherein the first gear is in transmission connection with the rotation driving device, a third gear is provided on the axis of rotation connected to the film coating body, and the first gear is also meshed with the third gear. 7. The bubble machine according to claim 1, wherein the bubble liquid in the liquid storage chamber is pumped to the film forming nozzle by a power pump. 8. The bubble machine according to claim 1, wherein the bubble liquid in the liquid storage chamber flows to the film forming nozzle by gravity. 9. The bubble machine according to claim 1, wherein a liquid collecting tank is provided below the film coating body and as the film coating body rotates, the end of the film coating body is capable of running into the liquid collecting tank. | The present invention provides a bubble machine, which comprises, a film forming nozzle, a fan and a liquid storage, chamber. The fan is used to make airstream flow to the film forming nozzle. The bubble machine further comprises a film coating body, a rotation driving device and a bubble liquid flow path, the bubble liquid in the liquid storage chamber is capable of flowing to the film forming nozzle through the bubble liquid flow path, the film coating body is driven to rotate by the rotation driving device, and the axis of rotation of the film coating body is located on one side of the film forming nozzle and the film coating body is attached to the surface of the film forming nozzle. The film coating body causes the bubble to form a film on the film forming nozzle during rotation.1. A bubble machine, comprising a film forming nozzle, a fan and a liquid storage chamber, wherein the fan is used to make airstream flow to the film forming nozzle, wherein the bubble machine further comprises a film coating body, a rotation driving device and a bubble liquid flow path, the bubble liquid in the liquid storage chamber is capable of flowing to the film forming nozzle through the bubble liquid flow path, the film coating body is driven to rotate by the rotation driving device, and the axis of rotation of the film coating, body is located on one side of the film forming nozzle and the film coating body is attached to the surface of the film forming nozzle, and the film coating body causes the bubble to form a film on the film forming nozzle during rotation. 2. The bubble machine according to claim 1, further comprising a smoke generator, and the airstream generated by the fan makes the smoke generated by the smoke generator flow to the windward side. 3. The bubble machine according to claim 2, wherein a smoke storage tank for storing the smoke generated by the smoke generator is further installed on the air flow path. 4. The bubble machine according, to claim 1, wherein the film coating body is affixed to the leeward side of the film forming nozzle. 5. The bubble machine according to claim 1, wherein the bubble liquid flow path comprises a liquid flow tube, the liquid flow tube is provided between the first gear and the second gear that mesh with each other, the rotation direction of the first gear is fixed, and the first gear and the second gear squeeze the liquid flow tube to suck the bubble in the liquid storage chamber to the film forming nozzle. 6. The bubble machine according to claim 5, wherein the first gear is in transmission connection with the rotation driving device, a third gear is provided on the axis of rotation connected to the film coating body, and the first gear is also meshed with the third gear. 7. The bubble machine according to claim 1, wherein the bubble liquid in the liquid storage chamber is pumped to the film forming nozzle by a power pump. 8. The bubble machine according to claim 1, wherein the bubble liquid in the liquid storage chamber flows to the film forming nozzle by gravity. 9. The bubble machine according to claim 1, wherein a liquid collecting tank is provided below the film coating body and as the film coating body rotates, the end of the film coating body is capable of running into the liquid collecting tank. | 2,600 |
348,390 | 16,805,853 | 2,698 | The present disclosure provides a chip packaging method and a chip structure. The chip packaging method comprises: providing a wafer, and forming a protective layer on a wafer active surface of the wafer; cutting and separating the wafer to form a die; providing a metal structure, the metal structure including at least one metal unit; adhering the die and the metal structure onto a carrier; and forming a molding layer. The chip structure comprises: at least one die; a protective layer; a metal unit, the metal unit including at least one metal feature; and a molding layer, encapsulating the at least one die and the metal unit, and the chip structure is connected with an external circuit through the at least one metal feature. By adopting a plurality of metal features of the metal unit, the present disclosure achieves improved packaging performance brought by different metal features; and the wafer active surface is provided with the protective layer in the present disclosure, so that a step of applying an insulating layer after the formation of the molding layer is omitted. | 1. A chip structure, comprising:
at least one die; a protective layer; a metal unit, the metal unit including at least one metal feature; and a molding layer, encapsulating the at least one die and the metal unit, wherein the chip structure is connected with an external circuit via the at least one metal feature. 2. The chip structure according to claim 1, wherein the chip structure further comprises a conductive structure, and the at least one metal feature of the metal unit is connected with the at least one die via the conductive structure. 3. The chip structure according to claim 2, wherein
the conductive structure comprises conductive filled vias and a panel-level conductive layer; the conductive filled vias are provided by filling protective layer openings with a conductive material, and the protective layer openings are provided in the protective layer; at least one of the conductive filled vias are connected with electrical connection pads and/or heat dissipation positions provided on the at least one die; the panel-level conductive layer is provided on a surface of the protective layer and a molding layer front surface of the molding layer; at least a portion of the panel-level conductive layer is connected with the conductive filled vias and is connected with the metal unit; and the surface of the protective layer, the molding layer front surface, and a metal unit front surface of the metal unit are flush with one another. 4. The chip structure according to claim 3, wherein the at least one metal feature comprises a connection structure and/or a heat dissipation structure, the connection structure includes a connection pad, and the heat dissipation structure includes a heat dissipation pad. 5. The chip structure according to claim 4, wherein the heat dissipation structure further comprises a back surface heat sink, and the back surface heat sink is applied to a die back surface of the at least one die via a thermally conductive material. 6. The chip structure according to claim 3, wherein a metal layer is applied on a die back surface of the at least one die, and a surface of the metal layer is exposed from a molding layer back surface of the molding layer. 7. The chip structure according to claim 6, wherein the at least one metal feature has a metal feature back surface, the metal feature back surface is exposed from the molding layer back surface, and the surface of the metal layer is connected with the metal feature back surface via a conductive paste. 8. The chip structure according to claim 3, wherein a die back surface of the at least one die and a metal unit back surface of the metal unit are exposed from a molding layer back surface of the molding layer, and the exposed die back surface and the metal unit back surface are provided with a surface treatment layer. 9. The chip structure according to claim 3, wherein the at least one die comprises two or more dies, the two or more dies have different functions, and the two or more dies are electrically connected together. 10. The chip structure according to claim 3, wherein the chip structure further comprises a dielectric layer that encapsulates the panel-level conductive layer, and an outermost dielectric layer completely encapsulates the panel-level conductive layer. 11. The chip structure according to claim 3, wherein the protective layer comprises an organic/inorganic composite material. 12. The chip structure according to claim 3, wherein the protective layer has a Young's modulus ranging from 1,000 MPa to 20,000 MPa. 13. The chip structure according to claim 3, wherein the protective layer has a thickness of ranging from 15 μm to 50 μm. 14. The chip structure according to claim 3, wherein the protective layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K. 15. The chip structure according to claim 3, wherein the encapsulation layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K. 16. The chip structure according to claim 3, wherein the protective layer and the encapsulation layer have a substantially same coefficient of thermal expansion. 17. The chip structure according to claim 3, wherein the protective layer comprises inorganic filler particles; and the inorganic filler particles have a diameter of less than 3 μm. 18. The chip structure according to claim 3, wherein the filled via has a lower surface and an upper surface, and the filled via has an area ratio of the lower surface to the upper surface ranging from 60% to 90%. 19. The chip structure according to claim 18, wherein a gap is between the lower surface of the filled via and an insulating layer provided on the die active surface; and/or the lower surface of the filled via is located at a position close to a central portion of the connection pads. 20. The chip structure according to claim 3, wherein a conductive cover layer is provided on the connection pads. | The present disclosure provides a chip packaging method and a chip structure. The chip packaging method comprises: providing a wafer, and forming a protective layer on a wafer active surface of the wafer; cutting and separating the wafer to form a die; providing a metal structure, the metal structure including at least one metal unit; adhering the die and the metal structure onto a carrier; and forming a molding layer. The chip structure comprises: at least one die; a protective layer; a metal unit, the metal unit including at least one metal feature; and a molding layer, encapsulating the at least one die and the metal unit, and the chip structure is connected with an external circuit through the at least one metal feature. By adopting a plurality of metal features of the metal unit, the present disclosure achieves improved packaging performance brought by different metal features; and the wafer active surface is provided with the protective layer in the present disclosure, so that a step of applying an insulating layer after the formation of the molding layer is omitted.1. A chip structure, comprising:
at least one die; a protective layer; a metal unit, the metal unit including at least one metal feature; and a molding layer, encapsulating the at least one die and the metal unit, wherein the chip structure is connected with an external circuit via the at least one metal feature. 2. The chip structure according to claim 1, wherein the chip structure further comprises a conductive structure, and the at least one metal feature of the metal unit is connected with the at least one die via the conductive structure. 3. The chip structure according to claim 2, wherein
the conductive structure comprises conductive filled vias and a panel-level conductive layer; the conductive filled vias are provided by filling protective layer openings with a conductive material, and the protective layer openings are provided in the protective layer; at least one of the conductive filled vias are connected with electrical connection pads and/or heat dissipation positions provided on the at least one die; the panel-level conductive layer is provided on a surface of the protective layer and a molding layer front surface of the molding layer; at least a portion of the panel-level conductive layer is connected with the conductive filled vias and is connected with the metal unit; and the surface of the protective layer, the molding layer front surface, and a metal unit front surface of the metal unit are flush with one another. 4. The chip structure according to claim 3, wherein the at least one metal feature comprises a connection structure and/or a heat dissipation structure, the connection structure includes a connection pad, and the heat dissipation structure includes a heat dissipation pad. 5. The chip structure according to claim 4, wherein the heat dissipation structure further comprises a back surface heat sink, and the back surface heat sink is applied to a die back surface of the at least one die via a thermally conductive material. 6. The chip structure according to claim 3, wherein a metal layer is applied on a die back surface of the at least one die, and a surface of the metal layer is exposed from a molding layer back surface of the molding layer. 7. The chip structure according to claim 6, wherein the at least one metal feature has a metal feature back surface, the metal feature back surface is exposed from the molding layer back surface, and the surface of the metal layer is connected with the metal feature back surface via a conductive paste. 8. The chip structure according to claim 3, wherein a die back surface of the at least one die and a metal unit back surface of the metal unit are exposed from a molding layer back surface of the molding layer, and the exposed die back surface and the metal unit back surface are provided with a surface treatment layer. 9. The chip structure according to claim 3, wherein the at least one die comprises two or more dies, the two or more dies have different functions, and the two or more dies are electrically connected together. 10. The chip structure according to claim 3, wherein the chip structure further comprises a dielectric layer that encapsulates the panel-level conductive layer, and an outermost dielectric layer completely encapsulates the panel-level conductive layer. 11. The chip structure according to claim 3, wherein the protective layer comprises an organic/inorganic composite material. 12. The chip structure according to claim 3, wherein the protective layer has a Young's modulus ranging from 1,000 MPa to 20,000 MPa. 13. The chip structure according to claim 3, wherein the protective layer has a thickness of ranging from 15 μm to 50 μm. 14. The chip structure according to claim 3, wherein the protective layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K. 15. The chip structure according to claim 3, wherein the encapsulation layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K. 16. The chip structure according to claim 3, wherein the protective layer and the encapsulation layer have a substantially same coefficient of thermal expansion. 17. The chip structure according to claim 3, wherein the protective layer comprises inorganic filler particles; and the inorganic filler particles have a diameter of less than 3 μm. 18. The chip structure according to claim 3, wherein the filled via has a lower surface and an upper surface, and the filled via has an area ratio of the lower surface to the upper surface ranging from 60% to 90%. 19. The chip structure according to claim 18, wherein a gap is between the lower surface of the filled via and an insulating layer provided on the die active surface; and/or the lower surface of the filled via is located at a position close to a central portion of the connection pads. 20. The chip structure according to claim 3, wherein a conductive cover layer is provided on the connection pads. | 2,600 |
348,391 | 16,805,890 | 2,698 | A semiconductor package includes a package substrate, a plurality of package terminals disposed on the bottom surface of the package substrate, and an interposer substrate disposed on the top surface of the package substrate, a plurality of interposer terminals disposed on the bottom surface of the interposer substrate and electrically connected to the package substrate, a first semiconductor chip disposed on the top surface of the interposer substrate, a second semiconductor chip disposed on the top surface of the interposer substrate and disposed to be horizontally separated from the first semiconductor chip, a first plurality of signal pads disposed on the top surface of the interposer substrate and electrically connected to wiring in the interposer substrate and one or more circuits in the first semiconductor chip, a second plurality of signal pads disposed on the top surface of the interposer substrate and electrically connected to wiring in the interposer substrate and to one or more circuits in the second semiconductor chip, and a plurality of dummy pads disposed outside of an area occupied by the first semiconductor chip or the second semiconductor chip from a top-down view and disposed on the top surface of the interposer substrate. Each pad of the first plurality of signal pads and the second plurality of signal pads is configured to transfer signals between the interposer substrate and a respective semiconductor chip, and each pad of the dummy pads is not configured to transfer signals between the interposer substrate and any semiconductor chip disposed thereon. | 1. A semiconductor package comprising:
a package substrate having a bottom surface and a top surface; a plurality of package terminals disposed on the bottom surface of the package substrate; an interposer substrate disposed on the top surface of the package substrate, the interposer substrate having a bottom surface facing the package substrate and a top surface opposite the bottom surface; a plurality of interposer terminals disposed on the bottom surface of the interposer substrate and electrically connected to the package substrate; a first semiconductor chip disposed on the top surface of the interposer substrate; a second semiconductor chip disposed on the top surface of the interposer substrate and disposed to be horizontally separated from the first semiconductor chip; a first plurality of signal pads disposed on the top surface of the interposer substrate and electrically connected to wiring in the interposer substrate and one or more circuits in the first semiconductor chip; a second plurality of signal pads disposed on the top surface of the interposer substrate and electrically connected to wiring in the interposer substrate and to one or more circuits in the second semiconductor chip; and a plurality of dummy pads disposed outside of an area occupied by the first semiconductor chip from a top-down view and outside of an area occupied by the second semiconductor chip from a top-down view and disposed on the top surface of the interposer substrate, wherein the first plurality of signal pads, the second plurality of signal pads, and the plurality of dummy pads are all located at the same vertical height above the top surface of the package substrate, wherein each pad of the first plurality of signal pads and the second plurality of signal pads is configured to transfer signals between the interposer substrate and a respective semiconductor chip, and wherein each pad of the dummy pads is not configured to transfer signals between the interposer substrate and any semiconductor chip disposed thereon. 2. The semiconductor package of claim 1, wherein:
a set of dummy pads of the plurality of dummy pads surround an area occupied by an outer boundary of outermost interposer terminals of the interposer substrate, from a top-down view. 3. The semiconductor package of claim 1, wherein:
outermost dummy pads of the plurality of dummy pads are horizontally closer to a side surface of the interposer substrate than outermost interposer terminals of the interposer substrate, from a top-down view. 4-6. (canceled) 7. The semiconductor package of claim 1, further comprising:
at least a first dummy pad of the plurality of dummy pads located horizontally between the first semiconductor chip and the second semiconductor chip; and at least a second dummy pad of the plurality of dummy pads located outside of an area occupied by the first and second semiconductor chips and not horizontally between the first semiconductor chip and the second semiconductor chip. 8. The semiconductor package of claim 7:
wherein the first dummy pad is thermally connected to the second dummy pad by a thermal line. 9. The semiconductor package of claim 8, wherein:
the thermal line is formed of a thermally and electrically conductive material and is formed on or in the interposer substrate. 10. The semiconductor package of claim 1, wherein:
the dummy pads are electrically isolated from any wiring or circuits in the interposer substrate. 11. The semiconductor package of claim 1, wherein:
the dummy pads have a bottom surface facing the interposer substrate and a top surface facing away from the interposer substrate, and the top surface of each dummy pad contacts an insulating material and does not contact an electrically conductive material. 12. The semiconductor package of claim 11, wherein:
the insulating material is a molding material formed to encapsulate the semiconductor package. 13. The semiconductor package of claim 1, wherein:
the dummy pads have a bottom surface facing the interposer substrate and a top surface facing away from the interposer substrate, and the bottom surface of each dummy pad contacts an insulating material and does not contact an electrically conductive material. 14. The semiconductor package of claim 1, wherein:
the first plurality of signal pads each have a first height from their bottom surface to their top surface, the dummy pads each have a second height from their bottom surface to their top surface, and the first height is the same as the second height. 15. The semiconductor package of claim 1, further comprising:
a first connection region horizontally between the first semiconductor chip and the second semiconductor chip; and conductive lines formed in or on the interposer substrate in the first connection region, wherein no dummy pads are formed on the top surface of the interposer substrate above the conductive lines in the first connection region. 16. The semiconductor package of claim 1, wherein:
the dummy pads are arranged in first and second directions respectively parallel to a first edge of the interposer substrate and a second edge of the interposer substrate perpendicular to the first edge; and adjacent dummy pads in each of the first direction and second direction are separated from each other by no more than 200 microns. 17. The semiconductor package of claim 1, wherein:
the first plurality of signal pads are arranged in first and second directions respectively parallel to a first edge of the interposer substrate and a second edge of the interposer substrate perpendicular to the first edge; and adjacent signal pads of the first plurality of signal pads in each of the first direction and second direction are separated from each other by no more than 150 μm. 18. The semiconductor package of claim 1, wherein:
the first semiconductor chip is a logic chip; and the second semiconductor chip is a die of a memory stack. 19. The semiconductor package of claim 18, wherein:
the first semiconductor chip is a single chip not part of a stack of chips; and the second semiconductor chip is at the same height above the interposer substrate as the first semiconductor chip. 20-21. (canceled) 22. A semiconductor package comprising:
a package substrate having a bottom surface and a top surface; a plurality of package terminals disposed on the bottom surface of the package substrate; an interposer substrate disposed on the top surface of the package substrate, the interposer substrate having a bottom surface facing the package substrate and a top surface opposite the bottom surface; a plurality of interposer terminals disposed on the bottom surface of the interposer substrate and electrically connected to the package substrate; a first semiconductor chip disposed on the top surface of the interposer substrate; a second semiconductor chip disposed on the top surface of the interposer substrate and disposed to be horizontally separated from the first semiconductor chip; a first plurality of signal pads disposed on the top surface of the interposer substrate and electrically connected to wiring in the interposer substrate and one or more circuits in the first semiconductor chip; a second plurality of signal pads disposed on the top surface of the interposer substrate and electrically connected to wiring in the interposer substrate and to one or more circuits in the second semiconductor chip; and a plurality of dummy pads disposed outside of an area occupied by the first semiconductor chip from a top-down view and outside of an area occupied by the second semiconductor chip from a top-down view and disposed on the top surface of the interposer substrate, wherein the first plurality of signal pads, the second plurality of signal pads, and the plurality of dummy pads are all located at the same vertical height above the top surface of the package substrate, and wherein a set of dummy pads of the plurality of dummy pads are outside of an area occupied by an outer boundary of outermost interposer terminals of the interposer substrate, from a top-down view. 23. The semiconductor package of claim 22, wherein:
each pad of the first plurality of signal pads and the second plurality of signal pads transfers signals, and each pad of the dummy pads does not transfer signals. 24. The semiconductor package of claim 22, wherein:
each pad of the first plurality of signal pads and the second plurality of signal pads are for transferring signals; and each pad of the plurality of dummy pads is electrically isolated at its top surface by an insulating material. 25. The semiconductor package of claim 22, wherein:
each dummy pad of the plurality of dummy pads is connected to a dummy TSV passing through the interposer substrate. 26-36. (canceled) | A semiconductor package includes a package substrate, a plurality of package terminals disposed on the bottom surface of the package substrate, and an interposer substrate disposed on the top surface of the package substrate, a plurality of interposer terminals disposed on the bottom surface of the interposer substrate and electrically connected to the package substrate, a first semiconductor chip disposed on the top surface of the interposer substrate, a second semiconductor chip disposed on the top surface of the interposer substrate and disposed to be horizontally separated from the first semiconductor chip, a first plurality of signal pads disposed on the top surface of the interposer substrate and electrically connected to wiring in the interposer substrate and one or more circuits in the first semiconductor chip, a second plurality of signal pads disposed on the top surface of the interposer substrate and electrically connected to wiring in the interposer substrate and to one or more circuits in the second semiconductor chip, and a plurality of dummy pads disposed outside of an area occupied by the first semiconductor chip or the second semiconductor chip from a top-down view and disposed on the top surface of the interposer substrate. Each pad of the first plurality of signal pads and the second plurality of signal pads is configured to transfer signals between the interposer substrate and a respective semiconductor chip, and each pad of the dummy pads is not configured to transfer signals between the interposer substrate and any semiconductor chip disposed thereon.1. A semiconductor package comprising:
a package substrate having a bottom surface and a top surface; a plurality of package terminals disposed on the bottom surface of the package substrate; an interposer substrate disposed on the top surface of the package substrate, the interposer substrate having a bottom surface facing the package substrate and a top surface opposite the bottom surface; a plurality of interposer terminals disposed on the bottom surface of the interposer substrate and electrically connected to the package substrate; a first semiconductor chip disposed on the top surface of the interposer substrate; a second semiconductor chip disposed on the top surface of the interposer substrate and disposed to be horizontally separated from the first semiconductor chip; a first plurality of signal pads disposed on the top surface of the interposer substrate and electrically connected to wiring in the interposer substrate and one or more circuits in the first semiconductor chip; a second plurality of signal pads disposed on the top surface of the interposer substrate and electrically connected to wiring in the interposer substrate and to one or more circuits in the second semiconductor chip; and a plurality of dummy pads disposed outside of an area occupied by the first semiconductor chip from a top-down view and outside of an area occupied by the second semiconductor chip from a top-down view and disposed on the top surface of the interposer substrate, wherein the first plurality of signal pads, the second plurality of signal pads, and the plurality of dummy pads are all located at the same vertical height above the top surface of the package substrate, wherein each pad of the first plurality of signal pads and the second plurality of signal pads is configured to transfer signals between the interposer substrate and a respective semiconductor chip, and wherein each pad of the dummy pads is not configured to transfer signals between the interposer substrate and any semiconductor chip disposed thereon. 2. The semiconductor package of claim 1, wherein:
a set of dummy pads of the plurality of dummy pads surround an area occupied by an outer boundary of outermost interposer terminals of the interposer substrate, from a top-down view. 3. The semiconductor package of claim 1, wherein:
outermost dummy pads of the plurality of dummy pads are horizontally closer to a side surface of the interposer substrate than outermost interposer terminals of the interposer substrate, from a top-down view. 4-6. (canceled) 7. The semiconductor package of claim 1, further comprising:
at least a first dummy pad of the plurality of dummy pads located horizontally between the first semiconductor chip and the second semiconductor chip; and at least a second dummy pad of the plurality of dummy pads located outside of an area occupied by the first and second semiconductor chips and not horizontally between the first semiconductor chip and the second semiconductor chip. 8. The semiconductor package of claim 7:
wherein the first dummy pad is thermally connected to the second dummy pad by a thermal line. 9. The semiconductor package of claim 8, wherein:
the thermal line is formed of a thermally and electrically conductive material and is formed on or in the interposer substrate. 10. The semiconductor package of claim 1, wherein:
the dummy pads are electrically isolated from any wiring or circuits in the interposer substrate. 11. The semiconductor package of claim 1, wherein:
the dummy pads have a bottom surface facing the interposer substrate and a top surface facing away from the interposer substrate, and the top surface of each dummy pad contacts an insulating material and does not contact an electrically conductive material. 12. The semiconductor package of claim 11, wherein:
the insulating material is a molding material formed to encapsulate the semiconductor package. 13. The semiconductor package of claim 1, wherein:
the dummy pads have a bottom surface facing the interposer substrate and a top surface facing away from the interposer substrate, and the bottom surface of each dummy pad contacts an insulating material and does not contact an electrically conductive material. 14. The semiconductor package of claim 1, wherein:
the first plurality of signal pads each have a first height from their bottom surface to their top surface, the dummy pads each have a second height from their bottom surface to their top surface, and the first height is the same as the second height. 15. The semiconductor package of claim 1, further comprising:
a first connection region horizontally between the first semiconductor chip and the second semiconductor chip; and conductive lines formed in or on the interposer substrate in the first connection region, wherein no dummy pads are formed on the top surface of the interposer substrate above the conductive lines in the first connection region. 16. The semiconductor package of claim 1, wherein:
the dummy pads are arranged in first and second directions respectively parallel to a first edge of the interposer substrate and a second edge of the interposer substrate perpendicular to the first edge; and adjacent dummy pads in each of the first direction and second direction are separated from each other by no more than 200 microns. 17. The semiconductor package of claim 1, wherein:
the first plurality of signal pads are arranged in first and second directions respectively parallel to a first edge of the interposer substrate and a second edge of the interposer substrate perpendicular to the first edge; and adjacent signal pads of the first plurality of signal pads in each of the first direction and second direction are separated from each other by no more than 150 μm. 18. The semiconductor package of claim 1, wherein:
the first semiconductor chip is a logic chip; and the second semiconductor chip is a die of a memory stack. 19. The semiconductor package of claim 18, wherein:
the first semiconductor chip is a single chip not part of a stack of chips; and the second semiconductor chip is at the same height above the interposer substrate as the first semiconductor chip. 20-21. (canceled) 22. A semiconductor package comprising:
a package substrate having a bottom surface and a top surface; a plurality of package terminals disposed on the bottom surface of the package substrate; an interposer substrate disposed on the top surface of the package substrate, the interposer substrate having a bottom surface facing the package substrate and a top surface opposite the bottom surface; a plurality of interposer terminals disposed on the bottom surface of the interposer substrate and electrically connected to the package substrate; a first semiconductor chip disposed on the top surface of the interposer substrate; a second semiconductor chip disposed on the top surface of the interposer substrate and disposed to be horizontally separated from the first semiconductor chip; a first plurality of signal pads disposed on the top surface of the interposer substrate and electrically connected to wiring in the interposer substrate and one or more circuits in the first semiconductor chip; a second plurality of signal pads disposed on the top surface of the interposer substrate and electrically connected to wiring in the interposer substrate and to one or more circuits in the second semiconductor chip; and a plurality of dummy pads disposed outside of an area occupied by the first semiconductor chip from a top-down view and outside of an area occupied by the second semiconductor chip from a top-down view and disposed on the top surface of the interposer substrate, wherein the first plurality of signal pads, the second plurality of signal pads, and the plurality of dummy pads are all located at the same vertical height above the top surface of the package substrate, and wherein a set of dummy pads of the plurality of dummy pads are outside of an area occupied by an outer boundary of outermost interposer terminals of the interposer substrate, from a top-down view. 23. The semiconductor package of claim 22, wherein:
each pad of the first plurality of signal pads and the second plurality of signal pads transfers signals, and each pad of the dummy pads does not transfer signals. 24. The semiconductor package of claim 22, wherein:
each pad of the first plurality of signal pads and the second plurality of signal pads are for transferring signals; and each pad of the plurality of dummy pads is electrically isolated at its top surface by an insulating material. 25. The semiconductor package of claim 22, wherein:
each dummy pad of the plurality of dummy pads is connected to a dummy TSV passing through the interposer substrate. 26-36. (canceled) | 2,600 |
348,392 | 16,805,887 | 2,698 | A time-of-flight depth camera includes a VCSEL array, an optical arrangement, an evaluator and a light detector having at least one detector pixel. The VCSEL array or the optical arrangement are arranged to provide different illumination patterns in a reference plane in a defined field-of-view of the time-of-flight depth camera. The light detector is arranged to detect the different illumination patterns and the evaluator is arranged to reconstruct a depth image of the field of view with a resolution of a predefined number of pixels P based on the detected different illumination patterns. A number of the detected different illumination patterns N is at least 5% of the predefined number of pixels P, preferably at least 10% of the predefined number of pixels P and most preferred at least 20% of the predefined number of pixels P. | 1. A time-of-flight depth camera comprising:
a VCSEL array; an optical arrangement; an evaluator; and a light detector comprising at least one detector pixel, wherein the VCSEL array or the optical arrangement are arranged to provide different illumination patterns in a reference plane in a defined field-of-view of the time-of-flight depth camera, wherein the light detector is arranged to detect the different illumination patterns, wherein the evaluator is arranged to reconstruct a depth image of the field of view with a resolution of a predefined number of pixels P based on the detected different illumination patterns, wherein a number of the detected different illumination patterns N is at least 5% of the predefined number of pixels P, wherein the VCSEL array is an addressable VCSEL array, wherein the VCSEL array is arranged to provide different illumination patterns by addressing different VCSELs of the VCSEL array, wherein the optical arrangement comprises a replicating optical structure, wherein the replicating optical structure is arranged to replicate a light pattern provided by the VCSEL array across the illumination pattern such that the illumination pattern consists of two or more sub-illumination patterns, wherein the detector is arranged such that each detector pixel detects a corresponding sub-illumination pattern. 2. The time-of-flight depth camera according to claim 1, wherein the different illumination patterns are pseudo random illumination patterns. 3. The time-of-flight depth camera according to claim 1, wherein the VCSELs of the VCSEL array are arranged in a random pattern such that the number of different illumination patterns provided by the VCSEL array is increased. 4. A time-of-flight depth camera comprising:
a VCSEL array; an optical arrangement; an evaluator; and a light detector comprising at least one detector pixel, wherein the VCSEL array or the optical arrangement are arranged to provide different illumination patterns in a reference plane in a defined field-of-view of the time-of-flight depth camera, wherein the light detector is arranged to detect the different illumination patterns, wherein the evaluator is arranged to reconstruct a depth image of the field of view with a resolution of a predefined number of pixels P based on the detected different illumination patterns, wherein a number of the detected different illumination patterns N is at least 5% of the predefined number of pixels P, wherein the VCSEL array is an addressable VCSEL array, wherein the VCSEL array is arranged to provide different illumination patterns by addressing different VCSELs of the VCSEL array, wherein the optical arrangement comprises a replicating optical structure, wherein the optical arrangement is arranged to provide different illumination patterns by changing an optical property of the optical arrangement. 5. The time-of-flight depth camera according to claim 4, wherein the number of detected different illumination patterns N is determined by a number of detector pixels D and a number of provided different illumination patterns,
wherein the number of provided different illumination patterns is given by a product V*O of a number of different illumination patterns provided by the addressable VCSEL array V and a number of different illumination patterns provided by optical arrangement O, wherein V is chosen between 4 and 0.7*N, and wherein O is chosen between 2 and 0.7*N. 6. The time-of-flight depth camera according to claim 4, wherein the optical arrangement comprises a first optical element and a second element, and wherein the optical arrangement is arranged to change a spatial relationship between the first optical element and the second optical element. 7. The time-of-flight depth camera according to claim 6, wherein the first optical element is a first diffractive optical element, and wherein the second optical element is a second diffractive optical element. 8. The time-of-flight depth camera according to claim 4, wherein the optical arrangement comprises a spatial light modulator or a micromirror device for providing the different illumination patterns. 9. The time-of-flight depth camera according to claim 4, wherein the light detector comprises less than 10 detector pixels. 10. The time-of-flight depth camera according to claim 4, wherein the number of detected different illumination patterns N is less than the predefined number of pixels P. 11. A method of providing a depth image from a defined field of view with a resolution of a predefined number of pixels P, the method comprising the steps of:
changing an optical interaction between a VCSEL array and an optical arrangement, wherein the VCSEL array is an addressable VCSEL array, providing a number of different illumination patterns by changing the optical interaction, detecting the different illumination patterns, wherein the number of detected different illumination patterns N is at least 5% of the predefined number of pixels P in a reference plane in the defined field-of-view, reconstructing the depth image of the field of view based on the detected different illumination patterns, providing different illumination patterns by addressing different VCSELs of the addressable VCSEL array, replicating by means of a replicating optical structure comprised by the optical arrangement a light pattern provided by the addressable VCSEL array across the illumination pattern such that the illumination pattern consists of two or more sub-illumination patterns, and detecting by each detector pixels a corresponding sub-illumination pattern. 12. A method of providing a depth image from a defined field of view with a resolution of a predefined number of pixels P, the method comprising the steps of:
changing an optical interaction between a VCSEL array and an optical arrangement, wherein the VCSEL array is an addressable VCSEL array, providing a number of different illumination patterns by changing the optical interaction, detecting the different illumination patterns, wherein the number of detected different illumination patterns N is at least 5% of the predefined number of pixels P in a reference plane in the defined field-of-view, reconstructing the depth image of the field of view based on the detected different illumination patterns, providing different illumination patterns by addressing different VCSELs of the addressable VCSEL array, and providing different illumination patterns (20) by changing an optical property of the optical arrangement. 13. The computer program product comprising code means which can be saved on at least one memory device wherein the code means are arranged such that the method according to claim 11 can be executed by means of at least one processing device comprised by the time-of-flight depth camera or by means of at least one processing device of the device comprising the time-of-flight depth camera. | A time-of-flight depth camera includes a VCSEL array, an optical arrangement, an evaluator and a light detector having at least one detector pixel. The VCSEL array or the optical arrangement are arranged to provide different illumination patterns in a reference plane in a defined field-of-view of the time-of-flight depth camera. The light detector is arranged to detect the different illumination patterns and the evaluator is arranged to reconstruct a depth image of the field of view with a resolution of a predefined number of pixels P based on the detected different illumination patterns. A number of the detected different illumination patterns N is at least 5% of the predefined number of pixels P, preferably at least 10% of the predefined number of pixels P and most preferred at least 20% of the predefined number of pixels P.1. A time-of-flight depth camera comprising:
a VCSEL array; an optical arrangement; an evaluator; and a light detector comprising at least one detector pixel, wherein the VCSEL array or the optical arrangement are arranged to provide different illumination patterns in a reference plane in a defined field-of-view of the time-of-flight depth camera, wherein the light detector is arranged to detect the different illumination patterns, wherein the evaluator is arranged to reconstruct a depth image of the field of view with a resolution of a predefined number of pixels P based on the detected different illumination patterns, wherein a number of the detected different illumination patterns N is at least 5% of the predefined number of pixels P, wherein the VCSEL array is an addressable VCSEL array, wherein the VCSEL array is arranged to provide different illumination patterns by addressing different VCSELs of the VCSEL array, wherein the optical arrangement comprises a replicating optical structure, wherein the replicating optical structure is arranged to replicate a light pattern provided by the VCSEL array across the illumination pattern such that the illumination pattern consists of two or more sub-illumination patterns, wherein the detector is arranged such that each detector pixel detects a corresponding sub-illumination pattern. 2. The time-of-flight depth camera according to claim 1, wherein the different illumination patterns are pseudo random illumination patterns. 3. The time-of-flight depth camera according to claim 1, wherein the VCSELs of the VCSEL array are arranged in a random pattern such that the number of different illumination patterns provided by the VCSEL array is increased. 4. A time-of-flight depth camera comprising:
a VCSEL array; an optical arrangement; an evaluator; and a light detector comprising at least one detector pixel, wherein the VCSEL array or the optical arrangement are arranged to provide different illumination patterns in a reference plane in a defined field-of-view of the time-of-flight depth camera, wherein the light detector is arranged to detect the different illumination patterns, wherein the evaluator is arranged to reconstruct a depth image of the field of view with a resolution of a predefined number of pixels P based on the detected different illumination patterns, wherein a number of the detected different illumination patterns N is at least 5% of the predefined number of pixels P, wherein the VCSEL array is an addressable VCSEL array, wherein the VCSEL array is arranged to provide different illumination patterns by addressing different VCSELs of the VCSEL array, wherein the optical arrangement comprises a replicating optical structure, wherein the optical arrangement is arranged to provide different illumination patterns by changing an optical property of the optical arrangement. 5. The time-of-flight depth camera according to claim 4, wherein the number of detected different illumination patterns N is determined by a number of detector pixels D and a number of provided different illumination patterns,
wherein the number of provided different illumination patterns is given by a product V*O of a number of different illumination patterns provided by the addressable VCSEL array V and a number of different illumination patterns provided by optical arrangement O, wherein V is chosen between 4 and 0.7*N, and wherein O is chosen between 2 and 0.7*N. 6. The time-of-flight depth camera according to claim 4, wherein the optical arrangement comprises a first optical element and a second element, and wherein the optical arrangement is arranged to change a spatial relationship between the first optical element and the second optical element. 7. The time-of-flight depth camera according to claim 6, wherein the first optical element is a first diffractive optical element, and wherein the second optical element is a second diffractive optical element. 8. The time-of-flight depth camera according to claim 4, wherein the optical arrangement comprises a spatial light modulator or a micromirror device for providing the different illumination patterns. 9. The time-of-flight depth camera according to claim 4, wherein the light detector comprises less than 10 detector pixels. 10. The time-of-flight depth camera according to claim 4, wherein the number of detected different illumination patterns N is less than the predefined number of pixels P. 11. A method of providing a depth image from a defined field of view with a resolution of a predefined number of pixels P, the method comprising the steps of:
changing an optical interaction between a VCSEL array and an optical arrangement, wherein the VCSEL array is an addressable VCSEL array, providing a number of different illumination patterns by changing the optical interaction, detecting the different illumination patterns, wherein the number of detected different illumination patterns N is at least 5% of the predefined number of pixels P in a reference plane in the defined field-of-view, reconstructing the depth image of the field of view based on the detected different illumination patterns, providing different illumination patterns by addressing different VCSELs of the addressable VCSEL array, replicating by means of a replicating optical structure comprised by the optical arrangement a light pattern provided by the addressable VCSEL array across the illumination pattern such that the illumination pattern consists of two or more sub-illumination patterns, and detecting by each detector pixels a corresponding sub-illumination pattern. 12. A method of providing a depth image from a defined field of view with a resolution of a predefined number of pixels P, the method comprising the steps of:
changing an optical interaction between a VCSEL array and an optical arrangement, wherein the VCSEL array is an addressable VCSEL array, providing a number of different illumination patterns by changing the optical interaction, detecting the different illumination patterns, wherein the number of detected different illumination patterns N is at least 5% of the predefined number of pixels P in a reference plane in the defined field-of-view, reconstructing the depth image of the field of view based on the detected different illumination patterns, providing different illumination patterns by addressing different VCSELs of the addressable VCSEL array, and providing different illumination patterns (20) by changing an optical property of the optical arrangement. 13. The computer program product comprising code means which can be saved on at least one memory device wherein the code means are arranged such that the method according to claim 11 can be executed by means of at least one processing device comprised by the time-of-flight depth camera or by means of at least one processing device of the device comprising the time-of-flight depth camera. | 2,600 |
348,393 | 16,805,850 | 2,819 | The present disclosure provides a chip packaging method and a chip package structure. The chip packaging method comprises: forming wafer conductive traces on a wafer active surface of a wafer; forming a protective layer having material properties on the wafer conductive traces; cutting the wafer to obtain a die and adhering the die onto a carrier; forming a molding layer encapsulating the die and having material properties; stripping off the carrier; and forming a panel-level conductive layer and a dielectric layer. The chip packaging method reduces or eliminates warpage in the panel packaging process, lowers a requirement on an accuracy of aligning the die on the panel, reduces a difficulty in the panel packaging process, and makes the packaged chip structure more durable, and thus the present disclosure is especially suitable for large panel-level package and package of a thin chip with a large electric flux. | 1. A chip package structure, comprising:
at least one die, the at least one die including a die active surface and a die back surface; a conductive structure provided on the die active surface; a protective layer provided on the die active surface; a molding layer encapsulating the at least one die; and a dielectric layer. 2. The chip package structure according to claim 1, wherein the conductive structure comprises wafer conductive traces, conductive filled vias and a panel-level conductive layer, and the conductive filled vias are provided in the protective layer. 3. The chip package structure according to claim 2, wherein
the die active surface includes electrical connection pads and an insulating layer; at least one of the wafer conductive traces are electrically connected with the electrical connection pads to lead out the electrical connection pads from the die active surface; lower surfaces of the at least one of the conductive filled vias are electrically connected with the wafer conductive traces; and upper surfaces of the at least one of the conductive filled vias are electrically connected with the panel-level conductive layer. 4. The chip package structure according to claim 3, wherein the at least one of the wafer conductive traces interconnects and leads out a plurality of electrical connection pads. 5. The chip package structure according to claim 3, wherein the at least one of the wafer conductive traces respectively leads out the electrical connection pads. 6. The chip package structure according to claim 5, wherein a single contact region between the wafer conductive traces and the electrical connection pads has a smaller contact area than a single contact region between the wafer conductive traces and the conductive filled vias. 7. The chip package structure according to claim 3, wherein
the panel-level conductive layer includes conductive traces and/or conductive studs; the dielectric layer encapsulates the panel-level conductive layer; and the panel-level conductive layer has one or more layers. 8. The chip package structure according to claim 2, wherein the protective layer comprises an organic/inorganic composite material. 9. The chip package structure according to claim 2, wherein the protective layer has a Young's modulus ranging from 1,000 MPa to 20,000 MPa. 10. The chip package structure according to claim 2, wherein the protective layer has a thickness ranging from 15 μm to 50 μm. 11. The chip package structure according to claim 2, wherein the protective layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K; and/or the molding layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K. 12. The chip package structure according to claim 2, wherein the protective layer and the molding layer have a substantially same coefficient of thermal expansion. 13. The chip package structure according to claim 8, wherein
the protective layer includes inorganic filler particles; and the inorganic filler particles have a diameter of less than 3 μm. 14. The chip package structure according to claim 2, wherein
each of the conductive filled vias has a lower surface and an upper surface, and the lower surface of each conductive filled via has a smaller area than the upper surface of each conductive filled via. 15. A chip packaging method, comprising:
providing a wafer, the wafer having a wafer active surface and a wafer back surface; forming wafer conductive traces on the wafer active surface of the wafer; forming a protective layer on the wafer conductive traces; separating the wafer into a plurality of dies and adhering the plurality of dies onto a carrier; forming a molding layer for encapsulating the plurality of dies; removing the carrier; and forming a panel-level conductive layer and a dielectric layer. 16. The chip packaging method according to claim 15, wherein the protective layer has a Young's modulus ranging from 1,000 MPa to 20,000 MPa. 17. The chip packaging method according to claim 15, wherein the protective layer has a thickness ranging from 15 μm to 50 μm. 18. The chip packaging method according to claim 15, wherein
the protective layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K; and/or the molding layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K. 19. The chip packaging method according to claim 15, wherein the protective layer comprises an organic/inorganic composite material. 20. The chip packaging method according to claim 15, wherein
the protective layer includes inorganic filler particles; and the inorganic filler particles have a diameter of less than 3 μm. | The present disclosure provides a chip packaging method and a chip package structure. The chip packaging method comprises: forming wafer conductive traces on a wafer active surface of a wafer; forming a protective layer having material properties on the wafer conductive traces; cutting the wafer to obtain a die and adhering the die onto a carrier; forming a molding layer encapsulating the die and having material properties; stripping off the carrier; and forming a panel-level conductive layer and a dielectric layer. The chip packaging method reduces or eliminates warpage in the panel packaging process, lowers a requirement on an accuracy of aligning the die on the panel, reduces a difficulty in the panel packaging process, and makes the packaged chip structure more durable, and thus the present disclosure is especially suitable for large panel-level package and package of a thin chip with a large electric flux.1. A chip package structure, comprising:
at least one die, the at least one die including a die active surface and a die back surface; a conductive structure provided on the die active surface; a protective layer provided on the die active surface; a molding layer encapsulating the at least one die; and a dielectric layer. 2. The chip package structure according to claim 1, wherein the conductive structure comprises wafer conductive traces, conductive filled vias and a panel-level conductive layer, and the conductive filled vias are provided in the protective layer. 3. The chip package structure according to claim 2, wherein
the die active surface includes electrical connection pads and an insulating layer; at least one of the wafer conductive traces are electrically connected with the electrical connection pads to lead out the electrical connection pads from the die active surface; lower surfaces of the at least one of the conductive filled vias are electrically connected with the wafer conductive traces; and upper surfaces of the at least one of the conductive filled vias are electrically connected with the panel-level conductive layer. 4. The chip package structure according to claim 3, wherein the at least one of the wafer conductive traces interconnects and leads out a plurality of electrical connection pads. 5. The chip package structure according to claim 3, wherein the at least one of the wafer conductive traces respectively leads out the electrical connection pads. 6. The chip package structure according to claim 5, wherein a single contact region between the wafer conductive traces and the electrical connection pads has a smaller contact area than a single contact region between the wafer conductive traces and the conductive filled vias. 7. The chip package structure according to claim 3, wherein
the panel-level conductive layer includes conductive traces and/or conductive studs; the dielectric layer encapsulates the panel-level conductive layer; and the panel-level conductive layer has one or more layers. 8. The chip package structure according to claim 2, wherein the protective layer comprises an organic/inorganic composite material. 9. The chip package structure according to claim 2, wherein the protective layer has a Young's modulus ranging from 1,000 MPa to 20,000 MPa. 10. The chip package structure according to claim 2, wherein the protective layer has a thickness ranging from 15 μm to 50 μm. 11. The chip package structure according to claim 2, wherein the protective layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K; and/or the molding layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K. 12. The chip package structure according to claim 2, wherein the protective layer and the molding layer have a substantially same coefficient of thermal expansion. 13. The chip package structure according to claim 8, wherein
the protective layer includes inorganic filler particles; and the inorganic filler particles have a diameter of less than 3 μm. 14. The chip package structure according to claim 2, wherein
each of the conductive filled vias has a lower surface and an upper surface, and the lower surface of each conductive filled via has a smaller area than the upper surface of each conductive filled via. 15. A chip packaging method, comprising:
providing a wafer, the wafer having a wafer active surface and a wafer back surface; forming wafer conductive traces on the wafer active surface of the wafer; forming a protective layer on the wafer conductive traces; separating the wafer into a plurality of dies and adhering the plurality of dies onto a carrier; forming a molding layer for encapsulating the plurality of dies; removing the carrier; and forming a panel-level conductive layer and a dielectric layer. 16. The chip packaging method according to claim 15, wherein the protective layer has a Young's modulus ranging from 1,000 MPa to 20,000 MPa. 17. The chip packaging method according to claim 15, wherein the protective layer has a thickness ranging from 15 μm to 50 μm. 18. The chip packaging method according to claim 15, wherein
the protective layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K; and/or the molding layer has a coefficient of thermal expansion ranging from 3 ppm/K to 10 ppm/K. 19. The chip packaging method according to claim 15, wherein the protective layer comprises an organic/inorganic composite material. 20. The chip packaging method according to claim 15, wherein
the protective layer includes inorganic filler particles; and the inorganic filler particles have a diameter of less than 3 μm. | 2,800 |
348,394 | 16,805,865 | 2,819 | A package component for carrying a device package and an insulating layer thereon includes a molding layer, first and second redistribution structures disposed on two opposite sides of the molding layer, a semiconductor die, and a through interlayer via (TIV). A hardness of the molding layer is greater than that of the insulating layer that covers the device package. The device package is mounted on the second redistribution structure, and the insulating layer is disposed on the second redistribution structure opposite to the molding layer. The semiconductor die is embedded in the molding layer and electrically coupled to the device package through the second redistribution structure. The TIV penetrates through the molding layer to connect the first and the second redistribution structure. An electronic device and a manufacturing method thereof are also provided. | 1. A package component for carrying a device package and an insulating layer thereon, the package component comprising:
a molding layer comprising a hardness greater than a hardness of the insulating layer that covers the device package; a first redistribution structure and a second redistribution structure disposed on two opposite sides of the molding layer, the device package being mounted on the second redistribution structure, and the insulating layer being disposed on the second redistribution structure opposite to the molding layer; a semiconductor die embedded in the molding layer and electrically coupled to the device package through the second redistribution structure; and a through interlayer via penetrating through the molding layer to connect the first redistribution structure and the second redistribution structure. 2. The package component of claim 1, wherein the semiconductor die comprises die connectors connected to the second redistribution structure, and a die attach film is interposed between the semiconductor die and the first redistribution structure. 3. The package component of claim 1, wherein the semiconductor die comprises a semiconductor substrate and a through semiconductor via penetrating through the semiconductor substrate to connect the first redistribution structure and the second redistribution structure. 4. The package component of claim 3, wherein the semiconductor die further comprises a solder bump disposed between the through semiconductor via and the first redistribution structure and laterally covered by the molding layer. 5. The package component of claim 1, wherein a plurality of the device packages disposed side by side is mounted on the second redistribution structure, and in a top view, the semiconductor die extends across a portion of the insulating layer between adjacent two of the device packages and partially covers the adjacent two of the device packages. 6. The package component of claim 5, wherein the semiconductor die is a bridge die, and the adjacent two of the device packages are in electrical communication with each other through the semiconductor die and the second redistribution structure connected among the semiconductor die and the adjacent two of the device packages. 7. The package component of claim 6, further comprising:
a passive device disposed aside the semiconductor die, embedded in a periphery of the molding layer, and electrically coupled to the device package through the second redistribution structure. 8. The package component of claim 1, wherein the second redistribution structure comprises a dielectric layer, a warpage-control layer stacked on the dielectric layer, and a patterned conductive layer embedded in the dielectric layer and the warpage-control layer, and a hardness of the warpage-control layer is greater than a hardness of the dielectric layer. 9. An electronic device, comprising:
a first package component comprising:
a first insulating layer;
a first redistribution structure and a second redistribution structure disposed on opposing sides of the first insulating layer;
a semiconductor die laterally surrounded by the first insulating layer and electrically connected to the second redistribution structure; and
a through interlayer via laterally covered by the first insulating layer and electrically connected to the first redistribution structure and the second redistribution structure; and
a second package component stacked on the first package component and comprising:
a device package disposed on the second redistribution structure of the first package component and electrically coupled to the semiconductor die of the first package component; and
a second insulating layer disposed on the second redistribution structure, covering the device package, and comprising a Young's modulus less than a Young's modulus of the first insulating layer. 10. The electronic device of claim 9, wherein the semiconductor die of the first package component comprises die connectors in contact with the second redistribution structure, and a die attach film of the first package component is interposed between the first redistribution structure and the semiconductor die. 11. The electronic device of claim 9, wherein the semiconductor die of the first package component comprises a semiconductor substrate and a through semiconductor via penetrating through the semiconductor substrate to connect the first redistribution structure and the second redistribution structure. 12. The electronic device of claim 11, wherein the semiconductor die of the first package component further comprises a solder bump disposed between the through semiconductor via and the first redistribution structure and laterally covered by the first insulating layer. 13. The electronic device of claim 9, wherein a filler particle size of the second insulating layer of the second package component are less than a filler particle size of the first insulating layer of the first package component. 14. The electronic device of claim 9, wherein the second redistribution structure of the first package component comprises a dielectric layer, a warpage-control layer stacked on the dielectric layer, and a patterned conductive layer embedded in the dielectric layer and the warpage-control layer, and a Young's modulus of the warpage-control layer is greater than a Young's modulus of the dielectric layer. 15. The electronic device of claim 9, wherein a sidewall of the second insulating layer of the second package component is substantially leveled with sidewalls of the second redistribution structure, the first insulating layer, and the first redistribution structure of the first package component. 16. A manufacturing method of an electronic device, comprising:
forming a molding layer on a first redistribution structure to laterally cover a semiconductor die and a through interlayer via that are formed on the first redistribution structure; forming a second redistribution structure on the molding layer, the semiconductor die, and the through interlayer via; mounting a device package on the second redistribution structure, wherein the device package comprises a packaged integrated circuit die; and forming an insulating layer on the second redistribution structure to cover the device package, wherein the molding layer is more rigid than the insulating layer. 17. The manufacturing method of claim 16, further comprising:
forming the first redistribution structure on a temporary carrier before forming the molding layer; removing the temporary carrier to expose a side of the first redistribution structure after forming the insulating layer on the second redistribution structure; and forming a plurality of conductive terminals on the side of the first redistribution structure after removing the temporary carrier. 18. The manufacturing method of claim 16, further comprising:
attaching a backside of the semiconductor die to the first redistribution structure through a die attach film before forming the molding layer. 19. The manufacturing method of claim 16, further comprising:
disposing the semiconductor die on the first redistribution structure before forming the molding layer by forming a connection comprising a solder material between the semiconductor die and the first redistribution structure, wherein the semiconductor die comprises a semiconductor substrate and a through semiconductor via formed in the semiconductor substrate and connected to the connection and the second redistribution structure. 20. The manufacturing method of claim 19, further comprising:
forming a through hole in the semiconductor substrate of the semiconductor die to accessibly expose at least a portion of the connection after forming the molding layer; and forming a conductive material in the through hole to form the through semiconductor via of the semiconductor die before forming the second redistribution structure. | A package component for carrying a device package and an insulating layer thereon includes a molding layer, first and second redistribution structures disposed on two opposite sides of the molding layer, a semiconductor die, and a through interlayer via (TIV). A hardness of the molding layer is greater than that of the insulating layer that covers the device package. The device package is mounted on the second redistribution structure, and the insulating layer is disposed on the second redistribution structure opposite to the molding layer. The semiconductor die is embedded in the molding layer and electrically coupled to the device package through the second redistribution structure. The TIV penetrates through the molding layer to connect the first and the second redistribution structure. An electronic device and a manufacturing method thereof are also provided.1. A package component for carrying a device package and an insulating layer thereon, the package component comprising:
a molding layer comprising a hardness greater than a hardness of the insulating layer that covers the device package; a first redistribution structure and a second redistribution structure disposed on two opposite sides of the molding layer, the device package being mounted on the second redistribution structure, and the insulating layer being disposed on the second redistribution structure opposite to the molding layer; a semiconductor die embedded in the molding layer and electrically coupled to the device package through the second redistribution structure; and a through interlayer via penetrating through the molding layer to connect the first redistribution structure and the second redistribution structure. 2. The package component of claim 1, wherein the semiconductor die comprises die connectors connected to the second redistribution structure, and a die attach film is interposed between the semiconductor die and the first redistribution structure. 3. The package component of claim 1, wherein the semiconductor die comprises a semiconductor substrate and a through semiconductor via penetrating through the semiconductor substrate to connect the first redistribution structure and the second redistribution structure. 4. The package component of claim 3, wherein the semiconductor die further comprises a solder bump disposed between the through semiconductor via and the first redistribution structure and laterally covered by the molding layer. 5. The package component of claim 1, wherein a plurality of the device packages disposed side by side is mounted on the second redistribution structure, and in a top view, the semiconductor die extends across a portion of the insulating layer between adjacent two of the device packages and partially covers the adjacent two of the device packages. 6. The package component of claim 5, wherein the semiconductor die is a bridge die, and the adjacent two of the device packages are in electrical communication with each other through the semiconductor die and the second redistribution structure connected among the semiconductor die and the adjacent two of the device packages. 7. The package component of claim 6, further comprising:
a passive device disposed aside the semiconductor die, embedded in a periphery of the molding layer, and electrically coupled to the device package through the second redistribution structure. 8. The package component of claim 1, wherein the second redistribution structure comprises a dielectric layer, a warpage-control layer stacked on the dielectric layer, and a patterned conductive layer embedded in the dielectric layer and the warpage-control layer, and a hardness of the warpage-control layer is greater than a hardness of the dielectric layer. 9. An electronic device, comprising:
a first package component comprising:
a first insulating layer;
a first redistribution structure and a second redistribution structure disposed on opposing sides of the first insulating layer;
a semiconductor die laterally surrounded by the first insulating layer and electrically connected to the second redistribution structure; and
a through interlayer via laterally covered by the first insulating layer and electrically connected to the first redistribution structure and the second redistribution structure; and
a second package component stacked on the first package component and comprising:
a device package disposed on the second redistribution structure of the first package component and electrically coupled to the semiconductor die of the first package component; and
a second insulating layer disposed on the second redistribution structure, covering the device package, and comprising a Young's modulus less than a Young's modulus of the first insulating layer. 10. The electronic device of claim 9, wherein the semiconductor die of the first package component comprises die connectors in contact with the second redistribution structure, and a die attach film of the first package component is interposed between the first redistribution structure and the semiconductor die. 11. The electronic device of claim 9, wherein the semiconductor die of the first package component comprises a semiconductor substrate and a through semiconductor via penetrating through the semiconductor substrate to connect the first redistribution structure and the second redistribution structure. 12. The electronic device of claim 11, wherein the semiconductor die of the first package component further comprises a solder bump disposed between the through semiconductor via and the first redistribution structure and laterally covered by the first insulating layer. 13. The electronic device of claim 9, wherein a filler particle size of the second insulating layer of the second package component are less than a filler particle size of the first insulating layer of the first package component. 14. The electronic device of claim 9, wherein the second redistribution structure of the first package component comprises a dielectric layer, a warpage-control layer stacked on the dielectric layer, and a patterned conductive layer embedded in the dielectric layer and the warpage-control layer, and a Young's modulus of the warpage-control layer is greater than a Young's modulus of the dielectric layer. 15. The electronic device of claim 9, wherein a sidewall of the second insulating layer of the second package component is substantially leveled with sidewalls of the second redistribution structure, the first insulating layer, and the first redistribution structure of the first package component. 16. A manufacturing method of an electronic device, comprising:
forming a molding layer on a first redistribution structure to laterally cover a semiconductor die and a through interlayer via that are formed on the first redistribution structure; forming a second redistribution structure on the molding layer, the semiconductor die, and the through interlayer via; mounting a device package on the second redistribution structure, wherein the device package comprises a packaged integrated circuit die; and forming an insulating layer on the second redistribution structure to cover the device package, wherein the molding layer is more rigid than the insulating layer. 17. The manufacturing method of claim 16, further comprising:
forming the first redistribution structure on a temporary carrier before forming the molding layer; removing the temporary carrier to expose a side of the first redistribution structure after forming the insulating layer on the second redistribution structure; and forming a plurality of conductive terminals on the side of the first redistribution structure after removing the temporary carrier. 18. The manufacturing method of claim 16, further comprising:
attaching a backside of the semiconductor die to the first redistribution structure through a die attach film before forming the molding layer. 19. The manufacturing method of claim 16, further comprising:
disposing the semiconductor die on the first redistribution structure before forming the molding layer by forming a connection comprising a solder material between the semiconductor die and the first redistribution structure, wherein the semiconductor die comprises a semiconductor substrate and a through semiconductor via formed in the semiconductor substrate and connected to the connection and the second redistribution structure. 20. The manufacturing method of claim 19, further comprising:
forming a through hole in the semiconductor substrate of the semiconductor die to accessibly expose at least a portion of the connection after forming the molding layer; and forming a conductive material in the through hole to form the through semiconductor via of the semiconductor die before forming the second redistribution structure. | 2,800 |
348,395 | 16,805,877 | 2,819 | A child alert notification system including a seat occupancy placement member, a reaction surface member, a plurality of environment sensors, and a control unit. The seat occupancy placement member receives a seat occupant in a vehicle. The reaction surface member is integrated beneath the seat occupancy placement member and includes a plurality of pressure detectors for measuring a seat occupant's loading force on the seat occupancy placement member by measuring pressure applied to the pressure detectors. The plurality of environment sensors sense one or more environment conditions and send one or more notifications to a control unit. | 1. A child alert notification system comprising:
a seat occupancy placement member for receiving a seat occupant in a vehicle; a reaction surface member integrated beneath the seat occupancy placement member, the reaction surface member including a plurality of pressure detectors for measuring a seat occupant's loading force on the seat occupancy placement member by measuring pressure applied to the pressure detectors; a plurality of environment sensors for sensing one or more environment conditions and for sending one or more notifications to a control unit; and the control unit for determining that an occupied child car seat is occupying the seat occupancy placement member of the vehicle, and activating an audio sequence from the vehicle's horn upon detecting that the occupied child car seat remains in the seat occupancy placement member after receiving one or more notifications from one or more of the plurality of environment sensors that the vehicle's engine is turned off and a driver's side door has been shut subsequent to the ignition being turned off; wherein the control unit initiates a calibration process to gather various weight measurements of a child car seat from the reaction surface member upon detection of the child car seat for a first time; wherein the reaction surface member includes an enclosure formed of a thin flexible durable material including a pressure sensor for detecting a pressure profile; wherein the pressure sensor includes a plurality of individual pressure detectors that are interconnected in an array in the enclosure and are arranged in a suitable location so as to provide a pressure pattern acting on at least one or more relevant parts of the seating occupancy placement member. 2. The system of claim 1, wherein the control unit detects the presence of a child car seat in the seat occupancy placement member. 3. The system of claim 2, wherein the control unit includes a RFID reader for detecting the presence of the child car seat in the seat occupancy placement member. 4. The system of claim 1, wherein the control unit determines a baseline threshold for an unoccupied child car seat occupying the seat occupancy placement member. 5. The system of claim 1, wherein the control unit determines a baseline threshold range for an unoccupied child car seat occupying the seat occupancy placement member. 6. The system of claim 4, wherein the control unit determines whether a detected child car seat occupying the seat occupancy placement member is occupied by a child based on the measured seat occupant's loading force on the seat occupancy placement member and the baseline threshold of an unoccupied child car seat occupying the seat occupancy placement member. 7. The system of claim 5, wherein the control unit determines whether a detected child car seat occupying the seat occupancy placement member is occupied by a child based on the measured seat occupant's loading force on the seat occupancy placement member and the baseline threshold range of an unoccupied child car seat occupying the seat occupancy placement member. 8. The system of claim 1, wherein the audio sequence may include a beep sound at an interval of two honks per two-three seconds. 9. The system of claim 8, wherein the audio sequence repeats continuously until a weight measurement from the reaction surface member equals or is less than a baseline threshold or a baseline threshold range. | A child alert notification system including a seat occupancy placement member, a reaction surface member, a plurality of environment sensors, and a control unit. The seat occupancy placement member receives a seat occupant in a vehicle. The reaction surface member is integrated beneath the seat occupancy placement member and includes a plurality of pressure detectors for measuring a seat occupant's loading force on the seat occupancy placement member by measuring pressure applied to the pressure detectors. The plurality of environment sensors sense one or more environment conditions and send one or more notifications to a control unit.1. A child alert notification system comprising:
a seat occupancy placement member for receiving a seat occupant in a vehicle; a reaction surface member integrated beneath the seat occupancy placement member, the reaction surface member including a plurality of pressure detectors for measuring a seat occupant's loading force on the seat occupancy placement member by measuring pressure applied to the pressure detectors; a plurality of environment sensors for sensing one or more environment conditions and for sending one or more notifications to a control unit; and the control unit for determining that an occupied child car seat is occupying the seat occupancy placement member of the vehicle, and activating an audio sequence from the vehicle's horn upon detecting that the occupied child car seat remains in the seat occupancy placement member after receiving one or more notifications from one or more of the plurality of environment sensors that the vehicle's engine is turned off and a driver's side door has been shut subsequent to the ignition being turned off; wherein the control unit initiates a calibration process to gather various weight measurements of a child car seat from the reaction surface member upon detection of the child car seat for a first time; wherein the reaction surface member includes an enclosure formed of a thin flexible durable material including a pressure sensor for detecting a pressure profile; wherein the pressure sensor includes a plurality of individual pressure detectors that are interconnected in an array in the enclosure and are arranged in a suitable location so as to provide a pressure pattern acting on at least one or more relevant parts of the seating occupancy placement member. 2. The system of claim 1, wherein the control unit detects the presence of a child car seat in the seat occupancy placement member. 3. The system of claim 2, wherein the control unit includes a RFID reader for detecting the presence of the child car seat in the seat occupancy placement member. 4. The system of claim 1, wherein the control unit determines a baseline threshold for an unoccupied child car seat occupying the seat occupancy placement member. 5. The system of claim 1, wherein the control unit determines a baseline threshold range for an unoccupied child car seat occupying the seat occupancy placement member. 6. The system of claim 4, wherein the control unit determines whether a detected child car seat occupying the seat occupancy placement member is occupied by a child based on the measured seat occupant's loading force on the seat occupancy placement member and the baseline threshold of an unoccupied child car seat occupying the seat occupancy placement member. 7. The system of claim 5, wherein the control unit determines whether a detected child car seat occupying the seat occupancy placement member is occupied by a child based on the measured seat occupant's loading force on the seat occupancy placement member and the baseline threshold range of an unoccupied child car seat occupying the seat occupancy placement member. 8. The system of claim 1, wherein the audio sequence may include a beep sound at an interval of two honks per two-three seconds. 9. The system of claim 8, wherein the audio sequence repeats continuously until a weight measurement from the reaction surface member equals or is less than a baseline threshold or a baseline threshold range. | 2,800 |
348,396 | 16,805,861 | 2,819 | An extreme ultraviolet (EUV) lithography system includes a vane bucket module. The vane bucket module includes a collecting tank and a temperature adjusting pack. The collecting tank has a cover and the cover includes a plurality of through holes. Thicknesses of edges of the cover is greater than a thickness of a center of the cover. The temperature adjusting pack surrounds the collecting tank. The temperature adjusting pack includes a plurality of inlets aligned with the through holes. | 1. An extreme ultraviolet (EUV) lithography system, comprising:
a vane bucket module, comprising:
a collecting tank having a cover, the cover comprises a plurality of through holes, and thicknesses of edges of the cover is greater than a thickness of a center of the cover; and
a temperature adjusting pack surrounding the collecting tank, wherein the temperature adjusting pack comprises a plurality of inlets aligned with the through holes. 2. The EUV lithography system of claim 1, wherein a thickness of the cover decreases continuously from the edges of the cover to the center of the cover. 3. The EUV lithography system of claim 1, wherein a depth of each through hole is not uniform. 4. The EUV lithography system of claim 1, wherein a depth of each through hole decreases continuously towards the center of the cover. 5. The EUV lithography system of claim 1, wherein the thickness of the center of the cover is smaller than a minimum depth of each through hole. 6. The EUV lithography system of claim 1, further comprising:
a collector; a droplet generator and a droplet catcher disposed on two opposite sides of the collector along a first direction; a monitoring module, wherein the vane bucket module and the monitoring module are disposed on two opposite sides of the collector along a second direction perpendicular to the first direction. 7. The EUV lithography system of claim 6, further comprising:
a vane structure disposed over the collector, wherein the vane structure comprises a plurality of vertical gutters and a plurality of circumferential gutters connected with the vertical gutters; a plurality of drip pins protruding from the vane structure and communicated with one of the circumferential gutters, wherein the drip pins are aligned with the inlets of the temperature adjusting pack and the through holes of the cover; and a heating device disposed between the vane structure and the vane bucket module, wherein the heating device comprises a plurality of through openings aligned with the inlets of the temperature adjusting pack and the through holes of the cover, and the drip pins extend into the through openings. 8. An extreme ultraviolet (EUV) lithography system, comprising:
a collector, configured to collect and reflect EUV light generated from plasma; and a droplet generator, a droplet catcher, and a vane bucket module each spaced apart from one another, wherein the droplet generator, the droplet catcher, and the vane bucket module surround a center of the collector, and the vane bucket module comprises:
a collecting tank having a cover, the cover comprises a plurality of through holes, and the cover has a concaved top surface; and
a temperature adjusting pack surrounding the collecting tank, wherein the temperature adjusting pack comprises a plurality of inlets aligned with the through holes. 9. The EUV lithography system of claim 8, wherein at least a portion of the top surface of the cover is inclined with respect to a bottom surface of the cover. 10. The EUV lithography system of claim 9, wherein an inclination angle of the at least a portion of the top surface of the cover ranges from 20° to 30°. 11. The EUV lithography system of claim 8, wherein the top surface of the cover is a curved surface. 12. The EUV lithography system of claim 8, wherein a level height of a center of the top surface of the cover is lower than level heights of edges of the top surface of the cover. 13. The EUV lithography system of claim 8, further comprising a monitoring module, wherein the droplet generator and the droplet catcher are disposed on two opposite sides of the collector along a first direction, and the vane bucket module and the monitoring module are disposed on two opposite sides of the collector along a second direction perpendicular to the first direction. 14. The EUV lithography system of claim 8, further comprising:
a vane structure disposed over the collector, wherein the vane structure comprises a plurality of vertical gutters and a plurality of circumferential gutters connected with the vertical gutters; a plurality of drip pins protruding from the vane structure and communicated with one of the circumferential gutters, wherein the drip pins are aligned with the inlets of the temperature adjusting pack and the through holes of the cover; and a heating device disposed between the vane structure and the vane bucket module, wherein the heating device comprises a plurality of through openings aligned with the inlets of the temperature adjusting pack and the through holes of the cover, and the drip pins extend into the through openings. 15. An extreme ultraviolet (EUV) lithography system, comprising:
a collector, configured to collect and reflect EUV light generated from plasma; and a droplet generator, a droplet catcher, and a vane bucket module each spaced apart from one another, wherein the droplet generator, the droplet catcher, and the vane bucket module surround a center of the collector, and the vane bucket module comprises:
a collecting tank having a cover, the cover comprises a plurality of through holes, and a top portion of each through hole is larger than a bottom portion of each through hole; and
a temperature adjusting pack surrounding the collecting tank, wherein the temperature adjusting pack comprises a plurality of inlets aligned with the through holes. 16. The EUV lithography system of claim 15, wherein a portion of a sidewall of each through hole is perpendicular to a top surface and a bottom surface of the cover, and another portion of the sidewall of each through hole is inclined with respect to the top surface and the bottom surface of the cover. 17. The EUV lithography system of claim 15, wherein the plurality of through holes is two through holes, and the two through holes are arranged in mirror symmetry. 18. The EUV lithography system of claim 15, wherein the top portion of the through hole and the bottom portion of the through hole are eccentric. 19. The EUV lithography system of claim 15, further comprising a monitoring module, wherein the droplet generator and the droplet catcher are disposed on two opposite sides of the collector along a first direction, and the vane bucket module and the monitoring module are disposed on two opposite sides of the collector along a second direction perpendicular to the first direction. 20. The EUV lithography system of claim 15, further comprising:
a vane structure disposed over the collector, wherein the vane structure comprises a plurality of vertical gutters and a plurality of circumferential gutters connected with the vertical gutters; a plurality of drip pins protruding from the vane structure and communicated with one of the circumferential gutters, wherein the drip pins are aligned with the inlets of the temperature adjusting pack and the through holes of the cover; and a heating device disposed between the vane structure and the vane bucket module, wherein the heating device comprises a plurality of through openings aligned with the inlets of the temperature adjusting pack and the through holes of the cover, and the drip pins extend into the through openings. | An extreme ultraviolet (EUV) lithography system includes a vane bucket module. The vane bucket module includes a collecting tank and a temperature adjusting pack. The collecting tank has a cover and the cover includes a plurality of through holes. Thicknesses of edges of the cover is greater than a thickness of a center of the cover. The temperature adjusting pack surrounds the collecting tank. The temperature adjusting pack includes a plurality of inlets aligned with the through holes.1. An extreme ultraviolet (EUV) lithography system, comprising:
a vane bucket module, comprising:
a collecting tank having a cover, the cover comprises a plurality of through holes, and thicknesses of edges of the cover is greater than a thickness of a center of the cover; and
a temperature adjusting pack surrounding the collecting tank, wherein the temperature adjusting pack comprises a plurality of inlets aligned with the through holes. 2. The EUV lithography system of claim 1, wherein a thickness of the cover decreases continuously from the edges of the cover to the center of the cover. 3. The EUV lithography system of claim 1, wherein a depth of each through hole is not uniform. 4. The EUV lithography system of claim 1, wherein a depth of each through hole decreases continuously towards the center of the cover. 5. The EUV lithography system of claim 1, wherein the thickness of the center of the cover is smaller than a minimum depth of each through hole. 6. The EUV lithography system of claim 1, further comprising:
a collector; a droplet generator and a droplet catcher disposed on two opposite sides of the collector along a first direction; a monitoring module, wherein the vane bucket module and the monitoring module are disposed on two opposite sides of the collector along a second direction perpendicular to the first direction. 7. The EUV lithography system of claim 6, further comprising:
a vane structure disposed over the collector, wherein the vane structure comprises a plurality of vertical gutters and a plurality of circumferential gutters connected with the vertical gutters; a plurality of drip pins protruding from the vane structure and communicated with one of the circumferential gutters, wherein the drip pins are aligned with the inlets of the temperature adjusting pack and the through holes of the cover; and a heating device disposed between the vane structure and the vane bucket module, wherein the heating device comprises a plurality of through openings aligned with the inlets of the temperature adjusting pack and the through holes of the cover, and the drip pins extend into the through openings. 8. An extreme ultraviolet (EUV) lithography system, comprising:
a collector, configured to collect and reflect EUV light generated from plasma; and a droplet generator, a droplet catcher, and a vane bucket module each spaced apart from one another, wherein the droplet generator, the droplet catcher, and the vane bucket module surround a center of the collector, and the vane bucket module comprises:
a collecting tank having a cover, the cover comprises a plurality of through holes, and the cover has a concaved top surface; and
a temperature adjusting pack surrounding the collecting tank, wherein the temperature adjusting pack comprises a plurality of inlets aligned with the through holes. 9. The EUV lithography system of claim 8, wherein at least a portion of the top surface of the cover is inclined with respect to a bottom surface of the cover. 10. The EUV lithography system of claim 9, wherein an inclination angle of the at least a portion of the top surface of the cover ranges from 20° to 30°. 11. The EUV lithography system of claim 8, wherein the top surface of the cover is a curved surface. 12. The EUV lithography system of claim 8, wherein a level height of a center of the top surface of the cover is lower than level heights of edges of the top surface of the cover. 13. The EUV lithography system of claim 8, further comprising a monitoring module, wherein the droplet generator and the droplet catcher are disposed on two opposite sides of the collector along a first direction, and the vane bucket module and the monitoring module are disposed on two opposite sides of the collector along a second direction perpendicular to the first direction. 14. The EUV lithography system of claim 8, further comprising:
a vane structure disposed over the collector, wherein the vane structure comprises a plurality of vertical gutters and a plurality of circumferential gutters connected with the vertical gutters; a plurality of drip pins protruding from the vane structure and communicated with one of the circumferential gutters, wherein the drip pins are aligned with the inlets of the temperature adjusting pack and the through holes of the cover; and a heating device disposed between the vane structure and the vane bucket module, wherein the heating device comprises a plurality of through openings aligned with the inlets of the temperature adjusting pack and the through holes of the cover, and the drip pins extend into the through openings. 15. An extreme ultraviolet (EUV) lithography system, comprising:
a collector, configured to collect and reflect EUV light generated from plasma; and a droplet generator, a droplet catcher, and a vane bucket module each spaced apart from one another, wherein the droplet generator, the droplet catcher, and the vane bucket module surround a center of the collector, and the vane bucket module comprises:
a collecting tank having a cover, the cover comprises a plurality of through holes, and a top portion of each through hole is larger than a bottom portion of each through hole; and
a temperature adjusting pack surrounding the collecting tank, wherein the temperature adjusting pack comprises a plurality of inlets aligned with the through holes. 16. The EUV lithography system of claim 15, wherein a portion of a sidewall of each through hole is perpendicular to a top surface and a bottom surface of the cover, and another portion of the sidewall of each through hole is inclined with respect to the top surface and the bottom surface of the cover. 17. The EUV lithography system of claim 15, wherein the plurality of through holes is two through holes, and the two through holes are arranged in mirror symmetry. 18. The EUV lithography system of claim 15, wherein the top portion of the through hole and the bottom portion of the through hole are eccentric. 19. The EUV lithography system of claim 15, further comprising a monitoring module, wherein the droplet generator and the droplet catcher are disposed on two opposite sides of the collector along a first direction, and the vane bucket module and the monitoring module are disposed on two opposite sides of the collector along a second direction perpendicular to the first direction. 20. The EUV lithography system of claim 15, further comprising:
a vane structure disposed over the collector, wherein the vane structure comprises a plurality of vertical gutters and a plurality of circumferential gutters connected with the vertical gutters; a plurality of drip pins protruding from the vane structure and communicated with one of the circumferential gutters, wherein the drip pins are aligned with the inlets of the temperature adjusting pack and the through holes of the cover; and a heating device disposed between the vane structure and the vane bucket module, wherein the heating device comprises a plurality of through openings aligned with the inlets of the temperature adjusting pack and the through holes of the cover, and the drip pins extend into the through openings. | 2,800 |
348,397 | 16,805,878 | 3,636 | A temperature controlled child seat device including a housing member, a coil assembly, a vent assembly, and a temperature control member. The housing member includes a seat cushion and a back rest. The coil assembly is embedded in the housing member and selectively produces heat and cooling in the seat cushion and back rest. The vent assembly is integrated in the housing member and includes a plurality of air openings and a vent line. The temperature control member initiates a two-stage heating or cooling operation in correspondence with a user selection of heating or cooling. The two-stage heating or cooling operation provides direct heating or cooling of the seat cushion and the back rest and provides heated or cooled air blown out of the vent assembly. | 1. A temperature controlled child seat device comprising:
a housing member including a seat cushion and a back rest; a coil assembly embedded in the housing member for selectively producing heat and cooling in the seat cushion and back rest; a vent assembly integrated in the housing member, the vent member including a plurality of air openings and a vent line; and a temperature control member for initiating a two-stage heating or cooling operation in correspondence with a user selection of heating or cooling, wherein the two-stage heating or cooling operation provides direct heating or cooling of the seat cushion and the back rest and provides heated or cooled air blown out of the vent assembly. 2. The device of claim 1, wherein the housing member is configured as a car seat. 3. The device of claim 1, wherein the housing member is configured as a stroller. 4. The device of claim 1, wherein the housing member includes a safety sensor for preventing the device from overheating. 5. The device of claim 1, wherein the coil assembly includes separate heating elements and cooling elements. 6. The device of claim 1, wherein the coil assembly includes a set of coils that may selectively operate as heating elements or cooling elements. 7. The device of claim 1, wherein the temperature control member includes control buttons for selecting a heating or cooling operation. 8. The device of claim 1, wherein a user can select a high or low setting for the heating or cooling operation. 9. The device of claim 1, wherein the temperature control member is a thermostat. | A temperature controlled child seat device including a housing member, a coil assembly, a vent assembly, and a temperature control member. The housing member includes a seat cushion and a back rest. The coil assembly is embedded in the housing member and selectively produces heat and cooling in the seat cushion and back rest. The vent assembly is integrated in the housing member and includes a plurality of air openings and a vent line. The temperature control member initiates a two-stage heating or cooling operation in correspondence with a user selection of heating or cooling. The two-stage heating or cooling operation provides direct heating or cooling of the seat cushion and the back rest and provides heated or cooled air blown out of the vent assembly.1. A temperature controlled child seat device comprising:
a housing member including a seat cushion and a back rest; a coil assembly embedded in the housing member for selectively producing heat and cooling in the seat cushion and back rest; a vent assembly integrated in the housing member, the vent member including a plurality of air openings and a vent line; and a temperature control member for initiating a two-stage heating or cooling operation in correspondence with a user selection of heating or cooling, wherein the two-stage heating or cooling operation provides direct heating or cooling of the seat cushion and the back rest and provides heated or cooled air blown out of the vent assembly. 2. The device of claim 1, wherein the housing member is configured as a car seat. 3. The device of claim 1, wherein the housing member is configured as a stroller. 4. The device of claim 1, wherein the housing member includes a safety sensor for preventing the device from overheating. 5. The device of claim 1, wherein the coil assembly includes separate heating elements and cooling elements. 6. The device of claim 1, wherein the coil assembly includes a set of coils that may selectively operate as heating elements or cooling elements. 7. The device of claim 1, wherein the temperature control member includes control buttons for selecting a heating or cooling operation. 8. The device of claim 1, wherein a user can select a high or low setting for the heating or cooling operation. 9. The device of claim 1, wherein the temperature control member is a thermostat. | 3,600 |
348,398 | 16,805,891 | 3,636 | A vehicle control device includes an acquirer configured to acquire a recognition result of a surroundings situation of a vehicle from a recognition device, and a driving controller configured to control steering and a speed of the vehicle on the basis of the recognition result to move the vehicle so that a user located in a boarding area is able to board the vehicle, and the driving controller is configured to stop the vehicle at a first stop position in a case in which the user has been recognized in the boarding area when the vehicle is moved to the boarding area, and is configured to stop the vehicle at a second stop position in a case in which the user has not been recognized in the boarding area when the vehicle is moved to the boarding area. | 1. A vehicle control device comprising:
an acquirer configured to acquire a recognition result of a surroundings situation of a vehicle from a recognition device configured to recognize the surroundings situation of the vehicle; and a driving controller configured to control steering and a speed of the vehicle on the basis of the recognition result acquired by the acquirer, to move the vehicle so that a user located in a boarding area is able to board the vehicle, wherein the driving controller is configured to stop the vehicle at a first stop position according to a position of the user in the boarding area in a case in which a first recognition result indicating that the user has been recognized in the boarding area has been acquired by the acquirer when the vehicle is moved to the boarding area, and is configured to stop the vehicle at a second stop position according to a position of an entrance to a facility in the boarding area in a case in which a second recognition result indicating that the user has not been recognized in the boarding area has been acquired by the acquirer or in a case in which the first recognition result has not been acquired by the acquirer when the vehicle is moved to the boarding area. 2. The vehicle control device according to claim 1, wherein the driving controller is configured to determine a position at which a distance between the user and the vehicle is within a predetermined distance in the boarding area to be the first stop position. 3. The vehicle control device according to claim 1, wherein, in a case in which the acquirer has acquired a third recognition result indicating that an obstacle present ahead of the first stop position, the obstacle being an obstacle predicted to hinder travel of the vehicle when travel of the vehicle from the first stop position is started, has been recognized when the vehicle is stopped at the first stop position, the driving controller is configured to stop the vehicle at the first stop position in a first state in which a traveling direction of the vehicle intersects a direction in which a road on which the boarding area is present extends. 4. The vehicle control device according to claim 3, wherein, when a driving mode of the vehicle scheduled when travel of the vehicle from the first stop position is started is a manual driving mode in which steering and a speed of the vehicle are controlled by the user, the driving controller is configured to stop the vehicle at the first stop position in the first state. 5. The vehicle control device according to claim 3, wherein, when a driving mode of the vehicle scheduled when travel of the vehicle from the first stop position is started is an automated driving mode in which steering and a speed of the vehicle are controlled, the driving controller is configured to stop the vehicle at the first stop position in a second state in which the traveling direction of the vehicle does not intersect with the direction in which the road extends, unlike the first state. 6. The vehicle control device according to claim 1,
wherein the recognition device is configured to recognize a surroundings situation of a second vehicle stopping in the boarding area, and when the vehicle overtakes the second vehicle after travel of the vehicle from the first stop position has been started, the driving controller is configured to determine a distance in a vehicle width direction between the vehicle and the second vehicle when the vehicle is caused to overtake the second vehicle on the basis of the surroundings situation of the second vehicle indicated by the recognition result. 7. The vehicle control device according to claim 6, wherein, in a case in which the acquirer has acquired a fourth recognition result indicating that a person is present around the second vehicle, including the inside of the second vehicle, the driving controller increases the distance in the vehicle width direction, as compared with a case in which the acquirer has acquired a fifth recognition result indicating that no persons are present around the second vehicle, including the inside of the second vehicle or a case in which the acquirer has not acquired the fourth recognition result. 8. The vehicle control device according to claim 1,
wherein the recognition device is configured to recognize a surroundings situation of a second vehicle stopping in the boarding area, and when the vehicle overtakes the second vehicle after travel of the vehicle from the first stop position has been started, the driving controller is configured to determine a speed of the vehicle when the vehicle is caused to overtake the second vehicle on the basis of the surroundings situation of the second vehicle indicated by the recognition result. 9. The vehicle control device according to claim 8, wherein, in a case in which the acquirer has acquired a fourth recognition result indicating that a person is present around the second vehicle, including the inside of the second vehicle, the driving controller decreases the speed of the vehicle, as compared with a case in which the acquirer has acquired a fifth recognition result indicating that no persons are present around the second vehicle, including the inside of the second vehicle or a case in which the acquirer has not acquired the fourth recognition result. 10. The vehicle control device according to claim 1, wherein, when the user does not board the vehicle until a first predetermined time elapses after the vehicle is stopped at the first stop position, the driving controller is configured to move the vehicle to a third stop position, the third stop position being a leading position in the boarding area and stopping the vehicle. 11. The vehicle control device according to claim 10, wherein, when the user does not board the vehicle until a second predetermined time elapses after the vehicle is stopped at the third stop position, the driving controller is configured to move the vehicle to a parking lot and parks the vehicle. 12. The vehicle control device according to claim 1, wherein the driving controller is configured to determine a further forward position in a traveling direction when the first stop position is present in front of the second vehicle stopping in the boarding area than when the first stop position is not present in front of the second vehicle, to be the first stop position. 13. The vehicle control device according to claim 1, wherein, when the user does not board the vehicle after the vehicle is stopped at the second stop position, the driving controller repeatedly is configured to move the vehicle to a forward area in the boarding area and stop the vehicle until the user boards the vehicle. 14. The vehicle control device according to claim 1,
wherein the boarding area includes a first area in which the user waits, and a second area in which the user is able to board the vehicle, and the driving controller is configured to move the vehicle to the second area. 15. The vehicle control device according to claim 1, wherein the recognition device includes at least one of a first recognition device mounted in the vehicle and a second recognition device installed in a site of a facility including the boarding area. 16. A vehicle control method comprising:
acquiring, by a computer mounted in a vehicle, a recognition result of a surroundings situation of the vehicle from a recognition device configured to recognize the surroundings situation of the vehicle; controlling, by the computer, steering and a speed of the vehicle on the basis of the acquired recognition result, to move the vehicle so that a user located in a boarding area is able to board the vehicle; stopping, by the computer, the vehicle at a first stop position according to a position of the user in the boarding area in a case in which a first recognition result indicating that the user has been recognized in the boarding area has been acquired when the vehicle is moved to the boarding area, and stopping, by the computer, the vehicle at a second stop position according to a position of an entrance to a facility in the boarding area in a case in which a second recognition result indicating that the user has not been recognized in the boarding area has been acquired or in a case in which the first recognition result has not been acquired when the vehicle is moved to the boarding area. 17. A non-transitory computer-readable storage medium storing a program, the program causing a computer mounted in a vehicle to execute:
acquiring a recognition result of a surroundings situation of the vehicle from a recognition device configured to recognize the surroundings situation of the vehicle; controlling steering and a speed of the vehicle on the basis of the acquired recognition result, to move the vehicle so that a user located in a boarding area is able to board the vehicle; stopping the vehicle at a first stop position according to a position of the user in the boarding area in a case in which a first recognition result indicating that the user has been recognized in the boarding area has been acquired when the vehicle is moved to the boarding area, and stopping the vehicle at a second stop position according to a position of an entrance to a facility in the boarding area in a case in which a second recognition result indicating that the user has not been recognized in the boarding area has been acquired or in a case in which the first recognition result has not been acquired when the vehicle is moved to the boarding area. | A vehicle control device includes an acquirer configured to acquire a recognition result of a surroundings situation of a vehicle from a recognition device, and a driving controller configured to control steering and a speed of the vehicle on the basis of the recognition result to move the vehicle so that a user located in a boarding area is able to board the vehicle, and the driving controller is configured to stop the vehicle at a first stop position in a case in which the user has been recognized in the boarding area when the vehicle is moved to the boarding area, and is configured to stop the vehicle at a second stop position in a case in which the user has not been recognized in the boarding area when the vehicle is moved to the boarding area.1. A vehicle control device comprising:
an acquirer configured to acquire a recognition result of a surroundings situation of a vehicle from a recognition device configured to recognize the surroundings situation of the vehicle; and a driving controller configured to control steering and a speed of the vehicle on the basis of the recognition result acquired by the acquirer, to move the vehicle so that a user located in a boarding area is able to board the vehicle, wherein the driving controller is configured to stop the vehicle at a first stop position according to a position of the user in the boarding area in a case in which a first recognition result indicating that the user has been recognized in the boarding area has been acquired by the acquirer when the vehicle is moved to the boarding area, and is configured to stop the vehicle at a second stop position according to a position of an entrance to a facility in the boarding area in a case in which a second recognition result indicating that the user has not been recognized in the boarding area has been acquired by the acquirer or in a case in which the first recognition result has not been acquired by the acquirer when the vehicle is moved to the boarding area. 2. The vehicle control device according to claim 1, wherein the driving controller is configured to determine a position at which a distance between the user and the vehicle is within a predetermined distance in the boarding area to be the first stop position. 3. The vehicle control device according to claim 1, wherein, in a case in which the acquirer has acquired a third recognition result indicating that an obstacle present ahead of the first stop position, the obstacle being an obstacle predicted to hinder travel of the vehicle when travel of the vehicle from the first stop position is started, has been recognized when the vehicle is stopped at the first stop position, the driving controller is configured to stop the vehicle at the first stop position in a first state in which a traveling direction of the vehicle intersects a direction in which a road on which the boarding area is present extends. 4. The vehicle control device according to claim 3, wherein, when a driving mode of the vehicle scheduled when travel of the vehicle from the first stop position is started is a manual driving mode in which steering and a speed of the vehicle are controlled by the user, the driving controller is configured to stop the vehicle at the first stop position in the first state. 5. The vehicle control device according to claim 3, wherein, when a driving mode of the vehicle scheduled when travel of the vehicle from the first stop position is started is an automated driving mode in which steering and a speed of the vehicle are controlled, the driving controller is configured to stop the vehicle at the first stop position in a second state in which the traveling direction of the vehicle does not intersect with the direction in which the road extends, unlike the first state. 6. The vehicle control device according to claim 1,
wherein the recognition device is configured to recognize a surroundings situation of a second vehicle stopping in the boarding area, and when the vehicle overtakes the second vehicle after travel of the vehicle from the first stop position has been started, the driving controller is configured to determine a distance in a vehicle width direction between the vehicle and the second vehicle when the vehicle is caused to overtake the second vehicle on the basis of the surroundings situation of the second vehicle indicated by the recognition result. 7. The vehicle control device according to claim 6, wherein, in a case in which the acquirer has acquired a fourth recognition result indicating that a person is present around the second vehicle, including the inside of the second vehicle, the driving controller increases the distance in the vehicle width direction, as compared with a case in which the acquirer has acquired a fifth recognition result indicating that no persons are present around the second vehicle, including the inside of the second vehicle or a case in which the acquirer has not acquired the fourth recognition result. 8. The vehicle control device according to claim 1,
wherein the recognition device is configured to recognize a surroundings situation of a second vehicle stopping in the boarding area, and when the vehicle overtakes the second vehicle after travel of the vehicle from the first stop position has been started, the driving controller is configured to determine a speed of the vehicle when the vehicle is caused to overtake the second vehicle on the basis of the surroundings situation of the second vehicle indicated by the recognition result. 9. The vehicle control device according to claim 8, wherein, in a case in which the acquirer has acquired a fourth recognition result indicating that a person is present around the second vehicle, including the inside of the second vehicle, the driving controller decreases the speed of the vehicle, as compared with a case in which the acquirer has acquired a fifth recognition result indicating that no persons are present around the second vehicle, including the inside of the second vehicle or a case in which the acquirer has not acquired the fourth recognition result. 10. The vehicle control device according to claim 1, wherein, when the user does not board the vehicle until a first predetermined time elapses after the vehicle is stopped at the first stop position, the driving controller is configured to move the vehicle to a third stop position, the third stop position being a leading position in the boarding area and stopping the vehicle. 11. The vehicle control device according to claim 10, wherein, when the user does not board the vehicle until a second predetermined time elapses after the vehicle is stopped at the third stop position, the driving controller is configured to move the vehicle to a parking lot and parks the vehicle. 12. The vehicle control device according to claim 1, wherein the driving controller is configured to determine a further forward position in a traveling direction when the first stop position is present in front of the second vehicle stopping in the boarding area than when the first stop position is not present in front of the second vehicle, to be the first stop position. 13. The vehicle control device according to claim 1, wherein, when the user does not board the vehicle after the vehicle is stopped at the second stop position, the driving controller repeatedly is configured to move the vehicle to a forward area in the boarding area and stop the vehicle until the user boards the vehicle. 14. The vehicle control device according to claim 1,
wherein the boarding area includes a first area in which the user waits, and a second area in which the user is able to board the vehicle, and the driving controller is configured to move the vehicle to the second area. 15. The vehicle control device according to claim 1, wherein the recognition device includes at least one of a first recognition device mounted in the vehicle and a second recognition device installed in a site of a facility including the boarding area. 16. A vehicle control method comprising:
acquiring, by a computer mounted in a vehicle, a recognition result of a surroundings situation of the vehicle from a recognition device configured to recognize the surroundings situation of the vehicle; controlling, by the computer, steering and a speed of the vehicle on the basis of the acquired recognition result, to move the vehicle so that a user located in a boarding area is able to board the vehicle; stopping, by the computer, the vehicle at a first stop position according to a position of the user in the boarding area in a case in which a first recognition result indicating that the user has been recognized in the boarding area has been acquired when the vehicle is moved to the boarding area, and stopping, by the computer, the vehicle at a second stop position according to a position of an entrance to a facility in the boarding area in a case in which a second recognition result indicating that the user has not been recognized in the boarding area has been acquired or in a case in which the first recognition result has not been acquired when the vehicle is moved to the boarding area. 17. A non-transitory computer-readable storage medium storing a program, the program causing a computer mounted in a vehicle to execute:
acquiring a recognition result of a surroundings situation of the vehicle from a recognition device configured to recognize the surroundings situation of the vehicle; controlling steering and a speed of the vehicle on the basis of the acquired recognition result, to move the vehicle so that a user located in a boarding area is able to board the vehicle; stopping the vehicle at a first stop position according to a position of the user in the boarding area in a case in which a first recognition result indicating that the user has been recognized in the boarding area has been acquired when the vehicle is moved to the boarding area, and stopping the vehicle at a second stop position according to a position of an entrance to a facility in the boarding area in a case in which a second recognition result indicating that the user has not been recognized in the boarding area has been acquired or in a case in which the first recognition result has not been acquired when the vehicle is moved to the boarding area. | 3,600 |
348,399 | 16,805,876 | 3,636 | A table includes: a table plate having a penetration hole formed through a portion of an edge thereof; a connector unit coupled to the penetration hole to be disposed at a lower side of the table plate and exposed on an upper surface of the table plate through the penetration hole, the connector unit having a first port; a pair of first table legs disposed at one side of a lower surface of the table plate; a pair of second table legs disposed at the other side of the lower surface of the table plate; and a connection communication unit comprising a second port disposed at one side of the lower surface of the table plate. | 1. A table comprising:
a table plate having a penetration hole formed through a portion of an edge thereof; a connector unit coupled to the penetration hole to be disposed at a lower side of the table plate and exposed on an upper surface of the table plate through the penetration hole, the connector unit having a first port adapted to allow a first communication line extending from an adjacent table to be detachably and electrically connected thereto; a pair of first table legs disposed at one side of a lower surface of the table plate; a pair of second table legs disposed at the other side of the lower surface of the table plate; and a connection communication unit comprising a second port disposed at one side of the lower surface of the table plate and adapted to allow a second communication line extending from the adjacent table to be detachably and electrically connected thereto and a connection communication line electrically connected at one end thereof to the second port and extending toward the other side of the table plate and outward from the table plate to be electrically connected to first and second ports of another adjacent table. 2. The table according to claim 1, further comprising:
a reinforcement frame unit comprising a first reinforcement frame disposed at one side of the lower surface of the table plate and connecting the pair of first table legs to each other, and a second reinforcement frame disposed at the other side of the lower surface of the table plate and connecting the pair of second table legs to each other. 3. The table according to claim 2, wherein the second port is detachably provided to the first reinforcement frame. 4. The table according to claim 2, further comprising:
a support plate detachably provided to the second reinforcement frame and receiving a portion of the connection communication line extending outward from the table plate. 5. The table according to claim 1, further comprising
a holding clip detachably coupled to the lower surface of the table plate and receiving a portion of the connection communication line therein to secure the connection communication line to the lower surface of the table plate. 6. The table according to claim 1, wherein the connector unit further comprises a power socket to which an external power line is detachably and electrically connected. 7. The table according to claim 1, wherein a jack is coupled to the other end of the connection communication line to be inserted into the second port. | A table includes: a table plate having a penetration hole formed through a portion of an edge thereof; a connector unit coupled to the penetration hole to be disposed at a lower side of the table plate and exposed on an upper surface of the table plate through the penetration hole, the connector unit having a first port; a pair of first table legs disposed at one side of a lower surface of the table plate; a pair of second table legs disposed at the other side of the lower surface of the table plate; and a connection communication unit comprising a second port disposed at one side of the lower surface of the table plate.1. A table comprising:
a table plate having a penetration hole formed through a portion of an edge thereof; a connector unit coupled to the penetration hole to be disposed at a lower side of the table plate and exposed on an upper surface of the table plate through the penetration hole, the connector unit having a first port adapted to allow a first communication line extending from an adjacent table to be detachably and electrically connected thereto; a pair of first table legs disposed at one side of a lower surface of the table plate; a pair of second table legs disposed at the other side of the lower surface of the table plate; and a connection communication unit comprising a second port disposed at one side of the lower surface of the table plate and adapted to allow a second communication line extending from the adjacent table to be detachably and electrically connected thereto and a connection communication line electrically connected at one end thereof to the second port and extending toward the other side of the table plate and outward from the table plate to be electrically connected to first and second ports of another adjacent table. 2. The table according to claim 1, further comprising:
a reinforcement frame unit comprising a first reinforcement frame disposed at one side of the lower surface of the table plate and connecting the pair of first table legs to each other, and a second reinforcement frame disposed at the other side of the lower surface of the table plate and connecting the pair of second table legs to each other. 3. The table according to claim 2, wherein the second port is detachably provided to the first reinforcement frame. 4. The table according to claim 2, further comprising:
a support plate detachably provided to the second reinforcement frame and receiving a portion of the connection communication line extending outward from the table plate. 5. The table according to claim 1, further comprising
a holding clip detachably coupled to the lower surface of the table plate and receiving a portion of the connection communication line therein to secure the connection communication line to the lower surface of the table plate. 6. The table according to claim 1, wherein the connector unit further comprises a power socket to which an external power line is detachably and electrically connected. 7. The table according to claim 1, wherein a jack is coupled to the other end of the connection communication line to be inserted into the second port. | 3,600 |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.